-- dump date 20171216_103912 -- class Genbank::CDS -- table cds_translation -- id translation YAL068C MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YAL067W-A MPIIGVPRCLIKPFSVPVTFPFSVKKNIRILDLDPRTEAYCLSL NSVCFKRLPRRKYFHLLNSYNIKRVLGVVYC YAL067C MYSIVKEIIVDPYKRLKWGFIPVKRQVEDLPDDLNSTEIVTISN SIQSHETAENFITTTSEKDQLHFETSSYSEHKDNVNVTRSYEYRDEADRPWWRFFDEQ EYRINEKERSHNKWYSWFKQGTSFKEKKLLIKLDVLLAFYSCIAYWVKYLDTVNINNA YVSGMKEDLGFQGNDLVHTQVMYTVGNIIFQLPFLIYLNKLPLNYVLPSLDLCWSLLT VGAAYVNSVPHLKAIRFFIGAFEAPSYLAYQYLFGSFYKHDEMVRRSAFYYLGQYIGI LSAGGIQSAVYSSLNGVNGLEGWRWNFIIDAIVSVVVGLIGFYSLPGDPYNCYSIFLT DDEIRLARKRLKENQTGKSDFETKVFDIKLWKTIFSDWKIYILTLWNIFCWNDSNVSS GAYLLWLKSLKRYSIPKLNQLSMITPGLGMVYLMLTGIIADKLHSRWFAIIFTQVFNI IGNSILAAWDVAEGAKWFAFMLQCFGWAMAPVLYSWQNDICRRDAQTRAITLVTMNIM AQSSTAWISVLVWKTEEAPRYLKGFTFTACSAFCLSIWTFVVLYFYKRDERNNAKKNG IVLYNSKHGVEKPTSKDVETLSVSDEK YAL065C MNSATSETTTNTGAAETTTSTGAAETKTVVTSSISRFNHAETQT ASATDVIGHSSSVVSVSETGNTKSLITSGLSTMSQQPRSTPASSIIGSSTASLEISTY VGIANGLLTNNGISVFISTVLLAIVW YAL064W-B MAGEAVSEHTPDSQEVTVTSVVCCLDSVVEIGHHVVYSVVTPLI VAVLIDTMAGEAVLEHTSDSQEEIVTTVVCSVVPLVCFVVSVVCFVISVVEIGHHVVY SVVAPLTVTVAVETIAEEMDSVHT YAL064C-A MTGYFLPPQTSSYTFRFAKVDDSAILSVGGDVAFGCCAQEQPPI TSTNFTINGIKPWQGRLPDNIAGTVYMYAGFYCPMKIVYSNAVSWHTLPVSVELPDVT TVSDDFAGHVYSFDDDLTAQLYYP YAL064W MNPFASLEGQDNISSVFFLHMQQFESQVKDRFRFPIFRLERKTF GNSCYQVETLKVKCRPRHAKSCNLLTLLFKSRTQSVLVPNFGFLILNSEP YAL063C-A MCPRTVLLIININHWFYDKNIVRIILTFRLDSGHISDICFINKN LANALITADISLLKRHDIRCTKYIITYYQRYRNKEKGKFISLCKNTIISSSV YAL063C MSLAHYCLLLAIVTLLGLTNVVSATTAACLPANSRKNGMNVNFY QYSLRDSSTYSNAAYMAYGYASKTKLGSVGGQTDISIDYNIPCVSSSGTFPCPQEDLY GNWGCKGIGACSNNPIIAYWSTDLFGFYTTPTNVTLEMTGYFLPPQTGSYTFKFATVD DSAILSVGGSIAFECCAQEQPPITSTNFTINGIKPWNGSPPDNITGTVYMYAGFYYPM KIVYSNAVAWGTLPISVTLPDGTTVSDDFEGYVYTFDNNLSQPNCTIPDPSNYTVSTT ITTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTTASTIITTTEPWNSTFTS TSTELTTVTGTNGVRTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTELTTVTGTNG LPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTP TTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPW NDTFTSTSTEITTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEMTT VTGTNGLPTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTEMTTVTGTNGLPTDETI IVIRTPTTATTAITTTQPWNDTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAM TTTQPWNDTFTSTSTEITTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTST STEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGQ PTDETVIVIRTPTSEGLVTTTTEPWTGTFTSTSTEMTTITGTNGQPTDETVIIVKTPT TAISSSLSSSSGQITSFITSARPIITPFYPSNGTSVISSSVISSSDTSSLVISSSVTS SLVTSSPVISSSFISSPVISSTTTSASILSESSKSSVIPTSSSTSGSSESETGSASSA SSSSSISSESPKSTYSSSSLPPVTSATTSQEITSSLPPVTTTKTSEQTTLVTVTSCES HVCTESISSAIVSTATVTVSGATTEYTTWCPISTTEITKQTTETTKQTKGTTEQTTET TKQTTVVTISSCESDVCSKTASPAIVSTSTATINGVTTEYTTWCPISTTESKQQTTLV TVTSCGSGVCSETTSPAIVSTATATVNDVVTVYSTWRPQTTNEQSVSSKMNSATSETT TNTGAAETTTSTGAAETKTVVTSSISRFNHAETQTASATDVIGHSSSVVSVSETGNTK SLTSSGLSTMSQQPRSTPASSMVGSSTASLEISTYAGSANSLLAGSGLSVFIASLLLA II YAL062W MTSEPEFQQAYDEIVSSVEDSKIFEKFPQYKKVLPIVSVPERII QFRVTWENDNGEQEVAQGYRVQFNSAKGPYKGGLRFHPSVNLSILKFLGFEQIFKNAL TGLDMGGGKGGLCVDLKGKSDNEIRRICYAFMRELSRHIGKDTDVPAGDIGVGGREIG YLFGAYRSYKNSWEGVLTGKGLNWGGSLIRPEATGFGLVYYTQAMIDYATNGKESFEG KRVTISGSGNVAQYAALKVIELGGIVVSLSDSKGCIISETGITSEQIHDIASAKIRFK SLEEIVDEYSTFSESKMKYVAGARPWTHVSNVDIALPCATQNEVSGDEAKALVASGVK FVAEGANMGSTPEAISVFETARSTATNAKDAVWFGPPKAANLGGVAVSGLEMAQNSQK VTWTAERVDQELKKIMINCFNDCIQAAQEYSTEKNTNTLPSLVKGANIASFVMVADAM LDQGDVF YAL061W MRALAYFGKGNIRFTNHLKEPHIVAPDELVIDIEWCGICGTDLH EYTDGPIFFPEDGHTHEISHNPLPQAMGHEMAGTVLEVGPGVKNLKVGDKVVVEPTGT CRDRYRWPLSPNVDKEWCAACKKGYYNICSYLGLCGAGVQSGGFAERVVMNESHCYKV PDFVPLDVAALIQPLAVCWHAIRVCEFKAGSTALIIGAGPIGLGTILALNAAGCKDIV VSEPAKVRRELAEKMGARVYDPTAHAAKESIDYLRSIADGGDGFDYTFDCSGLEVTLN AAIQCLTFRGTAVNLAMWGHHKIQFSPMDITLHERKYTGSMCYTHHDFEAVIEALEEG RIDIDRARHMITGRVNIEDGLDGAIMKLINEKESTIKIILTPNNHGELNREADNEKKE ISELSSRKDQERLRESINEAKLRHT YAL060W MRALAYFKKGDIHFTNDIPRPEIQTDDEVIIDVSWCGICGSDLH EYLDGPIFMPKDGECHKLSNAALPLAMGHEMSGIVSKVGPKVTKVKVGDHVVVDAASS CADLHCWPHSKFYNSKPCDACQRGSENLCTHAGFVGLGVISGGFAEQVVVSQHHIIPV PKEIPLDVAALVEPLSVTWHAVKISGFKKGSSALVLGAGPIGLCTILVLKGMGASKIV VSEIAERRIEMAKKLGVEVFNPSKHGHKSIEILRGLTKSHDGFDYSYDCSGIQVTFET SLKALTFKGTATNIAVWGPKPVPFQPMDVTLQEKVMTGSIGYVVEDFEEVVRAIHNGD IAMEDCKQLITGKQRIEDGWEKGFQELMDHKESNVKILLTPNNHGEMK YAL059W MWEQRRQKVVFSLTILVRYRLKQSMAKKISKNSRAARQSDALEP EVKDLSELPRAEKTDLTNILIRTAAKNEALLEAKISKKANKSKRGKKLNKKALEDKLA NSISSMDRDRLVKALNFTNRLDGKIAKSISRAKYIQNTRKAGWDSTNETIKKELAFLN GGLSVQAKSASEGNAEKEDEEIPEVFDSLAEDNTVQKTPTNRFGVLPDDVEE YAL058W MKFSAYLWWLFLNLALVKGTSLLSNVTLAEDSFWEHFQAYTNTK HLNQEWITSEAVNNEGSKIYGAQWRLSQGRLQGSAWDKGIAVRTGNAAAMIGHLLETP INVSETDTLVVQYEIKLDNSLTCGGAFIKLMSGFMNVEALKHYAPDTEGVELVFGPDY CAPEINGVQFAINKVDKITHESKLRYLQEMPLSKLTDTSQSHLYTLIIDESAQSFQIL IDGKTVMVREHIEDKKKVNFEPPITPPLMIPDVSVAKPHDWDDRIRIPDPEAVKLSDR DERDPLMIPHPDGTEPPEWNSSIPEYILDPNAQKPSWWKELEHGEWIPPMIKNPLCTA ERGCGQQIPGLINNAKYKGPGELNEIINPNYMGEWHPPEIENPLYYEEQHPLRIENVI SGVILEFWSGSPNMLISNIYVGKNVTEAQIIGNKTWLMRDRAFRGSDGPTERKFMNSR LGNLQTTFHNERESPNPFDRIIDRILEQPLKFVLTAAVVLLTTSVLCCVVFT YAL056W MEISSSPWNDGGYSPYERNRVAVSPFSSALEGEERIETSRSLGD HCFEPLPYVTNYLSIFALFGKEIFGDKGNVSSRNEYLLKKYYSLKKPFVLRHNGHALK NPDMPLQRNDILQTNFMVDKFLNRTVRSVNFNNFKIISDMQSKSGRGTKSGTNQNQSA DAIQNICLPSIPSALPYFQYYRKLLTVNTKEWDILKLHSLWVPKLRKDFKDFSLYGDK NSLKPIDSHYDEDNTMKKNLFFERSPSRQTLDGKGCASKGYDISSGNMIIPSLFSEDK LPALTYHCSVELNGNIYIFGGLMPCYSYEEDAPMLNDFFVDGIKNLPPPLLPQVINNP SMVNNPHLYVASIPSCRFSKPKMGGYIPPPLLCVQGSKLTDRHIFFYGGFEIRTETRG DENGKYHLKKRLYVNNTGYILDIMSFKFTKIDIIVQPSKYNAYPTMSSRFGHLQISID NPNRRASVHSSSMNEIHKMGSASMKQGSSITSGRLEKAAVLSSLPHNTVHTVIIFGGY RQTGDDRYEAMNDLWKIEIPVIRRGKKGYCKFSETANAILLTPSEKDKSDWPEERAFS AFSVHGTSLMDRSSLDMRLLNNLKNHFVLKPSYISQDRVVSPKPVFPMMVHGTHQDLF NSGSAAQESPKAGASASSASAASFDPDMDDNLENYIVNPGRKSSSIPMTAIGRQRLIL SQEKPVGKTVVLHGGSNGLNVLDDMWLMDLECETWTPIETFAKADSSEDGDEKLDSVN VGLVGHRMESIGRICVCIGGMVQEDVDQFYSENDDEPPRKRKVDTLPLGGNFLNTIDL STQCWEEHKITLSKKEDDEDRQDSENEDTNSNIVVGVGGTSLQCDKSIILIGGLISRR SNVKEIYLHGTITKSIFPSVNPSA YAL055W MPPPSRSRINKTRTLGIVGTAIAVLVTSYYIYQKVTSAKEDNGA RPPEGDSVKENKKARKSKCIIMSKSIQGLPIKWEEYAADEVVLLVPTSHTDGSMKQAI GDAFRKTKNEHKIIYCDSMDGLWSCVRRLGKFQCILNSRDFTSSGGSDAAVVPEDIGR FVKFVVDSDVEDVLIDTLCN YAL054C MSPSAVQSSKLEEQSSEIDKLKAKMSQSAATAQQKKEHEYEHLT SVKIVPQRPISDRLQPAIATHYSPHLDGLQDYQRLHKESIEDPAKFFGSKATQFLNWS KPFDKVFIPDPKTGRPSFQNNAWFLNGQLNACYNCVDRHALKTPNKKAIIFEGDEPGQ GYSITYKELLEEVCQVAQVLTYSMGVRKGDTVAVYMPMVPEAIITLLAISRIGAIHSV VFAGFSSNSLRDRINDGDSKVVITTDESNRGGKVIETKRIVDDALRETPGVRHVLVYR KTNNPSVAFHAPRDLDWATEKKKYKTYYPCTPVDSEDPLFLLYTSGSTGAPKGVQHST AGYLLGALLTMRYTFDTHQEDVFFTAGDIGWITGHTYVVYGPLLYGCATLVFEGTPAY PNYSRYWDIIDEHKVTQFYVAPTALRLLKRAGDSYIENHSLKSLRCLGSVGEPIAAEV WEWYSEKIGKNEIPIVDTYWQTESGSHLVTPLAGGVTPMKPGSASFPFFGIDAVVLDP NTGEELNTSHAEGVLAVKAAWPSFARTIWKNHDRYLDTYLNPYPGYYFTGDGAAKDKD GYIWILGRVDDVVNVSGHRLSTAEIEAAIIEDPIVAECAVVGFNDDLTGQAVAAFVVL KNKSSWSTATDDELQDIKKHLVFTVRKDIGPFAAPKLIILVDDLPKTRSGKIMRRILR KILAGESDQLGDVSTLSNPGIVRHLIDSVKL YAL053W MIFLNTFARCLLTCFVLCSGTARSSDTNDTTPASAKHLQTTSLL TCMDNSQLTASFFDVKFYPDNNTVIFDIDATTTLNGNVTVKAELLTYGLKVLDKTFDL CSLGQVSLCPLSAGRIDVMSTQVIESSITKQFPGIAYTIPDLDAQVRVVAYAQNDTEF ETPLACVQAILSNGKTVQTKYAAWPIAAISGVGVLTSGFVSVIGYSATAAHIASNSIS LFIYFQNLAITAMMGVSRVPPIAAAWTQNFQWSMGIINTNFMQKIFDWYVQATNGVSN VVVANKDVLSISVQKRAISMASSSDYNFDTILDDSNLYTTSEKDPSNYSAKILVLRGI ERVAYLANIELSNFFLTGIVFFLFFLFVVVVSLIFFKALLEVLTRARILKETSNFFQY RKNWGSIIKGTLFRLSIIAFPQVSLLAIWEFTQVNSPAIVVDAVVILLIITGLLVYGT IRVFIKGRESLRLYKNPAYLLYSDTYFLNKFGFLYVQFKADKFWWLLPLLSYAFLRSL FVAVLQNQGKAQAMIIFVIELAYFVCLCWIRPYLDKRTNVFNIAIHLVNLINAFFFLF FSNLFKQPAVVSSVMAVILFVLNAVFALFLLLFTIVTCTLALLHRNPDVRYQPMKDDR VSFIPKIQNDFDGKNKNDSELFELRKAVMDTNENEEEKMFRDDTFGKNLNANTNTARL FDDETSSSSFKQNSSPFDASEVTEQPVQPTSAVMGTGGSFLSPQYQRASSASRTNLAP NNTSTSSLMKPESSLYLGNSNKSYSHFNNNGSNENARNNNPYL YAL051W MVENSTQKAPHAGNDDNSSTKPYSEAFFLGFNNPTPGLEAEHSS TSPAPENSETHNRKRNRILFVCQACRKSKTKCDREKPECGRCVKHGLKCVYDVSKQPA PRIPSKDAIISRLEKDMFYWKDKAMKLLTEREVNESGKRSASPINTNNASGDSPDTKK QHKMEPIYEQSGNGDINNGTRNDIEINLYRSHPTMIMSKVMKREVKPLSENYIIIQDC FLKILVTSVFLDTSKNTMIPALTANANITRAQPSVANNLLKLKEMLIRQCQTEDEKNR VNEFTDRILQNTNSNRNLKIGMLLSMLYNSVGYQYLEDHCPQGGEYSDLLRNLINECE AILPSYEIIERYKNHFYEYVYPSLPFIELEIFEESLSQTIFPDPNNPSKVQIRMGSTH LRAKVENLSLLLVILKLSYMSIRFLDHSTADSSFYLSKEIIDKYPIPNDFILLSQRCL ASENWCACANENIISCLLYIWSFFAFSPEEGDFFLEHPTDVISSLIMMLSTSIGLHRD PSDFPQLISPSTSDKRTLNHRRILWLSIVTVCSFEASLKGRHSVSPISLMALFLNIKD PDSLTVYMNRVRGDLSDINNHKLLRIHKFTFKRAQLALLLSDLDNLTMTYYGSFHLHS IEFIREKIEIFVEENFPIVPLKSVAQDKSDLDDMNVISEMNILSSENSSSFHNRIMNK LLMLRTSMAVFLHFETLITKDKSIFPFYKKYFMVSCMDALSLINYFNKFFNGEYRHAI SSLTSFNVTKFIQLALSSTIFSLLGIILRIGLAIHMLSSEVQKLSGTTDPRIKELNTK VEKFSTLQRDLESALEGIYCSASEHLRFTYFPVFKMLALFDVIVQRMRKGELWHGIFT MIQMEQMHSRIIKTLSITLGVKLDKKDRLLEELMACNHVANFSVEDIDELNRNIKKEI QISSGLKPPVNTIDLTNGEPFGNAVPTFTKTWSSSLDNLEKLSSAAAVGQSLDYNSGL RQGPLAGGGSKEQTPIAGMNNLNNSINATPIVDNSSGSQLPNGFDRGQANNTPFPGYF GGLDLFDYDFLFGNDFA YAL049C MASNQPGKCCFEGVCHDGTPKGRREEIFGLDTYAAGSTSPKEKV IVILTDVYGNKFNNVLLTADKFASAGYMVFVPDILFGDAISSDKPIDRDAWFQRHSPE VTKKIVDGFMKLLKLEYDPKFIGVVGYCFGAKFAVQHISGDGGLANAAAIAHPSFVSI EEIEAIDSKKPILISAAEEDHIFPANLRHLTEEKLKDNHATYQLDLFSGVAHGFAARG DISIPAVKYAKEKVLLDQIYWFNHFSNV YAL048C MTKETIRVVICGDEGVGKSSLIVSLTKAEFIPTIQDVLPPISIP RDFSSSPTYSPKNTVLIDTSDSDLIALDHELKSADVIWLVYCDHESYDHVSLFWLPHF RSLGLNIPVILCKNKCDSISNVNANAMVVSENSDDDIDTKVEDEEFIPILMEFKEIDT CIKTSAKTQFDLNQAFYLCQRAITHPISPLFDAMVGELKPLAVMALKRIFLLSDLNQD SYLDDNEILGLQKKCFNKSIDVNELNFIKDLLLDISKHDQEYINRKLYVPGKGITKDG FLVLNKIYAERGRHETTWAILRTFHYTDSLCINDKILHPRLVVPDTSSVELSPKGYRF LVDIFLKFDIDNDGGLNNQELHRLFKCTPGLPKLWTSTNFPFSTVVNNKGCITLQGWL AQWSMTTFLNYSTTTAYLVYFGFQEDARLALQVTKPRKMRRRSGKLYRSNINDRKVFN CFVIGKPCCGKSSLLEAFLGRSFSEEYSPTIKPRIAVNSLELKGGKQYYLILQELGEQ EYAILENKDKLKECDVICLTYDSSDPESFSYLVSLLDKFTHLQDLPLVFVASKADLDK QQQRCQIQPDELADELFVNHPLHISSRWLSSLNELFIKITEAALDPGKNTPGLPEETA AKDVDYRQTALIFGSTVGFVALCSFTLMKLFKSSKFSK YAL047C MVRRWIPSGRHLRNNDNTGDDDDSEFTNSMDSGMSIPSLRDSMT TRSSHNDPIKPALMNDSNKVKNLEKELTNAKIKIQVLYEYIRRIPNKDGNAPSLGNDT DFRNSIIEGLNLEINKLKQDLKAKEVEYQDTLQFVQENLENSESIVNTINHLLSFILT HFNEQDENAHLLDKEERETLEETLELSSDYVLEKMDTLSKFIIQFLQDFLHSKSRAES KQDKEEFLSLAQSSPAGSQLESRDSPSSKEENTDGGYQNDEIHDSNNHIDTENVMANS TSLPISAVESRFEKTLDTQLEIVIENLHKEYDQFINSIRLKFEKSQKLEKIIASKLNE QSHLLDSLELEENSSSVIEKQDHLISQLKEKIESQSVLINNLEKLKEDIIKMKQNEKV LTKELETQTKINKLKENNWDSYINDLEKQINDLQIDKSEEFHVIQNQLDKLDLENYQL KNQLNTLDNQKLILSQYESNFIKFNQNLLLHLDSIFNILQKILQESSIAQFDRKMKSI KSVPNALKNLNLIQPKLESLYTFIETALESIINSYISSLISMETPEQPHQQGNELTAT PNKELTLRIEELQRRWISERERRKLDANASEARIKALEQENESLRSKLFNLSINNP YAL046C MKLPQTMLRSISVKHVRWPRILTGSKLWYSTQMAMTPEEKMITD KLQQELEPEVCKVQDVSGGCGSMFAINITSKKFNGLSLIKQHQLVNRILRDDISRWHG LQLTTKKSTGKGPASS YAL044W-A MFKRAMSTDGPVARTILKRLECGFPDYKNFAFGLYNDSHKHKGH AGVQGNVSAETHFRIEMVSKKFEGLKLPQRHRMVYSLLQDEMAQANGIHALQLSLKTP QEYESKAK YAL044C MLRTTRLWTTRMPTVSKLFLRNSSGNALNKNKLPFLYSSQGPQA VRYTSQHEWIAVHQDKTAFVGITKYATDALGDATYVELPEVGTEIAQGESLGSIESVK SASEIYQPADGTVEEINTNLEENPGVVNEDPMGDGWLVKMKLGEGVNVEQVEGLMSLE QYEKTLVHDD YAL043C MSSAEMEQLLQAKTLAMHNNPTEMLPKVLETTASMYHNGNLSKL KLPLAKFFTQLVLDVVSMDSPIANTERPFIAAQYLPLLLAMAQSTADVLVYKNIVLIM CASYPLVLDLVAKTSNQEMFDQLCMLKKFVLSHWRTAYPLRATVDDETDVEQWLAQID QNIGVKLATIKFISEVVLSQTKSPSGNEINSSTIPDNHPVLNKPALESEAKRLLDMLL NYLIEEQYMVSSVFIGIINSLSFVIKRRPQTTIRILSGLLRFNVDAKFPLEGKSDLNY KLSKRFVERAYKNFVQFGLKNQIITKSLSSGSGSSIYSKLTKISQTLHVIGEETKSKG ILNFDPSKGNSKKTLSRQDKLKYISLWKRQLSALLSTLGVSTKTPTPVSAPATGSSTE NMLDQLKILQKYTLNKASHQGNTFFNNSPKPISNTYSSVYSLMNSSNSNQDVTQLPND ILIKLSTEAILQMDSTKLITGLSIVASRYTDLMNTYINSVPSSSSSKRKSDDDDDGND NEEVGNDGPTANSKKIKMETEPLAEEPEEPEDDDRMQKMLQEEESAQEISGDANKSTS AIKEIAPPFEPDSLTQDEKLKYLSKLTKKLFELSGRQDTTRAKSSSSSSILLDDDDSS SWLHVLIRLVTRGIEAQEASDLIREELLGFFIQDFEQRVSLIIEWLNEEWFFQTSLHQ DPSNYKKWSLRVLESLGPFLENKHRRFFIRLMSELPSLQSDHLEALKPICLDPARSSL GFQTLKFLIMFRPPVQDTVRDLLHQLKQEDEGLHKQCDSLLDRLK YAL042W MKRSTLLSLDAFAKTEEDVRVRTRAGGLITLSCILTTLFLLVNE WGQFNSVVTRPQLVVDRDRHAKLELNMDVTFPSMPCDLVNLDIMDDSGEMQLDILDAG FTMSRLNSEGRPVGDATELHVGGNGDGTAPVNNDPNYCGPCYGAKDQSQNENLAQEEK VCCQDCDAVRSAYLEAGWAFFDGKNIEQCEREGYVSKINEHLNEGCRIKGSAQINRIQ GNLHFAPGKPYQNAYGHFHDTSLYDKTSNLNFNHIINHLSFGKPIQSHSKLLGNDKRH GGAVVATSPLDGRQVFPDRNTHFHQFSYFAKIVPTRYEYLDNVVIETAQFSATFHSRP LAGGRDKDHPNTLHVRGGIPGMFVFFEMSPLKVINKEQHGQTWSGFILNCITSIGGVL AVGTVMDKLFYKAQRSIWGKKSQ YAL041W MAIQTRFASGTSLSDLKPKPSATSISIPMQNVMNKPVTEQDSLF HICANIRKRLEVLPQLKPFLQLAYQSSEVLSERQSLLLSQKQHQELLKSNGANRDSSD LAPTLRSSSISTATSLMSMEGISYTNSNPSATPNMEDTLLTFSMGILPITMDCDPVTQ LSQLFQQGAPLCILFNSVKPQFKLPVIASDDLKVCKKSIYDFILGCKKHFAFNDEELF TISDVFANSTSQLVKVLEVVETLMNSSPTIFPSKSKTQQIMNAENQHRHQPQQSSKKH NEYVKIIKEFVATERKYVHDLEILDKYRQQLLDSNLITSEELYMLFPNLGDAIDFQRR FLISLEINALVEPSKQRIGALFMHSKHFFKLYEPWSIGQNAAIEFLSSTLHKMRVDES QRFIINNKLELQSFLYKPVQRLCRYPLLVKELLAESSDDNNTKELEAALDISKNIARS INENQRRTENHQVVKKLYGRVVNWKGYRISKFGELLYFDKVFISTTNSSSEPEREFEV YLFEKIIILFSEVVTKKSASSLILKKKSSTSASISASNITDNNGSPHHSYHKRHSNSS SSNNIHLSSSSAAAIIHSSTNSSDNNSNNSSSSSLFKLSANEPKLDLRGRIMIMNLNQ IIPQNNRSLNITWESIKEQGNFLLKFKNEETRDNWSSCLQQLIHDLKNEQFKARHHSS TSTTSSTAKSSSMMSPTTTMNTPNHHNSRQTHDSMASFSSSHMKRVSDVLPKRRTTSS SFESEIKSISENFKNSIPESSILFRISYNNNSNNTSSSEIFTLLVEKVWNFDDLIMAI NSKISNTHNNNISPITKIKYQDEDGDFVVLGSDEDWNVAKEMLAENNEKFLNIRLY YAL040C MAILKDTIIRYANARYATASGTSTATAASVSAASCPNLPLLLQK RRAIASAKSKNPNLVKRELQAHHSAISEYNNDQLDHYFRLSHTERPLYNLTNFNSQPQ VNPKMRFLIFDFIMYCHTRLNLSTSTLFLTFTILDKYSSRFIIKSYNYQLLSLTALWI SSKFWDSKNRMATLKVLQNLCCNQYSIKQFTTMEMHLFKSLDWSICQSATFDSYIDIF LFQSTSPLSPGVVLSAPLEAFIQQKLALLNNAAGTAINKSSSSQGPSLNINEIKLGAI MLCELASFNLELSFKYDRSLIALGAINLIKLSLNYYNSNLWENINLALEENCQDLDIK LSEISNTLLDIAMDQNSFPSSFKSKYLNSNKTSLAKSLLDALQNYCIQLKLEEFYRSQ ELETMYNTIFAQSFDSDSLTCVYSNATTPKSATVSSAATDYFSDHTHLRRLTKDSISP PFAFTPTSSSSSPSPFNSPYKTSSSMTTPDSASHHSHSGSFSSTQNSFKRSLSIPQNS SIFWPSPLTPTTPSLMSNRKLLQNLSVRSKRLFPVRPMATAHPCSAPTQLKKRSTSSV DCDFNDSSNLKKTR YAL039C MGWFWADQKTTGKDIGGAAVSSMSGCPVMHESSSSSPPSSECPV MQGDNDRINPLNNMPELAASKQPGQKMDLPVDRTISSIPKSPDSNEFWEYPSPQQMYN AMVRKGKIGGSGEVAEDAVESMVQVHNFLNEGCWQEVLEWEKPHTDESHVQPKLLKFM GKPGVLSPRARWMHLCGLLFPSHFSQELPFDRHDWIVLRGERKAEQQPPTFKEVRYVL DFYGGPDDENGMPTFHVDVRPALDSLDNAKDRMTRFLDRMISGPSSSSSAP YAL038W MSRLERLTSLNVVAGSDLRRTSIIGTIGPKTNNPETLVALRKAG LNIVRMNFSHGSYEYHKSVIDNARKSEELYPGRPLAIALDTKGPEIRTGTTTNDVDYP IPPNHEMIFTTDDKYAKACDDKIMYVDYKNITKVISAGRIIYVDDGVLSFQVLEVVDD KTLKVKALNAGKICSHKGVNLPGTDVDLPALSEKDKEDLRFGVKNGVHMVFASFIRTA NDVLTIREVLGEQGKDVKIIVKIENQQGVNNFDEILKVTDGVMVARGDLGIEIPAPEV LAVQKKLIAKSNLAGKPVICATQMLESMTYNPRPTRAEVSDVGNAILDGADCVMLSGE TAKGNYPINAVTTMAETAVIAEQAIAYLPNYDDMRNCTPKPTSTTETVAASAVAAVFE QKAKAIIVLSTSGTTPRLVSKYRPNCPIILVTRCPRAARFSHLYRGVFPFVFEKEPVS DWTDDVEARINFGIEKAKEFGILKKGDTYVSIQGFKAGAGHSNTLQVSTV YAL037C-A MSISFPKMQHLIVMTTIGDKKVNNNIILFL YAL037W MDMEIEDSSPIDDLKLQKLDTNVYFGPCEILTQPILLQYENIKF IIGVNLSTEKIASFYTQYFRNSNSVVVNLCSPTTAAVATKKAAIDLYIRNNTILLQKF VGQYLQMGKKIKTSLTQAQTDTIQSLPQFCNSNVLSGEPLVQYQAFNDLLALFKSFSH FGNILVISSHSYDCALLKFLISRVMTYYPLVTIQDSLQYMKATLNISISTSDEFDILN DKELWEFGQTQEILKRRQTSSVKRRCVNLPENSTIDNRMLMGTTKRGRF YAL036C MSTTVEKIKAIEDEMARTQKNKATSFHLGQLKAKLAKLRRELLT SASSGSGGGAGIGFDVARTGVASVGFVGFPSVGKSTLLSKLTGTESEAAEYEFTTLVT VPGVIRYKGAKIQMLDLPGIIDGAKDGRGRGKQVIAVARTCNLLFIILDVNKPLHHKQ IIEKELEGVGIRLNKTPPDILIKKKEKGGISITNTVPLTHLGNDEIRAVMSEYRINSA EIAFRCDATVDDLIDVLEASSRRYMPAIYVLNKIDSLSIEELELLYRIPNAVPISSGQ DWNLDELLQVMWDRLNLVRIYTKPKGQIPDFTDPVVLRSDRCSVKDFCNQIHKSLVDD FRNALVYGSSVKHQPQYVGLSHILEDEDVVTILKK YAL035W MAKKSKKNQQNYWDEEFEEDAAQNEEISATPTPNPESSAGADDT SREASASAEGAEAIEGDFMSTLKQSKKKQEKKVIEEKKDGKPILKSKKEKEKEKKEKE KQKKKEQAARKKAQQQAQKEKNKELNKQNVEKAAAEKAAAEKSQKSKGESDKPSASAK KPAKKVPAGLAALRRQLELKKQLEEQEKLEREEEERLEKEEEERLANEEKMKEEAKAA KKEKEKAKREKRKAEGKLLTRKQKEEKKLLERRRAALLSSGNVKVAGLAKKDGEENKP KKVVYSKKKKRTTQENASEAIKSDSKKDSEVVPDDELKESEDVLIDDWENLALGDDDE EGTNEETQESTASHENEDQNQGEEEEEGEEEEEEEEERAHVHEVAKSTPAATPAATPT PSSASPNKKDLRSPICCILGHVDTGKTKLLDKIRQTNVQGGEAGGITQQIGATYFPID AIKAKTKVMAEYEKQTFDVPGLLVIDTPGHESFSNLRSRGSSLCNIAILVIDIMHGLE QQTIESIKLLRDRKAPFVVALNKIDRLYDWKAIPNNSFRDSFAKQSRAVQEEFQSRYS KIQLELAEQGLNSELYFQNKNMSKYVSIVPTSAVTGEGVPDLLWLLLELTQKRMSKQL MYLSHVEATILEVKVVEGFGTTIDVILSNGYLREGDRIVLCGMNGPIVTNIRALLTPQ PLRELRLKSEYVHHKEVKAALGVKIAANDLEKAVSGSRLLVVGPEDDEDELMDDVMDD LTGLLDSVDTTGKGVVVQASTLGSLEALLDFLKDMKIPVMSIGLGPVYKRDVMKASTM LEKAPEYAVMLCFDVKVDKEAEQYAEQEGIKIFNADVIYHLFDSFTAYQEKLLEERRK DFLDYAIFPCVLQTLQIINKRGPMIIGVDVLEGTLRVGTPICAVKTDPTTKERQTLIL GKVISLEINHQPVQEVKKGQTAAGVAVRLEDPSGQQPIWGRHVDENDTLYSLVSRRSI DTLKDKAFRDQVARSDWLLLKKLKVVFGIE YAL034W-A MSAPTMRSTSILTEHLGYPPISLVDDIINAVNEIMYKCTAAMEK YLLSKSKIGEEDYGEEIKSGVAKLESLLENSVDKNFDKLELYVLRNVLRIPEEYLDAN VFRLENQKDLVIVDENELKKSEEKLREKVNDVELAFKKNEMLLKRVTKVKRLLFTIRG FKQKLNELLKCKDDVQLQKILESLKPIDDTMTLLTDSLRKLYVDSESTSSTEEVEALL QRLKTNGKQNNKDFRTRYIDIRTNNVLRKLGLLGDKEDEKQSAKPDARTQAGDIVSID IEEPQLDLLDDVL YAL034C MGLYSPESEKSQLNMNYIGKDDSQSIFRRLNQNLKASNNNNDSN KNGLNMSDYSNNSPYGRSYDVRINQNSQNNGNGCFSGSIDSLVDEHIIPSPPLSPKLE SKISHNGSPRMASSVLVGSTPKGAVENVLFVKPVWPNGLSRKRYRYATYGFLSQYKIF SNLAQPYSKNIINRYNNLAYNARHKYSKYNDDMTPPPLPSSSSRLPSPLASPNLNRQA RYNMRKQALYNNNLGKFESDTEWIPRKRKVYSPQRRTMTTSPHRAKKFSPSASTPHTN IASIEAIHDAPQYIPNVSWKKLPDYSPPLSTLPTDSNKSLKIEWKGSPMDLSTDPLRN ELHPAELVLAQTLRLPCDLYLDSKRRLFLEKVYRLKKGLPFRRTDAQKACRIDVNKAS RLFQAFEKVGWLQDSNFTKYL YAL033W MVRLKSRYILFEIIFPPTDTNVEESVSKADILLSHHRASPADVS IKSILQEIRRSLSLNLGDYGSAKCNSLLQLKYFSNKTSTGIIRCHREDCDLVIMALML MSKIGDVDGLIVNPVKVSGTIKKIEQFAMRRNSKILNIIKCSQSSHLSDNDFIINDFK KIGRENENENEDD YAL032C MFSNRLPPPKHSQGRVSTALSSDRVEPAILTDQIAKNVKLDDFI PKRQSNFELSVPLPTKAEIQECTARTKSYIQRLVNAKLANSNNRASSRYVTETHQAPA NLLLNNSHHIEVVSKQMDPLLPRFVGKKARKVVAPTENDEVVPVLHMDGSNDRGEADP NEWKIPAAVSNWKNPNGYTVALERRVGKALDNENNTINDGFMKLSEALENADKKARQE IRSKMELKRLAMEQEMLAKESKLKELSQRARYHNGTPQTGAIVKPKKQTSTVARLKEL AYSQGRDVSEKIILGAAKRSEQPDLQYDSRFFTRGANASAKRHEDQVYDNPLFVQQDI ESIYKTNYEKLDEAVNVKSEGASGSHGPIQFTKAESDDKSDNYGA YAL031C MVDVQKRKKLLAKAAASASIPAIKGSVPLDSYDIKIIQYKNALY KLNELNRLLNVLVPHLKKKRDNDESYKIIPLVNFILSLCEGPIFNVSPVLAKRYHLLC RFQLIKLSEVQQRLSTNFIDVEGWMFPEEVPLDHYKSCIYNNSLQWKILNSLSCIAQN AIKIYNAKLRQILLERDAYKARSLPFDTSIIEDLLNPVEMTLILDLAVLINDPVRDKS THSFYKLQWQVMEKLNSCVHSKIFPILRTYYNQLQKFSETRPTSLSNLQKDLPHWEWT LHRIYTFHLRVFSVLCVIISFSRQIFLPNKQHFLDIKTRLSSENVYHYDLIICELMAL LSPECDDVTALFELQENLKFWTQTARTDNNSSRTPIFHLQPGLVVELFNNHICKIIPK LRSIMGLLSNWMDCWKYIEKNYKTFDETNDLRENLKEKLERDKALYLEVKNAKSKLKK KPSITKLPASSSPSPSPTSSASPSRQASLESIRTRARAHLASNSSRSPSVSPVRTTFN NKNAETKKSVVSPEKRKLINGRRPRSSSLQSYTNKQQTSYLNSTRHPSIAPPSKLNNQ RSNSLQSSTMTLNQKIVQDTVRHLMNKSASTPNPSASSSLAPSPKVSSINNTSSGKSS STLIANSSDTLAIETLTLDPESNSSELSIKRVRFAGVPPMTEAENPKPTKVGWYKKPA VLHYPPIPASAMIKPLQHKSKYNTLRQEEGFTFRKSLRDGLEWENGESGSETTMMPFG IEIKESTGHRIASKIRSKLR YAL030W MSSSTPFDPYALSEHDEERPQNVQSKSRTAELQAEIDDTVGIMR DNINKVAERGERLTSIEDKADNLAVSAQGFKRGANRVRKAMWYKDLKMKMCLALVIII LLVVIIVPIAVHFSR YAL029C MSFEVGTKCWYPHKEQGWIGGEVTKNDFFEGTFHLELKLEDGET VSIETNSFENDDDHPTLPVLRNPPILESTDDLTTLSYLNEPAVLHAIKKRYMNGQIYT YSGIVLIAANPFDKVDHLYSREMIQNYSSKRKDELEPHLFAIAEEAYRFMVHEKANQT VVVSGESGAGKTVSAKYIMRYFASVQESNNREGEVEMSQIESQILATNPIMEAFGNAK TTRNDNSSRFGKYLQILFDENTTIRGSKIRTYLLEKSRLVYQPETERNYHIFYQILEG LPEPVKQELHLSSPKDYHYTNQGGQPNIAGIDEAREYKITTDALSLVGINHETQLGIF KILAGLLHIGNIEMKMTRNDASLSSEEQNLQIACELLGIDPFNFAKWIVKKQIVTRSE KIVTNLNYNQALIARDSVAKFIYSTLFDWLVDNINKTLYDPELDQQDHVFSFIGILDI YGFEHFEKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVKEEIEWSFIEFSDNQPCI DLIENKLGILSLLDEESRLPSGSDESWASKLYSAFNKPPSNEVFSKPRFGQTKFIVSH YAVDVEYEVEGFIEKNRDSVSLGHLDVFKATTNPIFKQILDNRELRSDDAPEEQNTEK KIMIPARLSQKKPTLGSMFKKSLGELMAIINSTNVHYIRCIKPNSEKKPWEFDNLMVL SQLRACGVLETIRISCAGFPSRWTFDEFVQRYFLLTDYSLWSGILYNPDLPKEAIVNF CQSILDATISDSAKYQIGNTKIFFKAGMLAFLEKLRTNKMNEICIIIQKKIRARYYRL QYLQTMESIKKCQSQIRSLLVRTRVDHELKTRAAILLQTNIRALWKREYYRAAIGQII KLQCTCKRKLILDSVNRKFMLMAAVIIQSYIRSYGHKTDYRTLKRSSILVQSAMRMQL ARRRYIVLQKEVEERNIRASYGIGLLEEAIEFKNSFILNLEMLNDSYTRLTQLLQGDL SNIPSKQRQEYETIVNGYNDKISKLKTLQVEIMNTLNKKNALKERKKKQSSLIQSHMQ SLAAIKGNKPSRLSDEVKSMKQELAFIENVIAQDFTTTYSANKNDKVKGLGIAGQQVK PKLVNVIRRESGNPDLLELLMDLNCYTLEVTEGYLKKVNVTEVNGDNVLGPIHVITTV VSSLVRNGLLIQSSKFISKVLLTVESIVMSLPKDETMLGGIFWLSNLSRLPAFAANQK TLYEANGGDEKDKLTLIYLNDLENETLKVFDKIYSTWLVKFMKHASAHIEIFDMVLNE KLFKNSGDEKFAKLFTFLNEFDAVLCKFQVVDSMHTKIFNDTLKYLNVMLFNDLITKC PALNWKYGYEVDRNIERLVSWFEPRIEDVRPNLIQIIQAVKILQLKISNLNEFKLLFD FWYALNPAQIQAILLKYKPANKGEAGVPNEILNYLANVIKRENLSLPGKMEIMLSAQF DSAKNHLRYDTSAITQNSNTEGLATVSKIIKLDRK YAL028W MQNAQIKSSSKGSGIDGTDRNSKDGVEKRPLEDVKQMIDAGTPD VGHKSTVETKPNVGWQASHSNLAALHEKEQKYEMEHHHARHKLHRQVIPDYTSASTAM FSDCMFNAAPDKVRSLSTMKSSGLSPKHPFNVVATFKGPFPQHSVESKPLDGGYSAKD HFPSFKMLQAQQHPAHRHYKDNDKYGLKSPSRSFVKDKKRLVHRFLKSMEPSSSGQSK DSSALAPAFDPILPNVISKPSKRPTHHSHSSDGSSSTQTDISLQSLLYHDLESSPKKH VSPSRPPSVASESSPAVANPIGLSPKDACNASFSQSSSSSLSSSSSSSSSTSFSQSVA VDPLEPPGNITYSSSNLSLNSDELDYYQRHIGLQLQQTEALLKHSLKDEVLKDENDLV KNIANFDKIVKELRDLRSRTIGWKELVEEDYLMNLKQDFDKENPESFEARLSDTINTN VAKLQDLEKRMASCKDRLASRKEVMRKMESLLSLENSLMISKKNVTFASKYRNEALDI VFLIIIIVICYTFKHLVSHK YAL027W MAPSIATVKIARDMVLPLRIFVNRKQILQTNDKTSNKSNATIFE APLLSNNSIICLKSPNTRIYLSQQDKKNLCDEIKEDLLLIVYELASPEIISSVLSKIR VGHSTDFQINVLPKLFAGADTDNAVTSHIQSVTRLAKFKYKLHYKHKWELDIFINSIK KIANLRHYLMFQTLTLNGFSLNAGPKTLLARKIEKQPQVPNLLIENGDADALDTPVEE DIKPVIEFMYKPVINLGEIIDVHVLHRPRRHKVRTQSKQPQEE YAL026C MNDDRETPPKRKPGEDDTLFDIDFLDDTTSHSGSRSKVTNSHAN ANYIPPSHVLPEETIDLDADDDNIENDVHENLFMSNNHDDQTSWNANRFDSDAYQPQS LRAVKPPGLFARFGNGLKNAFTFKRKKGPESFEMNHYNAVTNNELDDNYLDSRNKFNI KILFNRYILRKNVGDAEGNGEPRVIHINDSLANSSFGYSDNHISTTKYNFATFLPKFL FQEFSKYANLFFLCTSAIQQVPHVSPTNRYTTIGTLLVVLIVSAMKECIEDIKRANSD KELNNSTAEIFSEAHDDFVEKRWIDIRVGDIIRVKSEEPIPADTIILSSSEPEGLCYI ETANLDGETNLKIKQSRVETAKFIDVKTLKNMNGKVVSEQPNSSLYTYEGTMTLNDRQ IPLSPDQMILRGATLRNTAWIFGLVIFTGHETKLLRNATATPIKRTAVEKIINRQIIA LFTVLIVLILISSIGNVIMSTADAKHLSYLYLEGTNKAGLFFKDFLTFWILFSNLVPI SLFVTVELIKYYQAFMIGSDLDLYYEKTDTPTVVRTSSLVEELGQIEYIFSDKTGTLT RNIMEFKSCSIAGHCYIDKIPEDKTATVEDGIEVGYRKFDDLKKKLNDPSDEDSPIIN DFLTLLATCHTVIPEFQSDGSIKYQAASPDEGALVQGGADLGYKFIIRKPNSVTVLLE ETGEEKEYQLLNICEFNSTRKRMSAIFRFPDGSIKLFCKGADTVILERLDDEANQYVE ATMRHLEDYASEGLRTLCLAMRDISEGEYEEWNSIYNEAATTLDNRAEKLDEAANLIE KNLILIGATAIEDKLQDGVPETIHTLQEAGIKIWVLTGDRQETAINIGMSCRLLSEDM NLLIINEETRDDTERNLLEKINALNEHQLSTHDMNTLALVIDGKSLGFALEPELEDYL LTVAKLCKAVICCRVSPLQKALVVKMVKRKSSSLLLAIGDGANDVSMIQAAHVGVGIS GMEGMQAARSADIAVGQFKFLKKLLLVHGSWSYQRISVAILYSFYKNTALYMTQFWYV FANAFSGQSIMESWTMSFYNLFFTVWPPFVIGVFDQFVSSRLLERYPQLYKLGQKGQF FSVYIFWGWIINGFFHSAIVFIGTILIYRYGFALNMHGELADHWSWGVTVYTTSVIIV LGKAALVTNQWTKFTLIAIPGSLLFWLIFFPIYASIFPHANISREYYGVVKHTYGSGV FWLTLIVLPIFALVRDFLWKYYKRMYEPETYHVIQEMQKYNISDSRPHVQQFQNAIRK VRQVQRMKKQRGFAFSQAEEGGQEKIVRMYDTTQKRGKYGELQDASANPFNDNNGLGS NDFESAEPFIENPFADGNQNSNRFSSSRDDISFDI YAL025C MSDEIVWQVINQSFCSHRIKAPNGQNFCRNEYNVTGLCTRQSCP LANSKYATVKCDNGKLYLYMKTPERAHTPAKLWERIKLSKNYTKALQQIDEHLLHWSK FFRHKCKQRFTKLTQVMITERRLALREEERHYVGVAPKVKRREQNRERKALVAAKIEK AIEKELMDRLKSGAYGDKPLNVDEKVWKKIMGQMEEENSQDEEEDWDEEEESDDGEVE YVADDGEGEYVDVDDLEKWLADSDREASSASESESDSESESDSDSDEENKNSAKRRKK GTSAKTKRPKVEIEYEEEHEVQNAEQEVAQ YAL024C MEIFSQKDYYPTPSSNVISYESDCVSKPVNSADLPALIVHLSSP LEGVDYNASADFFLIYRNFITPQDLHDLLIYRFRWCIREITTNAAKAKRRRIGEVALV RTFVLLRHSILNYFVQDFLPNITLRLRLIEFLNDKHIEQYPKIISSCIINLKKNWVHC SKLVWENIELNEPDKLDFDAWLHYSLKDFTQLESLHKRGSRLSIYARQSFASPDFRNQ SVLSLYKTSDVFRLPEKLQSSNSSKNQRSPSMLLFPDNTSNVYSKHRIAKEPSVDNES EDMSDSKQKISHLSKVTLVSTLMKGVDYPSSYAVDKIMPPTPAKKVEFILNSLYIPED LNEQSGTLQGTSTTSSLDNNSNSNSRSNTSSMSVLHRSAIGLLAKWMKNHNRHDSSND KKFMSAIKPANQKPEMDAFVKYVVSISSLNRKSSKEEEEEFLNSDSSKFDILSARTID EVESLLHLQNQLIEKVQTHSNNNRGPTVNVDCERREHIHDIKILQQNSFKPSNDNFSA MDNLDLYQTVSSIAQSVISLTNTLNKQLQNNESNMQPSPSYDALQRRKVKSLTTAYYN KMHGSYSAESMRLFDKDNSSSRTDENGPQRLLFHETDKTNSEAITNMTPRRKNHSQSQ KSMTSSPLKNVLPDLKESSPLNDSREDTESITYSYDSELSSSSPPRDTVTKKSRKVRN IVNNTDSPTLKTKTGFLNLREFTFEDTKSLDEKKSTIDGLEKNYDNKENQESEYESTK KLDNSLDASSEANNYDITTRKKHSSCNHKIKQAVVRPASGRISISRVQSIAITPTKEL SIVDPEQNKSNSVIEEISEIEPLNLEYNKKSALYSDTSSTVISISTSKLFESAQNSPL KQTQNPQREFPNGTSVSETNRIRLSIAPTIESVVSDLNSITTGSTVETFETSRDLPVP HQRIINLREEYQRGNQDIISNTSSLHELKTIDLSDSNNDLESPSTHAKNNKYFFSPDD GSIDVASPMKNVEELKSKFLKNESETNSNISGSVLTMDDIDINDTSSARNTRRANSES AFTGSLNKKNLNEIANMLDDSINDDPITVALMKLEGTYEKIPEKPENTKSSDAIGIKT SKLADEVEMLNLNNLPSFQNSPAEKRKSLLIERRRQTIMNIPFTPDQSEKEGFTSSSP EKIDVSANVDVAVQAAQIQELIGQYRIHDSRLMISNNESHVPFILMYDSLSVAQQMTL IEKEILGEIDWKDLLDLKMKHEGPQVISWLQLLVRNETLSGIDLAISRFNLTVDWIIS EILLTKSSKMKRNVIQRFIHVADHCRTFQNFNTLMEIILALSSSVVQKFTDAWRLIEP GDLLTWEELKKIPSLDRNYSTIRNLLNSVNPLVGCVPFIVVYLSDLSANAEKKDWILE DKVVNYNKFDTNVQIVKNFIQRVQWSKFYTFKVNHELLSKCVYISTLTQEEINELST YAL023C MSSSSSTGYSKNNAAHIKQENTLRQRESSSISVSEELSSADERD AEDFSKEKPAAQSSLLRLESVVMPVIFTALALFTRMYKIGINNHVVWDEAHFGKFGSY YLRHEFYHDVHPPLGKMLVGLSGYLAGYNGSWDFPSGEIYPDYLDYVKMRLFNASFSA LCVPLAYFTAKAIGFSLPTVWLMTVLVLFENSYSTLGRFILLDSMLLFFTVASFFSFV MFHNQRSKPFSRKWWKWLLITGISLGCTISVKMVGLFIITMVGIYTVIDLWTFLADKS MSWKTYINHWLARIFGLIIVPFCIFLLCFKIHFDLLSHSGTGDANMPSLFQARLVGSD VGQGPRDIALGSSVVSIKNQALGGSLLHSHIQTYPDGSNQQQVTCYGYKDANNEWFFN RERGLPSWSENETDIEYLKPGTSYRLVHKSTGRNLHTHPVAAPVSKTQWEVSGYGDNV VGDNKDNWVIEIMDQRGDEDPEKLHTLTTSFRIKNLEMGCYLAQTGNSLPEWGFRQQE VVCMKNPFKRDKRTWWNIETHENERLPPRPEDFQYPKTNFLKDFIHLNLAMMATNNAL VPDPDKFDYLASSAWQWPTLNVGLRLCGWGDDNPKYFLLGTPASTWASSVAVLAFMAT VVILLIRWQRQYVDLRNPSNWNVFLMGGFYPLLAWGLHYMPFVIMSRVTYVHHYLPAL YFALIILAYCFDAGLQKWSRSKCGRIMRFVLYAGFMALVIGCFWYFSPISFGMEGPSS NFRYLNWFSTWDIADKQEA YAL022C MSTSADTDTIKKPILAVPEPALADTHSEEISRSGEEHESENNEH SDEEGDNYSEREQSVSTEPLDTLPLRKKLKNLSYITFFAIGIGLLWPWNCILSASQYF KHDIFKDTSIWAKIFTSSMMSFSTISSMLFNIYLAKRQYKYSRRVINGLVWEIIVFTV MCFFTILHFLLPKWFNFMFIMMLVVISSMGTAMTQNGIMAIANVFGSEYSQGVMVGQA VAGVLPSLVLFALAFIENSSVSTTGGILLYFFTTTLVVTICVVMFSVSKISRKVNENW NVEDGHITDVLLGSLRSNEEEIRIVGRIDQMEDEDHRRTNGTRDDNDEGEELQLKVPF EVLFAKLKYLVLSIFTTFVVTLVFPVFASATYVTGLPLSNAQYIPLIFTLWNLGDLYG RVIADWPMFRDQKFTPRKTFIYSLLRVAAIPLFLMFTAITSSSSGDEEHNGSVIVDLC YMLLQFLFGVTNGHVISMSFMKVPEQLDNDDEKEAAGGFTNIFVSTGLALGSIISYVF VFIIDFIIR YAL021C MNDPSLLGYPNVGPQQQQQQQQQQHAGLLGKGTPNALQQQLHMN QLTGIPPPGLMNNSDVHTSSNNNSRQLLDQLANGNANMLNMNMDNNNNNNNNNNNNNN NGGGSGVMMNASTAAVNSIGMVPTVGTPVNINVNASNPLLHPHLDDPSLLNNPIWKLQ LHLAAVSAQSLGQPNIYARQNAMKKYLATQQAQQAQQQAQQQAQQQVPGPFGPGPQAA PPALQPTDFQQSHIAEASKSLVDCTKQALMEMADTLTDSKTAKKQQPTGDSTPSGTAT NSAVSTPLTPKIELFANGKDEANQALLQHKKLSQYSIDEDDDIENRMVMPKDSKYDDQ LWHALDLSNLQIFNISANIFKYDFLTRLYLNGNSLTELPAEIKNLSNLRVLDLSHNRL TSLPAELGSCFQLKYFYFFDNMVTTLPWEFGNLCNLQFLGVEGNPLEKQFLKILTEKS VTGLIFYLRDNRPEIPLPHERRFIEINTDGEPQREYDSLQQSTEHLATDLAKRTFTVL SYNTLCQHYATPKMYRYTPSWALSWDYRRNKLKEQILSYDSDLLCLQEVESKTFEEYW VPLLDKHGYTGIFHAKARAKTMHSKDSKKVDGCCIFFKRDQFKLITKDAMDFSGAWMK HKKFQRTEDYLNRAMNKDNVALFLKLQHIPSGDTIWAVTTHLHWDPKFNDVKTFQVGV LLDHLETLLKEETSHNFRQDIKKFPVLICGDFNSYINSAVYELINTGRVQIHQEGNGR DFGYMSEKNFSHNLALKSSYNCIGELPFTNFTPSFTDVIDYIWFSTHALRVRGLLGEV DPEYVSKFIGFPNDKFPSDHIPLLARFEFMKTNTGSKKV YAL020C MSCVYAFGSNGQRQLGLGHDEDMDTPQRSVPGDDGAIVRKIACG GNHSVMLTNDGNLVGCGDNRRGELDSAQALRQVHDWRPVEVPAPVVDVACGWDTTVIV DADGRVWQRGGGCYEFTQQHVPLNSNDERIAVYGCFQNFVVVQGTRVYGWGSNTKCQL QEPKSRSLKEPVLVYDTGSVAVDYVAMGKDFMVIVDEGGRIVHASGRLPTGFELKQQQ KRHNLVVLCMWTSIHLWNARLNTVESFGRGTHSQLFPQERLDFPIVGVATGSEHGILT TANQEGKSHCYNVYCWGWGEHGNCGPQKGSQPGLQLVGQYSGKPRVFGGCATTWIVL YAL019W MSGSHSNDEDDVVQVPETSSPTKVASSSPLKPTSPTVPDASVAS LRSRFTFKPSDPSEGAHTSKPLPSGSPEVALVNLAREFPDFSQTLVQAVFKSNSFNLQ SARERLTRLRQQRQNWTWNKNASPKKSETPPPVKKSLPLANTGRLSSIHGNINNKSSK ITVAKQKTSIFDRYSNVINQKQYTFELPTNLNIDSEALSKLPVNYNKKRRLVRADQHP IGKSYESSATQLGSAREKLLANRKYGRHANDNDEEEEESMMTDDDDASGDDYTESTPQ INLDEQVLQFINDSDIVDLSDLSDTTMHKAQLIASHRPYSSLNAFVNTNFNDKDTEEN ASNKRKRRAAASANESERLLDKITQSIRGYNAIESVIKKCSSYGDLVTSQMKKWGVQV EGDNSELDLMNLGEDDDDDNDDGNNDNNNSNNNNTAGADATSKEKEDTKAVVEGFDET SAEPTPAPAPAPVERETKRIRNTTKPKVVEDEDDDVDLEAIDDELPQSEHEDDDYEEE DEDYNDEEEDVEYDDGDDDDDDDDEFVATRKNTHVISTTSRNGRKPIVKFFKGKPRLL SPEISLKDYQQTGINWLNLLYQNKMSCILADDMGLGKTCQVISFFAYLKQINEPGPHL VVVPSSTLENWLREFQKFAPALKIEPYYGSLQEREELRDILERNAGKYDVIVTTYNLA AGNKYDVSFLKNRNFNVVVYDEGHMLKNSTSERFAKLMKIRANFRLLLTGTPLQNNLK ELMSLLEFIMPNLFISKKESFDAIFKQRAKTTDDNKNHNPLLAQEAITRAKTMMKPFI LRRRKDQVLKHLPPKHTHIQYCELNAIQKKIYDKEIQIVLEHKRMIKDGELPKDAKEK SKLQSSSSKNLIMALRKASLHPLLFRNIYNDKIITKMSDAILDEPAYAENGNKEYIKE DMSYMTDFELHKLCCNFPNTLSKYQLHNDEWMQSGKIDALKKLLKTIIVDKQEKVLIF SLFTQVLDILEMVLSTLDYKFLRLDGSTQVNDRQLLIDKFYEDKDIPIFILSTKAGGF GINLVCANNVIIFDQSFNPHDDRQAADRAHRVGQTKEVNITTLITKDSIEEKIHQLAK NKLALDSYISEDKKSQDVLESKVSDMLEDIIYDENSKPKGTKE YAL018C MSFTGSLALAGIGGLVYKFGGGQSYEKLPYVNIPFNQYLDKVYK KHFSKVMSRTRYVLMNFFKDAFTGGAFMYPFKGFLEFNTNKSSYSTTMLGILSSYLIM FALVSFVYWATITPMYTAFLIVLGPIGLFIAIFHSFLQANVFTLLFMRLSHFNNHLVE VCLEKNGLEENLSEVKPIKYYAPINSIYFWAYYFPFKLVKYMLGLSVLFVLLVISFFP LIGPILFHILISPFITQIYFTKVLRLQNFDNIQRRENIYLHAGQYASFGFLAGLIESV PILAGFAISTNTIGSVLFNLDHPMVPENLVETQAEIEAAPQDINQQPNQ YAL017W MPYIGASNLSEHSFVNLKEKHAITHKGTSSSVASLQTPPSPDQE NHIDNELENYDTSLSDVSTPNKKEGDEFEQSLRDTFASFRKTKPPPPLDFEQPRLPST ASSSVDSTVSSPLTDEDIKELEFLPNESTHSYSYNPLSPNSLAVRLRILKRSLEIIIQ NPSMLLEPTPDDLPPLKEFAGRRSSLPRTSASANHLMNRNKSQIWNTTSATLNAFVNN TSSSSAASSALSNKKPGTPVFPNLDPTHSQTFHRANSLAYLPSILPEQDPLLKHNNSL FRGDYGNNISPERPSFRQPFKDQTSNLRNSSLLNERAYQEDETFLPHHGPSMDLLNEQ RANLKSLLNLLNETLEKNTSERASDLHMISLFNLNKLMLGDPKKNNSERDKRTEKLKK ILLDSLAEPFFEHYNFIGDNPIADTDELKEEIDEFTGSGDTTAITDIRPQQDYGRILR TFTSTKNSAPQAIFTCSQEDPWQFRAANDLACLVFGISQNAIRALTLMDLIHTDSRNF VLHKLLSTEGQEMVFTGEIIGIVQPETLSSSKVVWASFWAKRKNGLLVCVFEKVPCDY VDVLLNLDDFGAENIVDKCELLSDGPTLSSSSTLSLPKMASSPTGSKLEYSLERKILE KSYTKPTSTENRNGDENQLDGDSHSEPSLSSSPVRSKKSVKFANDIKDVKSISQSLAK LMDDVRNGVVFDPDDDLLPMPIKVCNHINETRYFTLNHLSYNIPCAVSSTVLEDELKL KIHSLPYQAGLFIVDSHTLDIVSSNKSILKNMFGYHFAELVGKSITEIIPSFPKFLQF INDKYPALDITLHKNKGLVLTEHFFRKIQAEIMGDRKSFYTSVGIDGLHRDGCEIKID FQLRVMNSKVILLWVTHSRDVVFEEYNTNPSQLKMLKESELSLMSSASSSASSSKKSS SRISTGTLKDMSNLSTYEDLAHRTNKLKYEIGDDSRAHSQSTLSEQEQVPLENDKDSG EMMLADPEMKHKLELARIYSRDKSQFVKEGNFKVDENLIISKISLSPSTESLADSKSS GKGLSPLEEEKLIDENATENGLAGSPKDEDGIIMTNKRGNQPVSTFLRTPEKNIGAQK HVKKFSDFVSLQKMGEGAYGKVNLCIHKKNRYIVVIKMIFKERILVDTWVRDRKLGTI PSEIQIMATLNKKPHENILRLLDFFEDDDYYYIETPVHGETGCIDLFDLIEFKTNMTE FEAKLIFKQVVAGIKHLHDQGIVHRDIKDENVIVDSKGFVKIIDFGSAAYVKSGPFDV FVGTIDYAAPEVLGGNPYEGQPQDIWAIGILLYTVVFKENPFYNIDEILEGDLKFNNA EEVSEDCIELIKSILNRCVPKRPTIDDINNDKWLVI YAL016W MSGARSTTAGAVPSAATTSTTSTTSNSKDSDSNESLYPLALLMD ELKHDDIANRVEAMKKLDTIALALGPERTRNELIPFLTEVAQDDEDEVFAVLAEQLGK FVPYIGGPQYATILLPVLEILASAEETLVREKAVDSLNNVAQELSQEQLFSDFVPLIE HLATADWFSSKVSACGLFKSVIVRIKDDSLRKNILALYLQLAQDDTPMVKRAVGKNLP ILIDLLTQNLGLSTDEDWDYISNIFQKIINDNQDSVKFLAVDCLISILKFFNAKGDES HTQDLLNSAVKLIGDEAWRVRYMAADRFSDLASQFSSNQAYIDELVQPFLNLCEDNEG DVREAVAKQVSGFAKFLNDPSIILNKILPAVQNLSMDESETVRSALASKITNIVLLLN KDQVINNFLPILLNMLRDEFPDVRLNIIASLKVVNDVIGIELLSDSLLPAITELAKDV NWRVRMAIIEYIPILAEQLGMQFFDQQLSDLCLSWLWDTVYSIREAAVNNLKRLTEIF GSDWCRDEIISRLLKFDLQLLENFVSRFTILSALTTLVPVVSLDVVTEQLLPFISHLA DDGVPNIRFNVAKSYAVIVKVLIKDEAKYDALIKNTILPSLQTLCQDEDVDVKYFAKK SLAECQELLKN YAL015C MQKISKYSSMAILRKRPLVKTETGPESELLPEKRTKIKQEEVVP QPVDIDWVKSLPNKQYFEWIVVRNGNVPNRWATPLDPSILVTPASTKVPYKFQETYAR MRVLRSKILAPVDIIGGSSIPVTVASKCGISKEQISPRDYRLQVLLGVMLSSQTKDEV TAMAMLNIMRYCIDELHSEEGMTLEAVLQINETKLDELIHSVGFHTRKAKYILSTCKI LQDQFSSDVPATINELLGLPGVGPKMAYLTLQKAWGKIEGICVDVHVDRLTKLWKWVD AQKCKTPDQTRTQLQNWLPKGLWTEINGLLVGFGQIITKSRNLGDMLQFLPPDDPRSS LDWDLQSQLYKEIQQNIMSYPKWVKYLEGKRELNVEAEINVKHEEKTVEETMVKLEND ISVKVED YAL014C MDVLKLGYELDQLSDLVEERTRLVSVLKLAPTSNDNVTLKRQLG SILELLQKCAPNDELISRYNTILDKIPDTAVDKELYRFQQQVARNTDEVSKESLKKVR FKNDDELTVMYKDDDEQDEESPLPSTHTPYKDEPLQSQLQSQSQPQPPQPMVSNQELF INQQQQLLEQDSHLGALSQSIGRTHDISLDLNNEIVSQNDSLLVDLENLIDNNGRNLN RASRSMHGFNNSRFKDNGNCVIILVLIVVLLLLLLVL YAL013W MSQQTPQESEQTTAKEQDLDQESVLSNIDFNTDLNHNLNLSEYC ISSDAGTEKMDSDEEKSLANLPELKYAPKLSSLVKQETLTESLKRPHEDEKEAIDEAK KMKVPGENEDESKEEEKSQELEEAIDSKEKSTDARDEQGDEGDNEEENNEEDNENENE HTAPPALVMPSPIEMEEQRMTALKEITDIEYKFAQLRQKLYDNQLVRLQTELQMCLEG SHPELQVYYSKIAAIRDYKLHRAYQRQKYELSCINTETIATRTFIHQDFHKKVTDLRA RLLNRTTQTWYDINKERRDMDIVIPDVNYHVPIKLDNKTLSCITGYASAAQLCYPGEP VAEDLACESIEYRYRANPVDKLEVIVDRMRLNNEISDLEGLRKYFHSFPGAPELNPLR DSEINDDFHQWAQ YAL012W MTLQESDKFATKAIHAGEHVDVHGSVIEPISLSTTFKQSSPANP IGTYEYSRSQNPNRENLERAVAALENAQYGLAFSSGSATTATILQSLPQGSHAVSIGD VYGGTHRYFTKVANAHGVETSFTNDLLNDLPQLIKENTKLVWIETPTNPTLKVTDIQK VADLIKKHAAGQDVILVVDNTFLSPYISNPLNFGADIVVHSATKYINGHSDVVLGVLA TNNKPLYERLQFLQNAIGAIPSPFDAWLTHRGLKTLHLRVRQAALSANKIAEFLAADK ENVVAVNYPGLKTHPNYDVVLKQHRDALGGGMISFRIKGGAEAASKFASSTRLFTLAE SLGGIESLLEVPAVMTHGGIPKEAREASGVFDDLVRISVGIEDTDDLLEDIKQALKQA TN YAL011W MPAVLRTRSKESSIEQKPASRTRTRSRRGKRGRDDDDDDDDEES DDAYDEVGNDYDEYASRAKLATNRPFEIVAGLPASVELPNYNSSLTHPQSIKNSGVLY DSLVSSRRTWVQGEMFELYWRRPKKIVSESTPAATESPTSGTIPLIRDKMQKMCDCVM SGGPHTFKVRLFILKNDKIEQKWQDEQELKKKEKELKRKNDAEAKRLRMEERKRQQMQ KKIAKEQKLQLQKENKAKQKLEQEALKLKRKEEMKKLKEQNKNKQGSPSSSMHDPRMI MNLNLMAQEDPKLNTLMETVAKGLANNSQLEEFKKFIEIAKKRSLEENPVNKRPSVTT TRPAPPSKAKDVAEDHRLNSITLVKSSKTAATEPEPKKADDENAEKQQSKEAKTTAES TQVDVKKEEEDVKEKGVKSEDTQKKEDNQVVPKRKRRKNAIKEDKDMQLTAFQQKYVQ GAEIILEYLEFTHSRYYLPKKSVVEFLEDTDEIIISWIVIHNSKEIEKFKTKKIKAKL KADQKLNKEDAKPGSDVEKEVSFNPLFEADCPTPLYTPMTMKLSGIHKRFNQIIRNSV SPMEEVVKEMEKILQIGTRLSGYNLWYQLDGYDDEALSESLRFELNEWEHAMRSRRHK R YAL010C MLPYMDQVLRAFYQSTHWSTQNSYEDITATSRTLLDFRIPSAIH LQISNKSTPNTFNSLDFSTRSRINGSLSYLYSDAQQLEKFMRNSTDIPLQDATETYRQ LQPNLNFSVSSANTLSSDNTTVDNDKKLLHDSKFVKKSLYYGRMYYPSSDLEAMIIKR LSPQTQFMLKGVSSFKESLNVLTCYFQRDSHRNLQEWIFSTSDLLCGYRVLHNFLTTP SKFNTSLYNNSSLSLGAEFWLGLVSLSPGCSTTLRYYTHSTNTGRPLTLTLSWNPLFG HISSTYSAKTGTNSTFCAKYDFNLYSIESNLSFGCEFWQKKHHLLETNKNNNDKLEPI SDELVDINPNSRATKLLHENVPDLNSAVNDIPSTLDIPVHKQKLLNDLTYAFSSSLRK IDEERSTIEKFDNKINSSIFTSVWKLSTSLRDKTLKLLWEGKWRGFLISAGTELVFTR GFQESLSDDEKNDNAISISATDTENGNIPVFPAKFGIQFQYST YAL009W MEPESIGDVGNHAQDDSASIVSGPRRRSTSKTSSAKNIRNSSNI SPASMIFRNLLILEDDLRRQAHEQKILKWQFTLFLASMAGVGAFTFYELYFTSDYVKG LHRVILQFTLSFISITVVLFHISGQYRRTIVIPRRFFTSTNKGIRQFNVKLVKVQSTW DEKYTDSVRFVSRTIAYCNIYCLKKFLWLKDDNAIVKFWKSVTIQSQPRIGAVDVKLV LNPRAFSAEIREGWEIYRDEFWAREGARRRKQAHELRPKSE YAL008W MTLAFNMQRLVFRNLNVGKRMFKNVPLWRFNVANKLGKPLTRSV GLGGAGIVAGGFYLMNRQPSKLIFNDSLGAAVKQQGPLEPTVGNSTAITEERRNKISS HKQMFLGSLFGVVLGVTVAKISILFMYVGITSMLLCEWLRYKGWIRINLKNIKSVIVL KDVDLKKLLIDGLLGTEYMGFKVFFTLSFVLASLNANK YAL007C MIKSTIALPSFFIVLILALVNSVAASSSYAPVAISLPAFSKECL YYDMVTEDDSLAVGYQVLTGGNFEIDFDITAPDGSVITSEKQKKYSDFLLKSFGVGKY TFCFSNNYGTALKKVEITLEKEKTLTDEHEADVNNDDIIANNAVEEIDRNLNKITKTL NYLRAREWRNMSTVNSTESRLTWLSILIIIIIAVISIAQVLLIQFLFTGRQKNYV YAL005C MSKAVGIDLGTTYSCVAHFANDRVDIIANDQGNRTTPSFVAFTD TERLIGDAAKNQAAMNPSNTVFDAKRLIGRNFNDPEVQADMKHFPFKLIDVDGKPQIQ VEFKGETKNFTPEQISSMVLGKMKETAESYLGAKVNDAVVTVPAYFNDSQRQATKDAG TIAGLNVLRIINEPTAAAIAYGLDKKGKEEHVLIFDLGGGTFDVSLLSIEDGIFEVKA TAGDTHLGGEDFDNRLVNHFIQEFKRKNKKDLSTNQRALRRLRTACERAKRTLSSSAQ TSVEIDSLFEGIDFYTSITRARFEELCADLFRSTLDPVEKVLRDAKLDKSQVDEIVLV GGSTRIPKVQKLVTDYFNGKEPNRSINPDEAVAYGAAVQAAILTGDESSKTQDLLLLD VAPLSLGIETAGGVMTKLIPRNSTIPTKKSEIFSTYADNQPGVLIQVFEGERAKTKDN NLLGKFELSGIPPAPRGVPQIEVTFDVDSNGILNVSAVEKGTGKSNKITITNDKGRLS KEDIEKMVAEAEKFKEEDEKESQRIASKNQLESIAYSLKNTISEAGDKLEQADKDTVT KKAEETISWLDSNTTASKEEFDDKLKELQDIANPIMSKLYQAGGAPGGAAGGAPGGFP GGAPPAPEAEGPTVEEVD YAL003W MASTDFSKIETLKQLNASLADKSYIEGTAVSQADVTVFKAFQSA YPEFSRWFNHIASKADEFDSFPAASAAAAEEEEDDDVDLFGSDDEEADAEAEKLKAER IAAYNAKKAAKPAKPAAKSIVTLDVKPWDDETNLEEMVANVKAIEMEGLTWGAHQFIP IGFGIKKLQINCVVEDDKVSLDDLQQSIEEDEDHVQSTDIAAMQKL YAL002W MEQNGLDHDSRSSIDTTINDTQKTFLEFRSYTQLSEKLASSSSY TAPPLNEDGPKGVASAVSQGSESVVSWTTLTHVYSILGAYGGPTCLYPTATYFLMGTS KGCVLIFNYNEHLQTILVPTLSEDPSIHSIRSPVKSIVICSDGTHVAASYETGNICIW NLNVGYRVKPTSEPTNGMTPTPALPAVLHIDDHVNKEITGLDFFGARHTALIVSDRTG KVSLYNGYRRGFWQLVYNSKKILDVNSSKEKLIRSKLSPLISREKISTNLLSVLTTTH FALILLSPHVSLMFQETVEPSVQNSLVVNSSISWTQNCSRVAYSVNNKISVISISSSD FNVQSASHSPEFAESILSIQWIDQLLLGVLTISHQFLVLHPQHDFKILLRLDFLIHDL MIPPNKYFVISRRSFYLLTNYSFKIGKFVSWSDITLRHILKGDYLGALEFIESLLQPY CPLANLLKLDNNTEERTKQLMEPFYNLSLAALRFLIKKDNADYNRVYQLLMVVVRVLQ QSSKKLDSIPSLDVFLEQGLEFFELKDNAVYFEVVANIVAQGSVTSISPVLFRSIIDY YAKEENLKVIEDLIIMLNPTTLDVDLAVKLCQKYNLFDLLIYIWNKIFDDYQTPVVDL IYRISNQSEKCVIFNGPQVPPETTIFDYVTYILTGRQYPQNLSISPSDKCSKIQRELS AFIFSGFSIKWPSNSNHKLYICENPEEEPAFPYFHLLLKSNPSRFLAMLNEVFEASLF NDDNDMVASVGEAELVSRQYVIDLLLDAMKDTGNSDNIRVLVAIFIATSISKYPQFIK VSNQALDCVVNTICSSRVQGIYEISQIALESLLPYYHSRTTENFILELKEKNFNKVLF HIYKSENKYASALSLILETKDIEKEYNTDIVSITDYILKKCPPGSLECGKVTEVIETN FDLLLSRIGIEKCVTIFSDFDYNLHQEILEVKNEETQQKYLDKLFSTPNINNKVDKRL RNLHIELNCKYKSKREMILWLNGTVLSNAESLQILDLLNQDSNFEAAAIIHERLESFN LAVRDLLSFIEQCLNEGKTNISTLLESLRRAFDDCNSAGTEKKSCWILLITFLITLYG KYPSHDERKDLCNKLLQEAFLGLVRSKSSSQKDSGGEFWEIMSSVLEHQDVILMKVQD LKQLLLNVFNTYKLERSLSELIQKIIEDSSQDLVQQYRKFLSEGWSIHTDDCEICGKK IWGAGLDPLLFLAWENVQRHQDMISVDLKTPLVIFKCHHGFHQTCLENLAQKPDEYSC LICQTESNPKIV YAL001C MVLTIYPDELVQIVSDKIASNKGKITLNQLWDISGKYFDLSDKK VKQFVLSCVILKKDIEVYCDGAITTKNVTDIIGDANHSYSVGITEDSLWTLLTGYTKK ESTIGNSAFELLLEVAKSGEKGINTMDLAQVTGQDPRSVTGRIKKINHLLTSSQLIYK GHVVKQLKLKKFSHDGVDSNPYINIRDHLATIVEVVKRSKNGIRQIIDLKRELKFDKE KRLSKAFIAAIAWLDEKEYLKKVLVVSPKNPAIKIRCVKYVKDIPDSKGSPSFEYDSN SADEDSVSDSKAAFEDEDLVEGLDNFNATDLLQNQGLVMEEKEDAVKNEVLLNRFYPL QNQTYDIADKSGLKGISTMDVVNRITGKEFQRAFTKSSEYYLESVDKQKENTGGYRLF RIYDFEGKKKFFRLFTAQNFQKLTNAEDEISVPKGFDELGKSRTDLKTLNEDNFVALN NTVRFTTDSDGQDIFFWHGELKIPPNSKKTPNKNKRKRQVKNSTNASVAGNISNPKRI KLEQHVSTAQEPKSAEDSPSSNGGTVVKGKVVNFGGFSARSLRSLQRQRAILKVMNTI GGVAYLREQFYESVSKYMGSTTTLDKKTVRGDVDLMVESEKLGARTEPVSGRKIIFLP TVGEDAIQRYILKEKDSKKATFTDVIHDTEIYFFDQTEKNRFHRGKKSVERIRKFQNR QKNAKIKASDDAISKKSTSVNVSDGKIKRRDKKVSAGRTTVVVENTKEDKTVYHAGTK DGVQALIRAVVVTKSIKNEIMWDKITKLFPNNSLDNLKKKWTARRVRMGHSGWRAYVD KWKKMLVLAIKSEKISLRDVEELDLIKLLDIWTSFDEKEIKRPLFLYKNYEENRKKFT LVRDDTLTHSGNDLAMSSMIQREISSLKKTYTRKISASTKDLSKSQSDDYIRTVIRSI LIESPSTTRNEIEALKNVGNESIDNVIMDMAKEKQIYLHGSKLECTDTLPDILENRGN YKDFGVAFQYRCKVNELLEAGNAIVINQEPSDISSWVLIDLISGELLNMDVIPMVRNV RPLTYTSRRFEIRTLTPPLIIYANSQTKLNTARKSAVKVPLGKPFSRLWVNGSGSIRP NIWKQVVTMVVNEIIFHPGITLSRLQSRCREVLSLHEISEICKWLLERQVLITTDFDG YWVNHNWYSIYEST YAR002W MHRKSLRRASATVPSAPYRKQIISNAHNKPSLFSKIKTFFTQKD SARVSPRNNVANKQPRNESFNRRISSMPGGYFHSEISPDSTVNRSVVVSAVGEARNDI ENKEEEYDETHETNISNAKLANFFSKKGNEPLSEIEIEGVMSLLQKSSKSMITSEGEQ KSAEGNNIDQSLILKESGSTPISISNAPTFNPKYDTSNASMNTTLGSIGSRKYSFNYS SLPSPYKTTVYRYSAAKKIPDTYTANTSAQSIASAKSVRSGVSKSAPSKKISNTAAAL VSLLDENDSKKNNAASELANPYSSYVSQIRKHKRVSPNAAPRQEISEEETTVKPLFQN VPEQGEEPMKQLNATKISPSAPSKDSFTKYKPARSSSLRSNVVVAETSPEKKDGGDKP PSSAFNFSFNTSRNVEPTENAYKSENAPSASSKEFNFTNLQAKPLVGKPKTELTKGDS TPVQPDLSVTPQKSSSKGFVFNSVQKKSRSNLSQENDNEGKHISASIDNDFSEEKAEE FDFNVPVVSKQLGNGLVDENKVEAFKSLYTF YAR002C-A MLLTSLLQVFACCLVLPAQVTAFYYYTSGAERKCFHKELSKGTL FQATYKAQIYDDQLQNYRDAGAQDFGVLIDIEETFDDNHLVVHQKGSASGDLTFLASD SGEHKICIQPEAGGWLIKAKTKIDVEFQVGSDEKLDSKGKATIDILHAKVNVLNSKIG EIRREQKLMRDREATFRDASEAVNSRAMWWIVIQLIVLAVTCGWQMKHLGKFFVKQKI L YAR003W MNILLQDPFAVLKEHPEKLTHTIENPLRTECLQFSPCGDYLALG CANGALVIYDMDTFRPICVPGNMLGAHVRPITSIAWSPDGRLLLTSSRDWSIKLWDLS KPSKPLKEIRFDSPIWGCQWLDAKRRLCVATIFEESDAYVIDFSNDPVASLLSKSDEK QLSSTPDHGYVLVCTVHTKHPNIIIVGTSKGWLDFYKFHSLYQTECIHSLKITSSNIK HLIVSQNGERLAINCSDRTIRQYEISIDDENSAVELTLEHKYQDVINKLQWNCILFSN NTAEYLVASTHGSSAHELYIWETTSGTLVRVLEGAEEELIDINWDFYSMSIVSNGFES GNVYVWSVVIPPKWSALAPDFEEVEENVDYLEKEDEFDEVDEAEQQQGLEQEEEIAID LRTREQYDVRGNNLLVERFTIPTDYTRIIKMQSS YAR007C MSSVQLSRGDFHSIFTNKQRYDNPTGGVYQVYNTRKSDGANSNR KNLIMISDGIYHMKALLRNQAASKFQSMELQRGDIIRVIIAEPAIVRERKKYVLLVDD FELVQSRADMVNQTSTFLDNYFSEHPNETLKDEDITDSGNVANQTNASNAGVPDMLHS NSNLNANERKFANENPNSQKTRPIFAIEQLSPYQNVWTIKARVSYKGEIKTWHNQRGD GKLFNVNFLDTSGEIRATAFNDFATKFNEILQEGKVYYVSKAKLQPAKPQFTNLTHPY ELNLDRDTVIEECFDESNVPKTHFNFIKLDAIQNQEVNSNVDVLGIIQTINPHFELTS RAGKKFDRRDITIVDDSGFSISVGLWNQQALDFNLPEGSVAAIKGVRVTDFGGKSLSM GFSSTLIPNPEIPEAYALKGWYDSKGRNANFITLKQEPGMGGQSAASLTKFIAQRITI ARAQAENLGRSEKGDFFSVKAAISFLKVDNFAYPACSNENCNKKVLEQPDGTWRCEKC DTNNARPNWRYILTISIIDETNQLWLTLFDDQAKQLLGVDANTLMSLKEEDPNEFTKI TQSIQMNEYDFRIRAREDTYNDQSRIRYTVANLHSLNYRAEADYLADELSKALLA YAR008W MPPLVFDIDHIKLLRKWGICGVLSGTLPTAAQQNVFLSVPLRLM LEDVLWLHLNNLADVKLIRQEGDEIMEGITLERGAKLSKIVNDRLNKSFEYQRKFKKD EHIAKLKKIGRINDKTTAEELQRLDKSSNNDQLIESSLFIDIANTSMILRDIRSDSDS LSRDDISDLLFKQYRQAGKMQTYFLYKALRDQGYVLSPGGRFGGKFIAYPGDPLRFHS HLTIQDAIDYHNEPIDLISMISGARLGTTVKKLWVIGGVAEETKETHFFSIEWAGFG YAR009C METFTGYLKSTCFHQISPYPPSIMSIQVKVHANILILSFIECLR MPMHRQIRYSLKNNTITYFNESDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNS YEPFQYLHTDIFGPVHNLPKSAPSYFISFTDETTKLRWVYPLHDRREDSILDVFTTIL AFIKNQFQASVLVIQMDRGSEYTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRT LLDDCRTQLQCSGLPNHLWFSAIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLP FGQPVIVNDHNPNSKIHPRGIPGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKE SRLDQFNYDALTFDEDLNRLTASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQP RNVLSKAVSPTDSTPPSTHTEDSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQI SNIESTGSGGMHKLNVPLLAPMSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPIS STGGTNNKTVPQISDQETEKRIIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEE SIIADLPLPDLPPESPTEFPDPFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLE DNETEIKVSRDTWNTKNMRSLEPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYN KDIKEKEKYIEAYHKEVNQLLKMNTWDTDKYYDRKEIDPKRVINSMFIFNKKRDGTHK ARFVARGDIQHPDTYDTGMQSNTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIK EELYIRPPPHLGMNDKLIRLKKSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVF KNSQVTICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEI KYQRGKYMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGLYIDQDELEIDEDEYKE KVHEMQKLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDK QLIWHKNKPTEPDNKLVAISDASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTS TTEAEIHAISESVPLLNNLSYLIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRF FGTKAMRLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YAR010C MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YAR014C MSNKEEHVDETSASGVKEVSSIAARHDNGYAPSLITSTSGMDSF QSHALLNDPTLIEDYSDIINNRPTSGSKLTLGNEDSESMGGSVVVTPTSNKSSPFNSK LNILSNAAEKGHDVLRNRDDDKELEEENVEKHMHSNSKRDQRHYKENSSELPDSYDYS DSEFEDNLERRLQEIETDSVDSADKDEVHFSVNNTMNPDVDDFSDGLKYAISEDEDEE ENYSDDDDFDRKFQDSGFQGEKDDLEEENDDYQPLSPPRELDPDKLYALYAFNGHDSS HCQLGQDEPCILLNDQDAYWWLVKRITDGKIGFAPAEILETFPERLARLNCWKNENMS SQSVASSDSKDDSISSGNKNQSDAESIIPTPALNGYGKGNKSVSFNDVVGYADRFIDD AIEDTSLDSNDDGGEGNGQSYDDDVDNDKETKVTHRDEYTEAKLNFGKFQDDDTSDVV SDVSFSTSLNTPLNVKKVRRQDNKNESEPKTSSSKDREDDYNANRYVGQEKSEPVDSD YDTDLKKVFEAPRMPFANGMAKSDSQNSLSTIGEFSPSSSEWTNESPSTPIVEESSSI PSSRAIKDISQYIHAKSKIEETTNVENTEGQIQASLGSSGGMANQTDAEQPKEELEKH HSTPEEEKQSTLSLHSSSEEDFYMDEQRAVSSASINSSLSGSRALSNTNMSDPASKPN SLVQHLYAPVFDRMDVLMKQLDEIIRK YAR015W MSITKTELDGILPLVARGKVRDIYEVDAGTLLFVATDRISAYDV IMENSIPEKGILLTKLSEFWFKFLSNDVRNHLVDIAPGKTIFDYLPAKLSEPKYKTQL EDRSLLVHKHKLIPLEVIVRGYITGSAWKEYVKTGTVHGLKQPQGLKESQEFPEPIFT PSTKAEQGEHDENISPAQAAELVGEDLSRRVAELAVKLYSKCKDYAKEKGIIIADTKF EFGIDEKTNEIILVDEVLTPDSSRFWNGASYKVGESQDSYDKQFLRDWLTANKLNGVN GVKMPQDIVDRTRAKYIEAYETLTGSKWSH YAR018C MHRRQFFQEYRSPQQQQGHPPRSEYQVLEEIGRGSFGSVRKVIH IPTKKLLVRKDIKYGHMNSKERQQLIAECSILSQLKHENIVEFYNWDFDEQKEVLYLY MEYCSRGDLSQMIKHYKQEHKYIPEKIVWGILAQLLTALYKCHYGVELPTLTTIYDRM KPPVKGKNIVIHRDLKPGNIFLSYDDSDYNINEQVDGHEEVNSNYYRDHRVNSGKRGS PMDYSQVVVKLGDFGLAKSLETSIQFATTYVGTPYYMSPEVLMDQPYSPLSDIWSLGC VIFEMCSLHPPFQAKNYLELQTKIKNGKCDTVPEYYSRGLNAIIHSMIDVNLRTRPST FELLQDIQIRTARKSLQLERFERKLLDYENELTNIEKILEKQAIEYERELSQLKEQFT QAVEERAREVISGKKVGKVPESINGYYGKKFAKPAYHWQTRYR YAR019C MNSMADTDRVNLTPIQRASEKSVQYHLKQVIGRGSYGVVYKAIN KHTDQVVAIKEVVYENDEELNDIMAEISLLKNLNHNNIVKYHGFIRKSYELYILLEYC ANGSLRRLISRSSTGLSENESKTYVTQTLLGLKYLHGEGVIHRDIKAANILLSADNTV KLADFGVSTIVNSSALTLAGTLNWMAPEILGNRGASTLSDIWSLGATVVEMLTKNPPY HNLTDANIYYAVENDTYYPPSSFSEPLKDFLSKCFVKNMYKRPTADQLLKHVWINSTE NVKVDKLNKFKEDFTDADYHWDADFQEEKLNISPSKFSLAAAPAAWAENNQELDLMPP TESQLLSQLKSSSKPLTDLHVLFSVCSLENIADTIIECLSRTTVDKRLITAFGSIFVY DTQHNHSRLRLKFIAMGGIPLIIKFEHLAKEFVIDYPQTLIECGIMYPPNFASLKTPK YILELVYRFYDLTSTAFWCRWCFKHLDISLLLNNIHERRAQSILLKLSSYAPWSFEKI LPSLIDSKLKKKILISPQITYVVFKSINYMITTNDDKIHKSAIPSSSSLPLSSSPTRN SPVNSVQSPSRSPVHSLMATRPSSPMRHKSISNFPHLTISSKSRLLIELPEGFFTWLT SFFVDMAQIKDLSVLKYFTKLCYLTVHINSTFLNDLLDNDAFFAFIRNIDTIIPFIDD AKTAAFIWKQITAICVEMSLDMDQMSASLFSTAMNFIRKKNNTSISGLEIILNCLHFT LRNVNDDVAPTVGSSESHSVFLIKVNNDAAIELPIDQLVDLFYALNDDDVNLSKLISI FTKICSLPGFENLTINIIFHPNFYEKIVSFFDTYFNSLLIQIDLLKFIKLIFSKSLLK LYDYTGQPDPIKQTEPNRRNKATVFKLRAILVQITEFLNNNWNKDVPKRNSNQVGGDS VLICQLCEDIRSLSKKGSLQKVSSVTAAIGSSPTKDERSNLRSSKDKSDGFSVPITTF QT YAR020C MVKLTSIAAGVAAIAAGASAAATTTLSQSDERVNLVELGVYVSD IRAHLAEYYSF YAR023C MINFLLFVLTILATLTNIWFSGVLSPAMVIRICLGGSMVVLQIW SFSRPISNETFRTKLLLEVITHRPSIAGKEWKTITYNMNQYLFKAGLWKTPYHFFCEH QCYEFFKDLIKGKYPDVQWDTANTQPFISVPENQAATQNSDVEPTVKWCLFKAAEIQA HAVREYWQSQYPDVGIPAI YAR027W MQTPSENTDVKLDTLDEPSAHLIEENVALPEDTFNSYWSYILNE IARCKPLMIMFLIPVCLVLLITFFHDIKGILVFLVISLILSIIILLIGITAFVSETLN KGFIIKLLVEVITRKPAVGGKEWRIIAYNMNQYLFDHGIWHTPYYFFCEHRCHKFFKS LIKQTRSNAHLSSPTNGAENTQSNTPAKEVSNEMVKPYIFSSDPVLEAYLIKAAEIHK EAEFEYWRKQYPEVDLP YAR028W MQTPSENTDVKMDTLDEPSAHLIEENVALPEDTFSSHLSYVLYE IAHCKPIMFMIIIIVSLISLIVLFHDNDGCTVILVMSLIVASMALMVVAAFTFGKAIT EQEFMIKLLVEVIARKPAGKEWGTVAYNMNQYLFMKRLWYTPYYFYSGKKCHEFFTTL IKEVNSGSHSDSSSNSAEDTQSPVSAGKTSNGLNNFYSIRSDPILMAYVLKATQIEKE AQSEYWRKQYPDADLP YAR029W MNKYLFDHKIWSTPYYFYCEEDCHRLFLSFIEGRTFEKPTSNAE ENVQETEAGESFTLNPGEDFQNCFPRQRIL YAR031W MSPQYHFYFVSFRNLVLNEKCLRSKKQVMKSFNWYKTDRYFDPH NILQHHSRAIEKTRYKLGMQTSSESTDAKSDFLDEPSAYLIEKNVALPKDIFGSYLSY WIYEVTRHKAAVILLVLIVTSILLLVFFYNTEFCVAFEILLFSFCFPGTCMVVIAFSE PIGDREFKVKLLMEIITRKPAVKGKEWRTITYKMNQYLFDHGLWDTPYYFYRDEDCHR YFLSLIKGRTFKKQKESSASNVKDAQSNDETAGTPNEAAESSSFSAGPNFIKLLTKAA EIEQQFQKEYWRQEYPGVDEFF YAR033W MQTPPESTDVKLDTLNEPSAHLIEKNVALPKDIFRSYLSYWIYE IARYTPVMILSLVIGVLVLLIIFFNDNEACVFNSAIFAFTSLVGLLIILSDGNPKLVS RRNFRTELLVDVITRKPAVEGKEWRIITYNMNQYLFNHGQWHTPYYFYSDEDCYRYFL RLVEGVTPKKQTATSIGNSPVTAKPEDAIESASPSSRLNYQNFLLKAAEIERQAQENY WRRRHPNIDALLKKTE YAR035W MPNLKRLPIPPLQDTLNRYLARVEPLQDERQNRRTRRTVLSAEN LDALNTLHERLLEYDARLAESNPESSYIEQFWYDAYLLYDATVVLNVNPYFQLQDDPT IKDTPETAAQGPYGAHTVQVRRAARLTTSILKFIRQIRHGTLRTDTVRGKTPLSMDQY ERLFGSSRIPPGPGEPSCHLQTDATSHHVVAMYRGQFYWFDVLDTRNEPIFATPEQLE WNLYSIIMDAESAGSGSAPFGVFTTESRRVWSNIRDYLFHADDCTNWRNLKLIDSALF VVCLDDVAFAADQQDELTRSMLCGTSTINLDPHQHQPPLNVQTGTCLNRWYDKLQLIV TKNGKAGINFEHTGVDGHTVLRLATDIYTDSILSFARGVTKNVVDIFSDDDGKPSSSS LASAAHSANLITIPRKLEWRTDNFLQSSLHFAETRISDLISQYEFVNLDFSNYGASHI KTVFKCSPDAFVQQVFQVAYFALYGRFETVYEPAMTKAFQNGRTEAIRSVTGQSKLFV KSLLDQDASDATKIQLLHDACTAHSQITRECSQGLGQDRHLYALYCLWNQWYKDKLEL PPIFRDKSWTTMQNNVLSTSNCGNPCLKSFGFGPVTANGFGIGYIIRDHSVSVVVSSR HRQTARFASLMEKSLLEIDRIFKRQQARAAKPAARTTASANTKSEDMKYLLSGYDYFD VSVSG YAR035C-A MRLNYSRCYYSSQRRRQSLPKRFPLI YAR042W MEQPDLSSVAISKPLLKLKLLDALRQGSFPNLQDLLKKQFQPLD DPNVQQVLHLMLHYAVQVAPMAVIKEIVHHWVSTTNTTFLNIHLDLNERDSNGNTPLH IAAYQSRGDIVAFLLDQPTINDCVLNNSHLQAIEMCKNLNIAQMMQVKRSTYVAETAQ EFRTAFNNRDFGHLESILSSPRNAELLDINGMDPETGDTVLHEFVKKRDVIMCRWLLE HGADPFKRDRKGKLPIELVRKVNENDTATNTKIAIDIELKKLLERATREQSVIDVTNN NLHEAPTYKGYLKKWTNFAQGYKLRWFILSSDGKLSYYIDQADTKNACRGSLNMSSCS LHLDSSEKLKFEIIGGNNGVIRWHLKGNHPIETNRWVWAIQGAIRYAKDREILLHNGP YSPSLALSHGLSSKVSNKENLHATSKRLTKSPHLSKSTLTQNDHDNDDDSTNNNNNKS NNDYDDNNNNNNNDDDDYDDDDESRPLIEPLPLISSRSQSLSEITPGPHSRKSTVSST RAADIPSDDEGYSEDDSDDDGNSSYTMENGGENDGDEDLNAIYGPYIQKLHMLQRSIS IELASLNELLQDKQQHDEYWNTVNTSIETVSEFFDKLNRLTSQREKRMIAQMTKQRDV NNVWIQSVKDLEMELVDKDEKLVALDKERKNLKKMLQKKLNNQPQVETEANEESDDAN SMIKGSQESTNTLEEIVKFIEATKESDEDSDADEFFDAEEAASDKKANDSEDLTTNKE TPANAKPQEEAPEDESLIVISSPQVEKKNQLLKEGSFVGYEDPVRTKLALDEDNRPKI GLWSVLKSMVGQDLTKLTLPVSFNEPTSLLQRVSEDIEYSHILDQAATFEDSSLRMLY VAAFTASMYASTTNRVSKPFNPLLGETFEYARTDGQYRFFTEQVSHHPPISATWTESP KWDFYGECNVDSSFNGRTFAVQHLGLWYITIRPDHNISVPEETYSWKKPNNTVIGILM GKPQVDNSGDVKVTNHTTGDYCMLHYKAHGWTSAGAYEVRGEVFNKDDKKLWVLGGHW NDSIYGKKVTARGGELTLDRIKTANSATGGPKLDGSKFLIWKANERPSVPFNLTSFAL TLNALPPHLIPYLAPTDSRLRPDQRAMENGEYDKAAAEKHRVEVKQRAAKKEREQKGE EYRPKWFVQEEHPVTKSLYWKFNGEYWNKRKNHDFKDCADIF YAR050W MTMPHRYMFLAVFTLLALTSVASGATEACLPAGQRKSGMNINFY QYSLKDSSTYSNAAYMAYGYASKTKLGSVGGQTDISIDYNIPCVSSSGTFPCPQEDSY GNWGCKGMGACSNSQGIAYWSTDLFGFYTTPTNVTLEMTGYFLPPQTGSYTFKFATVD DSAILSVGGATAFNCCAQQQPPITSTNFTIDGIKPWGGSLPPNIEGTVYMYAGYYYPM KVVYSNAVSWGTLPISVTLPDGTTVSDDFEGYVYSFDDDLSQSNCTVPDPSNYAVSTT TTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTTASTIITTTEPWNSTFTS TSTELTTVTGTNGVRTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTELTTVTGTNG LPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTP TTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPW NDTFTSTSTEITTVTGTNGLPTDETIIVIRTPTTATTAMTTPQPWNDTFTSTSTEMTT VTGTNGLPTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTEMTTVTGTNGLPTDETI IVIRTPTTATTAITTTQPWNDTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAM TTTQPWNDTFTSTSTEITTVTGTTGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTST STEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGQ PTDETVIVIRTPTSEGLVTTTTEPWTGTFTSTSTEMTTITGTNGVPTDETVIVIRTPT SEGLISTTTEPWTGTFTSTSTEMTTITGTNGQPTDETVIVIRTPTSEGLISTTTEPWT GTFTSTSTEMTHVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEVTTI TGTNGQPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGQPTDETVI VIRTPTSEGLVTTTTEPWTGTFTSTSTEMSTVTGTNGLPTDETVIVVKTPTTAISSSL SSSSSGQITSSITSSRPIITPFYPSNGTSVISSSVISSSVTSSLFTSSPVISSSVISS STTTSTSIFSESSKSSVIPTSSSTSGSSESETSSAGSVSSSSFISSESSKSPTYSSSS LPLVTSATTSQETASSLPPATTTKTSEQTTLVTVTSCESHVCTESISPAIVSTATVTV SGVTTEYTTWCPISTTETTKQTKGTTEQTTETTKQTTVVTISSCESDVCSKTASPAIV STSTATINGVTTEYTTWCPISTTESRQQTTLVTVTSCESGVCSETASPAIVSTATATV NDVVTVYPTWRPQTANEESVSSKMNSATGETTTNTLAAETTTNTVAAETITNTGAAET KTVVTSSLSRSNHAETQTASATDVIGHSSSVVSVSETGNTKSLTSSGLSTMSQQPRST PASSMVGYSTASLEISTYAGSANSLLAGSGLSVFIASLLLAII YAR064W MLIDFCCSYIAGTHGRERAPSFTGTFVSHVSGENNCRPRRSEIT QPCASGTEKKHFAATEKPCTNSLEGSRKDFLSLPLGHSYLFLFCFWRMICSEPKL YAR066W MFNRFNKFQAAVALALLSRGALGDSYTNSTSSADLSSITSVSSA SASATASDSLSSSDGTVYLPSTTISGDLTVTGKVIATEAVEVAAGGKLTLLDGEKYVF SSDLKVHGDLVVEKSEASYEGTAFDVSGETFEVSGNFSAEETGAVSASIYSFTPSSFK SSGDISLSLSKAKKGEVTFSPYSNAGTFSLSNAILNGGSVSGL YAR068W MPQVQSWFPVQKQPTLAVTFTPLPQLSHAHLPLPPSHLVTKTDA MFQHQLLPTQLQPFPPSHTPLLLLLTVTTMAVTPRLSLLNVLKKLQQPPFLQNHTLLL PLLTVTTTAVTPRLSLPRLPNKHHWPLAQSPSLLLQLLILLLPAPSLVLSFNPKVWLL V YAR071W MLKSAVYSILAASLVNAGTIPLGKLSDIDKIGTQTEIFPFLGGS GPYYSFPGDYGISRDLPESCEMKQVQMVGRHGERYPTVSKAKSIMTTWYKLSNYTGQF SGALSFLNDDYEFFIRDTKNLEMETTLANSVNVLNPYTGEMNAKRHARDFLAQYGYMV ENQTSFAVFTSNSNRCHDTAQYFIDGLGDKFNISLQTISEAESAGANTLSAHHSCPAW DDDVNDDILKKYDTKYLSGIAKRLNKENKGLNLTSSDANTFFAWCAYEINARGYSDIC NIFTKDELVRFSYGQDLETYYQTGPGYDVVRSVGANLFNASVKLLKESEVQDQKVWLS FTHDTDILNYLTTIGIIDDKNNLTAEHVPFMENTFHRSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNSTELT FFWDWNTKHYNDTLLKQ YBL113C MDLNQRKEKKGQHVGCCGSRTDLSADTVELIERMDRLAENQATA SMSIVALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATTNSSTNATTTASI NVRTSATTTASINVRTSATTTESTNSNTNATTTESTNSSTNATTTASINVRTSATTTE STNSSTNATTTASINVRTSATTTESTNSSTNATTTASINVRTSATTTESTNSNTNAST NATTNSSTNATTTASTNVRTSATTNATTNSSTNATTTASTNVRTSATTTASTNVRTSA TTTASINVRTSATTTESINSSTNATTTESTNSNTSATTTESTDSNTNATTTASINVRT SATTTESTNSNTSATTTESTDSNTSATTTASTNSSTNATTTASTNSSTNATTTESTNA SAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVFLERKKLKAQFPNTSENMNVLQ FLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQKMFGLCVCWAGQKVSYRRIAW EALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSVKRREIYSQIQRNYAWYLAIT RRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQYFLNWDEKKC REEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRSRYELSL GMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQISEMWLLPHWLD LANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDIILLCRDSSREVGE YBL112C MQVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDG GLCYLLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGPACWMLWLQDR PVC YBL111C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCYEIA SARPNDSSTMRTFTDFVSGTPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDVLATAQRIRRRYN KNGSSEPRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDHDQKIS RVTRKRPREPKSTNDILVAGRKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQAPPGY GKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLGRRGCLNVAPVRNFIEEG CDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFETEVY RQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKRSED LSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEPESKAI VVASTTNEVEELACSWRKYFRVVWIHGKLGCCRKGVSHKGVCH YBL108C-A MLTGIAPDQVTRMITGVPWYSSRLKPAISSALSKDGIYTIAN YBL107C MVDNRRTFTAPQSLLETNLTFPNDEPSLTTITVTRERCVDPSLI DSFLRFLRHGSDDIIRQKLNNYRKGSINGKNKCKEFLKQELYPNWQIRNNIISFCEKE AAEMKNETDQQCGNNKKTTAEPLIDARIDPYAARERAEKQEAQYKDWTKVTEWVANNR KIEQILTSTTEGILRQNCEQNNDYLKEFTQFCKDNS YBL106C MFKKSRHLKNVSNAIKSARVHDVSNGINSKFFDTKKICTYGING RITVTTFDYTQSLLAVATTAGEIHVYGQKQIEVVFTLKNRPQIKHMRFIKGIYLIAVD EKSNIIVLSVHSKQILTTVFCPNSITCIETDPSLDWMLIGLESGSILIYDVDRNQMSK LKIENFQKSVFLPKERLSPVISIQWNPRDIGTILISYEHITVIYSFIDYKVKQHFFYQ LEPYAPGGDLSTNIEKKRTPKVIQSLYHPNSLHILTVHEDNSLVFWDVNSGKLIHARS IFETHVNFPNPALKDCSFTETPAIFKVSWLCQRNPEYTSLLIATKATENPCLPQEITM IDLGGTPMYSVTSFDAMSKYYAKPVQQKLFSLIGKAPLINFLPLPKASPYFGGCHDTN LILLLLEDGELETLIYPAGSFSSKASIFPRSLAWVRPTVTTCIAQSVQKNLWLGMMTI AQSESFLKGGIPATRNIRRHETRSALLTGHSNGSVRIWDASHSEVTDNAVFEVNTAKV LNRATNLAIKNISFASETLELAVSSEVGDVILFKFETNKFYGQLPKSDALQLKFSRFS LDDSKTILVDVSDRGPTNVKQGFIPSTVIHAKKGAVSAIMNSNIGFVAVGFIEGTLII LDRRGPAIIFNENIRVISKAGSSYVSTVHFCVMEYGDDGFSSILMLCGTDIGELMTFK ILPATNGRFEVKFTDATKTNNQGKILGINSFAKDTGYSCSATISKMQGLSKGIAIPGF VTISGANDIRLVSPGKSKDTHALFKYPIATSGLSFIPIIDGKGERKLSTIMIVLLING DIKVLTVPELKEVKNLRCPVPLSAQYVENSSILENGDIVIRTGKFQASLISVLNESAT GTNHTADISQHTPIDTLYNPDLKIGYRPQVNSLQWARGTIYCTPYQLDELLGGIERPE SKYEESAIARGCISSSSSNAARKLPPGTEDHRYARPVRSSGRSNGYGVLKSVSRAIET RLDTVETTINDYATTMGQTMNDAMEETGRDMMKSAVGF YBL105C MSFSQLEQNIKKKIAVEENIIRGASALKKKTSNVMVIQKCNTNI REARQNLEYLEDSLKKLRLKTAQQSQGENGSEDNERCNSKEYGFLSTKSPNEHIFSRL DLVKYDCPSLAQRIQYMLQQLEFKLQVEKQYQEANTKLTKLYQIDGDQRSSSAAEGGA MESKYRIQMLNKALKKYQAINVDFDQFKHQPNDIMDNQQPKFRRKQLTGVLTIGITAA RDVDHIQSPMFARKPESYVTIKIDDTIKARTKPSRNDRWSEDFQIPVEKGNEIEITVY DKVNDSLIPVAIMWLLLSDIAEEIRKKKAGQTNEQQGWVNASNINGGSSLASEEGSTL TSTYSNSAIQSTSAKNVQGENTSTSQISTNSWFVLEPSGQILLTLGFHKSSQIERKQL MGGLHRHGAIINRKEEIFEQHGHHFVQKSFYNIMCCAYCGDFLRYTGFQCQDCKFLCH KKCYTNVVTKCIAKTSTDTDPDEAKLNHRIPHRFLPTSNRGTKWCCHCGYILPWGRHK VRKCSECGIMCHAQCAHLVPDFCGMSMEMANKILKTIQDTKRNQEKKKRTVPSAQLGS SIGTANGSDLSPSKLAERANAPLPPQPRKHDKTPSPQKVGRDSPTKQHDPIIDKRISL QTHGREKLNKFIDENEAYLNFTEGAQQTAEFSSPEKTLDPTSNRRSLGLTDLSIEHSQ TWESKDDLMRDELELWKAQREEMELEIKQDSGEIQEDLEVDHIDLETKQKLDWENKND FREADLTIDSTHTNPFRDMNSETFQIEQDHASKEVLQETVSLAPTSTHASRTTDQQSP QKSQTSTSAKHKKRAAKRRKVSLDNFVLLKVLGKGNFGKVILSKSKNTDRLCAIKVLK KDNIIQNHDIESARAEKKVFLLATKTKHPFLTNLYCSFQTENRIYFAMEFIGGGDLMW HVQNQRLSVRRAKFYAAEVLLALKYFHDNGVIYRDLKLENILLTPEGHIKIADYGLCK DEMWYGNRTSTFCGTPEFMAPEILKEQEYTKAVDWWAFGVLLYQMLLCQSPFSGDDED EVFNAILTDEPLYPIDMAGEIVQIFQGLLTKDPEKRLGAGPRDADEVMEEPFFRNINF DDILNLRVKPPYIPEIKSPEDTSYFEQEFTSAPPTLTPLPSVLTTSQQEEFRGFSFMP DDLDL YBL104C MGLIKKVTHWSYDNLIDYLSVNPTRDEVTHYKVDPENESDESII KLHTVKDFGSITCLDYSESEIGMIGVGEKNGYLRIFNISGQNSSSPASHAPVGLNANN ETSMTNASGGKAAQAENIVGSVSNLKDTQGYPVSETNYDIRVRAKKQRCINSLGINTN GLIAMGLDRNKHDSSLQIWDMNYHDDSHETINPMFSYCTNESIVSLKFLNDTSVLAAS TKFLKEIDVRSPNPIYQHPTRLTYDIKLNPFNDWQFSTYGDDGTLAIWDRRKLSDQAS LGDLNVASPLLTFEKLVGSGAASRKYMNSCFRWSCVRNNEFATLHRGDTIKRWRLGYY CDSNRDIAADDDNEMNIENLFVSSVHDTNTMYDRVATFDYIPRSNNGTSLICMRQSGT IYRMPISEVCSKAILNNRNSLLLSNFENTEIDEIRVNNEHEKSNLENVKTILKNLSFE DLDVSEDYFPSGHDEPNNEIEYSELSEEENEGSNDVLDSKRGFELFWKPEKLLEKDIS VIMRTRASLGYGLDPMNTVEMIDSSKNLQNNAYIRNTWRWIAIAKASVDDGTMVSGDL DLGYEGVIGIWNGINGISNQDRYRQETILSDKQLNKEMEKIIKLRRKNRDRNSPIANA AGSPKYVQRRLCLIISGWDLSRSDYEDKYNIIMKNGHYEKAAAWAVFFGDIPKAVEIL GSAKKERLRLIATAIAGYLAYKDLPGNNAWRQQCRKMSSELDDPYLRVIFAFIADNDW WDILYEPAISLRERLGVALRFLNDTDLTTFLDRTSSTVIENGELEGLILTGITPNGID LLQSYVNKTSDVQSAALISIFGSPRYFRDQRVDEWIQTYRDMLKSWELFSMRARFDVL RSKLSRTKTGVLTADIKPRQIYIQCQNCKQNINTPRTSSPSSAVSTSAGNYKNGEAYR RNNADYKKFNTGSSEAQAADEKPRHKYCCPHCGSSFPRCAICLMPLGTSNLPFVINGT QSRDPMQTEDSQDGANRELVSRKLKLNEWFSFCLSCNHGMHAGHAEEWFDRHNVCPTP GCTCQCNK YBL103C MMNNNESEAENQRLLDELMNQTKVLQETLDFSLVTPTPHHNDDY KIHGSAYPGGETPAQQHEKLSYINTHNSNDNNNLMGSQARSNSQTPTASTIYEEAESQ SSYLDDMFRTSQGGRPVTQNSISSIGQGPLRSSYSMAYDSPVDRAMNTPLQQQEGLKA ELPHDFLFQHGTDDTMYNLTDDLSSSLSSSINSDMMTPNTYSSSFSYNPQSLGPASVS STYSPKVRSPSSSFRAGSFLSSSFRHGSINTPRTRHTSISSNMTENIGPGSVPKILGG LTSDEKLRRKREFHNAVERRRRELIKQKIKELGQLVPPSLLNYDDLGKQIKPNKGIIL DRTVEYLQYLAEILEIQARKKKALLAKIKELEEKKSSVAALSPFTNNHHASSGQNNSE NSEERIIDIRSVPNALMNEQNSKAELHNWEPPLYDSVGNHNHAGTMESHPHTNIHEEL KEFLSGDLIEAEDNAKLMFGDDNSNPADYLLEFGSG YBL102W MSEEPPSDQVNSLRDSLNRWNQTRQQNSQGFNESAKTLFSSWAD SLNTRAQDIYQTLPVSRQDLVQDQEPSWFQLSRTERMVLFVCFLLGATACFTLCTFLF PVLAAKPRKFGLLWTMGSLLFVLAFGVLMGPLAYLKHLTARERLPFSMFFFATCFMTI YFAAFSKNTVLTITCALLELVAVIYYAISYFPFGATGLRMLSSAGVNSARGVLRI YBL101C MPFITSRPVAKNSSHSLSETDLNQSKGQPFQPSPTKKLGSMQQR RRSSTIRHALSSLLGGANVHSPAVLNNTTKGGNNNGNIRSSNTDAQLLGKKQNKQPPP NARRHSTTAIQGSISDSATTTPRSSTSDTNRRTSGRLSVDQEPRISGGRYSQIEEDST VLDFDDDHNSSAVVSSDLSSTSLTRLANSKKFNEQFLIEYLTARGLLGPKTVLSNEYL KISISTSGESVFLPTISSNDDEYLSRLNGLNDGTDDAEADFFMDGIDQQEGNTPSLAT TAAATESGGSINENRDTLLRENNSGDHPGSGSELNTRSVEIDSSMVSYSIAVIVSVKK PTRFTDMQLELCSRVKVFWNTGVPPTKTFNEEFYNAASMKWNLNDENFDLFVPLSISP DDQMIENNSNDRQMRLFKNIPTEERLYLDKTKTKASLLNAIDVNKTHLYQPGDYVFLV PVVFSNHIPETIYLPSARVSYRLRLATKAINRKGFYRQDSNSPQPIVSPDSSSSLSST TSSLKLTETESAQAHRRISNTLFSKVKNHLHMSSHQLKNEESGEEDIFAEYPIKVIRT PPPVAVSTANKPIYINRVWTDSLSYEISFAQKYVSLNSEVPIKIKLAPICKNVCVKRI HVSITERVTFVSKGYEYEYDQTDPVAKDPYNPYYLDFASKRRKERSVSLFEIRTKEKG TRALREEIVENSFNDNLLSYSPFDDDSDSKGNPKERLGITEPIIIETKLKFPKYEDLD KRTAKIIPPYGIDAYTSIPNPEHAVANGPSHRRPSVIGFLSGHKGSKSHEENEKPVYD PKFHQTIIKSNSGLPVKTHTRLNTPKRGLYLDSLHFSNVYCRHKLEIMLRISKPDPEC PSKLRHYEVLIDTPIFLVSEQCNSGNMELPTYDMATMEGKGNQVPLSMNSDFFGNTCP PPPTFEEAISVPASPIVSPMGSPNIMASYDPDLLSIQQLNLSRTTSVSGPSGYSDDAG VPNVNRNSISNANAMNGSISNSAFVSGNSGQGVARARATSVNDRSRFNNLDKLLSTPS PVNRSHNSSPTNGLSQANGTVRIPNATTENSKDKQNEFFKKGYTLANVKDDEEQEGIV SSSSADSLLSHGNEPPRYDEIVPLMSDEE YBL100W-C MSRSIFFFSSLFLSPLNKIRNIGGKVENPWKSQIRDWKN YBL100W-B MESQQLHQNPHSLHGSAYASVTSKEVSSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQDQHSEVPQAKTK VRNNVLPPHTLTSEENFYTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTHTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDNQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSMNPLQLDHEPVQKVRAL KEVDADISEYNILPSPVRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYELENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSP DSDSTTPIISIETKAACDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTNKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNEDNKEK DRYIEAYHKEINQLLRMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANEKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLSAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YBL100W-A MESQQLHQNPHSLHGSAYASVTSKEVSSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQDQHSEVPQAKTK VRNNVLPPHTLTSEENFYTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YBL099W MLARTAAIRSLSRTLINSTKAARPAAAALASTRRLASTKAQPTE VSSILEERIKGVSDEANLNETGRVLAVGDGIARVFGLNNIQAEELVEFSSGVKGMALN LEPGQVGIVLFGSDRLVKEGELVKRTGNIVDVPVGPGLLGRVVDALGNPIDGKGPIDA AGRSRAQVKAPGILPRRSVHEPVQTGLKAVDALVPIGRGQRELIIGDRQTGKTAVALD TILNQKRWNNGSDESKKLYCVYVAVGQKRSTVAQLVQTLEQHDAMKYSIIVAATASEA APLQYLAPFTAASIGEWFRDNGKHALIVYDDLSKQAVAYRQLSLLLRRPPGREAYPGD VFYLHSRLLERAAKLSEKEGSGSLTALPVIETQGGDVSAYIPTNVISITDGQIFLEAE LFYKGIRPAINVGLSVSRVGSAAQVKALKQVAGSLKLFLAQYREVAAFAQFGSDLDAS TKQTLVRGERLTQLLKQNQYSPLATEEQVPLIYAGVNGHLDGIELSRIGEFESSFLSY LKSNHNELLTEIREKGELSKELLASLKSATESFVATF YBL098W MSESVAIIGAGLVGCLAALAFSKEGYNVTLYDFRQDPRLDTTKN KNLKSINLAISARGIDALKSIDPDACEHILQDMIPMKGRMIHDLKGRQESQLYGLHGE AINSINRSVLNNSLLDELEKSTTELKFGHKLVKIEWTDDKQICHFAIGEDLKTPHTEK YDFVIGCDGAYSATRSQMQRKVEMDFSQEYMNLRYIELYIPPTEEFKPNYGGNFAIAP DHLHIWPRHKFMLIALANSDGSFTSTFFGSKDQISDLITSKSRVREFLIENFPDIINI MDLDDAVKRFITYPKESLVCVNCKPYDVPGGKAILLGDAAHAMVPFYGQGMNCGFEDV RILMALLKKHSGDRSRAFTEYTQTRHKDLVSITELAKRNYKEMSHDVTSKRFLLRKKL DALFSIIMKDKWIPLYTMISFRSDISYSRALERAGKQTRILKFLESLTLGMLSIGGYK LFKFLTRERS YBL097W MTTQLRYENNDDDERVEYNLFTNRSTMMANFEEWIKMATDNKIN SRNSWNFALIDYFYDLDVLKDGENNINFQKASATLDGCIKIYSSRVDSVTTETGKLLS GLAQRKTNGASNGDDSNGGNGEGLGGDSDEANIEIDPLTGMPISNDPDVNNTRRRVYN RVLETTLVEFETIKMKELDQELIIDPLFKKALVDFDEGGAKSLLLNTLNIDNTARVIF DASIKDTQNVGQGKLQRKEEELIERDSLVDDENEPSQSLISTRNDSTVNDSVISAPSM EDEILSLGMDFIKFDQIAVCEISGSIEQLRNVVEDINQAKDFIENVNNRFDNFLTEEE LQAAVPDNAEDDSDGFDMGMQQELCYPDENHDNTSHDEQDDDNVNSTTGSIFEKDLMA YFDENLNRNWRGREHWKVRNFKKANLVNKESDLLEETRTTIGDTTDKNTTDDKSMDTK KKHKQKKVLEIDFFKTDDSFEDKVFASKGRTKIDMPIKNRKNDTHYLLPDDFHFSTDR ITRLFIKPGQKMSLFSHRKHTRGDVSSGLFEKSTVSANHSNNDIPTIADEHFWADNYE RKEQEEKEKEQSKEVGDVVGGALDNPFEDDMDGVDFNQAFEGTDDNEEASVKLDLQDD EDHKFPIRENKVTYSRVSKKVDVRRLKKNVWRSINNLIQEHDSRKNREQSSNDSETHT EDESTKELKFSDIIQGISKMYSDDTLKDISTSFCFICLLHLANEHGLQITHTENYNDL IVNYEDLATTQAAS YBL095W MSRTIPFLFKLVNRAVILPTAGFTLGVGAFVKAWPDDAGVLSLN DPQTPAELISATKSRQPMELQRVDILAQIEKSEVYNKLAQDEKMHHVLFSEKIPSGHR EYHVGQGLLFGKGKLEIDPLVFHDVNHGELTVIYHLGAELGNRDGNVHKGLLSLLLDE ALCYCGFPLLPSKRGVTARLSLEFFEDIPVDTTIILKANVKEIKGRKCIIEGHLEQFP LEVSSRNGTRSWNLPHIWGFNHKQEMAKKFAKANCILVEPTWFKYFKWLDMF YBL093C MASRVDETTVPSYYYYVDPETTYTYQQPNPLQDLISVYGLDDIS RQVARTNLDGTKAVKLRKSYKNQIADLSGKFSTIPTRENGKGGQIAHILFQNNPDMMI QPPQQGQNMSEQQWREQLRNRDIALFQPPNFDWDLCSSVLSQFERSYPSEFANQNQGG AQAPFDIDDLAFDLDGTGKSQSGSNSGNNSKKRKNKSSGSSMATPTHSDSHEDMKRRR LE YBL092W MASLPHPKIVKKHTKKFKRHHSDRYHRVAENWRKQKGIDSVVRR RFRGNISQPKIGYGSNKKTKFLSPSGHKTFLVANVKDLETLTMHTKTYAAEIAHNISA KNRVVILARAKALGIKVTNPKGRLALEA YBL091C-A MRIVPEKLVFKAPLNKQSTEYIKLENDGEKRVIFKVRTSAPTKY CVRPNVAIIGAHESVNVQIVFLGLPKSTADDEMDQKRDKFLIVTLPIPAAYQNVEDGE LLSDWPNLEEQYKDDIVFKKIKIFHSVLPKRKPSGNHDAESARAPSAGNGQSLSSRAL LIITVIALLVGWIYY YBL091C MTDAEIENSPASDLKELNLENEGVEQQDQAKADESDPVESKKKK NKKKKKKKSNVKKIELLFPDGKYPEGAWMDYHQDFNLQRTTDEESRYLKRDLERAEHW NDVRKGAEIHRRVRRAIKDRIVPGMKLMDIADMIENTTRKYTGAENLLAMEDPKSQGI GFPTGLSLNHCAAHFTPNAGDKTVLKYEDVMKVDYGVQVNGNIIDSAFTVSFDPQYDN LLAAVKDATYTGIKEAGIDVRLTDIGEAIQEVMESYEVEINGETYQVKPCRNLCGHSI APYRIHGGKSVPIVKNGDTTKMEEGEHFAIETFGSTGRGYVTAGGEVSHYARSAEDHQ VMPTLDSAKNLLKTIDRNFGTLPFCRRYLDRLGQEKYLFALNNLVRHGLVQDYPPLND IPGSYTAQFEHTILLHAHKKEVVSKGDDY YBL090W MLKSTLRLSRISLRRGFTTIDCLRQQNSDIDKIILNPIKLAQGS NSDRGQTSKSKTDNADILSMEIPVDMMQSAGRINKRELLSEAEIARSSVENAQMRFNS GKSIIVNKNNPAESFKRLNRIMFENNIPGDKRSQRFYMKPGKVAELKRSQRHRKEFMM GFKRLIEIVKDAKRKGY YBL089W MPSNVRSGVLTLLHTACGAGVLAMPFAFKPFGLMPGLITLTFCG ICSLCGLLLQTRIAKYVPKSENASFAKLTQLINPSISVVFDFAIAVKCFGVGVSYLII VGDLVPQIVQSIFYRNDDNMSGSQEHHMFLDRRLYITLIIVFVISPLCFKRSLNSLRY ASMIAIVSVAYLSGLIIYHFVNRHQLERGQVYFMVPHGDSQSHSPLTTLPIFVFAYTC HHNMFSVINEQVDKSFKVIRRIPIFAIVLAYFLYIIIGGTGYMTFGENIVGNILTLYP NSISTTIGRLAMLLLVMLAFPLQCHPCRSSVKNIIIFIENFRKGKLYDNRASFIPLDN FNSEDPQEAPTQQNNEEPNLRSESLRHINIITLCILLFSYLLAISITSLAKVLAIVGA TGSTSISFILPGLFGYKLIGSEFTGTNERVPTSIKIFKYLSLSLFIWGIAVMVASLSA IVFLGTSSH YBL088C MEDHGIVETLNFLSSTKIKERNNALDELTTILKEDPERIPTKAL STTAEALVELLASEHTKYCDLLRNLTVSTTNKLSLSENRLSTISYVLRLFVEKSCERF KVKTLKLLLAVVPELMVKDGSKSLLDAVSVHLSFALDALIKSDPFKLKFMIHQWISLV DKICEYFQSQMKLSMVDKTLTNFISILLNLLALDTVGIFQVTRTITWTVIDFLRLSKK ENGNTRLIMSLINQLILKCHCFSVIDTLMLIKEAWSYNLTIGCTSNELVQDQLSLFDV MSSELMNHKLPYMIGQENYVEELRSESLVSLYREYILLRLSNYKPQLFTVNHVEFSYI RGSRDKNSWFALPDFRLRDRGGRSVWLKILGITKSLLTYFALNRKNENYSLLFKRRKC DSDIPSILRISDDMDTFLIHLLEENSSHEFEVLGLQLCSFYGTLQDFTKSFAEQLKEL LFSKFEKIQCFNWVCFSFIPLLSQKECELSNGDMARLFKVCLPLVKSNESCQLSCLLL ANSIKFSKQLLSDEKTINQIYDLYELSDILGPILVTNESFMLWGYLQYVGKDFQSMNG ISSADRIFEWLKSKWNQLRGTDAKQDQFCNFISWLGNKYDPENPFNDKKGEGANPVSL CWDESHKIWQHFQEQREFLLGVKPEEKSECFNTPFFNLPKVSLDLTRYNEILYRLLEN IESDAFSSPLQKFTWVAKLIQIVDNLCGDSTFSEFIAAYKRTTLITIPQLSFDSQNSY QSFFEEVLSIRTINVDHLVLDKINMKEIVNDFIRMQKNKSQTGTSAINYFEASSEDTT QNNSPYTIGGRFQKPLHSTIDKAVRAYLWSSRNKSISERLVAILEFSDCVSTDVFISY LGTVCQWLKQAIGEKSSYNKILEEFTEVLGEKLLCNHYSSSNQAMLLLTSYIEAIRPQ WLSYPEQPLNSDCNDILDWIISRFEDNSFTGVAPTVNLSMLLLSLLQNHDLSHGSIRG GKQRVFATFIKCLQKLDSSNIINIMNSISSYMAQVSYKNQSIIFYEIKSLFGPPQQSI EKSAFYSLAMSMLSLVSYPSLVFSLEDMMTYSGFNHTRAFIQQALNKITVAFRYQNLT ELFEYCKFDLIMYWFNRTKVPTSKLEKEWDISLFGFADIHEFLGRYFVEISAIYFSQG FNQKWILDMLHAITGNGDAYLVDNSYYLCIPLAFISGGVNELIFDILPQISGKTTVKY HKKYRLLMLKWIIRFTDLGSLTELRSTVEKLFPTSYLSPYLFENSSVSMRYQYPLHIP LALGATLVQTQFAHEKNNTHEFKLLFLSVITDLEKTSTYIGKLRCARELKYLFVLYEN VLVKSSTLNFIIIRLSKFLIDTQIHDEVITIFSSLLNLADKNTFEIEPSLPNLFCKIF IYLRENKQLSPSFQQAIKLLEHRDLIKIKTWKYCLDAIFGNIVQDDIYENTELLDASD CGVDDVVLVSLLFSYARRPVASKIGCSLSKAAAINILKHHVPKEYLSKNFKLWFAALS RRILQQEVQRERSTNFNNEVHLKNFEMVFRHPEQPHMIYQRISTFNKEAELYDSTEVF FISECILTYLVGYSIGNSESEFCFRDNIMNENKDKVAPLDKDVLNAIYPLANNFGMES FICDTYLSVNEPYNCWLSKFARSLIHQISFNIPPIVCLYPLCKGSTAFCELVLTDLFF LSTTYDPKSCLNWSNRIFTQIAMLLHVKDSEIKLKMLFNVIKMIRMGSRCKERNCLRI YSSLDLQEICQISLKIKEFKFGYLLFEEMNMPNIREMNINTLQKIYECINDGDFLAGL PVPHSIEGVLNSINRIDSDTWKRFLFNNADFDANYTTSLEEEKESLIKATEDSGFYGL TSLLESRLSGSSDVYKWNLELGDWKLLTPKVVDSKAKGLYYAIKNLPQDVGFAEKSLE KSLLTIFDSRQHFISQTEWMDTLNAIIEFIKIAAIPQDVTSFPQTLMSIMKADKERLN TIDFYDHKTTLKSRHTLMNVLSRNSLDENVKCSKYLRLGSIIQLANYVQLAIANGAPQ DALRNATLMSKTVKNIAKLYDDPSVVSQIEKLASFTSANALWESREYKAPVMIMRDLL AQNEKNISESILYDDFKLLINVPMDQIKARLVKWSSESRLEPAAAIYEKIIVNWDINV EDHESCSDVFYTLGSFLDEQAQKLRSNGEIEDREHRSYTGKSTLKALELIYKNTKLPE NERKDAKRHYNRVLLQYNRDSEVLKALLLQKEKFLWHALHFYLNTLVFSNRYDNDIID KFCGLWFENDDNSKINQLLYKEIGTIPSWKFLPWVNQIASKISMEENEFQKPLQLTMK RLLYKLPYDSLYSVMSILLYEKQSNKDTNISQKIQAVKKILLELQGYDRGAFAKKYLL PVQEFCEMSVELANLKFVQNTKTLRLANLKIGQYWLKQLNMEKLPLPTSNFTVKSSAD GRKARPYIVSVNETVGITTTGLSLPKIVTFNISDGTTQKALMKGSNDDLRQDAIMEQV FQQVNKVLQNDKVLRNLDLGIRTYKVVPLGPKAGIIEFVANSTSLHQILSKLHTNDKI TFDQARKGMKAVQTKSNEERLKAYLKITNEIKPQLRNFFFDSFPDPLDWFEAKKTYTK GVAASSIVGYILGLGDRHLNNILLDCSTGEPIHIDLGIAFDQGKLLPIPELVPFRLTR DIVDGFGVTGVDGLFRRSCERVYAVLRKDYVKVMCVLNILKWDPLYSWVMSPVKKYEH LFEEEHEITNFDNVSKFISNNDRNENQESYRALKGVEEKLMGNGLSVESSVQDLIQQA TDPSNLSVIYMGWSPFY YBL087C MSGNGAQGTKFRISLGLPVGAIMNCADNSGARNLYIIAVKGSGS RLNRLPAASLGDMVMATVKKGKPELRKKVMPAIVVRQAKSWRRRDGVFLYFEDNAGVI ANPKGEMKGSAITGPVGKECADLWPRVASNSGVVV YBL086C MPFNHNSKAKRPKFLLDLQIKELVNIPQSSGYCYTKWRLKDGTG TSGHKVALDGEHQTTSTQSRGTTKHVHVQHHRAQWNYSLDKPILVKLHLDKNGRFLKK ILVLDVFFEFADANSSLTSSSSPNGKVKKTTYANATALTATGNNSYSQKITGKLLLGT VDIDITEYVKEDETPTTNRFLLKHSKVNSIINVSLQLKLVRGSYEDFNISKSFTNGQL ANYRPGINTILDNTSELSSPTSTTNQMSPKNTFSNFNGIGTTVAKPGTNATGNSTSIK SPTSTNHKSSEMTTKPGLSTTISSSMSPLIESLYQKTFKLPWDPRPGEFTPRECVEDI LQGGNGWAKNEKGINLIDLQALRLNEMEEEYYNPNYGNNLGNKASSWPPNPSDDGYST MGKREYLEKKQNWSHMSRAQRAKLRTHNDEDNENTANDKGSDKDNNSVEDNNPTDFLT DRIRENKNWSIITPSG YBL085W MSLEGNTLGKGAKSFPLYIAVNQYSKRMEDELNMKPGDKIKVIT DDGEYNDGWYYGRNLRTKEEGLYPAVFTKRIAIEKPENLHKSPTQESGNSGVKYGNLN DSASNIGKVSSHQQENRYTSLKSTMSDIDKALEELRSGSVEQEVSKSPTRVPEVSTPQ LQDEQTLIQEKTRNEENTTHDSLFSSTADLNLSSESLKNISKSNISTKSLEPSSESVR QLDLKMAKSWSPEEVTDYFSLVGFDQSTCNKFKEHQVSGKILLELELEHLKELEINSF GIRFQIFKEIRNIKSAIDSSSNKLDADYSTFAFENQAAQLMPAATVNRDEIQQQISSK CNKLSSESSDRKSSSVTTELQRPSSVVVNPNFKLHDPAEQILDMTEVPNLFADKDIFE SPGRAPKPPSYPSPVQPPQSPSFNNRYTNNNARFPPQTTYPPKNKNPTVYSNGLIPNS STSSDNSTGKFKFPAMNGHDSNSRKTTLTSATIPSINTVNTDESLPAISNISSNATSH HPNRNSVVYNNHKRTESGSSFVDLFNRISMLSPVKSSFDEEETKQPSKASRAVFDSAR RKSSYGHSRDASLSEMKKHRRNSSILSFFSSKSQSNPTSPTKQTFTIDPAKMTSHSRS QSNSYSHARSQSYSHSRKHSLVTSPLKTSLSPINSKSNIALAHSETPTSSNNKEAVSQ PSEGKHKHKHKHKSKHKHKNSSSKDGSSEEKSKKKLFSSTKESFVGSKEFKRSPSELT QKSTKSILPRSNAKKQQTSAFTEGIRSITAKESMQTADCSGWMSKKGTGAMGTWKQRF FTLHGTRLSYFTNTNDEKERGLIDITAHRVLPASDDDRLISLYAASLGKGKYCFKLVP PQPGSKKGLTFTEPRVHYFAVENKSEMKAWLSAIIKATIDIDTSVPVISSYATPTIPL SKAQTLLEEARLQTQLRDAEEEEGRDQFGWDDTQNKRNSNYPIEQDQFETSDYLESSA FEYPGGRL YBL084C MAVNPELAPFTLSRGIPSFDDQALSTIIQLQDCIQQAIQQLNYS TAEFLAELLYAECSILDKSSVYWSDAVYLYALSLFLNKSYHTAFQISKEFKEYHLGIA YIFGRCALQLSQGVNEAILTLLSIINVFSSNSSNTRINMVLNSNLVHIPDLATLNCLL GNLYMKLDHSKEGAFYHSEALAINPYLWESYEAICKMRATVDLKRVFFDIAGKKSNSH NNNAASSFPSTSLSHFEPRSQPSLYSKTNKNGNNNINNNVNTLFQSSNSPPSTSASSF SSIQHFSRSQQQQANTSIRTCQNKNTQTPKNPAINSKTSSALPNNISMNLVSPSSKQP TISSLAKVYNRNKLLTTPPSKLLNNDRNHQNNNNNNNNNNNNNNNNNNNNNNNNIINK TTFKTPRNLYSSTGRLTTSKKNPRSLIISNSILTSDYSITLPEIMYNFALILRSSSQY NSFKAIRLFESQIPSHIKDTMPWCLVQLGKLHFEIINYDMSLKYFNRLKDLQPARVKD MEIFSTLLWHLHDKVKSSNLANGLMDTMPNKPETWCCIGNLLSLQKDHDAAIKAFEKA TQLDPNFAYAYTLQGHEHSSNDSSDSAKTCYRKALACDPQHYNAYYGLGTSAMKLGQY EEALLYFEKARSINPVNVVLICCCGGSLEKLGYKEKALQYYELACHLQPTSSLSKYKM GQLLYSMTRYNVALQTFEELVKLVPDDATAHYLLGQTYRIVGRKKDAIKELTVAMNLD PKGNQVIIDELQKCHMQE YBL082C MEGEQSPQGEKSLQRKQFVRPPLDLWQDLKDGVRYVIFDCRANL IVMPLLILFESMLCKIIIKKVAYTEIDYKAYMEQIEMIQLDGMLDYSQVSGGTGPLVY PAGHVLIYKMMYWLTEGMDHVERGQVFFRYLYLLTLALQMACYYLLHLPPWCVVLACL SKRLHSIYVLRLFNDCFTTLFMVVTVLGAIVASRCHQRPKLKKSLALVISATYSMAVS IKMNALLYFPAMMISLFILNDANVILTLLDLVAMIAWQVAVAVPFLRSFPQQYLHCAF NFGRKFMYQWSINWQMMDEEAFNDKRFHLALLISHLIALTTLFVTRYPRILPDLWSSL CHPLRKNAVLNANPAKTIPFVLIASNFIGVLFSRSLHYQFLSWYHWTLPILIFWSGMP FFVGPIWYVLHEWCWNSYPPNSQASTLLLALNTVLLLLLALTQLSGSVALAKSHLRTT SSMEKKLN YBL081W MPGQIISIPFLSQNEDMDKYLLEYRSLKLLHQSSNSFQSHNAPS HQSNYHPHYNHMKYNNTGSYYYYNNNNNSSVNPHNQAGLQSINRSIPSAPYGAYNQNR ANDVPYMNTQKKHHRFSANNNLNQQKYKQYPQYTSNPMVTAHLKQTYPQLYYNSNVNA HNNNNNSNNNNNNNNNSNNNNNLYNQTQFSTRYFNSNSSPSLTSSTSNSSSPYNQSTF EYILPSTSAASTNLSSSSSNNSMHTNPTTATSTSADLINDLPVGPTSSSLISDLHSPP TVSFLPASQTLLMSSTTSSSIGTNINPPQHSPSPSQREDFSTAPVNMSSSASLLMNDS SLGWGSNHMNVSSSSQPASSRPFGIWNTDMSVWS YBL080C MLRLARFYSLARTKAIHSHGAPFRPEYALKCGLEIHTQLNTKNK LFSQSTNSATSLVDAPNHHTSYYDIALPGTQPVLNLEAILFAMKLSLALGSQVNSISQ FDRKHYFYGDQPQGYQLTQHYRPFARGGKINLSKELDDIDESAKEIGILQLQIEQDTG KSHYTETDKDVITLVDLNRSNVPLIELVTKPDFSDIKQVRAFIKKYQNLVRHLHISSG DLETGAMRVDVNLSINEYARVELKNLPNTSSIINAIKYEYQRQVELISVGDTSSLMEP ETRGWTGSSTVKLRSKETTIDYRYMPDPELPYINLAPDVISGVRGLMPQLPDDIMRIL MKKPYQLSLKDAKILTYNSNQNDMYNHEALRSYYLDTFREFSKLAGERSNAKLPTNWI IHEFLGDLNKLQIPLAKAKEILPPPVFAQFLKLLHEEVISATSGKMLLFHILENFEQS NCQDLSIPDFSKLIEKFELHAINQVDPQELMDLCNDVIAQHTDDTFIRNLVTGKKKSS LKFLIGQGMRRSQGRIKANEFEKKFKEILNIQW YBL079W MFQSFFHNNGPAAAGETFSDSRSYPLTNHQEVPRNGLNELASSA TKAQQQPTHILNSYPITGSNPLMRASAMGATSGSINPNMSNMNEHIRVSGMGTSKPLD LAGKYIDHLQHKDSNTPVLDERSYYNSGVDYNFSREKNGLGAFTPFEKQDVFNIPDEI LHEFSTSQTKTDMGIFPELNRCWITIDNKLILWNINNDNEYQVVDDMKHTIQKVALVR PKPNTFVPAVKHLLLISTTMELFMFAISLDKATNELSVFNTHLSVPVQGIDVIDIVSH ERSGRIFFAGQASGLNIWELHYSGSDDWFNSKCSKVCLTKSALLSLLPTNMLSQIPGV DFIQALFEDNSNGNGGFSQETITQLTIDQQRGIIYSLSSKSTIRAYVITEKSLEGPMS IEPAYISRIIGTTTARAAPILGPKYLKIVKISSVAPEENNNLFLVALTVGGVRLYFNG SMGRFNIEALRLESIKFPPSSVTPEVIQQELLHQQQEQAKRSFPFFSNLMSSEPVLLK FQKKSSVLLETTKASTIISPGIFFSAVIKSSQQTHQQEKKENSSVTGTTATAGSKTVK QQPVTLQHKLFVSVPDYGILKTHGKYVENATFLETAGPVQQIIPLSGLFNATTKPQGF ANEFATQYTSETLRVAVLTSTSIEIYKYRTPDEIFEDLIDNPLPFVLNYGAAEACSTA LFVTCKSNKSEKLRSNALTFLTMGIPGVVDIKPVYNRYSVSTVSSLLSKPTLSTATTN LQQSITGFSKPSPANKEDFDLDDVILSPRFYGIALLITRLLRDIWGRHVFMTFTDNRV TSHAFISSSDPITPSINNLKSDEISQNRNIISKVSISKDCIEYYLSSINILNEFFITY GDSISQISAPYVLANNSNGRVIDKTEEVANQAESIAINAMIKMVQSIKEGLSFLNVLY EESEVEGFDNQYLGFKDIISFVSLDVQKDLVKLDFKDLFAPNDKTKSLIREILLSIIN RNITKGASIEYTATALQERCGSFCSASDILGFRAIEHLRRAKEIGLRNYDSLNYHLKN ATALLEQIVDDLSIEKLKEAVSMMLSVNYYPKSIEFLLNIANSMDKGKLACQYVANGF LENDDRKQYYDKRILVYDLVFDTLIKVDELAEKKQSSKTQNQISISNDDEVKLRQKSY EAALKYNDRLFHYHMYDWLVSQNREEKLLDIETPFILPYLMEKAGSSLKISNILWVYY SRRSKFFESAEILYRLATSNFDITLFERIEFLSRANGFCNSVSPLSQKQRIVQLASRI QDACEVAGIQGDILSLVYTDARIDSAIKDELIKTLDGKILSTSELFNDFAVPLSYHEI ALFIFKIADFRDHEVIMAKWDELFQSLRMEFNNTGKKEDSMNFINLLSNVLIKIGKNV QDSEFIFPIFELFPIVCNFFYETLPKEHIVSGSIVSIFITAGVSFNKMYYILKELIET SDSDNSVFNKEMTWLIHEWYKSDRKFRDIISYNDIIHLKEYKIDNDPIEKYVKNSGNN LGICFYKE YBL078C MKSTFKSEYPFEKRKAESERIADRFKNRIPVICEKAEKSDIPEI DKRKYLVPADLTVGQFVYVIRKRIMLPPEKAIFIFVNDTLPPTAALMSAIYQEHKDKD GFLYVTYSGENTFGR YBL076C MSESNAHFSFPKEEEKVLSLWDEIDAFHTSLELTKDKPEFSFFD GPPFATGTPHYGHILASTIKDIVPRYATMTGHHVERRFGWDTHGVPIEHIIDKKLGIT GKDDVFKYGLENYNNECRSIVMTYASDWRKTIGRLGRWIDFDNDYKTMYPSFMESTWW AFKQLHEKGQVYRGFKVMPYSTGLTTPLSNFEAQQNYKDVNDPAVTIGFNVIGQEKTQ LVAWTTTPWTLPSNLSLCVNADFEYVKIYDETRDRYFILLESLIKTLYKKPKNEKYKI VEKIKGSDLVGLKYEPLFPYFAEQFHETAFRVISDDYVTSDSGTGIVHNAPAFGEEDN AACLKNGVISEDSVLPNAIDDLGRFTKDVPDFEGVYVKDADKLIIKYLTNTGNLLLAS QIRHSYPFCWRSDTPLLYRSVPAWFVRVKNIVPQMLDSVMKSHWVPNTIKEKRFANWI ANARDWNVSRNRYWGTPIPLWVSDDFEEVVCVGSIKELEELTGVRNITDLHRDVIDKL TIPSKQGKGDLKRIEEVFDCWFESGSMPYASQHYPFENTEKFDERVPANFISEGLDQT RGWFYTLAVLGTHLFGSVPYKNVIVSGIVLAADGRKMSKSLKNYPDPSIVLNKYGADA LRLYLINSPVLKAESLKFKEEGVKEVVSKVLLPWWNSFKFLDGQIALLKKMSNIDFQY DDSVKSDNVMDRWILASMQSLVQFIHEEMGQYKLYTVVPKLLNFIDELTNWYIRFNRR RLKGENGVEDCLKALNSLFDALFTFVRAMAPFTPFLSESIYLRLKEYIPEAVLAKYGK DGRSVHFLSYPVVKKEYFDEAIETAVSRMQSVIDLGRNIREKKTISLKTPLKTLVILH SDESYLKDVEALKNYIIEELNVRDVVITSDEAKYGVEYKAVADWPVLGKKLKKDAKKV KDALPSVTSEQVREYLESGKLEVAGIELVKGDLNAIRGLPESAVQAGQETRTDQDVLI IMDTNIYSELKSEGLARELVNRIQKLRKKCGLEATDDVLVEYELVKDTIDFEAIVKEH FDMLSKTCRSDIAKYDGSKTDPIGDEEQSINDTIFKLKVFKL YBL075C MSRAVGIDLGTTYSCVAHFSNDRVEIIANDQGNRTTPSYVAFTD TERLIGDAAKNQAAINPHNTVFDAKRLIGRKFDDPEVTTDAKHFPFKVISRDGKPVVQ VEYKGETKTFTPEEISSMVLSKMKETAENYLGTTVNDAVVTVPAYFNDSQRQATKDAG TIAGMNVLRIINEPTAAAIAYGLDKKGRAEHNVLIFDLGGGTFDVSLLSIDEGVFEVK ATAGDTHLGGEDFDNRLVNHLATEFKRKTKKDISNNQRSLRRLRTAAERAKRALSSSS QTSIEIDSLFEGMDFYTSLTRARFEELCADLFRSTLEPVEKVLKDSKLDKSQIDEIVL VGGSTRIPKIQKLVSDFFNGKEPNRSINPDEAVAYGAAVQAAILTGDQSTKTQDLLLL DVAPLSLGIETAGGIMTKLIPRNSTIPTKKSETFSTYADNQPGVLIQVFEGERTRTKD NNLLGKFELSGIPPAPRGVPQIDVTFDIDANGILNVSALEKGTGKSNKITITNDKGRL SKDDIDRMVSEAEKYRADDEREAERVQAKNQLESYAFTLKNTINEASFKEKVGEDDAK RLETASQETIDWLDASQAASTDEYKDRQKELEGIANPIMTKFYGAGAGAGPGAGESGG FPGSMPNSGATGGGEDTGPTVEEVD YBL074C MNTVPFTSAPIEVTIGIDQYSFNVKENQPFHGIKDIPIGHVHVI HFQHADNSSMRYGYWFDCRMGNFYIQYDPKDGLYKMMEERDGAKFENIVHNFKERQMM VSYPKIDEDDTWYNLTEFVQMDKIRKIVRKDENQFSYVDSSMTTVQENELLKSSLQKA GSKMEAKNEDDPAHSLNYTVINFKSREAIRPGHEMEDFLDKSYYLNTVMLQGIFKNSS NYFGELQFAFLNAMFFGNYGSSLQWHAMIELICSSATVPKHMLDKLDEILYYQIKTLP EQYSDILLNERVWNICLYSSFQKNSLHNTEKIMENKYPELLGKDNEDDALIYGISDEE RDDEDDEHNPTIVGGLYYQRP YBL072C MGISRDSRHKRSATGAKRAQFRKKRKFELGRQPANTKIGAKRIH SVRTRGGNKKYRALRIETGNFSWASEGISKKTRIAGVVYHPSNNELVRTNTLTKAAIV QIDATPFRQWFEAHYGQTLGKKKNVKEEETVAKSKNAERKWAARAASAKIESSVESQF SAGRLYACISSRPGQSGRCDGYILEGEELAFYLRRLTAKK YBL071C-B MELFHIRYLQAYLKVIGNYTCHLLFGTHKKTL YBL071W-A MSTYDEIEIEDMTFEPENQMFTYPCPCGDRFQIYLDDMFEGEKV AVCPSCSLMIDVVFDKEDLAEYYEEAGIHPPEPIAAAA YBL071C MILLKSEHGGKRKEMRQDDLMGPNHFSLRIMYKIIIYTYPVSLY AVKELNLSKTFSISALGILNSNSNRSPAKKQTFFSACVAKSYSSFFISICILDLASHL YBL069W MAKDILKNQDPKLQAMIVEHSAPAPKEIPMDAPVLKRVARPLRH VKFIPIKSLIFHTKTGPMDFSYEKKIKTPIPKNKIVVRVSNVGLNPVDMKIRNGYTSS IYGEIGLGREYSGVITEVGENLNYAWHVGDEVYGIYYHPHLAVGCLQSSILVDPKVDP ILLRPESVSAEEAAGSLFCLATGYNILNKLSKNKYLKQDSNVLINGGTSSVGMFVIQL LKRHYKLQKKLVIVTSANGPQVLQEKFPDLADEMIFIDYLTCRGKSSKPLRKMLEEKK ISQYDPVEDKETILNYNEGKFDVVLDFVGGYDILSHSSSLIHGGGAYVTTVGDYVANY KEDIFDSWDNPSANARKMFGSIIWSYNYTHYYFDPNAKTASANNDWIEQCGDFLKNGT VKCVVDKVYDWKDHKEAFSYMATQRAQGKLIMNVEKF YBL068W MNSESREDMAINSIKLLAGNSHPDLAEQISKKLGIPLSKVGVYQ YSNKETSVTIGESLRDEDVYIIQTGIGEQEINDFLMELLILIHACKIASARKITTVIP NFPYARQDKKDKSRAPITAKLVANLLQTAGADHVITMDLHASQIQGFFHIPVDNLYAE PSVLNYIRTKTDFDNAILVSPDAGGAKRVAALADKLDLNFALIHKERQKANEVSKMVL VGDVTNKSCLLVDDMADTCGTLVKACDTLMEHGAKEVIAIVTHGIFSGSAREKLRNSR LSRIVCTNTVPVDLDLPIADQIDISPTFAEAIRRLHNGESVSYLFTHAPV YBL067C MIRRWLTISKSGKKKKAVNDTITEEVEKVDFKPVNHDINDELCY SESSDNPSSSLFVSNLDTKETFLNEDNNLQISSGLDYSSETCNQGSNYSQDGIFYISN AKAINAYGGIITQGPEAPILAMKVSDSMPYGDGSNKVFGYENFGNTCYCNSVLQCLYN LSSLRENILQFPKKSRESDQPRKKEMRGKKPRIFTEASFEKSIAGTNGHLPNPKPQSV DDGKPTPVNSVNSNTAGPSEKKSKFFKSFSAKHVQDNNKKEGSPAILTTGKPSSRPQD APPLIVETPNEPGAPSRLSFENVTDRPPDVPRKIIVGRVLNYENPSRGSSNSNNLDLK GESNSSLSTPLDKKDTRRSSSSSQISPEHRKKSALIRGPVLNIDHSLNGSDKATLYSS LRDIFECITENTYLTGVVSPSSFVDVLKRENVLFNTTMHQDAHEFFNFLLNELSEYIE RENKKIAASDINSDSEPSKSKNFISDLFQGTLTNQIKCLTCDNITSRDEPFLDFPIEV QGDEETDIQEILKSYHQREMLNGSNKFYCDECCGLQEAERLVGLKQLPDTLTLHLKRF KYSEKQNCNIKLFNNIHYPLTLNVCSSINSKVCQKYELAGIVVHMGGGPQHGHYVSLC KHEKFGWLLFDDETVEAVKEETVLEFTGESPNMATAYVLFYKAMYSNAVEKNDRENMA KEQDDNIDNLIKYDDWLRTCNSGQKKKEELPIADDLDTAIDDSFVSNTPIKSSKKKSR MFSFRKS YBL066C MVKDNRDSDQDQDFSSAHMKRQPEQQQLQQHQFPSKKQRISHHD DSHQINHRPVTSCTHCRQHKIKCDASQNFPHPCSRCEKIGLHCEINPQFRPKKGSQLQ LLRQDVDEIKSKLDTLLANDSVFVHLLQQIPMGNSLLNKLNLHPTPTPGTIIPNPDSS PSSGSPTSSAAQRDSKVSVQTYLSREPQLLQANQGSNTNKFKANNEASSHMTLRASSL AQDSKGLVATEPNKLPPLLNDSALPNNSKESLPPALQMAFYKNNSAGNTPNGPFSPIQ KTYSPHTTSTTVTTTTNQPPFAATSHVATNNNADRTKTPVVATTTTMPLLPSPHANVD EFVLGDISISIEKANRLHHIFVTRYLPYFPIMYSNNATELYSQSQLLFWTVMLTACLS DPEPTMYCKLSSLIKQLAIETCWIRTPRSTHISQALLILCIWPLPNQKVLDDCSYRFV GLAKSLSYQLGLHRGEFISEFTRTQTSMPNAEKWRTRTWLGIFFAELCWASILGLPPT SQTDYLLEKALSCGDEESEEDNNDSIDNNNNDKRNKKDEPHVESKYKLPGSFRRLLSL ANFQAKLSHIIGSSTSSPDGLLEPKYRAETLSILGKELDLLAKTLNFQSDDTVNIYFL YVKLTVCCFAFLPETPPTDQIPYVTEAYLTATKIVTLLNNLLETHQLIELPIYIRQAA TFSALILFKLQLTPLLPDKYFDSARQSVVTIHRLYRNQLTAWATSVENDISRTASMLE KLNFVLIMHPEVFVEEDGIISRMRSHLTGSLFYDLVWCVHEARRREMDPEYNKQALEK AAKKRKFSSNGIYNGTSSTGGITDRKLYPLPLYNHISRDDFETVTKTTPSGTTVTTLV PTKNALKQAEKLAKTNNGDSDGSIMEINGIPLSMLGETGSVKFQSLFANTSNSNDYNN NRTLLDASNDISIPSNSIYPVASVPASNNNPQSTKVDYYSNGPSVIPDLSMKRSVSTP VNHFPASVPGLRNHPVGNLSNNVTLGIDHPIPREHSNLQNVTMNYNNQFSNANAIGRS QSSMSHSRTPIASKSNNMTDLHSVVSDPGSSKSTAYPPLSLFSKSNDINSNKTNQRFS TGTNTVTSSNFQTIDNENNVKTPGNKLTDFFQQQSAGWIEGNSSNDDFFGWFDMNMEQ GF YBL064C MFSRICSAQLKRTAWTLPKQAHLQSQTIKTFATAPILCKQFKQS DQPRLRINSDAPNFDADTTVGKINFYDYLGDSWGVLFSHPADFTPVCTTEVSAFAKLK PEFDKRNVKLIGLSVEDVESHEKWIQDIKEIAKVKNVGFPIIGDTFRNVAFLYDMVDA EGFKNINDGSLKTVRSVFVIDPKKKIRLIFTYPSTVGRNTSEVLRVIDALQLTDKEGV VTPINWQPADDVIIPPSVSNDEAKAKFGQFNEIKPYLRFTKSK YBL063W MARSSLPNRRTAQFEANKRRTIAHAPSPSLSNGMHTLTPPTCNN GAATSDSNIHVYVRCRSRNKREIEEKSSVVISTLGPQGKEIILSNGSHQSYSSSKKTY QFDQVFGAESDQETVFNATAKNYIKEMLHGYNCTIFAYGQTGTGKTYTMSGDINILGD VQSTDNLLLGEHAGIIPRVLVDLFKELSSLNKEYSVKISFLELYNENLKDLLSDSEDD DPAVNDPKRQIRIFDNNNNNSSIMVKGMQEIFINSAHEGLNLLMQGSLKRKVAATKCN DLSSRSHTVFTITTNIVEQDSKDHGQNKNFVKIGKLNLVDLAGSENINRSGAENKRAQ EAGLINKSLLTLGRVINALVDHSNHIPYRESKLTRLLQDSLGGMTKTCIIATISPAKI SMEETASTLEYATRAKSIKNTPQVNQSLSKDTCLKDYIQEIEKLRNDLKNSRNKQGIF ITQDQLDLYESNSILIDEQNLKIHNLREQIKKFKENYLNQLDINNLLQSEKEKLIAII QNFNVDFSNFYSEIQKIHHTNLELMNEVIQQRDFSLENSQKQYNTNQNMQLKISQQVL QTLNTLQGSLNNYNSKCSEVIKGVTEELTRNVNTHKAKHDSTLKSLLNITTNLLMNQM NELVRSISTSLEIFQSDSTSHYRKDLNEIYQSHQQFLKNLQNDIKSCLDSIGSSILTS INEISQNCTTNLNSMNVLIENQQSGSSKLIKEQDLEIKKLKNDLINERRISNQFNQQL AEMKRYFQDHVSRTRSEFHDELNKCIDNLKDKQSKLDQDIWQKTASIFNETDIVVNKI HSDSIASLAHNAENTLKTVSQNNESFTNDLISLSRGMNMDISSKLRSLPINEFLNKIS QTICETCGDDNTIASNPVLTSIKKFQNIICSDIALTNEKIMSLIDEIQSQIETISNEN NINLIAINENFNSLCNFILTDYDENIMQISKTQDEVLSEHCEKLQSLKILGMDIFTAH SIEKPLHEHTRPEASVIKALPLLDYPKQFQIYRDAENKSKDDTSNSRTCIPNLSTNEN FPLSQFSPKTPVPVPDQPLPKVLIPKSINSAKSNRSKTLPNTEGTGRESQNNLKRRFT TEPILKGEETENNDILQNKKLHQ YBL061C MASSPQVHPYKKHLMQSQHINFDNRGLQFQNSSLKVGQDFSDNK ENRENRDNEDFSTADLPKRSANQPLINEHLRAASVPLLSNDIGNSQEEDFVPVPPPQL HLNNSNNTSLSSLGSTPTNSPSPGALRQTNSSTSLTKEQIKKRTRSVDLSHMYLLNGS SDTQLTATNESVADLSHQMISRYLGGKNNTSLVPRLKTIEMYRQNVKKSKDPEVLFQY AQYMLQTALTIESSNALVQDSDKEGNVSQSDLKLQFLKEAQSYLKKLSIKGYSDAQYL LADGYSSGAFGKIENKEAFVLFQAAAKHGHIESAYRASHCLEEGLGTTRDSRKSVNFL KFAASRNHPSAMYKLGLYSFYGRMGLPTDVNTKLNGVKWLSRAAARANELTAAAPYEL AKIYHEGFLDVVIPDEKYAMELYIQAASLGHVPSATLLAQIYETGNDTVGQDTSLSVH YYTQAALKGDSVAMLGLCAWYLLGAEPAFEKDENEAFQWALRAANAGLPKAQFTLGYF YEHGKGCDRNMEYAWKWYEKAAGNEDKRAINKLRSRDGGLASIGKKQHKKNKSISTLN LFSTVDSQTSNVGSNSRVSSKSETFFTGNPKRDREPQGLQINMNSNTNRNGIKTGSDT SIRKSSSSAKGMSREVAEQSMAAKQEVSLSNMGSSNMIRKDFPAVKTESKKPTSLKNK KDKQGKKKKDCVIM YBL060W MCASLNEVKKNDTYGVSQKGYNDNFSESEGVLHGSKSMPTSMKN MLQSPTMVNMCDILQNKEAANDEKPVIPTTDTATAGTGTEDISSTQSEETDQNSHLIA SEILEGTFKDVSYKEYANFLGNDNNNQVLTEFVKLLSPLPSSLLETLFNLSKSIYFIA EAQNIDRILECLSIEWIACHPNTHWKSGYKSCHIVLFSLLILNSDLHNNFQVDHKKIK FSMVAFINNTLRALREENEYEELKIYSREHLIIEELSEYYKTLNETPLPLCTESRTSI NISDNQSSLKRFSTLGSREFSTSNLRSVNSNSTTLYSRDGQVSVREMSAKSNKNFHNN HPMDALYLKESFDDGLITENGSSWFMDDLILISKKSLPRKYSKRDKDQVAAPKMTSKR NKSFFGWLKPSKTTTLIEHTSRRTSLSYLNKDSEWERVKIQVKEGRIFIFKIKPDVKD IIQSSETDSATIDYFKDISSSYFAYSLLEAEAHVVQDNIIIGSGAMKSNVCNKNTKRK SGNFTVSFPENINGPKLVLEFQTRSVEEAHKFMDCINFWAGRISPVPLTQFEAVSNAE YGWSDKILTEHASLNLKNIVVSEWKPLLGLELLYEDAKDVEMVELKERLKELMNFTRQ LGIWIDKHNEIKDKLVEIWSFDDNYFEAVMNNWNSRYLYMNNQYKKRLSYLKALQKAM GSVQF YBL059C-A MHPQLEAERFHSCLDFINALDKCHQKEYYKRIFGLCNNEKDALN KCLKEASLNNKKRAVIESRIKRADVEKRWKKIEEEEYGEDAILKTILDRQYAKKKQES DNDANSK YBL059W MLLDAQRFFNRSFSINVICELKHNVNTRRKFEIKDWPTIMLVSR NDKPKISSEEVTHFIDDYKKRRKTQMTRFFGITIFTLITCRIAMKKMITAKVPLNTFQ ANYASRTQTITHTQKSLAGSLLAATGMTLGIFGMGITGTCWSWDVSSFQELKQRLERR ANNEFVVTNMPLDKRSQQVVDSLVKTHNSSLCK YBL058W MAEIPDETIQQFMALTNVSHNIAVQYLSEFGDLNEALNSYYASQ TDDQKDRREEAHWNRQQEKALKQEAFSTNSSNKAINTEHVGGLCPKPGSSQGSNEYLK RKGSTSPEPTKGSSRSGSGNNSRFMSFSDMVRGQADDDDEDQPRNTFAGGETSGLEVT DPSDPNSLLKDLLEKARRGGQMGAENGFRDDEDHEMGANRFTGRGFRLGSTIDAADEV VEDNTSQSQRRPEKVTREITFWKEGFQVADGPLYRYDDPANSFYLSELNQGRAPLKLL DVQFGQEVEVNVYKKLDESYKAPTRKLGGFSGQGQRLGSPIPGESSPAEVPKNETPAA QEQPMPDNEPKQGDTSIQIRYANGKREVLHCNSTDTVKFLYEHVTSNANTDPSRNFTL NYAFPIKPISNDETTLKDADLLNSVVVQRWA YBL057C MEKMTVSSNYTIALWATFTAISFAVGYQLGTSNASSTKKSSATL LRSKEMKEGKLHNDTDEEESESEDESDEDEDIESTSLNDIPGEVRMALVIRQDLGMTK GKIAAQCCHAALSCFRHIATNPARASYNPIMTQRWLNAGQAKITLKCPDKFTMDELYA KAISLGVNAAVIHDAGRTQIAAGSATVLGLGPAPKAVLDQITGDLKLY YBL056W MGQILSNPIIDKEHHSGTDCLTAFGLCAMQGWRMSMEDAHIVEP NLLAESDEEHLAFYGIFDGHGGSSVAEFCGSKMISILKKQESFKSGMLEQCLIDTFLA TDVELLKDEKLKDDHSGCTATVILVSQLKKLLICANSGDSRTVLSTGGNSKAMSFDHK PTLLSEKSRIVAADGFVEMDRVNGNLALSRAIGDFEFKSNTKLGPHEQVVTCVPDIIC HNLNYDEDEFVILACDGIWDCLTSQECVDLVHYGISQGNMTLSDISSRIVDVCCSPTT EGSGIGCDNMSISIVALLKENESESQWFERMRSKNYNIQTSFVQRRKSIFDFHDFSDD DNEVFAITTKKLQDRLNRSKDNDDMEIDDLDTELGSSATPSKLSGEDRTGPIDLFSLE ALLEAGIQIRQRPSSDSDGNTSYFHGASLSDMLASLSNAAAGETEPNDADDNDDNDGE ENGKNENAKKGSKIEEIE YBL055C MWGILLKSSNKSCSRLWKPILTQYYSMTSTATDSPLKYYDIGLN LTDPMFHGIYNGKQYHPADYVKLLERAAQRHVKNALVTGSSIAESQSAIELVSSVKDL SPLKLYHTIGVHPCCVNEFADASQGDKASASIDNPSMDEAYNESLYAKVISNPSFAQG KLKELYDLMNQQAKPHDTSFRSIGEIGLDYDRFHYSSKEMQKVFFEEQLKISCLNDKL SSYPLFLHMRSACDDFVQILERFIAGFTDERDTFQLQKLGASSSSGFYKFHPDRKLVV HSFTGSAIDLQKLLNLSPNIFIGVNGCSLRTEENLAVVKQIPTERLLLETDAPWCEIK RTHASFQYLAKYQEVRDFEYPAFKSVKKNKLADKLNAEELYMVKGRNEPCNMEQVAIV VSEVKDVDLATLIDTTWKTTCKIFGE YBL054W MTLPKLSSVSVSSGHVSANSHGFSILSKHPHPNNLVHSHSLSHT NAKSHLPISSTSTKENSTNKEEAESLKKNNPSSWDPSDDIKLRHLKEIKNLGWKEIAH HFPNRTPNACQFRWRRLKSGNLKSNKTAVIDINKLFGVYATGDATPSAGTPSAEEAVK EEAVEDEDITAGSSAIEDSPPDFKPLVKPKYMDRKLITQRSTSTFSDHEPQHTKPRKL FVKPRSFSHSITTNTPNVKTAQQTNLSLYNTTSAKTNKAVNSNDYENIGLVPKIIIRS RRNSFIPSTQIPHSTTKTRKNSHSVISSRRSSFNMMHSRRSSFNSHAPTEPISRRASL VVSPYMSPRRLSTSQSVHYHPQHQYYLNPIASPNCKTDHANDKITHTRTFLDMQKFAN KHPWSREDDEVLLNNTKDKQNHLSPLEISIVLPNNRSELEIQQRMDYLKRKGRVSGFH TNEGCKDEEEEDDIDPLHKENGINTPSQQSQNYGMLEAKHDNPKSSELSSMTSANDIR NEQDELPGINSIFKNIF YBL052C MSLTANDESPKPKKNALLKNLEIDDLIHSQFVRSDTNGHRTTRR LFNSDASISHRIRGSVRSDKGLNKIKKGLISQQSKLASENSSQNIVNRDNKMGAVSFP IIEPNIEVSEELKVRIKYDSIKFFNFERLISKSSVIAPLVNKNITSSGPLIGFQRRVN RLKQTWDLATENMEYPYSSDNTPFRDNDSWQWYVPYGGTIKKMKDFSTKRTLPTWEDK IKFLTFLENSKSATYINGNVSLCNHNETDQENEDRKKRKGKVPRIKNKVWFSQIEYIV LRNYEIKPWYTSPFPEHINQNKMVFICEFCLKYMTSRYTFYRHQLKCLTFKPPGNEIY RDGKLSVWEIDGRENVLYCQNLCLLAKCFINSKTLYYDVEPFIFYILTEREDTENHPY QNAAKFHFVGYFSKEKFNSNDYNLSCILTLPIYQRKGYGQFLMEFSYLLSRKESKFGT PEKPLSDLGLLTYRTFWKIKCAEVLLKLRDSARRRSNNKNEDTFQQVSLNDIAKLTGM IPTDVVFGLEQLQVLYRHKTRSLSSLDDFNYIIKIDSWNRIENIYKTWSSKNYPRVKY DKLLWEPIILGPSFGINGMMNLEPTALADEALTNETMAPVISNNTHIENYNNSRAHNK RRRRRRRSSEHKTSKLHVNNIIEPEVPATDFFEDTVSSLTEYMCDYKNTNNDRLIYQA EKRVLESIHDRKGIPRSKFSTETHWELCFTIKNSETPLGNHAARRNDTGISSLEQDEV ENDVDTELYVGENAKEDEDEDEDFTLDDDIEDEQISEENDEEEDTYEEDSDDDEDGKR KGQEQDENDIESHIRKERVRKRRKITLIEDDEE YBL051C METSSFENAPPAAINDAQDNNINTETNDQETNQQSIETRDAIDK ENGVQTETGENSAKNAEQNVSSTNLNNAPTNGALDDDVIPNAIVIKNIPFAIKKEQLL DIIEEMDLPLPYAFNYHFDNGIFRGLAFANFTTPEETTQVITSLNGKEISGRKLKVEY KKMLPQAERERIEREKREKRGQLEEQHRSSSNLSLDSLSKMSGSGNNNTSNNQLFSTL MNGINANSMMNSPMNNTINNNSSNNNNSGNIILNQPSLSAQHTSSSLYQTNVNNQAQM STERFYAPLPSTSTLPLPPQQLDFNDPDTLEIYSQLLLFKDREKYYYELAYPMGISAS HKRIINVLCSYLGLVEVYDPRFIIIRRKILDHANLQSHLQQQGQMTSAHPLQPNSTGG SMNRSQSYTSLLQAHAAAAANSISNQAVNNSSNSNTINSNNGNGNNVIINNNSASSTP KISSQGQFSMQPTLTSPKMNIHHSSQYNSADQPQQPQPQTQQNVQSAAQQQQSFLRQQ ATLTPSSRIPSGYSANHYQINSVNPLLRNSQISPPNSQIPINSQTLSQAQPPAQSQTQ QRVPVAYQNASLSSQQLYNLNGPSSANSQSQLLPQHTNGSVHSNFSYQSYHDESMLSA HNLNSADLIYKSLSHSGLDDGLEQGLNRSLSGLDLQNQNKKNLW YBL050W MSDPVELLKRAEKKGVPSSGFMKLFSGSDSYKFEEAADLCVQAA TIYRLRKELNLAGDSFLKAADYQKKAGNEDEAGNTYVEAYKCFKSGGNSVNAVDSLEN AIQIFTHRGQFRRGANFKFELGEILENDLHDYAKAIDCYELAGEWYAQDQSVALSNKC FIKCADLKALDGQYIEASDIYSKLIKSSMGNRLSQWSLKDYFLKKGLCQLAATDAVAA ARTLQEGQSEDPNFADSRESNFLKSLIDAVNEGDSEQLSEHCKEFDNFMRLDKWKITI LNKIKESIQQQEDDLL YBL049W MGLRYSIYIENPLSSPSSSYKSINDPLFHSQHRSQKNVSFITYG CRHCKTHLSSSFQIISRDYRGRTGTAYLMNKVVNVVEGKVEQRRMLTGDYLVCDILCH WCKRNVGWKYLQSSNDDQQYKEGKFILELKNICKCT YBL048W MILFKNLVFLPSILIGYISIRVSLLVWVNWVLVWSSCFQVAFIF SLWYFILSIYTFFYSKKIKQIISYEPSYFVFSYRAIDLCPERVLLYFFCIFNNVVFPM L YBL047C MASITFRTPLSSQEQAFYNQKFHQLDTEDLGVVTGEAVRPLFAS SGLPGQLLSQVWATVDIDNKGFLNLNEFSAALRMIAQLQNAPNQPISAALYESTPTQL ASFSINQNPAPMQSGSATGNTNNTDIPALSSNDIAKFSQLFDRTAKGAQTVAGDKAKD IFLKARLPNQTLGEIWALCDRDASGVLDKSEFIMAMYLIQLCMSHHPSMNTPPAVLPT QLWDSIRLEPVVVNQPNRTTPLSANSTGVSSLTRHSTISRLSTGAFSNAASDWSLSFE KKQQFDAIFDSLDKQHAGSLSSAVLVPFFLSSRLNQETLATIWDLADIHNNAEFTKLE FAIAMFLIQKKNAGVELPDVIPNELLQSPALGLYPPNPLPQQQSAPQIAIPSRASKPS LQDMPHQVSAPAVNTQPTVPQVLPQNSNNGSLNDLLALNPSFSSPSPTKAQTVVQNNT NNSFSYDNNNGQATLQQQQPQQPPPLTHSSSGLKKFTPTSNFGQSIIKEEPEEQEQLR ESSDTFSAQPPPVPKHASSPVKRTASTTLPQVPNFSVFSMPAGAATSAATGAAVGAAV GAAALGASAFSRSSNNAFKNQDLFADGEASAQLSNATTEMANLSNQVNSLSKQASITN DKKSRATQELKRVTEMKNSIQIKLNNLRSTHDQNVKQTEQLEAQVLQVNKENETLAQQ LAVSEANYHAAESKLNELTTDLQESQTKNAELKEQITNLNSMTASLQSQLNEKQQQVK QERSMVDVNSKQLELNQVTVANLQKEIDGLGEKISVYLTKQKELNDYQKTVEEQHAQL QAKYQDLSNKDTDLTDREKQLEERNRQIEEQENLYHQHVSKLQEMFDDLSQRKASFEK ADQELKERNIEYANNVRELSERQMNLAMGQLPEDAKDIIAKSASNTDTTTKEATSRGN VHEDTVSKFVETTVENSNLNVNRVKDDEEKTERTESDVFDRDVPTLGSQSDSENANTN NGTQSGNETANPNLTETLSDRFDGDLNEYGIPRSQSLTSSVANNAPQSVRDDVELPET LEERDTINNTANRDNTGNLSHIPGEWEATPATASTDVLSNETTEVIEDGSTTKRANSN EDGESVSSIQESPKISAQPKAKTINEEFPPIQELHIDESDSSSSDDDEFEDTREIPSA TVKTLQTPYNAQPTSSLEIHTEQVIKYPAPGTSPSHNEGNSKKASTNSILPVKDEFDD EFAGLEQAAVEEDNGADSESEFENVANAGSMEQFETIDHKDLDDELQMNAFTGTLTSS SNPTIPKPQVQQQSTSDPAQVSNDEWDEIFAGFGNSKAEPTKVATPSIPQQPIPLKND PIVDASLSKGPIVNRGVATTPKSLAVEELSGMGFTEEEAHNALEKCNWDLEAATNFLL DSA YBL046W MSSTMLDDVDNNMMGIKSISLYELLSDVVKQGDKTRLVTAGPEQ VLPDLIRHITETIPFDLFINLKNEMNDARNLVTRLNWLGKFLNDNFLQNHTFPFTILR ICELCYDPFKYYKINELEKFVNALEKCCMVTSSWQVFDKTHGEKQEDDKEKDINFIKN QEDVSLMKIPWMTENNTRELAPFIREIDSIMSVNLGYDDEDEEEGFFDGDEDREMGNK SKRNVLLKDENFMVEEYYEDDCGINDDNSDNKGQNCQSDVTKNNSDDEDDDDNDDDYR EDGADEDDEDDDHMGSTDDDEDDDEDRQAGESTKVQNFDKKNETPRKRKPTDLDNFEY DESPSFTNMDLTTPKKYKHTATGRFSIIESPSSSLLNAMDGSNEISSSQEEEKEDAHE NHEGRSEGLLPGDELVSPSMSSSQEDKMVAIAGITYRENISSPLGKKSR YBL045C MLRTVTSKTVSNQFKRSLATAVATPKAEVTQLSNGIVVATEHNP SAHTASVGVVFGSGAANENPYNNGVSNLWKNIFLSKENSAVAAKEGLALSSNISRDFQ SYIVSSLPGSTDKSLDFLNQSFIQQKANLLSSSNFEATKKSVLKQVQDFEENDHPNRV LEHLHSTAFQNTPLSLPTRGTLESLENLVVADLESFANNHFLNSNAVVVGTGNIKHED LVNSIESKNLSLQTGTKPVLKKKAAFLGSEVRLRDDTLPKAWISLAVEGEPVNSPNYF VAKLAAQIFGSYNAFEPASRLQGIKLLDNIQEYQLCDNFNHFSLSYKDSGLWGFSTAT RNVTMIDDLIHFTLKQWNRLTISVTDTEVERAKSLLKLQLGQLYESGNPVNDANLLGA EVLIKGSKLSLGEAFKKIDAITVKDVKAWAGKRLWDQDIAIAGTGQIEGLLDYMRIRS DMSMMRW YBL044W MGEMLERQKKRLPSKAKYLKYTASITETGNHEADSSVIFRPHHS DVTCSNARRAESRTLPQICSCILLDHGRRTRPEVRTGMVSLHGSFKGFPCFGIRRGIS HVLPGQKLRGSCDNWKKRQN YBL043W MNSTTPSIQDQYILASKVRSKLAKCVSVTTKNKDYNLRVLVGHA NLLDKITENVETHNAATNALAGDPFSKGPENLSIEHIELSNANASKNDVGKEENAEKT IESEDYCDFYSSDEDPDADTLSSTDSEDDDDYEDYDFEYDYSGGDYNKKIDMYFSFHT APNYQYLTHTNSHSEQTDELAESTPRYNALPATASTTEEEQDTETLDAVSLHSSAPIF RVLSRRVNGQEDDSENESSSDVDDGSVPLTRFHSCPITA YBL042C MPVSDSGFDNSSKTMKDDTIPTEDYEEITKESEMGDATKITSKI DANVIEKKDTDSENNITIAQDDEKVSWLQRVVEFFEVKNDSTDLADHKPENPIRTFKD LQESLRSTYLYNTDLRPVEAKRRTWTWKQYIFFWISGSFNVNTWQISATGLQLGLNWW QTWICIWVGYTFVAFFLILGSKVGNNYHISFPISSRVSFGIYFSIWIVINRVVMACVW NSTLAYIGSQCVQLMLKAIFGTNLNTRIKDTIKNPNLTNFEFMCFMVFWVACLPFLWF PPDKLRHIFALKSAITPFAAFGFLIWTLCKAKGHLALGSLNDNGGAISKTVLAWSVIR AIMSALDNFSTLILNAPDFTRFGKTYKSSVYSQLIALPVCYAIISLIGILSVSAAYTL YGVNYWSPLDILNRYLDNYTSGNRAGVFLISFIFAFDQLGANLSGNSIPAGTDLTALL PKFINIRRGSYICALISLAICPWDLLSSSSKFTTALAAYAVFLSAIAGVISADYFIVR KGYVNIFHCYTDKPGSYYMYNKYGTNWRAVVAYIFGIAPNFAGFLGSVGVSVPIGAMK VYYLNYFVGYLLAALSYCILVYFYPIKGIPGDAKITDRKWLEEWVEVEEFGTEREAFE EYGGVSTGYEKIRYI YBL041W MATIASEYSSEASNTPIEHQFNPYGDNGGTILGIAGEDFAVLAG DTRNITDYSINSRYEPKVFDCGDNIVMSANGFAADGDALVKRFKNSVKWYHFDHNDKK LSINSAARNIQHLLYGKRFFPYYVHTIIAGLDEDGKGAVYSFDPVGSYEREQCRAGGA AASLIMPFLDNQVNFKNQYEPGTNGKVKKPLKYLSVEEVIKLVRDSFTSATERHIQVG DGLEILIVTKDGVRKEFYELKRD YBL040C MNPFRILGDLSHLTSILILIHNIKTTRYIEGISFKTQTLYALVF ITRYLDLLTFHWVSLYNALMKIFFIVSTAYIVVLLQGSKRTNTIAYNEMLMHDTFKIQ HLLIGSALMSVFFHHKFTFLELAWSFSVWLESVAILPQLYMLSKGGKTRSLTVHYIFA MGLYRALYIPNWIWRYSTEDKKLDKIAFFAGLLQTLLYSDFFYIYYTKVIRGKGFKLP K YBL039W-B MGFFNNNPVIEFFHRITRKPSTIAMWVFAGLICSSTFYLMFMSS PTIDFNSKSKKKNDK YBL039C MKYVVVSGGVISGIGKGVLASSTGMLMKTLGLKVTSIKIDPYMN IDAGTMSPLEHGECFVLDDGGETDLDLGNYERYLGVTLTKDHNITTGKIYSHVIAKER KGDYLGKTVQIVPHLTNAIQDWIERVAKIPVDDTGMEPDVCIIELGGTVGDIESAPFV EALRQFQFKVGKENFALIHVSLVPVIHGEQKTKPTQAAIKGLRSLGLVPDMIACRCSE TLDKPTIDKIAMFCHVGPEQVVNVHDVNSTYHVPLLLLEQKMIDYLHARLKLDEISLT EEEKQRGLELLSKWKATTGNFDESMETVKIALVGKYTNLKDSYLSVIKALEHSSMKCR RKLDIKWVEATDLEPEAQESNKTKFHEAWNMVSTADGILIPGGFGVRGTEGMVLAARW ARENHIPFLGVCLGLQIATIEFTRSVLGRKDSHSAEFYPDIDEKNHVVVFMPEIDKET MGGSMRLGLRPTFFQNETEWSQIKKLYGDVSEVHERHRHRYEINPKMVDELENNGLIF VGKDDTGKRCEILELKNHPYYIATQYHPEYTSKVLDPSKPFLGLVAASAGILQDVIEG KYDLEAGENKFNF YBL038W MFPYLTRMNLSIKMGGLTLKESSPNAFLNNTTIARRFKHEYAPR FKIVQKKQKGRVPVRTGGSIKGSTLQFGKYGLRLKSEGIRISAQQLKEADNAIMRYVR PLNNGHLWRRLCTNVAVCIKGNETRMGKGKGGFDHWMVRVPTGKILFEINGDDLHEKV AREAFRKAGTKLPGVYEFVSLDSLVRVGLHSFKNPKDDPVKNFYDENAKKPSKKYLNI LKSQEPQYKLFRGR YBL037W MDRKKTLINSSVSNNNSTIKGLQLFIADLRSAQQAQEQEKRIQS EIVKIKQHFDAAKKKQGNHDRLGGYQRKKYVAKLAYIYITSNTTKLNEILFGLEQTVE LLKSSIFSEKFIGYMTLELLYERSEVVAKVNDEVNYQLMKDLSSSDDNFVMLALNFVG VVGELTNRLAYNDDITTGVFKILRSPTSSIYLKKKSALSFLALLKSNHSILTEDLQRK QLWIQRILSLLDDTENYRLTLATIPLIEFIAKYIDPSYCTRLLPQLTEILYNCVVVGT SRSSDNQFPLEYTFANMPNPWLITKVVSLLSILIASPTERDSGSLLQTNNIDNELLNK LRKCVSVAIELGTRQAQDPMERIVQNTVLFSLINFASKLDPSDEAISNSVTALCSLLT SKEINIRYLTLDSLVKLCSSSGKPAIDAVRYKNLDMIFHLLNTERDSSIVRKVVDLLY TFTDVENVKIIVDGLLQYILSPKNLAEPQIKSDIAVKIAILTEKYATDINWFVIISLQ LLSLTSNTTINDDEIWQRLCQIVVNNPSLHRITCERLVDYLCKKQASEAIIKAAAFLL GEYSSLITDRISSANLFTLFAEKYFSAPNVAKAMILTTMIKLYKTSPEIGSNVIKFFQ LELNSLDIELQTRSFEYLNIIQLAKVNGNTDILQILFEPMPPFNSKSNPLLKRLGSLP ASAGSTTLINTPSEASSSTPDLLSKRANSSRSIMVPMPPPSRRNTIDDVNSKISSSED FSGKDSYYSRQILAPNWREGFTRMISHKQGVLFTSSLMKVFYRITTPDAQQPYVFHIS LAFINLTEWEITGLSTQIIPSKTQGNPEYLIMNINTPSTATIGPHKRAEQSYEVSIRK PFDVEDSPILAIHFKCGGSTNTINLKTAIGMTTTLISSDVNPSMHLNLAQFISRWKTL SDALGKEGEYQKSGIKLNKDFRKVETISLEDGLLLLTQTVKRLGFDIVDQTSVRSTLF VSGIIHTKSEGNFGCLMKIQYQVNGTVNVTCKTTTAGPLAKYIVECIKNVLTK YBL036C MSTGITYDEDRKTQLIAQYESVREVVNAEAKNVHVNENASKILL LVVSKLKPASDIQILYDHGVREFGENYVQELIEKAKLLPDDIKWHFIGGLQTNKCKDL AKVPNLYSVETIDSLKKAKKLNESRAKFQPDCNPILCNVQINTSHEDQKSGLNNEAEI FEVIDFFLSEECKYIKLNGLMTIGSWNVSHEDSKENRDFATLVEWKKKIDAKFGTSLK LSMGMSADFREAIRQGTAEVRIGTDIFGARPPKNEARII YBL035C MSGSIDVITHFGPDADKPEIITALENLTKLHALSVEDLYIKWEQ FSNQRRQTHTDLTSKNIDEFKQFLQLQMEKRANQISSSSKVNTSTKKPVIKKSLNSSP LFGLSIPKTPTLKKRKLHGPFSLSDSKQTYNVGSEAETNEKGNSSLKLEFTPGMAEDA VGDSAPLSHAKSSDAKTPGSSTFQTPTTNTPTTSRQNVPAGEILDSLNPENIEISSGN PNVGLLSTEEPSYNQVKVEPFYDAKKYKFRTMRQNLQEASDVLDDQIESFTKIIQNHY KLSPNDFADPTIQSQSEIYAVGRIVPDSPTYDKFLNPESLSLETSRMGGVGRRVRLDL SQVNELSFFLGQIVAFKGKNANGDYFTVNSILPLPYPNSPVSTSQELQEFQANLEGSS LKVIVTCGPYFANDNFSLELLQEFIDSINNEVKPHVLIMFGPFIDITHPLIASGKLPN FPQFKTQPKTLDELFLKLFTPILKTISPHIQTVLIPSTKDAISNHAAYPQASLIRKAL QLPKRNFKCMANPSSFQINEIYFGCSNVDTFKDLKEVIKGGTTSSRYRLDRVSEHILQ QRRYYPIFPGSIRTRIKPKDVSTKKETNDMESKEEKVYEHISGADLDVSYLGLTEFVG GFSPDIMIIPSELQHFARVVQNVVVINPGRFIRATGNRGSYAQITVQCPDLEDGKLTL VEGEEPVYLHNVWKRARVDLIAS YBL034C MSSFNNETNNNSNTNTHPDDSFPLYTVFKDESVPIEEKMALLTR FKGHVKKELVNESSIQAYFAALLFISGHYAYRSYPRLIFLSHSSLCYLIKRVAMQSPV QFNDTLVEQLLNHLIFELPNEKKFWLASIKAIEAIYLVNPSKIQAILANFLRRPSENQ NGDYLNRIKSTLLTIDELIQINEKNNSNHLQLLRFFMLSFTNLLNNNLNEHANDDNNN VIIELIFDIMYKYLKMDDENSQDLIDGFINDLEVEKFKQKFISLAKSQDQHGSQEDKS TLFDEEYEFQLLLAEAKLPQLSNNLSSKDPAMKKNYESLNQLQQDLENLLAPFQSVKE TEQNWKLRQSNIIELDNIISGNIPKDNPEEFVTVIKEVQLIELISRATSSLRTTLSLT ALLFLKRLIHILNDQLPLSILDQIFVIFKNLLSSTKKISSQTAFHCLITLIIDINHFH NKLFQLSFLLINEKTVTPRFCSAILLRSFLIKFNDSNLSLNNSNTTSPTSKLENNIIY IEEWLKKGISDSQTTVREAMRLTFWYFYKCYPTNAKRLLSSSFSPQLKKATELAIPAH LNINYQVSRVSSTASASSATSRLYSHSSNNSSRKTSLLEQKRNYPSYAQPTQSSSTSL LNAPAVTAGGSVIASKLSNKLKTNLRSTSEYSSKENEKRARHHDSMNSVSNSNTKDNN NVTKRKVSAPPSSTAATKVSENYTNFDDFPSNQIDLTDELSNSYSNPLIKKYMDKNDV SMSSSPISLKGSNKLGEYETLYKKFNDASFPAQIKDALQYLQKELLLTSQQSSSAPKF EFPMIMKKLRQIMIKSPNDFKPFLSIEKFTNGVPLNYLIELYSINSFDYAEILKNRMN PEKPYELTNLIITIADLFNFLNANNCPNDFKLYYMKYKTTFFNYNFKLLLEIFRNLNI KHDNTLRSGTNDLMPKISMILFQIYGKEFDYTCYFNLIFEIYKFDNNRFNKLLADFDI VSTKMKICHELEKKDANFKVEDIISRESSVSFTPIDNKKSEGDEESDDAVDENDVKKC MEMTMINPFKNLETDKTLELKNNVGKRTSSTDSVVIHDDNDKDKKLSEMTKIVSVYQL DQPNPAKEEDDIDMENSQKSDLNLSEIFQNSGENTERKLKDDNEPTVKFSTDPPKIIN EPEKLIGNGNENEKPDLETMSPIKINGDENMGQKQRITVKRERDVALTEQDINSKKMK LVNNKKSEKMHLLIMDNFPRDSLTVYEISHLLMVDSNGNTLMDFDVYFNHMSKAINRI KSGSFTMKHINYLIEPLITCFQNQKMTDWLTNENGFDELLDVAIMLLKSTDDTPSIPS KISSKSIILVHCLLVWKKFLNTLSENADDDGVSVRMCFEEVWEQILLMLNKFSDYGNE IYKLAQEFRDSLMLSHFFKKHSATRILSMLVTEIQPDTAGVKETFLIETLWKMLQSPT ICQQFKKSNISEIIQTMSYFIMGTDNTSWNFTSAVVLARCLRVLQTTPDYTEQETERL FDCLPKNVFKMIMFIASNE YBL033C MTIDNYDNSKQDSSKYEVSGTGDGRNGDGGLPLVQCVARARIPT TQGPDIFLHLYSNNRDNKEHLAIVFGEDIRSRSLFRRRQCETQQDRMIRGAYIGKLYP GRTVADEDDRLGLALEFDDSTGELLASKATTWDAHNDTLVRIHSECYTGENAWSARCD CGEQFDRAGRLIACDHEPTSNIKGGNGHGVIVYLRQEGRGIGLGEKLKAYNLQDLGAD TVQANLMLKHPVDARDFSLGKAILLDLGIGNVRLLTNNPEKIKQVDHAPYLKCVERVP MVPIHWTNSSEGIDSKEIEGYLRTKIERMGHLLTEPLKLHTNPQPTETSEAQNQNRMN SALSSTSTLAI YBL032W MSQFFEAATPVAIPTNNTNGGSSDAGSAATGGAPVVGTTAQPTI NHRLLLSLKEAAKIIGTKGSTISRIRAANAVKIGISEKVPGCSDRILSCAGNVINVAN AIGDIVDVLNKRNPENEDAAEGEAEEHYYFHFLNHILPAPSKDEIRDLQQLEDIGYVR LIVANSHISSIIGKAGATIKSLINKHGVKIVASKDFLPASDERIIEIQGFPGSITNVL IEISEIILSDVDVRFSTERSYFPHLKKSSGEPTSPSTSSNTRIELKIPELYVGAIIGR GMNRIKNLKTFTKTNIVVERKDDDDKDENFRKFIITSKFPKNVKLAESMLLKNLNTEI EKRENYKRKLEAAEGDATVVTERSDSASFLEEKEEPQENHDNKEEQS YBL031W MNDKLQEEHNEKDTTSQINGFTPPHMSIDFHSNNNSNIIETIGV SKRLGNSVLSELDSRASSKFEFLKDQSEQQYNGDKNNEPKSGSYNINEFFQAKHDSQF GQMESLDTHYTLLHTPKRKSQHAIPQDRSDSMKRSRPSRSIPYTTPVVNDITRRIRRL KLRNSLVNGNDIVARARSMQANSNINSIKNTPLSKPKPFMHKPNFLMPTTNSLNKINS AHRNTSSSSTASSIPRSKVHRSISIRDLHAKTKPVERTPVAQGTNSQLKNSVSVFDRL YKQTTFSRSTSMNNLSSGTSAKSKEHTNVKTRLVKSKTSGSLSSNLKQSTATGTKSDR PIWR YBL030C MSSNAQVKTPLPPAPAPKKESNFLIDFLMGGVSAAVAKTAASPI ERVKLLIQNQDEMLKQGTLDRKYAGILDCFKRTATQEGVISFWRGNTANVIRYFPTQA LNFAFKDKIKAMFGFKKEEGYAKWFAGNLASGGAAGALSLLFVYSLDYARTRLAADSK SSKKGGARQFNGLIDVYKKTLKSDGVAGLYRGFLPSVVGIVVYRGLYFGMYDSLKPLL LTGSLEGSFLASFLLGWVVTTGASTCSYPLDTVRRRMMMTSGQAVKYDGAFDCLRKIV AAEGVGSLFKGCGANILRGVAGAGVISMYDQLQMILFGKKFK YBL029C-A MSFIPIVCGMKSFDSSYDTVPGHQNLYCPNCHNYSVGPIKRKEF FTIWFIPLVPVFWGKQLHCPICNWRQDFKNDEQLNKVIQEQQNLRQKQPN YBL029W MCANIPEFDSFYENENINYNLESFAPLNCDVNSPFLPINNNDIN VNAYGDENLTYSNFLLSYNDKLATTTAKNNSINNSNSNNNSNNNKNNNNNHNNNNLLG NDISQMAFLLDYPSTLNEPQFAVNCKDIYRKDISTPSSLVSSLPPAKFSLSLSNSPSP PPPSSSSLKHGEAIISNTSESSDIFADPNSFEKDTMPLTQELTLENLNNQLNYPDFTI NAIEQDPAPSSFSSSSSSSESTVSSSRKRKPCHDSYTHSSPSSSESKKISDSRLSAEG LAKVLNLESPEEALKRERFILGIFQNELNYPLGYKTWIRDTTKEYRTKLINQLHERVK VKYPEYNQSILETIIRRGTYYMMQSRLRRERRMKLKERKRTT YBL028C MAKSLRASSHLNAKSVKRRGVFQKAVDAREQRISDKLKEDLLKQ KLEDLKKKEEQGIDMDVDEKKSNEEAPRKKISTSGWRDGRHHTYKKAKLMKQSKKKTS FTRF YBL027W MANLRTQKRLAASVVGVGKRKVWLDPNETSEIAQANSRNAIRKL VKNGTIVKKAVTVHSKSRTRAHAQSKREGRHSGYGKRKGTREARLPSQVVWIRRLRVL RRLLAKYRDAGKIDKHLYHVLYKESKGNAFKHKRALVEHIIQAKADAQREKALNEEAE ARRLKNRAARDRRAQRVAEKRDALLKEDA YBL026W MLFFSFFKTLVDQEVVVELKNDIEIKGTLQSVDQFLNLKLDNIS CTDEKKYPHLGSVRNIFIRGSTVRYVYLNKNMVDTNLLQDATRREVMTERK YBL025W MDRNVYEACSNIIKEFGTHVVSADEVLAEKIDNAVPIPFKTREE IDADVEKDRNEGVFEGNIIPDIDLRVVHYYATQLCLNKYPHLINAFDETSLITLGLLI EKWVKDYLTSIQTEQGRQSKVIGKGPCEFISKHIDYRHAPGNI YBL024W MARRKNFKKGNKKTFGARDDSRAQKNWSELVKENEKWEKYYKTL ALFPEDQWEEFKKTCQAPLPLTFRITGSRKHAGEVLNLFKERHLPNLTNVEFEGEKIK APVELPWYPDHLAWQLDVPKTVIRKNEQFAKTQRFLVVENAVGNISRQEAVSMIPPIV LEVKPHHTVLDMCAAPGSKTAQLIEALHKDTDEPSGFVVANDADARRSHMLVHQLKRL NSANLMVVNHDAQFFPRIRLHGNSNNKNDVLKFDRILCDVPCSGDGTMRKNVNVWKDW NTQAGLGLHAVQLNILNRGLHLLKNNGRLVYSTCSLNPIENEAVVAEALRKWGDKIRL VNCDDKLPGLIRSKGVSKWPVYDRNLTEKTKGDEGTLDSFFSPSEEEASKFNLQNCMR VYPHQQNTGGFFITVFEKVEDSTEAATEKLSSETPALESEGPQTKKIKVEEVQKKERL PRDANEEPFVFVDPQHEALKVCWDFYGIDNIFDRNTCLVRNATGEPTRVVYTVCPALK DVIQANDDRLKIIYSGVKLFVSQRSDIECSWRIQSESLPIMKHHMKSNRIVEANLEML KHLLIESFPNFDDIRSKNIDNDFVEKMTKLSSGCAFIDVSRNDPAKENLFLPVWKGNK CINLMVCKEDTHELLYRIFGIDANAKATPSAEEKEKEKETTESPAETTTGTSTEAPSA AN YBL023C MSDNRRRRREEDDSDSENELPPSSPQQHFRGGMNPVSSPIGSPD MINPEGDDNEVDDVPDIDEVEEQMNEVDLMDDNMYEDYAADHNRDRYDPDQVDDREQQ ELSLSERRRIDAQLNERDRLLRNVAYIDDEDEEQEGAAQLDEMGLPVQRRRRRRQYED LENSDDDLLSDMDIDPLREELTLESLSNVKANSYSEWITQPNVSRTIARELKSFLLEY TDETGRSVYGARIRTLGEMNSESLEVNYRHLAESKAILALFLAKCPEEMLKIFDLVAM EATELHYPDYARIHSEIHVRISDFPTIYSLRELRESNLSSLVRVTGVVTRRTGVFPQL KYVKFNCLKCGSILGPFFQDSNEEIRISFCTNCKSKGPFRVNGEKTVYRNYQRVTLQE APGTVPPGRLPRHREVILLADLVDVSKPGEEVEVTGIYKNNYDGNLNAKNGFPVFATI IEANSIKRREGNTANEGEEGLDVFSWTEEEEREFRKISRDRGIIDKIISSMAPSIYGH RDIKTAVACSLFGGVPKNVNGKHSIRGDINVLLLGDPGTAKSQILKYVEKTAHRAVFA TGQGASAVGLTASVRKDPITKEWTLEGGALVLADKGVCLIDEFDKMNDQDRTSIHEAM EQQSISISKAGIVTTLQARCSIIAAANPNGGRYNSTLPLAQNVSLTEPILSRFDILCV VRDLVDEEADERLATFVVDSHVRSHPENDEDREGEELKNNGESAIEQGEDEINEQLNA RQRRLQRQRKKEEEISPIPQELLMKYIHYARTKIYPKLHQMDMDKVSRVYADLRRESI STGSFPITVRHLESILRIAESFAKMRLSEFVSSYDLDRAIKVVVDSFVDAQKVSVRRQ LRRSFAIYTLGH YBL022C MLRTRTTKTLSTVARTTRAIQYYRSIAKTAAVSQRRFASTLTVR DVENIKPSHIIKSPTWQEFQHQLKDPRYMEHFAQLDAQFARHFMATNSGKSILAKDDS TSQKKDEDVKIVPDEKDTDNDVEPTRDDEIVNKDQEGEASKNSRSSASGGGQSSSSRS DSGDGSSKQKPPKDVPEVYPQMLALPIARRPLFPGFYKAVVISDERVMKAIKEMLDRQ QPYIGAFMLKNSEEDTDVITDKNDVYDVGVLAQITSAFPSKDEKTGTETMTALLYPHR RIKIDELFPPNEEKEKSKEQAKDTDTETTVVEDANNPEDQESTSPATPKLEDIVVERI PDSELQHHKRVEATEEESEELDDIQEGEDINPTEFLKNYNVSLVNVLNLEDEPFDRKS PVINALTSEILKVFKEISQLNTMFREQIATFSASIQSATTNIFEEPARLADFAAAVSA GEEDELQDILSSLNIEHRLEKSLLVLKKELMNAELQNKISKDVETKIQKRQREYYLME QLKGIKRELGIDDGRDKLIDTYKERIKSLKLPDSVQKIFDDEITKLSTLETSMSEFGV IRNYLDWLTSIPWGKHSKEQYSIPRAKKILDEDHYGMVDVKDRILEFIAVGKLLGKVD GKIICFVGPPGVGKTSIGKSIARALNRKFFRFSVGGMTDVAEIKGHRRTYIGALPGRV VQALKKCQTQNPLILIDEIDKIGHGGIHGDPSAALLEVLDPEQNNSFLDNYLDIPIDL SKVLFVCTANSLETIPRPLLDRMEVIELTGYVAEDKVKIAEQYLVPSAKKSAGLENSH VDMTEDAITALMKYYCRESGVRNLKKHIEKIYRKAALQVVKKLSIEDSPTSSADSKPK ESVSSEEKAENNAKSSSEKTKDNNSEKTSDDIEALKTSEKINVSISQKNLKDYVGPPV YTTDRLYETTPPGVVMGLAWTNMGGCSLYVESVLEQPLHNCKHPTFERTGQLGDVMKE SSRLAYSFAKMYLAQKFPENRFFEKASIHLHCPEGATPKDGPSAGVTMATSFLSLALN KSIDPTVAMTGELTLTGKVLRIGGLREKAVAAKRSGAKTIIFPKDNLNDWEELPDNVK EGLEPLAADWYNDIFQKLFKDVNTKEGNSVWKAEFEILDAKKEKD YBL021C MNTNESEHVSTSPEDTQENGGNASSSGSLQQISTLREQDRWLPI NNVARLMKNTLPPSAKVSKDAKECMQECVSELISFVTSEASDRCAADKRKTINGEDIL ISLHALGFENYAEVLKIYLAKYRQQQALKNQLMYEQDDEEVP YBL020W MAKKNSQLPSTSEQILERSTTGATFLMMGQLFTKLVTFILNNLL IRFLSPRIFGITAFLEFIQGTVLFFSRDAIRLSTLRISDSGNGIIDDDDEEEYQETHY KSKVLQTAVNFAYIPFWIGFPLSIGLIAWQYRNINAYFITLPFFRWSIFLIWLSIIVE LLSEPFFIVNQFMLNYAARSRFESIAVTTGCIVNFIVVYAVQQSRYPMGVVTSDIDKE GIAILAFALGKLAHSITLLACYYWDYLKNFKPKKLFSTRLTKIKTRENNELKKGYPKS TSYFFQNDILQHFKKVYFQLCFKHLLTEGDKLIINSLCTVEEQGIYALLSNYGSLLTR LLFAPIEESLRLFLARLLSSHNPKNLKLSIEVLVNLTRFYIYLSLMIIVFGPANSSFL LQFLIGSKWSTTSVLDTIRVYCFYIPFLSLNGIFEAFFQSVATGDQILKHSYFMMAFS GIFLLNSWLLIEKLKLSIEGLILSNIINMVLRILYCGVFLNKFHRELFTDSSFFFNFK DFKTVIIAGSTICLLDWWFIGYVKNLQQFVVNVLFAMGLLALILVKERQTIQSFINKR AVSNSKDV YBL019W MSSSENTLLDGKSENTIRFLTFNVNGIRTFFHYQPFSQMNQSLR SVFDFFRADIITFQELKTEKLSISKWGRVDGFYSFISIPQTRKGYSGVGCWIRIPEKN HPLYHALQVVKAEEGITGYLTIKNGKHSAISYRNDVNQGIGGYDSLDPDLDEKSALEL DSEGRCVMVELACGIVIISVYCPANSNSSEEGEMFRLRFLKVLLRRVRNLDKIGKKIV LMGDVNVCRDLIDSADTLEQFSIPITDPMGGTKLEAQYRDKAIQFIINPDTPHRRIFN QILADSLLPDASKRGILIDTTRLIQTRNRLKMYTVWNMLKNLRPSNYGSRIDFILVSL KLERCIKAADILPDILGSDHCPVYSDLDILDDRIEPGTTQVPIPKFEARYKYNLRNHN VLEMFAKKDTNKESNKQKYCVSKVMNTKKNSNIKNKSLDSFFQKVNGEKDDRIKESSE IPQQAKKRISTPKLNFKDVFGKPPLCRHGEESMLKTSKTSANPGRKFWICKRSRGDSN NTESSCGFFQWV YBL018C MGKKTFREWQYFKLSITSFDQDVDDAHAIDQMTWRQWLNNALKR SYGIFGEGVEYSFLHVDDKLAYIRVNHADKDTFSSSISTYISTDELVGSPLTVSILQE SSSLRLLEVTDDDRLWLKKVMEEEEQDCKCI YBL017C MILLHFVYSLWALLLIPLTNAEEFTPKVTKTIAQDSFDILSFDD SNTLIRKQDTSVTISFDDGETWEKVEGIEGEITWIYIDPFNRHDRAVATAMNGSYLYI TNDQGKSWERITLPDSGESISPRECYIETHPLNKNYFLAKCNYCEKTEVNNDNEENSG DEEGQFEIFNITRCTDKVFASNDGGKSFSEIKSSLERNENSPISISDCGFAKTSKDSD LESSDTSIICLFQNMQLIMDEFSSPYTESKLVLTTDWGKSLKEFDQFKDKVVNGYRIL KSHMVVLTQGDRYNDMSSMDVWVSNDLSNFKMAYMPTQLRHSMQGEIYEDAMGRIILP MSRERSDQEEDKGIVSEILISDSQGLKFSPIPWTANEVFGYINFYQPTYLKGTMIASL YPLSRRRNRKGKAKGVKSKGVTKISVDNGLTWTMLKVVDPDNADSFDCDITDFENCSL QNMFYTREGSTPTAGILMTTGIVGDGSVFDWGDQRTFISRDGGLTWKLAFDFPCLYAV GDYGNVIVAIPYNADEDDDPQSEFYYSLDQGKTWTEYQLETTIYPNEVMNTTPDGSGA KFILNGFTLAHMDGTTNFIYAIDFSTAFNDKTCEENDFEDWNLAEGKCVNGVKYKIRR RKQDAQCLVKKVFEDLQLFETACDKCTEADYECAFEFVRDATGKCVPDYNLIVLSDVC DKTKKKTVPVKPLQLVKGDKCKKPMTVKSVDISCEGVPKKGTNDKEIVVTENKFDFKI QFYQYFDTVTDESLLMINSRGEAYISHDGGQTIKRFDSNGETIIEVVFNPYYNSSAYL FGSKGSIFSTHDRGYSFMTAKLPEARQLGMPLDFNAKAQDTFIYYGGKNCESILSPEC HAVAYLTNDGGETFTEMLDNAIHCEFAGSLFKYPSNEDMVMCQVKEKSSQTRSLVSST DFFQDDKNTVFENIIGYLSTGGYIIVAVPHENNELRAYVTIDGTEFAEAKFPYDEDVG KQEAFTILESEKGSIFLHLATNLVPGRDFGNLLKSNSNGTSFVTLEHAVNRNTFGYVD FEKIQGLEGIILTNIVSNSDKVAENKEDKQLKTKITFNEGSDWNFLKPPKRDSEGKKF SCSSKSLDECSLHLHGYTERKDIRDTYSSGSALGMMFGVGNVGPNLLPYKECSTFFTT DGGETWAEVKKTPHQWEYGDHGGILVLVPENSETDSISYSTDFGKTWKDYKFCADKVL VKDITTVPRDSALRFLLFGEAADIGGSSFRTYTIDFRNIFERQCDFDITGKESADYKY SPLGSKSNCLFGHQTEFLRKTDENCFIGNIPLSEFSRNIKNCSCTRQDFECDYNFYKA NDGTCKLVKGLSPANAADVCKKEPDLIEYFESSGYRKIPLSTCEGGLKLDAPSSPHAC PGKEKEFKEKYSVSAGPFAFIFISILLIIFFAAWFVYDRGIRRNGGFARFGEIRLGDD GLIENNNTDRVVNNIVKSGFYVFSNIGSLLQHTKTNIAHAISKIRGRFGNRTGPSYSS LIHDQFLDEADDLLAGHDEDANDLSSFMDQGSNFEIEEDDVPTLEEEHTSYTDQPTTT DVPDTLPEGNEENIDRPDSTAPSNENQ YBL016W MPKRIVYNISSDFQLKSLLGEGAYGVVCSATHKPTGEIVAIKKI EPFDKPLFALRTLREIKILKHFKHENIITIFNIQRPDSFENFNEVYIIQELMQTDLHR VISTQMLSDDHIQYFIYQTLRAVKVLHGSNVIHRDLKPSNLLINSNCDLKVCDFGLAR IIDESAADNSEPTGQQSGMTEYVATRWYRAPEVMLTSAKYSRAMDVWSCGCILAELFL RRPIFPGRDYRHQLLLIFGIIGTPHSDNDLRCIESPRAREYIKSLPMYPAAPLEKMFP RVNPKGIDLLQRMLVFDPAKRITAKEALEHPYLQTYHDPNDEPEGEPIPPSFFEFDHY KEALTTKDLKKLIWNEIFS YBL015W MTISNLLKQRVRYAPYLKKVKEAHELIPLFKNGQYLGWSGFTGV GTPKAVPEALIDHVEKNNLQGKLRFNLFVGASAGPEENRWAEHDMIIKRAPHQVGKPI AKAINQGRIEFFDKHLSMFPQDLTYGFYTRERKDNKILDYTIIEATAIKEDGSIVPGP SVGGSPEFITVSDKVIIEVNTATPSFEGIHDIDMPVNPPFRKPYPYLKVDDKCGVDSI PVDPEKVVAIVESTMRDQVPPNTPSDDMSRAIAGHLVEFFRNEVKHGRLPENLLPLQS GIGNIANAVIEGLAGAQFKHLTVWTEVLQDSFLDLFENGSLDYATATSVRLTEKGFDR AFANWENFKHRLCLRSQVVSNNPEMIRRLGVIAMNTPVEVDIYAHANSTNVNGSRMLN GLGGSADFLRNAKLSIMHAPSARPTKVDPTGISTIVPMASHVDQTEHDLDILVTDQGL ADLRGLSPKERAREIINKCAHPDYQALLTDYLDRAEHYAKKHNCLHEPHMLKNAFKFH TNLAEKGTMKVDSWEPVD YBL014C MSEGQIPSSDVLGSQLGVGVQGASLYCPQENYTTKKQEKPQWLR PVDDTLAEDALDLHIVVKSLLCDTAIRYISDDKVLQESDADDDLITSDIDEDTDNQGD TSIVVNPVIPVVPKDVHFFKKVDVGNDSMFGVNCDTPVSFQDYIPSDLLRNLDDTLQE STNSSRPMQDAFFWDPTVANRLDSQYIQTASDLRNYRDGTEIIAYASGKTGSVLNIAV LTRQNTLHLNRHNNVTSIELHSPIKSIKIPGASESIGRRSNLVGIITENSFQIFRIES VHSRSCDVMVSSSEPLYFVEIDDLQVVDFAFNPWDLQQFAIIDIKGNWSIGRIPKNFN NNNKRKLQLIDNLHGTIFDPEELSSWKRIEWFSHFQKILVFDRSKMIEIDFMNNWQTE VVQAKAWSNIRDYKRIDDKNGILLTSREIIIVGASESNDPVRRISWKHDLDPDDTTLR ITVQKVKKPDHILLVAFVYSMRHKRIYMHVFSHRKANLFQSLGCSTVLEIPGGTPTGI ETILTLDHIDDESRREEDADENFELVVDFLVKLRNSSEVYYYALSNTQNSEPNKQETP IIVDHPEWASLFNNADEREKESIGALVSQIKLKERERISRVQNLIEHENSHDEDKYLQ DLGYRLSIATNELLESWQKTKDESILSGSLSHSKLKNLLENSDSFASIPEFSSLLDQF FQYYQDQDVTFIGFEKLLHLFLHEDVPGLDIFYNKLLQCWVLVSPQAELLTKEIVKDI IWSLARLEKPSLFEPIQNEISRSLSGPYQDIISSWDMDDINEEDESNEFNFDSQFSAP FNGRPPFNLNSQSQIPTIKSSQSSGLARRKRILKTQSQKATPLSQSTQNLSVLPDSMT PAFTLMQPPSSQISFVNDSQPRNSQKAKKKKKRIRGFG YBL013W MVKMRRITPTRLLFTCRYISNNASPPVQPLNVLFFGSDTFSNFS LQALNELRQNNGSCGIVDNIQVVTRSPKWCGRQKSILKYPPIFDMAEKLQLPRPITCD TKQEMLALSKLTPSRQGNPENDGSGAPFNAIIAVSFGKLIPGDLIRAVPLALNVHPSL LPRHKGSAPIQRALLEGDTYTGVTIQTLHPDRFDHGAIVAQTEPLAIATMLSKGRVND STADFNSEGLPRRTAILMDQLGALGAQLLGQTLRERLYLPQNRVQAPTAYKPSYAHRI TTEDKRIHWARDSAAELLNKLETLGPLHAFKEATAARKDAQNSVLKRILFHECKVMRD ARLDNGSKPGMFKYDDIKDCILVTCRGNLLLCVSRLQFEGFAVERAGQFMARLRKRCG ALSEKLVFL YBL011W MPAPKLTEKFASSKSTQKTTNYSSIEAKSVKTSADQAYIYQEPS ATKKILYSIATWLLYNIFHCFFREIRGRGSFKVPQQGPVIFVAAPHANQFVDPVILMG EVKKSVNRRVSFLIAESSLKQPPIGFLASFFMAIGVVRPQDNLKPAEGTIRVDPTDYK RVIGHDTHFLTDCMPKGLIGLPKSMGFGEIQSIESDTSLTLRKEFKMAKPEIKTALLT GTTYKYAAKVDQSCVYHRVFEHLAHNNCIGIFPEGGSHDRTNLLPLKAGVAIMALGCM DKHPDVNVKIVPCGMNYFHPHKFRSRAVVEFGDPIEIPKELVAKYHNPETNRDAVKEL LDTISKGLQSVTVTCSDYETLMVVQTIRRLYMTQFSTKLPLPLIVEMNRRMVKGYEFY RNDPKIADLTKDIMAYNAALRHYNLPDHLVEEAKVNFAKNLGLVFFRSIGLCILFSLA MPGIIMFSPVFILAKRISQEKARTALSKSTVKIKANDVIATWKILIGMGFAPLLYIFW SVLITYYLRHKPWNKIYVFSGSYISCVIVTYSALIVGDIGMDGFKSLRPLVLSLTSPK GLQKLQKDRRNLAERIIEVVNNFGSELFPDFDSAALREEFDVIDEEEEDRKTSELNRR KMLRKQKIKRQEKDSSSPIISQRDNHDAYEHHNQDSDGVSLVNSDNSLSNIPLFSSTF HRKSESSLASTSVAPSSSSEFEVENEILEEKNGLASKIAQAVLNKRIGENTAREEEEE EEEEEEEEEEEEEGKEGDA YBL010C MSDRDQIEPVTNALDAESDSSDDFGNFSDASVENDLYNQNSTLT TSSESVVDNCLNKILPKGEFDLEEETIKNDCFKLSKLIEDERPHVIYEQLVQLDPVLQ PFIWNKSHIRRNLLHILRLSDNNGSEGVGTKREEEPLNDELFKRICDAVEKNEQTATG LFLRDNFKIDYTPPMTLKSLQKEEEREQEQHIPQLLMADFTSMDEESLRQYHDTLCQS IDFLVSKSRSLKKQQRDLLKDKTTFENVVTNLTGHTQRLQRDEIALYNKKRNKKKRFS WVGY YBL009W MNFDAVADQQMTDRRYFALEVAESDDADSSLNSSSMGSPAVDVG RKVYKITSHKGSAEDESQSFFTSSDSPTSKTRPVGKTIENDDYYGKRSSTGSSLKQLF NKININDTAHSSNKENVSQSVLSENKLLSPSKRLSKQGLTKVTNSKFRTPLRPISNQS TLSRDEPVKDFRSLKFRSGSDFKCWGDEKTSSHVHSSSVNSVNSFTSTTSSSKWKFWK NDNLLSRSLSSRSVNDQDPNFVQPKPTNSLQKKSSISSFHNSIFGGGKHTEKKRNSGF IMPDHQSTKELNHKHSSSNLSFRSLKHKTSHSSLNKLKVRRKGNTQELNHPIKKTCQI SLPVPDQVSKDKIQLKLKNSTSLASLSSEVTPINTLDYNDSILQQILQLCDVKYILHD LREAQSLGLFTLNTRSVQLSHNFWQTYHSDMQTSLICKKVCLGALSDLTTSNLISLHE LKSLRLIQGTSGVANLLQAYVVPSNQCENDQNLILYLFFKYQGTPLSRCSNIDYSQAL SIFWQCSSILYVAESKFQLEHRNLTLDHILIDSKGNVTLIDMKCCRFLNIDNNKASYT RLDHHYFFQGRGTLQFEIYELMRSMLPQPISWATFEPRTNLLWLYHLSSSLLKMAKKA VVSGALNREENILIELTHLLDPARKHSKTIFKKELVIRTCGDLLSLKGEIMQ YBL008W-A MKMNPCTVILCKSLFFFCLFQVDCYCNRKNIQNQSSRIATKIKR SYWFRWQKHIILANIHKIIKAYQRSIIKLPVTKGL YBL008W MKVVKFPWLAHREESRKYEIYTVDVSHDGKRLATGGLDGKIRIW SIDSILRCMELESLTPEIPLPQDLQMPLCSMSRHTGSITCVKFSPDGKYLASGSDDRI LLIWALDEEQSSQPAFGSEHEREHWTVRKRLVAHDNDIQDICWAPDSSILVTVGLDRS VIVWNGSTFEKLKRFDVHQSLVKGVVFDPANKYFATTSDDRTMKIFRYHKTGDISFTI EHIITEPFKESPLTTYFRRPSWSPDGQHIAVPNATNGPVSSVAIVNRGTWDTNVSLIG HDAPTEVARFNPRLFERNAGVKQKKDDDPENALVGQNDDKVHHFDKNIDSVVATAGQD KSLAVWSTSRPRPILVAFDIANKSITDMSWNPDGSLLFVASLDSSITLFKFENNELGK PIPLEKNMEQLYRYGVDKDSLDFPESINQLLLEDQTKSFKHTKISTSKLGENHPTLAT NSASNQKDNNDASVSRSEHINILIPKRKKDAILNKAVTLKSGKKRVAPTLISTSSSSP FSNGIKKPTLDSKRIENNVKSSTKTINSKNTLLNVPEGVEKKISISSFPLPRLGIHSL IMGTKERSAWKISNSELENDDADNAGGKGSDGTSNSIDDIAVLSEEENDFHRMTLNAK LTQEKIWSEEPTTRCLLQSDVIPDTDVVVLEGGSLDDIAVLEIRNGVERSIQFDSEAL LDNPTRILGYQGGKRTIETFIPEVIICAIGSKDCKCWCLASANGSIYILSYNGQQRIP KICLGHKVIKMVTSSKYLLVLTERGLFFAWDLLDLKLVLRNVPILPILNGQPIHGNKV RINKVIKCFRLDGSSCDLLLEVGDPKNVYKWTKDLGCWSLYK YBL007C MTVFLGIYRAVYAYEPQTPEELAIQEDDLLYLLQKSDIDDWWTV KKRVIGSDSEEPVGLVPSTYIEEAPVLKKVRAIYDYEQVQNADEELTFHENDVFDVFD DKDADWLLVKSTVSNEFGFIPGNYVEPENGSTSKQEQAPAAAEAPAATPAAAPASAAV LPTNFLPPPQHNDRARMMQSKEDQAPDEDEEGPPPAMPARPTATTETTDATAAAVRSR TRLSYSDNDNDDEEDDYYYNSNSNNVGNHEYNTEYHSWNVTEIEGRKKKKAKLSIGNN KINFIPQKGTPHEWSIDKLVSYDNEKKHMFLEFVDPYRSLELHTGNTTTCEEIMNIIG EYKGASRDPGLREVEMASKSKKRGIVQYDFMAESQDELTIKSGDKVYILDDKKSKDWW MCQLVDSGKSGLVPAQFIEPVRDKKHTESTASGIIKSIKKNFTKSPSRSRSRSRSKSN ANASWKDDELQNDVVGSAAGKRSRKSSLSSHKKNSSATKDFPNPKKSRLWVDRSGTFK VDAEFIGCAKGKIHLHKANGVKIAVAADKLSNEDLAYVEKITGFSLEKFKANDGSSSR GTDSRDSERERRRRLKEQEEKERDRRLKERELYELKKARELLDEERSRLQEKELPPIK PPRPTSTTSVPNTTSVPPAESSNNNNSSNKYDWFEFFLNCGVDVSNCQRYTINFDREQ LTEDMMPDINNSMLRTLGLREGDIVRVMKHLDKKFGRENIASIPTNATGNMFSQPDGS LNVATSPETSLPQQLLPQTTSPAQTAPSTSAETDDAWTVKPASKSESNLLSKKSEFTG SMQDLLDLQPLEPKKAAASTPEPNLKDLEPVKTGGTTVPAAPVSSAPVSSAPAPLDPF KTGGNNILPLSTGFVMMPMITGGDMLPMQRTGGFVVPQTTFGMQSQVTGGILPVQKTG NGLIPISNTGGAMMPQTTFGAAATVLPLQKTGGGLIPIATTGGAQFPQTSFNVQGQQQ LPTGSILPVQKTANGLISANTGVSMPTVQRTGGTMIPQTSFGVSQQLTGGAMMTQPQN TGSAMMPQTSFNAVPQITGGAMMPQTSFNALPQVTGGAMMPLQRTGGALNTFNTGGAM IPQTSFSSQAQNTGGFRPQSQFGLTLQKTGGIAPLNQNQFTGGAMNTLSTGGVLQQQQ PQTMNTFNTGGVMQELQMMTTFNTGGAMQQPQMMNTFNTDGIMQQPQMMNTFNTGGAM QQPQQQALQNQPTGFGFGNGPQQSRQANIFNATASNPFGF YBL006C MSGSNMGYYDVLAGLSALEKSSQVVFSATELQQLTQQSHATDKG IEGSENSKAKVSKPKRVAVHGYLGGKVSLADAAQVEYEVGHSLLGSYVPRQQLEALSS VDFSHHFHRTLECKAALETHDVFLAGAGQLSLPFQSHIESPRNSEAKRKRKVIICKRC QSRFIGSHRRSQLREHACVD YBL005W MKVKKSTRSKVSTACVNCRKRKIKCTGKYPCTNCISYDCTCVFL KKHLPQKEDSSQSLPTTAVAPPSSHANVEASADVQHLDTAIKLDNQYYFKLMNDLIQT PVSPSATHAPDTSNNPTNDNNILFKDDSKYQNQLVTYQNILTNLYALPPCDDTQLLID KTKSQLNNLINSWNPEINYPKLSSFSPRPQRSIETYLLTNKYRNKIHMTRFSFWTDQM VKSQSPDSFLATTPLVDEVFGLFSPIQAFSLRGIGYLIKKNIENTGSSMLIDTKETIY LILRLFDLCYEHLIQGCISISNPLENYLQKIKQTPTTTASASLPTSPAPLSNDLVISV IHQLPQPFIQSITGFTTTQLIENLHDSFSMFRIVTQMYAQHRKRFAEFLNQAFSLPHQ EKSVLFSSFCSSEYLLSTLCYAYYNVTLYHMLDINTLDYLEILVSLLEIQNEIDERFG FEKMLEVAVTCSTKMGLSRWEYYVGIDENTAERRRKIWWKIYSLEKRFLTDLGDLSLI NEHQMNCLLPKDFRDMGFINHKEFLTKIGTSSLSPSSPKLKNLSLSRLIEYGELAIAQ IVGDFFSETLYNEKFTSLEVSVKPTIIRQKLLEKVFEDIESFRLKLAKIKLHTSRVFQ VAHCKYPEYPKNDLIEAAKFVSYHKNTWFSILGAVNNLIARLSEDPEVITEQSMKYAN EMFQEWREINQFLIQVDTDFIVWACLDFYELIFFVMASKFYVEDPHITLEDVINTLKV FKRITNIISFFNNNLDEKDYDCQTFREFSRSSSLVAISIRIIFLKYCYAEQIDRAEFI ERLKEVEPGLSDLLREFFDTRSFIYRYMLKSVEKSGFHLIIRKMLESDYKFLYRDKLA TGNIPDQGNSSQISQLYDSTAPSYNNASASAANSPLKLSSLLNSGEESYTQDASENVP CNLRHQDRSLQQTKRQHSAPSQISANENNIYNLGTLEEFVSSGDLTDLYHTLWNDNTS YPFL YBL005W-B MESQQLSQHSPIFHGSACASVTSKEVQTTQDPLDISASKTEECE KVSTQANSQQPTTPPSSAVPENHHHASPQAAQVPLPQNGPYPQQRMMNTQQANISGWP VYGHPSLMPYPPYQMSPMYAPPGAQSQFTQYPQYVGTHLNTPSPESGNSFPDSSSAKS NMTSTNQHVRPPPILTSPNDFLNWVKIYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFAPSQFLPPWVKDILSVDYTDIMKILSKSINKMQSDTQEVNDITTLATLH YNGSTPADAFEAEVTNILDRLNNNGIPINNKVACQFIMRGLSGEYKFLPYARHRCIHM TVADLFSDIHSMYEEQQESKRNKSTYRRSPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTFNNSPGPDNDLIRGSTTEPIQLKNTHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSNDLNIESDHDFQSDIELYPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISDIESTDSGGMHRLDVPLLAP MSQSNTHESSYASKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKR IIHRSPSIDTSSSESNSLHHVVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTELSD SFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYHITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMVLFSKNL NSNKRIIDKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YBL005W-A MESQQLSQHSPIFHGSACASVTSKEVQTTQDPLDISASKTEECE KVSTQANSQQPTTPPSSAVPENHHHASPQAAQVPLPQNGPYPQQRMMNTQQANISGWP VYGHPSLMPYPPYQMSPMYAPPGAQSQFTQYPQYVGTHLNTPSPESGNSFPDSSSAKS NMTSTNQHVRPPPILTSPNDFLNWVKIYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFAPSQFLPPWVKDILSVDYTDIMKILSKSINKMQSDTQEVNDITTLATLH YNGSTPADAFEAEVTNILDRLNNNGIPINNKVACQFIMRGLSGEYKFLPYARHRCIHM TVADLFSDIHSMYEEQQESKRNKSTYRRSPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTFNNSPGPDNDLIRGSTTEPIQLKNTHDLHLRPGTY YBL004W MAKQRQTTKSSKRYRYSSFKARIDDLKIEPARNLEKRVHDYVES SHFLASFDQWKEINLSAKFTEFAAEIEHDVQTLPQILYHDKKIFNSLVSFINFHDEFS LQPLLDLLAQFCHDLGPDFLKFYEEAIKTLINLLDAAIEFESSNVFEWGFNCLAYIFK YLSKFLVKKLVLTCDLLIPLLSHSKEYLSRFSAEALSFLVRKCPVSNLREFVRSVFEK LEGDDEQTNLYEGLLILFTESMTSTQETLHSKAKAIMSVLLHEALTKSSPERSVSLLS DIWMNISKYASIESLLPVYEVMYQDFNDSLDATNIDRILKVLTTIVFSESGRKIPDWN KITILIERIMSQSENCASLSQDKVAFLFALFIRNSDVKTLTLFHQKLFNYALTNISDC FLEFFQFALRLSYERVFSFNGLKFLQLFLKKNWQSQGKKIALFFLEVDDKPELQKVRE VNFPEEFILSIRDFFVTAEINDSNDLFEIYWRAIIFKYSKLQNTEIIIPLLERIFSTF ASPDNFTKDMVGTLLKIYRKEDDASGNNLLKTILDNYENYKESLNFLRGWNKLVSNLH PSESLKGLMSHYPSLLLSLTDNFMLPDGKIRYETLELMKTLMILQGMQVPDLLSSCMV IEEIPLTLQNARDLTIRIKNVGAEFGKTKTDKLVSSFFLKYLFGLLTVRFSPVWTGVF DTLPNVYTKDEALVWKLVLSFIKLPDENQNLDYYQPLLEDGANKVLWDSSVVRLRDTI DTFSHIWSKYSTQNTSIISTTIERRGNTTYPILIRNQALKVMLSIPQVAENHFVDIAP FVYNDFKTYKDEEDMENERVITGSWTEVDRNVFLKTLSKFKNIKNVYSATELHDHLMV LLGSRNTDVQKLALDALLAYKNPTLNKYRDNLKNLLDDTLFKDEITTFLTENGSQSIK AEDEKVVMPYVLRIFFGRAQVPPTSGQKRSRKIAVISVLPNFKKPYINDFLSLASERL DYNYFFGNSHQINSSKATLKTIRRMTGFVNIVNSTLSVLRTNFPLHTNSVLQPLIYSI AMAYYVLDTESTEEVHLRKMASNLRQQGLKCLSSVFEFVGNTFDWSTSMEDIYAVVVK PRISHFSDENLQQPSSLLRLFLYWAHNPSLYQFLYYDEFATATALMDTISNQHVKEAV IGPIIEAADSIIRNPVNDDHYVDLVTLICTSCLKILPSLYVKLSDSNSISTFLNLLVS ITEMGFIQDDHVRSRLISSLISILKGKLKKLQENDTQKILKILKLIVFNYNCSWSDIE ELYTTISSLFKTFDERNLRVSLTELFIELGRKVPELESISKLVADLNSYSSSRMHEYD FPRILSTFKGLIEDGYKSYSELEWLPLLFTFLHFINNKEELALRTNASHAIMKFIDFI NEKPNLNEASKSISMLKDILLPNIRIGLRDSLEEVQSEYVSVLSYMVKNTKYFTDFED MAILLYNGDEEADFFTNVNHIQLHRRQRAIKRLGEHAHQLKDNSISHYLIPMIEHYVF SDDERYRNIGNETQIAIGGLAQHMSWNQYKALLRRYISMLKTKPNQMKQAVQLIVQLS VPLRETLRIVRDGAESKLTLSKFPSNLDEPSNFIKQELYPTLSKILGTRDDETIIERM PIAEALVNIVLGLTNDDITNFLPSILTNICQVLRSKSEELRDAVRVTLGKISIILGAE YLVFVIKELMATLKRGSQIHVLSYTVHYILKSMHGVLKHSDLDTSSSMIVKIIMENIF GFAGEEKDSENYHTKVKEIKSNKSYDAGEILASNISLTEFGTLLSPVKALLMVRINLR NQNKLSELLRRYLLGLNHNSDSESESILKFCHQLFQESEMSNSPQIPKKKVKDQVDEK EDFFLVNLESKSYTINSNSLLLNSTLQKFALDLLRNVITRHRSFLTVSHLEGFIPFLR DSLLSENEGVVISTLRILITLIRLDFSDESSEIFKNCARKVLNIIKVSPSTSSELCQM GLKFLSAFIRHTDSTLKDTALSYVLGRVLPDLNEPSRQGLAFNFLKALVSKHIMLPEL YDIADTTREIMVTNHSKEIRDVSRSVYYQFLMEYDQSKGRLEKQFKFMVDNLQYPTES GRQSVMELINLIITKANPALLSKLSSSFFLALVNVSFNDDAPRCREMASVLISTMLPK LENKDLEIVEKYIAAWLKQVDNASFLNLGLRTYKVYLKSIGFEHTIELDELAIKRIRY ILSDTSVGSEHQWDLVYSALNTFSSYMEATESVYKHGFKDIWDGIITCLLYPHSWVRQ SAANLVHQLIANKDKLEISLTNLEIQTIATRILHQLGAPSIPENLANVSIKTLVNISI LWKEQRTPFIMDVSKQTGEDLKYTTAIDYMVTRIGGIIRSDEHRMDSFMSKKACIQLL ALLVQVLDEDEVIAEGEKILLPLYGYLETYYSRAVDEEQEELRTLSNECLKILEDKLQ VSDFTKIYTAVKQTVLERRKERRSKRAILAVNAPQISADKKLRKHARSREKRKHEKDE NGYYQRRNKRKRA YBL003C MSGGKGGKAGSAAKASQSRSAKAGLTFPVGRVHRLLRRGNYAQR IGSGAPVYLTAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDDELNKLLGNV TIAQGGVLPNIHQNLLPKKSAKTAKASQEL YBL002W MSSAAEKKPASKAPAEKKPAAKKTSTSVDGKKRSKVRKETYSSY IYKVLKQTHPDTGISQKSMSILNSFVNDIFERIATEASKLAAYNKKSTISAREIQTAV RLILPGELAKHAVSEGTRAVTKYSSSTQA YBL001C MPKIFCLADVCMVPIGTDSASISDFVALIEKKIRESPLKSTLHS AGTTIEGPWDDVMGLIGEIHEYGHEKGYVRVHTDIRVGTRTDKHQTAQDKIDVVLKKI SQ YBR001C MVDFLPKVTEINPPSEGNDGEDNIKPLSSGSEQRPLKEEGQQGG RRHHRRLSSMHEYFDPFSNAEVYYGPITDPRKQSKIHRLNRTRTMSVFNKVSDFKNGM KDYTLKRRGSEDDSFLSSQGNRRFYIDNVDLALDELLASEDTDKNHQITIEDTGPKVI KVGTANSNGFKHVNVRGTYMLSNLLQELTIAKSFGRHQIFLDEARINENPVDRLSRLI TTQFWTSLTRRVDLYNIAEIARDSKIDTPGAKNPRIYVPYNCPEQYEFYIQASQMNPS LKLEVEYLPKDITAEYVKSLNDTPGLLALAMEEHVNPSTGERSLVGYPYAVPGGRFNE LYGWDSYLMALGLIESNKVDVARGMVEHFIFEIDHYSKILNANRSYYLCRSQPPFLTD MALLVFEKIGGKNNPNAIQLLKRAFRAAIKEYKEVWMSSPRLDSLTGLSCYHSDGIGI PPETEPDHFDTILLPYAEKYNVTLEKLRYLYNEGMIKEPKLDAFFLHDRAVRESGHDT TYRFEGVCAYLATIDLNSLLYKYEKDIAFVIKEYFGNEYKDENDGTVTDSEHWEELAE LRKTRINKYMWDEDSGFFFYYNTKLKCRTSYESATTFWSLWAGLATEEQAKITVEKAL PQLEMLGGLVACTEKSRGPISIDRPIRQWDYPFGWAPHQILAWKGLSAYGYQQVATRL AYRWLYMITKSFVDYNGMVVEKYDVTRGTDPHRVDAEYGNQGADFKGVATEGFGWVNT SYLLGLKYMNNHARRALAACSPPLPFFNSLKPSEKKLYYL YBR002C METDSGIPGHSFVLKWTKNIFSRTLRASNCVPRHVGFIMDGNRR FARKKEMDVKEGHEAGFVSMSRILELCYEAGVDTATVFAFSIENFKRSSREVESLMTL ARERIRQITERGELACKYGVRIKIIGDLSLLDKSLLEDVRVAVETTKNNKRATLNICF PYTGREEILHAMKETIVQHKKGAAIDESTLESHLYTAGVPPLDLLIRTSGVSRLSDFL IWQASSKGVRIELLDCLWPEFGPIRMAWILLKFSFHKSFLNKEYRLEEGDYDEETNGD PIDLKEKKLN YBR003W MFQRSGAAHHIKLISSRRCRFKSSFAVALNAASKLVTPKILWNN PISLVSKEMNTLAKNIVALIGSGHPVLNKVTSYYFETEGKKVRPLLVLLLSRALSEIP MTERNHLKIDKSDVPEDPIYSKPSQNQLFQRPASSISPLHILHGIKPLNPLTKGPEPL PEETFDKQRGILPKQRRLAEIVEMIHTASLLHDDVIDHSDTRRGRPSGNAAFTNKMAV LAGDFLLGRATVSISRLHNPEVVELMSNSIANLVEGEFMQLKNTSIDADIDTIENGHK LLPVPSKKLEVKEHDFRVPSRQQGLQLSHDQIIETAFEYYIHKTYLKTAALISKSCRC AAILSGASPAVIDECYDFGRNLGICFQLVDDMLDFTVSGKDLGKPSGADLKLGIATAP VLFAWKEDPSLGPLISRNFSERGDVEKTIDSVRLHNGIAKTKILAEEYRDKALQNLRD SLPESDARSALEFLTNSILTRRK YBR004C MIVGLTLYFVLFRSIQYLLVFLTPIRQFDTSTSLLLNELCSSPS EINSYWNKYFWNKLLSWDSVFFIKNITSKNGKPQFEHEYAFSQLWTFFVRLFIKSNND SIYHALRVGVAIENVLFYLSGIVLYFLTKKIFSQNIRQSQFARTIAKKTSLLFFLTSA AGFLTSIYSEPLSFFFAFVGIWSRECSISVPVLGQFDISWRYWFPYSFISMACFTLAS LNRSNCVLLGIYFIFDLIELTKNRKFVKAICFPLLSGSLMFSALLYQQYYLPYKTFCP QRGEWCKSQLFSSIFITKTSLYSYIQSHYWGVGLLKYWTPNNIPNFLFAVPNIIILIY SSIYFSKIYPSYNLKALVWITRALVVIVCFFAHVQILNRIASFLPLHLWYLADRLVKT SDPKKMENPKGDDKIVKFYIYWLAFWIPLQTILFAAFLPPA YBR005W MGLISYENEAINEVKKADNHHVSKFVTSYYGPSSSSWQSGIWIL FVLFVAAVILIILFTFVANRRRRRMGRAPIRGTAWLTPPSYRQSQQQYTGTVQQRTDD YVPEYTETANEHDLGYYDQRGEFHPNDKAAYVAPPPLVQECSSESVNSLERPPAAVVH QANSLDTDYGLTRPSNGRVPAVSDTVEQLERLPGGTTTQEINPPERAKVNARS YBR006W MTLSKYSKPTLNDPNLFRESGYIDGKWVKGTDEVFEVVDPASGE IIARVPEQPVSVVEEAIDVAYETFKTYKNTTPRERAKWLRNMYNLMLENLDDLATIIT LENGKALGEAKGEIKYAASYFEWYAEEAPRLYGATIQPLNPHNRVFTIRQPVGVCGII CPWNFPSAMITRKAAAALAVGCTVVIKPDSQTPLSALAMAYLAEKAGFPKGSFNVILS HANTPKLGKTLCESPKVKKVTFTGSTNVGKILMKQSSSTLKKLSFELGGNAPFIVFED ADLDQALEQAMACKFRGLGQTCVCANRLYVHSSIIDKFAKLLAERVKKFVIGHGLDPK TTHGCVINSSAIEKVERHKQDAIDKGAKVVLEGGRLTELGPNFYAPVILSHVPSTAIV SKEETFGPLCPIFSFDTMEEVVGYANDTEFGLAAYVFSKNVNTLYTVSEALETGMVSC NTGVFSDCSIPFGGVKESGFGREGSLYGIEDYTVLKTITIGNLPNSI YBR007C MNQNLKNTSWADRIGSDDQERKANSSEVSQSPPPNNSFESSMDS QFSYAHSNKSSISFESIQTTERLLDKLDLSLEDELILQEALLEEENASRNSQLSQTSG PTLCMPASEFPSLRYRTNPSPTYIQARDRSLIIDNLKEKDSTLRGKYSSGKVERHLPV KSRYSYIVEEDYDSETFSGMKPQMNRNEKDYKYPNLENGNRSTNSPNPFNFEKYRIEN TRLHHLYPTLISDNNTSVDNNANSKNNRTTSNNINTSTKTDRISEKQSCPNEFTTTQK SNCLYRNGSSTSTNTSFSEVGQLSKPKTQSSFESESSSFSKLKLTKSDTTPIKPSPKR SNSSTSTITKTNTMTNDISLPPTPPYKAHKKKTSLNSLKKLFKSPRTRAKNKKDLESE GSSPIRSATNSLDFSGENIQLPSTSSTINNSSPHLARYIFPPNPVFHFKTASTPQSST DKKKNSKARPNRTHLRTFSDFHTTEKDSKIGELSALTEQSNKPYHPKVRRRTLSLDGM LPNNSTQCMDSFSHKKEGSNATSKCGKLKFHPEPYDNDESSHIGQAITMRHQGKLEES AQRLKKACACGNKTAFLLYGLALRHGCGVDKNLKLSLGYLMAATDIKSFAAEVLDLDI NPLNFASMDDIPDIAPEPTAPALYECGMAYLKGLGMDHPDERKGLKFLEKAALLGHVD SMCLSGTIWSKTSNVKKRDLARAAAWFRIADKKGANLLGSDWIYKEKYMKQGPK YBR008C MVYTSTYRHTIVVDLLEYLGIVSNLETLQSAREDETRKPENTDK KECKPDYDIECGPNRSCSESSTDSDSSGSQIEKNDPFRVDWNGPSDPENPQNWPLLKK SLVVFQIMLLTCVTYMGSSIYTPGQEYIQEEFHVGHVVATLNLSLYVLGYGLGPIIFS PLSETARYGRLNLYMVTLFFFMIFQVGCATVHNIGGLIVMRFISGILCSPSLATGGGT VADIISPEMVPLVLGMWSAGAVAAPVLAPLLGAAMVDAKNWRFIFWLLMWLSAATFIL LAFFFPETQHHNILYRRALKLRKETGDDRYYTEQDKLDREVDARTFLINTLYRPLKMI IKEPAILAFDLYIAVAYGCFYLFFEAFPIVFVGIYHFSLVEVGLAYMGFCVGCVLAYG LFGILNMRIIVPRFRNGTFTPEAFLIVAMCVCWCLPLSLFLFGWTARVHWILPVISEV FFVLAVFNIFQATFAYLATCYPKYVASVFAGNGFCRASFACAFPLFGRAMYDNLATKN YPVAWGSSLVGFLTLGLAIIPFILYKYGPSLRTRSSYTEE YBR009C MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEEVRAVLKSFLESVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G YBR010W MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRFQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQESVEAYLV SLFEDTNLAAIHAKRVTIQKKDIKLARRLRGERS YBR011C MTYTTRQIGAKNTLEYKVYIEKDGKPVSAFHDIPLYADKENNIF NMVVEIPRWTNAKLEITKEETLNPIIQDTKKGKLRFVRNCFPHHGYIHNYGAFPQTWE DPNVSHPETKAVGDNDPIDVLEIGETIAYTGQVKQVKALGIMALLDEGETDWKVIAID INDPLAPKLNDIEDVEKYFPGLLRATNEWFRIYKIPDGKPENQFAFSGEAKNKKYALD IIKETHDSWKQLIAGKSSDSKGIDLTNVTLPDTPTYSKAASDAIPPASPKADAPIDKS IDKWFFISGSV YBR012W-B MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRPITDDELT FLYNAFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSLKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKDSRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSNDLNIESDHDFQSDIELYPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISDIESTDSGGMHRLDVPLLAP MSQSNTHESSYASKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKR IIHRFTSDRILPSSESNSLHHVVPIKTSDTCFKENTEESIIADLPLPDLPPEPPTELS DSFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRS LEPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQL LKMKTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQ SNTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRL KKSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKD LNSNKRIIAKLKMQYDTKIINLGESDDEIQHDILGLEIKYQRGKYMKLGMENSLTEKI PKLNVPLNPNGRKLGAPGQPGLYINQQELELEEDDYKMKVHEMQKLIGLASYVGYKFR FDLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVIS DASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLS YLIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVC YIETKKNIADVMTKPLPIKTFKLLTNKWIH YBR012W-A MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRPITDDELT FLYNAFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YBR013C MIYPLFRICILGAFLLGSYACLENSTQKGIEGVTLSHNSVQINN TLAKSAPFCESDALSMNYSTENMLSNNACDYTKNSSYPYIITIITKAFDNALENSLNL QANRKLYHRVGTCIQNIFYQLLLTVNY YBR014C MAIVINKRNVRVLVITNLLLIVVFFVLRNSNASVNESITTHHPD SLVTFDNSGNAPGTHQSVHDTVNTQDKEAEEVDKNSGDAEFDAAAEYNKIMEQSPMIV FSKTGCPYSKKLKALLTNSYTFSPSYYVVELDRHEHTKELQDQIEKVTGRRTVPNVII GGTSRGGYTEIAELHKNDELLDSFKKWSDGAFTVKANSQSESA YBR015C MLLTKRFSKLFKLTFIVLILCGLFVITNKYMDENTSVKEYKEYL DRYVQSYSNKYSSSSDAASADDSTPLRDNDEAGNEKLKSFYNNVFNFLMVDSPKGSTA KQYNEACLLKGDIGDRPDHYKDLYKLSAKELSKCLELSPDEVASLTKSHKDYVEHIAT LVSPKGTYKGSGIATVGGGKFSLMAFLIIKTLRNMGTTLPVEVLIPPGDEGETEFCNK ILPKYNSKCIYVSDILPRETIEKFVFKGYQFKSLALIASSFENLLLLDADNFPIKPLD NIFNEEPYVSTGLVMWPDFWRRTTHPLYYDIAGIAVDKKKRVRNSRDDITPPAVYTKD LKDLSDVPLSDLDGTIPDVSTESGQLMINKTKHLATALLSLFYNVNGPTWYYPIFSQK AAGEGDKETFIAAANFYGLSFYQVRTRTGVEGYHDEDGFHGVAMLQHDFVQDYGRYLN AMESIGNKYGGTKSADAIKFDKNYSLEKYTEEFFDNEDLNAKNHVDVMFIHSNFPKFD PYDLSKSNFLTTNGKPARSYTALKKVKNYDIELENFKVLNEYVCVNKNPFKYLDDLLG QDKTEWKRVCGYITDRLAFLESTHDKAIAGK YBR016W MSANDYYGGTAGEKSQYSRPSNPPPSSAHQNKTQERGYPPQQQQ QYYQQQQQHPGYYNQQGYNQQGYNQQGYNQQGYNQQGYNQQGYNQQGHQQPVYVQQQP PQRGNEGCLAACLAALCICCTMDMLF YBR017C MASTWKPAEDYVLQLATLLQNCMSPNPEIRNNAMEAMENFQLQP EFLNYLCYILIEGESDDVLKQHYSLQDLQNNRATAGMLLKNSMLGGNNLIKSNSHDLG YVKSNIIHGLYNSNNNLVSNVTGIVITTLFSTYYRQHRDDPTGLQMLYQLLELTSNGN EPSIKALSKIMEDSAQFFQLEWSGNTKPMEALLDSFFRFISNPNFSPVIRSESVKCIN TVIPLQTQSFIVRLDKFLEIIFQLAQNDENDLVRAQICISFSFLLEFRPDKLVSHLDG IVQFMLHLITTVNEEKVAIEACEFLHAFATSPNIPEHILQPYVKDIVPILLSKMVYNE ESIVLLEASNDDDAFLEDKDEDIKPIAPRIVKKKEAGNGEDADDNEDDDDDDDDEDGD VDTQWNLRKCSAATLDVMTNILPHQVMDIAFPFLREHLGSDRWFIREATILALGAMAE GGMKYFNDGLPALIPFLVEQLNDKWAPVRKMTCWTLSRFSPWILQDHTEFLIPVLEPI INTLMDKKKDVQEAAISSVAVFIENADSELVETLFYSQLLTSFDKCLKYYKKKNLIIL YDAIGRFAEKCALDETAMQIILPPLIEKWALLSDSDKELWPLLECLSCVASSLGERFM PMAPEVYNRAFRILCHCVELEAKSHQDPTIVVPEKDFIITSLDLIDGLVQGLGAHSQD LLFPQGTKDLTILKIMLECLQDPVHEVRQSCFALLGDIVYFFNSELVIGNLEDFLKLI GTEIMHNDDSDGTPAVINAIWALGLISERIDLNTYIIDMSRIILDLFTTNTQIVDSSV MENLSVTIGKMGLTHPEVFSSGAFANDSNWNKWCLSVNALDDVEEKSSAYMGFLKIIN LTSTEVTMSNDTIHKIVTGLSSNVEANVFAQEIYTFLMNHSAQISAINFTPDEISFLQ QFTS YBR018C MTAEEFDFSSHSHRRYNPLTDSWILVSPHRAKRPWLGQQEAAYK PTAPLYDPKCYLCPGNKRATGNLNPRYESTYIFPNDYAAVRLDQPILPQNDSNEDNLK NRLLKVQSVRGNCFVICFSPNHNLTIPQMKQSDLVHIVNSWQALTDDLSREARENHKP FKYVQIFENKGTAMGCSNLHPHGQAWCLESIPSEVSQELKSFDKYKREHNTDLFADYV KLESREKSRVVVENESFIVVVPYWAIWPFETLVISKKKLASISQFNQMVKEDLASILK QLTIKYDNLFETSFPYSMGIHQAPLNATGDELSNSWFHMHFYPPLLRSATVRKFLVGF ELLGEPQRDLTSEQAAEKLRNLDGQIHYLQRL YBR019C MTAQLQSESTSKIVLVTGGAGYIGSHTVVELIENGYDCVVADNL SNSTYDSVARLEVLTKHHIPFYEVDLCDRKGLEKVFKEYKIDSVIHFAGLKAVGESTQ IPLRYYHNNILGTVVLLELMQQYNVSKFVFSSSATVYGDATRFPNMIPIPEECPLGPT NPYGHTKYAIENILNDLYNSDKKSWKFAILRYFNPIGAHPSGLIGEDPLGIPNNLLPY MAQVAVGRREKLYIFGDDYDSRDGTPIRDYIHVVDLAKGHIAALQYLEAYNENEGLCR EWNLGSGKGSTVFEVYHAFCKASGIDLPYKVTGRRAGDVLNLTAKPDRAKRELKWQTE LQVEDSCKDLWKWTTENPFGYQLRGVEARFSAEDMRYDARFVTIGAGTRFQATFANLG ASIVDLKVNGQSVVLGYENEEGYLNPDSAYIGATIGRYANRISKGKFSLCNKDYQLTV NNGVNANHSSIGSFHRKRFLGPIIQNPSKDVFTAEYMLIDNEKDTEFPGDLLVTIQYT VNVAQKSLEMVYKGKLTAGEATPINLTNHSYFNLNKPYGDTIEGTEIMVRSKKSVDVD KNMIPTGNIVDREIATFNSTKPTVLGPKNPQFDCCFVVDENAKPSQINTLNNELTLIV KAFHPDSNITLEVLSTEPTYQFYTGDFLSAGYEARQGFAIEPGRYIDAINQENWKDCV TLKNGETYGSKIVYRFS YBR020W MTKSHSEEVIVPEFNSSAKELPRPLAEKCPSIIKKFISAYDAKP DFVARSPGRVNLIGEHIDYCDFSVLPLAIDFDMLCAVKVLNEKNPSITLINADPKFAQ RKFDLPLDGSYVTIDPSVSDWSNYFKCGLHVAHSFLKKLAPERFASAPLAGLQVFCEG DVPTGSGLSSSAAFICAVALAVVKANMGPGYHMSKQNLMRITVVAEHYVGVNNGGMDQ AASVCGEEDHALYVEFKPQLKATPFKFPQLKNHEISFVIANTLVVSNKFETAPTNYNL RVVEVTTAANVLAATYGVVLLSGKEGSSTNKGNLRDFMNVYYARYHNISTPWNGDIES GIERLTKMLVLVEESLANKKQGFSVDDVAQSLNCSREEFTRDYLTTSPVRFQVLKLYQ RAKHVYSESLRVLKAVKLMTTASFTADEDFFKQFGALMNESQASCDKLYECSCPEIDK ICSIALSNGSYGSRLTGAGWGGCTVHLVPGGPNGNIEKVKEALANEFYKVKYPKITDA ELENAIIVSKPALGSCLYEL YBR021W MPDNLSLHLSGSSKRLNSRQLMESSNETFAPNNVDLEKEYKSSQ SNITTEVYEASSFEEKVSSEKPQYSSFWKKIYYEYVVVDKSILGVSILDSFMYNQDLK PVEKERRVWSWYNYCYFWLAECFNINTWQIAATGLQLGLNWWQCWITIWIGYGFVGAF VVLASRVGSAYHLSFPISSRASFGIFFSLWPVINRVVMAIVWYSVQAYIAATPVSLML KSIFGKDLQDKIPDHFGSPNATTYEFMCFFIFWAASLPFLLVPPHKIRHLFTVKAVLV PFASFGFLIWAIRRAHGRIALGSLTDVQPHGSAFSWAFLRSLMGCMANFSTMVINAPD FSRFSKNPNSALWSQLVCIPFLFSITCLIGILVTAAGYEIYGINYWSPLDVLEKFLQT TYNKGTRAGVFLISFVFAVAQLGTNISANSLSCGTDMSAIFPKFINIKRGSLFCAAMA LCICPWNLMATSSKFTMALSAYAIFLSSIAGVVCSDYFVVRRGYIKLTHIYSHQKGSF YMYGNRFGINWRALAAYLCGVAPCLPGFIAEVGAPAIKVSDGAMKLYYLSYWVGYGLS FSSYTALCYFFPVPGCPVNNIIKDKGWFQRWANVDDFEEEWKDTIERDDLVDDNISVY EHEHEKTFI YBR022W MSNITYVKGNILKPKSYARILIHSCNCNGSWGGGIAYQLALRYP KAEKDYVEVCEKYGSNLLGKCILLPSYENSDLLICCLFTSSFGGSSHGEKQSILNYTK LALDKLKTFREAKDKTRTSEDSIGDYLNGHIKYPIGEYKLEMPQINSGIFGVPWKETE RVLEEFSGDMSFTVYQL YBR023C MTGLNGDDPDDYYLNLNQDEESLLRSRHSVGSGAPHRQGSLVRP ERSRLNNPDNPHFYYAQKTQEQMNHLDVLPSSTGVNPNATRRSGSLRSKGSVRSKFSG RETDSYLLQDMNTTDKKASVKISDEGVAEDEFDKDGDVDNFEESSTQPINKSIKPLRK ETNDTLSFWQMYCYFITFWAPAPILAFCGMPKKERQMAWREKVALISVILYIGAIVAF LTFGFTKTVCSSSKLRLKNNEVSTEFVVINGKAYELDTSSRSGIQDVEVDSDTLYGPW SDAGKDASFLFQNVNGNCHNLITPKSNSSIPHDDDNNLAWYFPCKLKNQDGSSKPNFT VENYAGWNCHTSKEDRDAFYGLKSKADVYFTWDGIKNSSRNLIVYNGDVLDLDLLDWL EKDDVDYPVVFDDLKTSNLQGYDLSLVLSNGHERKIARCLSEIIKVGEVDSKTVGCIA SDVVLYVSLVFILSVVIIKFIIACYFRWTVARKQGAYIVDNKTMDKHTNDIEDWSNNI QTKAPLKEVDPHLRPKKYSKKSLGHKRASTFDLLKKHSSKMFQFNESVIDLDTSMSSS LQSSGSYRGMTTMTTQNAWKLSNENKAVHSRNPSTLLPTSSMFWNKATSSPVPGSSLI QSLDSTIIHPDIVQQPPLDFMPYGFPLIHTICFVTCYSEDEEGLRTTLDSLSTTDYPN SHKLLMVVCDGLIKGSGNDKTTPEIALGMMDDFVTPPDEVKPYSYVAVASGSKRHNMA KIYAGFYKYDDSTIPPENQQRVPIITIVKCGTPAEQGAAKPGNRGKRDSQIILMSFLE KITFDERMTQLEFQLLKNIWQITGLMADFYETVLMVDADTKVFPDALTHMVAEMVKDP LIMGLCGETKIANKAQSWVTAIQVFEYYISHHQAKAFESVFGSVTCLPGCFSMYRIKS PKGSDGYWVPVLANPDIVERYSDNVTNTLHKKNLLLLGEDRFLSSLMLKTFPKRKQVF VPKAACKTIAPDKFKVLLSQRRRWINSTVHNLFELVLIRDLCGTFCFSMQFVIGIELI GTMVLPLAICFTIYVIIFAIVSKPTPVITLVLLAIILGLPGLIVVITATRWSYLWWMC VYICALPIWNFVLPSYAYWKFDDFSWGDTRTIAGGNKKAQDENEGEFDHSKIKMRTWR EFEREDILNRKEESDSFVA YBR024W MLNSSRKYACRSLFRQANVSIKGLFYNGGAYRRGFSTGCCLRSD NKESPSARQPLDRLQLGDEINEPEPIRTRFFQFSRWKATIALLLLSGGTYAYLSRKRR LLETEKEADANRAYGSVALGGPFNLTDFNGKPFTEENLKGKFSILYFGFSHCPDICPE ELDRLTYWISELDDKDHIKIQPLFISCDPARDTPDVLKEYLSDFHPAIIGLTGTYDQV KSVCKKYKVYFSTPRDVKPNQDYLVDHSIFFYLIDPEGQFIDALGRNYDEQSGLEKIR EQIQAYVPKEERERRSKKWYSFIFN YBR025C MPPKKQVEEKKVLLGRPGNNLKAGIVGLANVGKSTFFQAITRCP LGNPANYPFATIDPEEARVIVPSPRFDKLCEIYKKTASEVPAHLTVYDIAGLTKGASA GEGLGNAFLSHIRSVDSIYQVVRCFDDAEIIHVEGDVDPVRDLEIINQELRLKDIEFA QKALEGAEKIAKRGGQSLEVKQKKEEMDLITKIIKLLESGQRVANHSWTSKEVEIINS MFLLTAKPCIYLINLSERDYIRKKNKHLLRIKEWVDKYSPGDLIIPFSVSLEERLSHM SPEDAEEELKKLQTISALPKIITTMRQKLDLISFFTCGPDEVREWTIRRGTKAPQAAG VIHNDLMNTFILAQVMKCEDVFEYKDDSAIKAAGKLMQKGKDYVVEDGDIIYFRAGAG KN YBR026C MLPTFKRYMSSSAHQIPKHFKSLIYSTHEVEDCTKVLSVKNYTP KQDLSQSIVLKTLAFPINPSDINQLQGVYPSRPEKTYDYSTDEPAAIAGNEGVFEVVS LPSGSSKGDLKLGDRVIPLQANQGTWSNYRVFSSSSDLIKVNDLDLFSAATVSVNGCT GFQLVSDYIDWNSNGNEWIIQNAGTSSVSKIVTQVAKAKGIKTLSVIRDRDNFDEVAK VLEDKYGATKVISESQNNDKTFAKEVLSKILGENARVRLALNSVGGKSSASIARKLEN NALMLTYGGMSKQPVTLPTSLHIFKGLTSKGYWVTEKNKKNPQSKIDTISDFIKMYNY GHIISPRDEIETLTWNTNTTTDEQLLELVKKGITGKGKKKMVVLEW YBR027C MFKGSVSLYILCFALGLRNTFLIYNVCNNIKNNCMDNTSGPIGD TIFLIYGIIIIIGPRRCFFFYLKRVVLLQGTHEWCTQGLFPWLKKLEITNVHCHLRRF IICQLHLI YBR028C MIFSLDEELHRVSLDDKKNDIKVDYSSAIYNDINHEQGSSITYE ESINHLSVHSNAIPLNGMSPAHRMRRRSSAYSKFPILTPPNTRRFSITGSDAMRTNTN RLSITPQDIISSNIGENELSRNLHDFKPVRVLGQGAYGKVLLVKDVNTSKLYAMKQLR KAEILISQTATDSKREDEDKNDGNNNDNDDGLSKRLERTFAERSILSEIEHPNIVKLF YSFHDNSKLYLLLQYIPGGELFYHLKEHGTLDETTVSFYAAEISCALRFLHTKGVVYR DLKPENCLLNQRGHLVLTDFGLSKKSANDSAVDEEDPENVNALYSIIGTPEYCAPEIL LGKAYSQNCDWYSLGCLLYDMLVGKPPYTGSNHKVIINKIQQNKQGPKIPFYLSEGMK DILNALLKKETAKRWNVDKYWAKTGANNKPTKSKKKKSGAARTSLFTEHFIFRKIDWK LLESGQLQKTTLGPIVPVITDLELAENFDTEFTSMSYEETYTDSKPININSVSKSPDM FKGFSYKASGSYLEKYF YBR029C MSDNPEMKPHGTSKEIVESVTDATSKAIDKLQEELHKDASESVT PVTKESTAATKESRKYNFFIRTVWTFVMISGFFITLASGHAWCIVLILGCQIATFKEC IAVTSASGREKNLPLTKTLNWYLLFTTIYYLDGKSLFKFFQATFYEYPVLNFIVTNHK FICYCLYLMGFVLFVCSLRKGFLKFQFGSLCVTHMVLLLVVFQAHLIIKNVLNGLFWF LLPCGLVIVNDIFAYLCGITFGKTKLIEISPKKTLEGFLGAWFFTALASIILTRILSP YTYLTCPVEDLHTNFFSNLTCELNPVFLPQVYRLPPIFFDKVQINSITVKPIYFHALN LATFASLFAPFGGFFASGLKRTFKVKDFGHSIPGHGGITDRVDCQFIMGSFANLYYET FISEHRITVDTVLSTILMNLNDKQIIELIDILIRFLSKKGIISAKNFEKLADIFNVTK KSLTNHS YBR030W MSVTFKDDVHRILKFVANCNGRFEDSKCDIRESPLGGLGVFAKT DIAEGESILTLNKSSIFSASNSSIANLLCDSSIDGMLALNIAFIYETTVFRNSSHWYP FLRTIRIRDDEGHLNLPPSFWHADAKRLLKGTSFDTLFDSLAPEEEIMEGFEIAVDLA HKWNDEFGLEIPKGFLDVSEENHEEDYNLKLEKFISVAYTLSSRGFEIDAYHETALVP IADLFNHHVSDPDLKFVSLYDVCDKCGEPDMCKHLIAEEYLEAENLDKNMPKVASMET RVIDEDLIKSLENDLEKEYSNVTANIEDDDGGIENPDECVDLVLKNDVAQGQEIFNSY GELSNVFLLARYGFTVPENQYDIVHLGPDFMKILKKEEKYQEKVKWWSQVGHGLFSAW YAQMRQEDEEDEDGQAKSDNLSDDIESEEEEEEEEGDDSLESWLSQLYIDSSGEPSPS TWALANLLTLTAVQWESLFSKKATPHISDSIVNEEKLPFLAKKDNPHSKKLLSNLLKE KQLPCIKGDNSSKITSATKSMLQNARTLVQSEHNILDRCLKRLS YBR031W MSRPQVTVHSLTGEATANALPLPAVFSAPIRPDIVHTVFTSVNK NKRQAYAVSEKAGHQTSAESWGTGRAVARIPRVGGGGTGRSGQGAFGNMCRGGRMFAP TKTWRKWNVKVNHNEKRYATASAIAATAVASLVLARGHRVEKIPEIPLVVSTDLESIQ KTKEAVAALKAVGAHSDLLKVLKSKKLRAGKGKYRNRRWTQRRGPLVVYAEDNGIVKA LRNVPGVETANVASLNLLQLAPGAHLGRFVIWTEAAFTKLDQVWGSETVASSKVGYTL PSHIISTSDVTRIINSSEIQSAIRPAGQATQKRTHVLKKNPLKNKQVLLRLNPYAKVF AAEKLGSKKAEKTGTKPAAVFTETLKHD YBR032W MVIIRITLSNLLREKGDLCLELLRVDKGIYPFDTSQPIGSMQVL LPHASNYPGSAQFYLFDAATKLGFEQSVNLNYSENHWLNNTPCQYLYVFLQQARLE YBR033W MSHHVPNLYGTPIRDPHERKRNSASMGEVNQSVSSRNCERGSEK GTKQRKKASHACDQCRRKRIKCRFDKHTGVCQGCLEVGEKCQFIRVPLKRGPAKKRGS VVSIEKFSSDNDPLQYRPRTHSYPMNSGNNYLPSLARNSSFPSISSLFVPSITAQSQQ FVKVPYDDIKRRSSLAILGSDSSISTEFGGNYRLDENLNVRQEGKDIVAKGMITPVEE MGACSSNVRRQGSQSLPIQEQRASPYINPFISGRSRLSSLSYTSEATTSEGNTQGKNQ CMLTPNSVRSIEKERLNSLTAGFPNKKLGTDGRSDKWDKNSTWKPVYRSSNPSHPSTE KNVSLNQEASAKPLMLGTYRQFDATSFYKVLGIYYNFFHINFPVIPINKSKFTDMLDP EKPNVIDEIRQINNEIIQCFKTALEVLVFCKIKQRRSSKSTKSWSRDSLCDFQKGLYY IQNFNKCIADCFQSLITIKPVLKQNSSVIPSRIKFIYFSTIIVLNFILILAGEESSLL LGPSVGVFNEFQAHKLFLPFQNTSPMLLLNSNEESGDEILDYAVLFKRLYILLNILDT LQSFRLGQPKLINLNFGSAIETYFSDKTGHNQVVEKAPVALDNILRNLKLGEFITYFV LNRKSLQVNVPHHLLFTNQTDYGEFAVEKGEHDNIAGKFETLLKKKEILIRKLLNIEQ KNDHILENCCNSDAEMKNIGELVCSMITLVSGILDSITNMNAENSVDLDSKPLPNAYF AQDSEEELMSPTQSITSNLASEENTRCTTKDLMGTVSIFMLPMVEECYNIISLIGPIP TTLISLYIRNGNMAKGINDRIMTLSTALNELVQITALFNTLEPFRKNAHDRAKRYYVS ATSSTGCYESVMKSMYSGKCAASNASNVAPSEEENKKILKKFADIGWKLMDDSELGCC CCFFN YBR034C MSKTAVKDSATEKTKLSESEQHYFNSYDHYGIHEEMLQDTVRTL SYRNAIIQNKDLFKDKIVLDVGCGTGILSMFAAKHGAKHVIGVDMSSIIEMAKELVEL NGFSDKITLLRGKLEDVHLPFPKVDIIISEWMGYFLLYESMMDTVLYARDHYLVEGGL IFPDKCSIHLAGLEDSQYKDEKLNYWQDVYGFDYSPFVPLVLHEPIVDTVERNNVNTT SDKLIEFDLNTVKISDLAFKSNFKLTAKRQDMINGIVTWFDIVFPAPKGKRPVEFSTG PHAPYTHWKQTIFYFPDDLDAETGDTIEGELVCSPNEKNNRDLNIKISYKFESNGIDG NSRSRKNEGSYLMH YBR035C MTKQAEETQKPIIFAPETYQYDKFTLNEKQLTDDPIDLFTKWFN EAKEDPRETLPEAITFSSAELPSGRVSSRILLFKELDHRGFTIYSNWGTSRKAHDIAT NPNAAIVFFWKDLQRQVRVEGITEHVNRETSERYFKTRPRGSKIGAWASRQSDVIKNR EELDELTQKNTERFKDAEDIPCPDYWGGLRIVPLEIEFWQGRPSRLHDRFVYRRKTEN DPWKVVRLAP YBR036C MSTTLLWFSSVIGYVIQTKCLSNIQSKKEISVGPNGTIATPETN GDNGNSSSLTFYLTFMYFASWLLLVPASRLWEKMRPMFVSDSDSNRNSQFDNNNSGSV TNEDVDTFSHVLDDPQPRIPAQQQKQKIISVATFKYVAKLTVLALIMIVADLTYNMAL SLSPAFDVALMQNTAIFEIVTLLYGVCGISRKNYVFRNFLIMMNAVIGILIISYTKAT CDMLAGKLSVNPNTGELSDPFLFDRLKGALICGLGALIMGPFAVLWNRWFCSNISKNE NSAVVLVKQSTHMALIGIIGMVILLPFIPKFPSRESVESISLFYNDKSFWFSLLGSII FGSLPSLISILELNRKAPAEYLTTCNLGAIIFMGLAEWVCEPTQTTIVRWEVIGYIML TVSLLVLSVTLGEGKYHH YBR037C MLKLSRSANLRLVQLPAARLSGNGAKLLTQRGFFTVTRLWQSNG KKPLSRVPVGGTPIKDNGKVREGSIEFSTGKAIALFLAVGGALSYFFNREKRRLETQK EAEANRGYGKPSLGGPFHLEDMYGNEFTEKNLLGKFSIIYFGFSNCPDICPDELDKLG LWLNTLSSKYGITLQPLFITCDPARDSPAVLKEYLSDFHPSILGLTGTFDEVKNACKK YRVYFSTPPNVKPGQDYLVDHSIFFYLMDPEGQFVDALGRNYDEKTGVDKIVEHVKSY VPAEQRAKQKEAWYSFLFK YBR038W MTRNPFMVEPSNGSPNRRGASNLSKFYANANSNSRWANPSEESL EDSYDQSNVFQGLPASPSRAALRYSPDRRHRTQFYRDSAHNSPVAPNRYAANLQESPK RAGEAVIHLSEGSNLYPRDNADLPVDPYHLSPQQQPSNNLFGSGRLYSQSSKYTMSTT STTAPSLAEADDEKEKYLTSTTSYDDQSTIFSADTFNETKFELNHPTRQQYVRRANSE SKRRMVSDLPPPSKKKALLKLDNPIPKGLLDTLPRRNSPEFTEMRYTACTVEPDDFLR EGYTLRFAEMNRECQIAICITMYNEDKYSLARTIHSIMKNVAHLCKREKSHVWGPNGW KKVSVILISDGRAKVNQGSLDYLAALGVYQEDMAKASVNGDPVKAHIFELTTQVSINA DLDYVSKDIVPVQLVFCLKEENKKKINSHRWLFNAFCPVLQPTVVTLVDVGTRLNNTA IYRLWKVFDMDSNVAGAAGQIKTMKGKWGLKLFNPLVASQNFEYKISNILDKPLESVF GYISVLPGALSAYRYRALKNHEDGTGPLRSYFLGETQEGRDHDVFTANMYLAEDRILC WELVAKRDAKWVLKYVKEATGETDVPEDVSEFISQRRRWLNGAMFAAIYAQLHFYQIW KTKHSVVRKFFLHVEFLYQFIQMLFSWFSIANFVLTFYYLAGSMNLVIKHGEALFIFF KYLIFCDLASLFIISMGNRPQGAKHLFITSMVILSICATYSLICGFVFAFKSLASGTE SHKIFVDIVISLLSTYGLYFFSSLMYLDPWHMFTSSIQYFLTLPAFTCTLQIFAFCNT HDVSWGTKGSTQESKQLSKAIVVQGPDGKQIVETDWPQEVDKKFLEIKSRLKEPEFEE SSGNEKQSKNDYYRDIRTRIVMIWMLSNLILIMSIIQVFTPQDTDNGYLIFILWSVAA LAAFRVVGSMAFLFMKYLRIIVSYRNKVEGSGSWEVSKLDLPNVFHKKG YBR039W MLSRIVSNNATRSVMCHQAQVGILYKTNPVRTYATLKEVEMRLK SIKNIEKITKTMKIVASTRLSKAEKAKISAKKMDEAEQLFYKNAETKNLDVEATETGA PKELIVAITSDKGLCGSIHSQLAKAVRRHLNDQPNADIVTIGDKIKMQLLRTHPNNIK LSINGIGKDAPTFQESALIADKLLSVMKAGTYPKISIFYNDPVSSLSFEPSEKPIFNA KTIEQSPSFGKFEIDTDANVPRDLFEYTLANQMLTAMAQGYAAEISARRNAMDNASKN AGDMINRYSILYNRTRQAVITNELVDIITGASSLG YBR040W MVAISMIWFFTKRMPRIFALAFNLISIFLLIFLLIGCYNPSNQS TFLVKYKFDDNSPFYTIIEKSYEKSNTTLGLEEVIIRSGYMGVCIDNIPSQYSSYNNM TTFSNSICYARKNLSSVPLYRDLEIQLSNIASSSSKTQSSVVLNILKLAQLTSVNVIH PYVLMATVILTILMFLFILYVTVPKLPFKLAVNKFLLLLSSTIVLTWGIGAMWTHVGI NASYRLVPSSSMNIITVKKGKKAAVMAWFSFAFLLLDSVVLWLIFLRDRKSLKDEIDN VPCAQNRYNNYSSDSSTLHSKV YBR041W MSPIQVVVFALSRIFLLLFRLIKLIITPIQKSLGYLFGNYFDEL DRKYRYKEDWYIIPYFLKSVFCYIIDVRRHRFQNWYLFIKQVQQNGDHLAISYTRPMA EKGEFQLETFTYIETYNIVLRLSHILHFDYNVQAGDYVAIDCTNKPLFVFLWLSLWNI GAIPAFLNYNTKGTPLVHSLKISNITQVFIDPDASNPIRESEEEIKNALPDVKLNYLE EQDLMHELLNSQSPEFLQQDNVRTPLGLTDFKPSMLIYTSGTTGLPKSAIMSWRKSSV GCQVFGHVLHMTNESTVFTAMPLFHSTAALLGACAILSHGGCLALSHKFSASTFWKQV YLTGATHIQYVGEVCRYLLHTPISKYEKMHKVKVAYGNGLRPDIWQDFRKRFNIEVIG EFYAATEAPFATTTFQKGDFGIGACRNYGTIIQWFLSFQQTLVRMDPNDDSVIYRNSK GFCEVAPVGEPGEMLMRIFFPKKPETSFQGYLGNAKETKSKVVRDVFRRGDAWYRCGD LLKADEYGLWYFLDRMGDTFRWKSENVSTTEVEDQLTASNKEQYAQVLVVGIKVPKYE GRAGFAVIKLTDNSLDITAKTKLLNDSLSRLNLPSYAMPLFVKFVDEIKMTDNHKILK KVYREQKLPKGLDGNDTIFWLKNYKRYEVLTAADWEAIDAQTIKL YBR042C MLHQKIAHKVRKVVVPGISLLIFFQGCLILLFLQLTYKTLYCRN DIRKQIGLNKTKRLFIVLVSSILHVVAPSAVRITTENSSVPKGTFFLDLKKKRILSHL KSNSVAICNHQIYTDWIFLWWLAYTSNLGANVFIILKKSLASIPILGFGMRNYNFIFM SRKWAQDKITLSNSLAGLDSNARGAGSLAGKSPERITEEGESIWNPEVIDPKQIHWPY NLILFPEGTNLSADTRQKSAKYAAKIGKKPFKNVLLPHSTGLRYSLQKLKPSIESLYD ITIGYSGVKQEEYGELIYGLKSIFLEGKYPKLVDIHIRAFDVKDIPLEDENEFSEWLY KIWSEKDALMERYYSTGSFVSDPETNHSVTDSFKINRIELTEVLILPTLTIIWLVYKL YCFIF YBR043C MQAQGSQSNVGSLRSNCSDNSLPNNHVMMHCDESSGSPHSEHND YSYEKTNLESTASNSREHRDNQLSRLKSEEYVVPKNQRRGLLPQLAIIPEFKDARDYP PMMKKMIVFLIAFSSMMGPMGTSIIFPAINSITTEFKTSVIMVNVSIGVYLLSLGVFP LWWSSLSELEGRRTTYITSFALLFAFNIGSALAPDINSFIALRMLCGAASASVQSVGA GTVADLYISEDRGKNLSYYYLGPLLAPLLSPIFGSLLVNRWPWRSTQWFMVILSGCNV ILLTVLLPETLRKQDSKGAIAQILAERRIQVDNNERGEIQEDYQRGEDETDRIENQVA TLSTEKHNYVGEVRDQDSLDLESHSSPNTYDGRAGETQLQRIYTEASRSLYEYQLDDS GIDATTAQVTRIRSTDPKLARSIRENSLRKLQTNLEEQVKKVLSSNGGEIAPKQVSAV RKVWDTFFVYFIKPLKSLHFLEYPPVALAITFSAISFSTVYFVNMTVEYKYSRPPYNF KPLYIGLLYIPNSVTYFFASIYGGRWVDMLLKRYKEKYGILAPEARISWNVVTSVISF PIALLIFGWCLDKKCHWVTPLIGTALFGYAAMMTIGATLSYLVDSLPGKGATGVALNN LIRQILAATAVFVTTPMLNGMGTGWAFTMLAFIVLGASSVLIILKKHGDYWRENYDLQ KLYDKID YBR044C MLRNCLRKLGNHQTKCSVKTLHTPIYRTKNLQVLRDTLSGIKLL EKIITSSSYNKTLIYEPKYKSKPQVVSSHDTMRLHNVMRELLDSLQVDEATNTRLQSN RPRKLGRVGLQLFMDCIQDNLTATSTSLTCSLLEHYFKYPEKEVTNGIKAGLRYIRDF LAKNKIIVKSQNDVDALVEQLTMSSSDSQSIKRVLKAINYELFSDDIVRVINGNKTYD EVDVSKGWKYPAGILDSNEAYLRSLELPTKKLVSIDKDMLVLMYDGTLRDANKILPTI TYARKLRKSVLLIVNGDCTGDALTSVTINNNRNKRENNESRIVVLKYSKKANNDLAPQ ENLDFIKFLRLPCGYDSIYSPEYSPLVPSKMCADKYYGSIESIKATTGEAFLYNSIDA EAIPNKVPKSFLQNTVTLSIGGHNEIEIDRRRNAIDNCLNNVLCHGLAKGFIPGYGIS LLKAIPGLNELKANEPNFMTKVGINAVLSAVILPSEVAFKNAYGYNYYEINSLIAGAI NEKSFPMAKFSPNSEPVNTVKDGNLEPWSKMDSCLAGVETFIELLTSCNTIITCVYKK PERHKA YBR045C METILQPKARPFESLKRKRFREWLRPSTAHGSLLHSDTLDLRDF AKPNPADTFSNLDSGHCPLVTTPIKYECPDGKSSFFRGDTKFETLFSNRKFYEFKDNL KRGLKKIRHGRNGHQSEKRCPVVEETKKSVSDNLDKPDNNTPCFDRFHTNSKEFETQF DHSNRSQNSEKAYLDNESCWNLSEKFIPFNNLKYEDLKHFEENLQSLAPATFTPIESN ESLDRSDSTRGTKRSIRNDSSDTTSEKRLCLKQYSDEPESDHSMESTPSIYITKEVQE RIEALSSTDSFLIEKVDFPSNKIGSSASDYESDNEYRNMDEDSINDVTTEKEGNVVIP DSNTSTVDAMEKPIEVSSALKDDTLDKDIDDASSSYSDDVETTFEPVESEELSDLSDT SSSGSSKIYTIPTFRGLTNRTNISQILSKVGKADLSQDNLTHLIKSHQKKKRCVNFRN KRFYDAFNPYVDNEEDAELSDSENISEMDTDLCIKDRSTSSVRFDENSRLLIYKKSKK LNKDETQSGYSTTEMRSILKTKMNSQHDEESQRASKCDTVGVAQFLHYFQYTEYKRQR NEAENYRLRGEQLSKYYSEEYPLDFAAVECEDSVNDKSDIILSMRATERNIGRQLKGI SSQGAQIISLDEDVF YBR046C MKCTIPEQQKVILIDEIGGYDVIKYEDYPVPSISEEELLIKNKY TGVNYIESYFRKGIYPCEKPYVLGREASGTVVAKGKGVTNFEVGDQVAYISNSTFAQY SKISSQGPVMKLPKGTSDEELKLYAAGLLQVLTALSFTNEAYHVKKGDYVLLFAAAGG VGLILNQLLKMKGAHTIAVASTDEKLKIAKEYGAEYLINASKEDILRQVLKFTNGKGV DASFDSVGKDTFEISLAALKRKGVFVSFGNASGLIPPFSITRLSPKNITLVRPQLYGY IADPEEWKYYSDEFFGLVNSKKLNIKIYKTYPLRDYRTAAADIESRKTVGKLVLEIPQ YBR047W MLINHLSKIRTVRHFSNIKPVLSKEVSRRVIVAPASHFKTSSPN VKSNIPIHEYKQLPEDSNYIEKHYKELQVFLNEFLIKKLNKTYADFEGDPDELVFQLE KFIELEVTPRYTNHSAPDGCEERFKSIGDRIVVDRYLDFVKDVRLTLLLNGGHSFIFD VMLQAKEVFDKMQKE YBR048W MSTELTVQSERAFQKQPHIFNNPKVKTSKRTKRWYKNAGLGFKT PKTAIEGSYIDKKCPFTGLVSIRGKILTGTVVSTKMHRTIVIRRAYLHYIPKYNRYEK RHKNVPVHVSPAFRVQVGDIVTVGQCRPISKTVRFNVVKVSAAAGKANKQFAKF YBR049C MPSGHNDKNANQESVEEAVLKYVGVGLDHQNHDPQLHTKDLENK HSKKQNIVESSSDVDVNNNDDSNRNEDNNDDSENISALNANESSSNVDHANSNEQHNA VMDWYLRQTAHNQQDDEDDENNNNTDNGNDSNNHFSQSDIVVDDDDDKNKKDAGVGVD DDHQSMAMAAVAAAYTLSKNNNNNNSIANDSNSRKRQHDNGNNHENSQKKRKNNNDDD DRQIGNVDPELTTLGDADDNDTNNDVIDRDQLVHKAIIDADSITQHPDFQQYLNTAAD TDDNEKLKHIKDHLMRTHGLNHQNKNHNDDTDDLSNSTKQYSELQKDSMLDSSLNKSR NYMEVLPKVISQDTQPHQQKSPSHDNEAGSVDNSEISQLLQSAATKASSLVSLSSSSA TPSTSRSNNSKAFDKAEDAALERFINEYEAIERLTRQQVCERIWSSDRPKDNFWNNIY KVLPYRSSSSIYKHMRRKYHIFEQRGKWTAEEEQELAKLCAEKEGQWAEIGKTLGRMP EDCRDRWRNYVKCGTNRASNRWSVEEEELLKKVISDMLEEAQQQQSQLHPNLLEEEQH LLQDDQNDHRNNDEDDDDTASAAAAAAAAIQEQQQLLQQKQQDDDDAIAAAAAAASSS LGDNKDEDKPHDSLGIQLDDNSQNSMVPAPSATSTHSKSLSNTIRRHNNKLRKSLMGN GKLDFKDIINWTIVSERMGGTRSRIQCRYKWNKLVKREAIAKIQTVKDDDMLWIFEKL RDLGITEDSQVDWDELAALKPGMKLNGLELKLCYERMKKKVKGYKQKSINEISKELVD YFSSNISMKTEN YBR050C MTLSNCDSLDNLFQDPPEEEESSKFVEAVRTLMNRNDMGYPPAA ANGTYCLKKIKSLNAKQWKINKKRMCMLPAVKKKNFDFHEQRSLILNLNLWKFIKFIN CSSKNNYNKNNKHVRSSNNTVKNENVLPLQKHKKVDNDQRLENLFWRSWFKARKRRDI MGKPRERHIKFNDNVEQCIITDEHFIQRLPSTRLNSTDEQRPCSKSELDPCIGNAASK RSFYDYNSVYVASDAIITTAAATAIISSNSGDYQRGHDVRDVPRNVLLQAGETDFSSV LRVDSDLKLSNISHHSPVKPSSTSSHSTFIFESETDTDTDTDAETENDIDAYIDTSIP NLLL YBR052C MPKVAILIYSVDDIIATLAENEKKGIEIAGGEAEIFQVPDVSYK TEYATEEGKEAAKVAKTNADFSYKILTRETLVEYDYYLFGIPTKFGNFPAEWKSFWDS NTGGLWAKGSLHGKIAGLFVSGAISGKGDTEMCIMNAMSTLVHHGVIYVPLGYKNAYK ELTDVEDVNGSCAWGAGCVSGIDGGRPPSLSELRVHQLQGKAFYDRIKDL YBR053C MSSVGDFEEIILHDLKPYYHVPGAIHSEGITFVKETGTLLWVDI FKGEVHKVEDIEQPESSHSFFSISRANYGKNASIEYPPNPDELKESVGCIFPILDGAS QNEIKQVLFGSKFGIGKLDFSKSEWEYVILYSECPELSTDRAYKLRSNDGNVSPDGKY IYVGLMSDFPFDLEPIGCLLRVDLLAHKIELVWNCLLIPNAIHWDESDQKTMYVTDSL NFTIWKCPGGDLLKRDELIDVKNSNNQSFESPEPDGSAIWFSKDGKHSGFLFITVWST SKVQMFDLTNGKLLKEFILPEQTPRVSCCCFVGKDLFVTTANAEINDAVRTNTDKNGG CIYKIPNVLDGNVPLESTKRQPLH YBR054W MSDYVELLKRGGNEAIKINPPTGADFHITSRGSDWLFTVFCVNL LFGVILVPLMFRKPVKDRFVYYTAIAPNLFMSIAYFTMASNLGWIPVRAKYNHVQTST QKEHPGYRQIFYARYVGWFLAFPWPIIQMSLLGGTPLWQIAFNVGMTEIFTVCWLIAA CVHSTYKWGYYTIGIGAAIVVCISLMTTTFNLVKARGKDVSNVFITFMSVIMFLWLIA YPTCFGITDGGNVLQPDSATIFYGIIDLLILSILPVLFMPLANYLGIERLGLIFDEEP AEHVGPVAEKKMPSPASFKSSDSDSSIKEKLKLKKKHKKDKKKAKKAKKAKKAKKAQE EEEDVATDSE YBR055C MERPSFLDQEPPAGYVPGIGRGATGFSTKEKQVVSNDDKGRRIP KRYRENLNNHLQSQPKDDEDDEAANVFKTLELKLAQKKKKRANEKDDDNSVDSSNVKR QFADLKESLAAVTESEWMDIPDATDFTRRNKRNRIQEQLNRKTYAAPDSLIPGNVDLN KLTEEREKLLQSQIDENLAQLTKNASNPIQVNKPNAATDALSYLKDLENDRVNSLSDA TLEDLQKMRTILKSYRKADPTNPQGWIASARLEEKARKFSVAKKIIENGCQECPRSSD IWLENIRLHESDVHYCKTLVATAINFNPTSPLLWFKAIDLESTTVNKYRVVRKALQEI PRDEGLWKLAVSFEADKAQVIKMLEKATQFIPQSMDLLTAYTNLQSYHNAKMTLNSFR KILPQEPEIWIISTLLEERNNPDIPVDKLVSLLKEGLLELSKNGYKATLSAWLKRAEA LNDAPNSNLTCQAIVYAILEWLRESGEYESELNNVDQILEKMPHSKVQIAVLKKLIQW DPCDTVLWSRLKMATESYHKIEELLAFFQELLFQTKNSDDIRANMREKSPGLLMMYVS EYWKAQKGDTRQTLVLIDQIIDFAPHNLDLRFFKIKLLGRSLQLDELRDFFQQTFSSL EDFKISGTERLYYKYVNFLRYQDLNEEAIKFLNERCLKSFPICHKFFLQLGQIYHSMG NIEMSRETYLSGTRLVPNCPLLWVSLSKIDEIDLKNPVRARSILDRGLLKNPDDVLFY IAKIQMEIRLGNLDQAELLVTQALQKFPSNALLWVEQIKLFKHGNKSSLKKTIFQDAL RRTQNDHRVLLEIGVSFYAEAQYETSLKWLERALKKCSRYGDTWVWLFRTYARLGKDT VDLYNMFDQCEPTYGPEWIAASKNVKMQYCTPREILLRLMNDK YBR056W MIGSLRNKFEHFKVSEKGGQNLSTTLPKLPPAKDLDRSTIYKYR YNYGVNLGALFVLEPWIFSKETICTIDGKEYDSEFDAISQQLKKHSSEDVAKMLSDHY KKYIDRIDWEWLSKDAHITALRIPIGYWHVEDGKHLDSLPFAPLRKVYELAKPWEKLG ELINNAKKMSIGVLIDLHGLPGGANCDSHSGSKSGEAAFFHKEKYMTKVYKDILPAII NTMTLGNENIIGIQVVNEACFDNNPKGQKFYYSEAINTVEKLQPGLPVIISDGWWPQQ WADWVKEKHFSEIVVIDSHVYRCFSDSDKSKDANSIIKDLPNTVNFPHEDADYTVGEF SGVLDGQTWNKTSGDRDAIVQKYVQTQADVFSHVASWGWFFWTLQFEYGDGGEWGLAP MMQKGNLPKRPHGDDLQVDKKKIDSIIHEHEAYWNGKGKNFEHWRFEDGIKTAVDDII AFRKFDNSLIGRWHSWKSQRRAEYVSAKKDSEFMWEWDQGYQRGLDEFNKY YBR056W-A MRHQYYQPQPMYYQPQPQPIYIQQGPPPPRNDCCCCCNCGDCCS AIANVLCCLCLIDLCCSCAGGM YBR057C MNYMAYDYDPQHSLETSFNNLAFHPHQQSQQQALYESGERNDAR PGLMNTLGQASKMNNSMLPQGSSASPLTGQHSLNSTTNFNMPPSMNTYNYQNVPQASM RNTLNHNNIMNGATANDYWLDPMNNMTNNKDTNGNPNDSMSSMSNMTAKTSINSTAFK NSFVPFNHVTALSMNNVNSNEMNSNKDDRMEALEVELQIKESQIESLENEIQRLKKIF NEGLNYKQNEHKYEKENCHIPQTFELPASLEVIFRKLSSSLHAKEKELAETKENLESI LTALALNPTNSVTKYGRYDAESIAHKMVVRLENLTNENKEMAKMLAYGRSKETQIELQ LAKKENLELREKIASLEAHLASKESSKEDVAN YBR058C MAEAVLENVNVPAVVSKDECIYCFESPYNEPLALNASPKHSLNI CLNCFQATCNRHVPLHIRVTEYACDTIHSNYLTIAKVEKPKQENVEENNNNKKIKLQV IETSEDDTHNTIWSLQRFNGENVPRTVLSKSTDSDISSTALEKIEKILKAKSQDFEDK KNSWVLEISTCPHTENFQIPSKPENTVNLNQCSSCDLTQNLWLCLHCGNIGCGREQIG IDGHSHALDHYRSNNNHPLAIKLGSLSSSTYDLYCYACDDETRFPDNVNLGSALQIYG INIQEKIADEKTLVQLQVEQNENWQFRMVDSSGKEFEKLSASKNYGCGLINLGNSCYL NSVIQSLVNGGVPNWSLDFLGSKFPLDVVYPDNNLKCQWIKLLNAMKCEPELYPNGIK PTTFKKCIGQNHQEFSSNRQQDAMEFLTFLLDLLDKKFFSSSSSGIPNPNDLVRFMME DRLQCNICGKVKYSYEPTEAIQIPLEENDEPQDMLERIKAYFEGQTIEFKCANCKEKV TANKKPGFKSLPQTLILNPIRIRLQNWIPVKTSNELSLPGLIDRDDMLDVSSYLSQGF DPQTENLLPDEDENRSSFTPNQCSISQLIEMGFTQNASVRALFNTGNQDAESAMNWLF QHMDDPDLNDPFVPPPNVPKKDKREVDEVSLTSMLSMGLNPNLCRKALILNNGDVNRS VEWVFNNMDDDGTFPEPEVPNEEQQQKKDLGYSTAKPYALTAVICHKGNSVHSGHYVV FIRKLVADKWKWVLYNDEKLVAADSIEDMKKNGYIYFYTRC YBR058C-A MTQHKSSMVYIPTTKEAKRRNGKSEGILNTIEEVVEKLYWTYYI HLPFYLMASFDSFFLHVFFLTIFSLSFFGILKYCFL YBR059C MSITNGTSRSVSAMGHPAVERYTPGHIVCVGTHKVEVVNYLAEG GFAQIYVVKFLEYLNEFDNTASVPLKIGDVACLKRVLVQDENGLNEMRNEVEVMKKLK GAPNIVQYFDSNASRRRDGVQGFEVLLLMELCPNKSLLDYMNQRLSTKLTEAEIVKIM YDVALSISQMHYLPVSLIHRDIKIENVLVDAKNNFKLADFGSTSTCFPIVTTHQDIAL LTQNIYVHTTPQYRSPEMIDLYRCLPINEKSDIWALGVFLYKLLFFTTPFEMTGQFAI LHSKYEFPVNKYSSKLINLIIIMLAENPNLRPNIYQVLYHLCEILNVEVPIEDKYAEG AYNFSKYTQFQNKLQNVQLQMYQLQQKKIMQNNKLSDSEENLLNDMFLSSFEISSKLP MNASDGHAAVSRIPSQNVGQELEEEKESQSDQRKSTLSEDKSSRTTSNANSSGTANNP QEINTIQSPGIEDKSIFENKTPGELYYPSVSELDTYLDKELVKQSSDPTISEQSPRLN TQSLPQRQKSTSSYSSGGRSMKSTSYGAATIGSDEALANEKTAGINKMKQHKSNNPFP KMNVAYHSTNELSNDASNFFLEEQQQGQRYQQAQNQTGTQGNTFPDESQYQSRVEQQQ QQQDQPKGPANYSQRNFYTGRDRSNKPMQLGGTIAGDSGNRRVNFQNISQNYATNSQS GYLPSQNSPAIPMVRPVISMNQQQAQQIQAQQLQAQQMQAKQQMQAKQQMQVQQQLQV QQQMQIQNANNNGTYVSDRTNHTTEDMRNAQGGEPPILAGNSANEPMHSSSKNEALLI ELSPLKEDAGKQSFQDTNEPQTGGIEDAGGSGTIKGSNNNRNGVLNLSLNEMDLSRDD TGAAVSSFSSSSSSASIQQAKLSGRKGSSKRNNYSTDELGDSMVSSESIDIDLDDARR GKTAERRPLHNERGHKDQARSSDASKSNQFKSKDFSSVSTRQPRQSLDLNFQEVNLSS PTLTQEHRNKNDSPAPNSHHSYRVSPHASTAITENKRHSTGHELSTRSNGKHETHRTG SKQRHDLERYRHSKDKDSNSSITISTSTPSEMRKSFARARQSLDLERVRREAMASSAS SSGGSNGKRRSFFSVFRSEK YBR060C MLNGEDFVEHNDILSSPAKSRNVTPKRVDPHGERQLRRIHSSKK NLLERISLVGNERKNTSPDPALKPKTPSKAPRKRGRPRKIQEELTDRIKKDEKDTISS KKKRKLDKDTSGNVNEESKTSNNKQVMEKTGIKEKREREKIQVATTTYEDNVTPQTDD NFVSNSPEPPEPATPSKKSLTTNHDFTSPLKQIIMNNLKEYKDSTSPGKLTLSRNFTP TPVPKNKKLYQTSETKSASSFLDTFEGYFDQRKIVRTNAKSRHTMSMAPDVTREEFSL VSNFFNENFQKRPRQKLFEIQKKMFPQYWFELTQGFSLLFYGVGSKRNFLEEFAIDYL SPKIAYSQLAYENELQQNKPVNSIPCLILNGYNPSCNYRDVFKEITDLLVPAELTRSE TKYWGNHVILQIQKMIDFYKNQPLDIKLILVVHNLDGPSIRKNTFQTMLSFLSVIRQI AIVASTDHIYAPLLWDNMKAQNYNFVFHDISNFEPSTVESTFQDVMKMGKSDTSSGAE GAKYVLQSLTVNSKKMYKLLIETQMQNMGNLSANTGPKRGTQRTGVELKLFNHLCAAD FIASNEIALRSMLREFIEHKMANITKNNSGMEIIWVPYTYAELEKLLKTVLNTL YBR061C MGKSSKDKRDLYYRKAKEQGYRARSAFKLLQLNDQFHFLDDPNL KRVVDLCAAPGSWSQVLSRKLFDESPSSDKEDRKIVSVDLQPMSPIPHVTTLQADITH PKTLARILKLFGNEKADFVCSDGAPDVTGLHDLDEYVQQQLIMSALQLTACILKKGGT FVAKIFRGRDIDMLYSQLGYLFDKIVCAKPRSSRGTSLEAFIVCLGYNPPSNWTPKLD VNTSVDEFFQGCFLNKLCISDKLSHWNEEERNIAEFMACGSLQSFDSDATYHDLPSSV AGTSSSLDPVQSPTNPPYKKALELKRSGKLTRSV YBR062C MSTYEEEHGIQQNSRDYQEVGGTSQEEQRRQVRSQLQGLFQNFG NTSGEGDAHSDSTLLLRLLSQMLPESLQEEWLQEMDKGKSAGCPDTFAASLPRINKKK LKATDNCSICYTNYLEDEYPLVVELPHCHHKFDLECLSVWLSRSTTCPLCRDNVMGHR IINEIDTTEAELEEDWGMYG YBR063C MEELGLKSTFPYEYGSDFTMIRTEMLNTTKSETTILFSNIKSIL AIIWKYSFTFLRSFSDSIKLIIDDVVTIGSRNFAERLQIEAKKNNDQEDIWASTIILG VIIGYLISSIKRKNTFPIMPTSSPKIDDCRFKTGDTISIVINFNEDCLNNRSDVTEER NYEESTVLHSKESVLSIGRQNMVTLNQSDENFTYGNFDEYDLLTKDYTTEVLTRSPGS NPEFKAVVNNTLLDSANETPFKGIEKSINETMVKVPMGCDVSLSHYGRQYAPGNISIM RSFTARDNTKSVSREIRDICKSFLIIKSQFGDELFLTMFMEKPVFFDNNIPIEITGAY REKRERLDEVIHKDLIRYDEVQNLTRIRNLLRVKSQKICSRRHNSSVPTKKLLVNDKG ATSILLWYSNYS YBR065C MNDEINEPPPNICEQCLGDEANIRMTKIPQGSECKICTLPFTLY HFKTSKRSNNIIKTLICVRCATQRNICQCCMLDSRWHIPIQLRDHLISLVNEENVMTE EAKNDMMKRFLSLKNVKLGGAQITSDPSEADNIVDKLKNILLRATSDGPSTPLIKNTT ALYKNEKGANEVKNLEKYASVDISHILKKLPLNESFLKNPSTKSFFLYNIDASIPEWK ITDTVSQLLGIKKWKDGNSLSLIVNHKAKCGGLRFQSSELGERFVSKISETLVTPKGL KRGVLLIDRFRIFIIPWSSGFSAASFGTNTAENIKLSLSLNKLIQLELGLSFPTKSTD NAKNDKKKTSKKVHKDRSKKSKPRANKLTI YBR066C MSIGYKDNLMSTILAKDRKCEFPINFECSPSQITLMPEMFSFNN ERKYQTLIPLMKTSHLIDDDLKDKLNKCAFDFFSGKQANRTSDGTISRLTASGKTSPI LPLQNINIVKAENTGNGKSDPYSSIKISKPTKTVIKLKSTKTNTAGQRTRHFCKICST GFTTSGHLSRHNRIHTGEKNHICPHEGCGQRFSRHDNCNQHYRTHANKKKRNWKRREA SS YBR067C MSVSKIAFVLSAIASLAVADTSAAETAELQAIIGDINSHLSDYL GLETGNSGFQIPSDVLSVYQQVMTYTDDAYTTLFSELDFDAITKTIVKLPWYTTRLSS EIAAALASVSPASSEAASSSEAASSSKAASSSEATSSAAPSSSAAPSSSAAPSSSAES SSKAVSSSVAPTTSSVSTSTVETASNAGQRVNAGAASFGAVVAGAAALLL YBR068C MLSSEDFGSSGKKETSPDSISIRSFSAGNNFQSSSSEKTYSKQK SGSDKLIHRFADSFKRAEGSTTRTKQINENTSDLEDGVESITSDSKLKKSMKSRHVVM MSLGTGIGTGLLVANAKGLHYGGPAALIIGYILVSFVTYFMIQAAGEMAVTYPTLPAN FNAYSSIFISKSFGFATVWLYCFQWLTVLPLELITASMTIQFWNDKINPDIYILIFYV FLVFIHFFGVKAYGETEFIFNCCKILMIAGFIILSIVINCGGAGNDGYIGATYWHNPG AFAGDTSIGRFKNVCYILVTAYFSFGGMELFALSVQEQSNPRKSTPVAAKRSIYRIVV IYLLTMILIGFNVPYNDDQLMGAGGSATHASPYVLAASIHGVKIVPHIINAVILISVV SVANSSLYAGPRLICSLAQQGYAPKFLDYVDREGRPLRALIVCCVFGVIAFVAASSKE EIVFTWLAAIAGLSELFTWTSIMLSHLRFRQAMKVQGRSLDELGYKATTGIWGSIYGV FFNILVFVAQFWVALAPLGNGGKCDAESFFQNYLAFPIWLAFYFGYMVYNRDFTLLNP LDKIDLDFHRRIYDPELMRQEDEENKEKLRNMSLMRKAYHFWC YBR069C MDDSVSFIAKEASPAQYSHSLHERTHSEKQKRDFTITEKQDEVS GQTAEPRRTDSKSILQRKCKEFFDSFKRQLPPDRNSELESQEKNNLTKSIKSRHLVMI SLGTGIGTGLLVGNGQVLGTAGPAGLVLGYGIASIMLYCIIQAAGELGLCYAGLTGNY TRYPSILVDPSLGFAVSVVYTIQWLTVLPLQLVTAAMTVKYWTSVNADIFVAVVFVFV IIINLFGSRGYAEAEFIFNSCKILMVIGFVILAIIINCGGAGDRRYIGAEYWHNPGPF AHGFKGVCTVFCYAAFSYGGIEVLLLSAAEQENPTKSIPNACKKVVYRILLIYMLTTI LVCFLVPYNSDELLGSSDSSGSHASPFVIAVASHGVKVVPHFINAVILISVISVANSS LYSGPRLLLSLAEQGVLPKCLAYVDRNGRPLLCFFVSLVFGCIGFVATSDAEEQVFTW LLAISSLSQLFIWMSMSLSHIRFRDAMAKQGRSMNEVGYKAQTGYWGSWLAVLIAIFF LVCQFWVAIAPVNEHGKLNVKVFFQNYLAMPIVLFAYFGHKIYFKSWSFWIPAEKIDL DSHRNIFVSPSLTEIDKVDDNDDLKEYENSESSENPNSSRSRKFFKRMTNFWC YBR070C MKTAYLASLVLIVSTAYVIRLIAILPFFHTQAGTEKDTKDGVNL LKIRKSSKKPLKIFVFLGSGGHTGEMIRLLENYQDLLLGKSIVYLGYSDEASRQRFAH FIKKFGHCKVKYYEFMKAREVKATLLQSVKTIIGTLVQSFVHVVRIRFAMCGSPHLFL LNGPGTCCIISFWLKIMELLLPLLGSSHIVYVESLARINTPSLTGKILYWVVDEFIVQ WQELRDNYLPRSKWFGILV YBR071W MLRRSKNSSTNTNADTKKRQSMHLGSKSSLISLTSEFGHGHSKT KQKKEEGTAPSQFLSPTNKRSTSSQSKLKRSSLLLDETLLKDYHSAMRHMQTNAAKEE KLRMAPSPTQSTRSESDASLSSTKSSISSIFSQDNDYSIHDLLYEDIEEMDKTDAFKI NNTIAIDDSKALFVFCSNDSSSRTASIETLHESNLDNLDMGSSRRTSLDFF YBR072W MSFNSPFFDFFDNINNEVDAFNRLLGEGGLRGYAPRRQLANTPA KDSTGKEVARPNNYAGALYDPRDETLDDWFDNDLSLFPSGFGFPRSVAVPVDILDHDN NYELKVVVPGVKSKKDIDIEYHQNKNQILVSGEIPSTLNEESKDKVKVKESSSGKFKR VITLPDYPGVDADNIKADYANGVLTLTVPKLKPQKDGKNHVKKIEVSSQESWGN YBR072C-A MHILTRSSKNAFPRSRSRQDIHISSHIHRDTSNSALLKILVITR TRLDSFVKT YBR073W MAVISVKPRRREKILQEVKNSSVYQTVFDSGTTQMQIPKYENKP FKPPRRVGSNKYTQLKPTATAVTTAPISKAKVTVNLKRSISAGPTLNLAKKPNNLSSN ENTRYFTIMYRKPTTKKHKTWSGDGYATLKASSDKLCFYNEAGKFLGSSMLPSDSDSL FETLFKAGSNEVQLDYELKENAEIRSAKEALSQNMGNPSPPTTSTTETVPSTKNDGGK YQMPLSQLFSLNTVKRFKSVTKQTNEHMTTVPKTSQNSKAKKYYPVFDVNKIDNPIVM NKNAAAEVDVIVDPLLGKFLRPHQREGVKFMYDCLMGLARPTIENPDIDCTTKSLVLE NDSDISGCLLADDMGLGKTLMSITLIWTLIRQTPFASKVSCSQSGIPLTGLCKKILVV CPVTLIGNWKREFGKWLNLSRIGVLTLSSRNSPDMDKMAVRNFLKVQRTYQVLIIGYE KLLSVSEELEKNKHLIDMLVCDEGHRLKNGASKILNTLKSLDIRRKLLLTGTPIQNDL NEFFTIIDFINPGILGSFASFKRRFIIPITRARDTANRYNEELLEKGEERSKEMIEIT KRFILRRTNAILEKYLPPKTDIILFCKPYSQQILAFKDILQGARLDFGQLTFSSSLGL ITLLKKVCNSPGLVGSDPYYKSHIKDTQSQDSYSRSLNSGKLKVLMTLLEGIRKGTKE KVVVVSNYTQTLDIIENLMNMAGMSHCRLDGSIPAKQRDSIVTSFNRNPAIFGFLLSA KSGGVGLNLVGASRLILFDNDWNPSVDLQAMSRIHRDGQKKPCFIYRLVTTGCIDEKI LQRQLMKNSLSQKFLGDSEMRNKESSNDDLFNKEDLKDLFSVHTDTKSNTHDLICSCD GLGEEIEYPETNQQQNTVELRKRSTTTWTSALDLQKKMNEAATNDDAKKSQYIRQCLV HYKHIDPARQDELFDEVITDSFTELKDSITFAFVKPGEICLREQ YBR074W MKLKSVFRSVLKYRKTNLSLLLLITYSIITLLYIFDHERYKLNL PKEDEHPEFNDLLETAWGDLQIITASFHPYTSKENDKVHDYLLKRVLEITGNSSFASV SDDKESERSILFQQQDPFNESSRFSRVTYFESSNILVKLEGKNPEEEGLLLSAHFDSV PTGYGATDDGMGVVSLLANLKYHIKHRPNRTLIFNFNNNEEFGLLGASTYFDHSWSNL TKYVINLEGTGAGGKAVLFRTSDTSTARIYQQSVKENPFGNSIYQQGFYSRYVRSETD YKIYEENGMRGWDVAFYKPRNLYHTIKDSIQYTSKASLWHMLHTSLQLSAYVASNSLD TADQTPACYFDFIGLKFFVISAKTLFYWNCIFLLVSPVVAIGLYLISRDRMTWKSYSW LSWTRFPLSLAAGIIVQKLFSNDIIRSNPLTFSRNYFWPISAFFTQVIFTSYVLINCS NFFFPCADMKSLSIIELFIILWTILLFTSKLLYSSDYRYTGLYPLSIFFLLSTIAAIL RLLALALGMRTRKRLGRECRDHHSNYSSHSQIDMERDGQENLEQPQDQLTSSQDDQAS IQDDNVSTTSAGPSHNVDEDHGMDSSSQQHDERVPLLKGSNSMEEGLSTRENSLKLEY TDYAWIIQFLLIVPIPSFILFNSVDVIMDALNHTVQEGSKATFDVLRFGMVGSILIAL PILPFFYKVNYITISLTALLFLISASKTLLVHPFTNSNPLKVRFSQNIDLSQGNAASV HVLGREGNFLKPMLQDLPSIKYSSTHINCTSVTNGMELCMYDGMQPNLLSTNGNTNIS SMVKVHVLHNNRNSTERSPYEPIVAELLLEVKENRACTLTFESRHQAKSPVREITVYQ KKNSAPQKANITKTIKSASGINELQLHKLDFDQETYHIGVQWFPKLLTDGNVEDDKLG TKDELSVSISCYWGEYDSESVVNGTAVRKIPAFDELINYAPLSFSFTNEQKGLVIVKD AIIL YBR076W MDYGYFFPAQRIEETNGVDFWIDSNAEFTQSKRPDSSTSTLSRV LTDTTNVSNNSGSLKRKTIKNKIFPQRKIFNDSENFDFGKANTDCKHVFKSISKQLIF LPRCFQHHSIRGWMKDRYSEFGYKIKRNQNCPPSACVQALYNTSRSNTEESNPNSLDS LIMYKYMRYSEKKKELMCRFCQGNNWILAENYLKHLFFAHGILSEFKPHTLYHFESKL LKIQGKLNFKIQVLKEPEFSKKILNSLTVSIIPSPLAYYTQTLNGGFRRIHVKCPHCE NWIRLGWCEYDEIIRDSFQDFESLRNLNADYNGMSYIQTRNREDIEGIYENYFTHYIQ CDLATFRTKCLYVQVITKSN YBR077C MVMLHSKNVKGFLENTLKPYDLHSVDFKTSSLQSSMIITATNGG ILSYATSNNDVPKNSINEINSVNNLKMMSLLIKDKWSEDENDTEEQHSNSCYPVEIDS FKTKIYTYEMEDLHTCVAQIPNSDLLLLFIAEGSFPYGLLVIKIERAMRELTDLFGYK LG YBR078W MQFKNALTATAILSASALAANSTTSIPSSCSIGTSATATAQADL DKISGCSTIVGNLTITGDLGSAALASIQEIDGSLTIFNSSSLSSFSADSIKKITGDLN MQELIILTSASFGSLQEVDSINMVTLPAISTFSTDLQNANNIIVSDTTLESVEGFSTL KKVNVFNINNNRYLNSFQSSLESVSDSLQFSSNGDNTTLAFDNLVWANNITLRDVNSI SFGSLQTVNASLGFINNTLPSLNLTQLSKVGQSLSIVSNDELSKAAFSNLTTVGGGFI IANNTQLKVIDGFNKVQTVGGAIEVTGNFSTLDLSSLKSVRGGANFDSSSSNFSCNAL KKLQSNGAIQGDSFVCKNGATSTSVKLSSTSTESSKSSATSSASSSGDASNAQANVSA SASSSSSSSKKSKGAAPELVPATSFMGVVAAVGVALL YBR079C MAPPPFRPENAIKRADELISVGEKQAALQSLHDFITARRIRWAT PSTVEPVVFKFLEIGVELKKGKLLKDGLHQYKKLIQGSTEGLVSVGAVARKFIDLVES KIASEQTRADELQKQEIDDDLEGGVTPENLLISVYESDQSVAGFNDEAITSWLRFTWE SYRAVLDLLRNNALLEITYSGVVKKTMHFCLKYQRKNEFKRLAEMLRQHLDAANYQQS KSGNNLVDLSDADTLQRYLDQRFQQVDVSVKLELWHEAYRSIEDVFHLMKISKRAPKP STLANYYENLVKVFFVSGDPLLHTTAWKKFYKLYSTNPRATEEEFKTYSSTIFLSAIS TQLDEIPSIGYDPHLRMYRLLNLDAKPTRKEMLQSIIEDESIYGKVDEELKELYDIIE VNFDVDTVKQQLENLLVKLSSKTYFSQYIAPLRDVIMRRVFVAASQKFTTVSQSELYK LATLPAPLDLSAWDIEKSLLQAAVEDYVSITIDHESAKVTFAKDPFDIFASTASKEVS EEENTEPEVQEEKEETDEALGPQETEDGEEKEEESDPVIIRNSYIHNKLLELSNVLHD VDSFNNASYMEKVRIARETLIKKNKDDLEKISKIVDERVKRSQEQKQKHMEHAALHAE QDAEVRQQRILEEKAAIEAKLEEEAHRRLIEKKKREFEAIKEREITKMITEVNAKGHV YIDPNEAKSLDLDTIKQVIIAEVSKNKSELESRMEYAMKKLDHTERALRKVELPLLQK EVDKLQETDTANYEAMKKKIVDAAKAEYEARMADRKNLVMVYDDYLKFKEHVSGTKES ELAAIRNQKKAELEAAKKARIEEVRKRRYEEAIARRKEEIANAERQKRAQELAEATRK QREIEEAAAKKSTPYSFRAGNREPPSTPSTLPKATVSPDKAKLDMIAQKQREMEEAIE QRLAGRTAGGSSPATPATPATPATPTPSSGPKKMTMAEKLRAKRLAKGGR YBR080C MFKIPGFGKAAANHTPPDMTNMDTRTRHLKVSNCPNNSYALANV AAVSPNDFPNNIYIIIDNLFVFTTRHSNDIPPGTIGFNGNQRTWGGWSLNQDVQAKAF DLFKYSGKQSYLGSIDIDISFRARGKAVSTVFDQDELAKQFVRCYESQIFSPTQYLIM EFQGHFFDLKIRNVQAIDLGDIEPTSAVATGIETKGILTKQTQINFFKGRDGLVNLKS SNSLRPRSNAVIRPDFKFEDLGVGGLDKEFTKIFRRAFASRIFPPSVIEKLGISHVKG LLLYGPPGTGKTLIARKIGTMLNAKEPKIVNGPEILSKYVGSSEENIRNLFKDAEAEY RAKGEESSLHIIIFDELDSVFKQRGSRGDGTGVGDNVVNQLLAKMDGVDQLNNILVIG MTNRKDLIDSALLRPGRFEVQVEIHLPDEKGRLQIFDIQTKKMRENNMMSDDVNLAEL AALTKNFSGAEIEGLVKSASSFAINKTVNIGKGATKLNTKDIAKLKVTREDFLNALND VTPAFGISEEDLKTCVEGGMMLYSERVNSILKNGARYVRQVRESDKSRLVSLLIHGPA GSGKTALAAEIALKSGFPFIRLISPNELSGMSESAKIAYIDNTFRDAYKSPLNILVID SLETLVDWVPIGPRFSNNILQMLKVALKRKPPQDRRLLIMTTTSAYSVLQQMDILSCF DNEIAVPNMTNLDELNNVMIESNFLDDAGRVKVINELSRSCPNFNVGIKKTLTNIETA RHDEDPVNELVELMTQSA YBR081C MTERIPIKNYQRTNAKALLKLTEKLFNKNFFDLYLTSQQLVVLE YLLSISSEEDKLKAWDYFLKGNIALNVEKSFPLTQEEEHHGAVSPAVDTRSDDVSSQT IKDNNNTNTNTSISNENHVENEIEDKGDNAIANEDNFVNNDESDNVEEDLFKLDLEDL KQQISGTRFIGNLSLKIRYVLWQCAIDYIYCDRNEFGDENDTEYTLLDVEEKEEEEIG KNEKPQNKEGISKFAEDEDYDDEDENYDEDSTDVKNVDDPPKNLDSISSSNIEIDDER RLVLNISISKETLSKLKTNNVEEIMGNWNKIYHSFEYDKETMIKRLKLEESDKMIEKG KKKRSRSDLEAATDEQDRENTNDEPDTNQKLPTPEGSTFSDTGNKRPKQSNLDLTVNL GIENLSLKHLLSSIQQKKSQLGISDYELKHLIMDVRKNRSKWTSDERIGQEELYEACE KVVLELRNYTEHSTPFLNKVSKREAPNYHQIIKKSMDLNTVLKKLKSFQYDSKQEFVD DIMLIWKNCLTYNSDPSHFLRGHAIAMQKKSLQLIRMIPNITIRNRADLEKEIEDMEK DKDYELDEEEEVAGSGRKGLNMGAHMLAKENGKVSEKDSSKTVKDEAPTNDDKLTSVI PEGEKEKDKTASSTVTVHENVNKNEIKENGKNEEQDMVEESSKTEDSSKDADAAKKDT EDGLQDKTAENKEAGENNEEEEDDDDEDEDEDMVDSQSYLLEKDDDRDDLEISVWKTV TAKVRAEICLKRTEYFKNGKLNSDSEAFLKNPQRMKRFDQLFLEYKEQKALESYRQKI EQNSIMKNGFGTVLKQEDDDQLQFHNDHSLNGNEAFEKQPNDIELDDTRFLQEYDISN AIPDIVYEGVNTKTLDKMEDASVDRMLQNGINKQSRFLANKDLGLTPKMNQNITLIQQ IRHICHKISLIRMLQSPLSAQNSRSNPNAFLNNHIYNYTIIDDSLDIDPVSQLPTHDY KNNRELIWKFMHKNISKVAMANGFETAHPSAINMLTEIAGDYLSNLIKTLKLHHETNS LNRGTNVEMLQTTLLENGINRPDDLFSYVESEFGKKTKKLQDIKQKLESFLRALLRPT LQELSERNFEDESQSFFTGDFASELTGEDFFGFRELGLEKEFGVLSSSVPLQLLTTQF QTVDGETKVQAKKIQPEESDSIVYKKITKGMLDAGSFWNTLLPLLQKDYERSKAYIAK QSKSSANDKTSMTSTEDNSFALLEEDQFVSKKTATKARLPPTGKISTTYKKKPIASAF ILPEEDLENDVKADPTTTVNAKVGAENDGDSSLFLRTPQPLDPLDMDDAFDDTNMGSN SSFSLSLPRLNQ YBR082C MSSSKRIAKELSDLERDPPTSCSAGPVGDDLYHWQASIMGPADS PYAGGVFFLSIHFPTDYPFKPPKISFTTKIYHPNINANGNICLDILKDQWSPALTLSK VLLSICSLLTDANPDDPLVPEIAHIYKTDRPKYEATAREWTKKYAV YBR083W MSLKEDDFGKDNSRNIESYTGRIFDVYIQKDSYSQSALDDMFPE AVVSTAACVKNEAEDNINLIDTHPQFELVNTGLGAKSDDLKSPSAKATFTDKQRKNEV PNISVSNYFPGQSSETSSTTESWTIGCDKWSEKVEEAFLEALRLIMKNGTTKIKIRNA NFGRNELISLYIKHKTNEFRTKKQISSHIQVWKKTIQNKIKDSLTLSSKEKELLHLIE HGAEQTTENSNLFYDIFEEIIDSLPSVSDSGSLTPKNLYVSNNSSGLSVHSKLLTPIT ASNEKKIENFIKTNAASQAKTPLIYAKHIYENIDGYKCVPSKRPLEQLSPTELHQGDR PNKASFSNKKAILESAKKIEIEQRKIINKYQRISRIQEHESNPEFSSNSNSGSEYESE EEVVPRSATVTQLQSRPVPYYKNNGMPYSLSKVRGRPMYPRPAEDAYNANYIQGLPQY QTSYFSQLLLSSPQHYEHSPHQRNFTPSNQSHGNFY YBR084W MLSRLSLLSNSRAFQQARWRIYRLKVSPTVHASQYHILSGRKLA QSIREKANDEIQAIKLKHPNFKPTLKIIQVGARPDSSTYVRMKLKASKDSNVDCIIEK LPAEITEVELLKKISDINDDDSIHGLLIQLPLPRHLDETTITNAVDFKKDVDGFHRYN AGELAKKGGKPYFIPCTPYGCMKLLEEAHVKLDGKNAVVLGRSSIVGNPIASLLKNAN ATVTVCHSHTRNIAEVVSQADIVIAACGIPQYVKSDWIKEGAVVIDVGINYVPDISKK SGQKLVGDVDFDSVKEKTSYITPVPGGVGPMTVAMLVSNVLLAAKRQFVESEKLPVIK PLPLHLESPVPSDIDISRAQSPKHIKQVAEELGIHSHELELYGHYKAKISPNIFKRLE SRENGKYVLVAGITPTPLGEGKSTTTMGLVQALSAHLGKPSIANVRQPSLGPTLGVKG GAAGGGYAQVIPMDEFNLHLTGDIHAISAANNLLAAAIDTRMFHEATQKNDSTFYKRL VPRKKGIRKFTPSMQRRLKRLDIEKEDPDALTPEEVKRFARLNINPDTITIRRVVDIN DRMLRQITIGEAATEKGFTRTTGFDITVASELMAILALSKSLHEMKERIGRMVIGADY DNKPVTVEDIGCTGALTALLRDAIKPNLMQTLEGTPVMVHAGPFANISIGASSVIADL MALKLVGSEKNPLNDKNIHEPGYVVTEAGFDFAMGGERFFDIKCRSSGLVPDAVVLVA TVRALKSHGGAPNVKPGQSLPKEYTEENIDFVAKGVSNLVKQIENIKTFGIPVVVAIN RFETDSQAEIEVIKKAALNAGASHAVTSNHWMEGGKGAVELAHAVVDATKEPKNFNFL YDVNSSIEDKLTSIVQKMYGGAKIEVSPEAQKKIDTYKKQGFGNLPICIAKTQYSLSH DPSLKGVPRGFTFPIRDVRASIGAGYLYALAAEIQTIPGLSTYAGYMAVEVDDDGEIE GLF YBR084C-A MANLRTQKRLAASVVGVGKRKVWLDPNETSEIAQANSRNAIRKL VKNGTIVKKAVTVHSKSRTRAHAQSKREGRHSGYGKRKGTREARLPSQVVWIRRLRVL RRLLAKYRDAGKIDKHLYHVLYKESKGNAFKHKRALVEHIIQAKADAQREKALNEEAE ARRLKNRAARDRRAQRVAEKRDALLKEDA YBR085W MSSDAKQQETNFAINFLMGGVSAAIAKTAASPIERVKILIQNQD EMIKQGTLDKKYSGIVDCFKRTAKQEGLISFWRGNTANVIRYFPTQALNFAFKDKIKL MFGFKKEEGYGKWFAGNLASGGAAGALSLLFVYSLDFARTRLAADAKSSKKGGARQFN GLTDVYKKTLKSDGIAGLYRGFMPSVVGIVVYRGLYFGMFDSLKPLVLTGSLDGSFLA SFLLGWVVTTGASTCSYPLDTVRRRMMMTSGQAVKYNGAIDCLKKIVASEGVGSLFKG CGANILRSVAGAGVISMYDQLQMILFGKKFK YBR085C-A MSSALYKQSTNFTHSTGSFLQSAPVELTTVSGYQEFLKKQEKKN YEIQTVLSEDKSHGYVLKDGEVIANIIGEAKDYLLDLAGQA YBR086C MSQTITSLDPNCVIVFNKTSSANEKSLNVEFKRLNIHSIIEPGH DLQTSYAFIRIHQDNAKPLFSFLQNLDFIESIIPYHDTELSDDLHKLISISKSKILEA PKQYELYNLSNLTNNPKQSLYFAFLQNYIKWLIPFSFFGLSIRFLSNFTYEFNSTYSL FAILWTLSFTAFWLYKYEPFWSDRLSKYSSFSTIEFLQDKQKAQKKASSVIMLKKCCF IPVALLFGAILLSFQLYCFALEIFIKQIYNGPMISILSFLPTILICTFTPVLTVIYNK YFVEPMTKWENHSSVVNAKKSKEAKNFVIIFLSSYVPLLITLFLYLPMGHLLTAEIRT KVFNAFSILARLPTHDSDFIIDTKRYEDQFFYFIVINQLIQFSMENFVPSLVSIAQQK INGPNPNFVKAESEIGKAQLSSSDMKIWSKVKSYQTDPWGATFDLDANFKKLLLQFGY LVMFSTIWPLAPFICLIVNLIVYQVDLRKAVLYSKPEYFPFPIYDKPSSVSNTQKLTV GLWNSVLVMFSILGCVITATLTYMYQSCNIPGVGAHTSIHTNKAWYLANPINHSWINI VLYAVFIEHVSVAIFFLFSSILKSSHDDVANGIVPKHVVNVQNPPKQEVFEKIPSPEF NSNNEKELVQRKGSANEKLHQELGEKQPASSANGYEAHAATHANNDPSSLSSASSPSL SSSSSSSKTGVVKAVDNDTAGSAGKKPLATESTEKRNSLVKVPTVGSYGVAGATLPET IPTSKNYYLRFDEDGKSIRDAKSSAESSNATNNNTLGTESKLLPDGDAVDALSRKIDQ IPKIAVTGGENNENTQAKDDAATKTPLIKDANIKPVVNAAVNDNQSKVSVATEQTKKT EVSTKNGPSRSISTKETKDSARPSNNNTTTTTTTDATQPHHHHHHHRHRDAGVKNVTN NSKTTESSSSSSAAKEKPKHKKGLLHKLKKKL YBR087W MSLWVDKYRPKSLNALSHNEELTNFLKSLSDQPRDLPHLLLYGP NGTGKKTRCMALLESIFGPGVYRLKIDVRQFVTASNRKLELNVVSSPYHLEITPSDMG NNDRIVIQELLKEVAQMEQVDFQDSKDGLAHRYKCVIINEANSLTKDAQAALRRTMEK YSKNIRLIMVCDSMSPIIAPIKSRCLLIRCPAPSDSEISTILSDVVTNERIQLETKDI LKRIAQASNGNLRVSLLMLESMALNNELALKSSSPIIKPDWIIVIHKLTRKIVKERSV NSLIECRAVLYDLLAHCIPANIILKELTFSLLDVETLNTTNKSSIIEYSSVFDERLSL GNKAIFHLEGFIAKVMCCLD YBR088C MLEAKFEEASLFKRIIDGFKDCVQLVNFQCKEDGIIAQAVDDSR VLLVSLEIGVEAFQEYRCDHPVTLGMDLTSLSKILRCGNNTDTLTLIADNTPDSIILL FEDTKKDRIAEYSLKLMDIDADFLKIEELQYDSTLSLPSSEFSKIVRDLSQLSDSINI MITKETIKFVADGDIGSGSVIIKPFVDMEHPETSIKLEMDQPVDLTFGAKYLLDIIKG SSLSDRVGIRLSSEAPALFQFDLKSGFLQFFLAPKFNDEE YBR089C-A MAATKEAKQPKEPKKRTTRRKKDPNAPKRGLSAYMFFANENRDI VRSENPDVTFGQVGRILGERWKALTAEEKQPYESKAQADKKRYESEKELYNATRA YBR090C MVPAPGSRAFPSPVFLGGVFFVFFFRWRGNYKVQQVRLRQYWEF TLWETAPNTKQKNDFFAKTLTYIKLALWPQLKKQSNQRNQRRGPPGERRILTPLRGAC QLICSLLMKTETLSVPRILT YBR091C MSFFLNSLRGNQEVSQEKLDVAGVQFDAMCSTFNNILSTCLEKC IPHEGFGEPDLTKGEQCCIDRCVAKMHYSNRLIGGFVQTRGFGPENQLRHYSRFVAKE IADDSKK YBR092C MFKSVVYSVLAAALVNAGTIPLGELADVAKIGTQEDIFPFLGGA GPYFSFPGDYGISRDLPEGCEMKQLQMLARHGERYPTYSKGATIMKTWYKLSNYTRQF NGSLSFLNDDYEFFIRDDDDLEMETTFANSDNVLNPYTGEMDAKRHAREFLAQYGYMF ENQTSFPIFAASSERVHDTAQYFIDGLGDQFNISLQTVSEAMSAGANTLSAGNACPGW DEDANDDILDKYDTTYLDDIAKRLNKENKGLNLTSKDANTLFAWCAYELNARGYSDVC DIFTEDELVRYSYGQDLVSFYQDGPGYDMIRSVGANLFNATLKLLKQSETQDLKVWLS FTHDTDILNYLTTAGIIDDKNNLTAEYVPFMGNTFHKSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNVTELT FYWDWNTTHYNDTLLKQ YBR093C MFKSVVYSILAASLANAGTIPLGKLADVDKIGTQKDIFPFLGGA GPYYSFPGDYGISRDLPEGCEMKQLQMVGRHGERYPTVSLAKTIKSTWYKLSNYTRQF NGSLSFLNDDYEFFIRDDDDLEMETTFANSDDVLNPYTGEMNAKRHARDFLAQYGYMV ENQTSFAVFTSNSKRCHDTAQYFIDGLGDQFNITLQTVSEAESAGANTLSACNSCPAW DYDANDDIVNEYDTTYLDDIAKRLNKENKGLNLTSTDASTLFSWCAFEVNAKGYSDVC DIFTKDELVHYSYYQDLHTYYHEGPGYDIIKSVGSNLFNASVKLLKQSEIQDQKVWLS FTHDTDILNFLTTAGIIDDKNNLTAEYVPFMGNTFHRSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYDYAEKRVAGTDFLKVCNVSSVSNSTELT FYWDWNTTHYNASLLRQ YBR094W MRVLITNDDGPLSDQFSPYIRPFIQHIKRNYPEWKITVCVPHVQ KSWVGKAHLAGKNLTAQFIYSKVDAEDNTFWGPFIQPQIRSENSKLPYVLNAEIPKDT IEWILIDGTPASCANIGLHLLSNEPFDLVLSGPNVGRNTSAAYITSSGTVGGAMESVI TGNTKAIAISWAYFNGLKNVSPLLMEKASKRSLDVIKHLVKNWDPKTDLYSINIPLVE SLSDDTKVYYAPIWENRWIPIFNGPHINLENSFAEIEDGNESSSISFNWAPKFGAHKD SIHYMDEYKDRTVLTDAEVIESEMISVTPMKATFKGVNHLLGELKLTEEENNLSKTNN LIVVSIDPMEYIYKPLTHALKKYLPQVEIVSNLPEFDNGGCEKEMKVFHYGDYEQLDM DKLMELPNNYFTNSYIYRKALIRKHFLSHTIQTYTAKNPESILKKAYLESFTIDLDYA EFLDDALDENWELRQELENESQDKWWIVKPSMSDKGQGIRVFKTIEDLQAIFDSFDDE DSEAEESGNDDDADDVNGEFMDNNKVNISQLRHFIIQEYLTNPLLLASMDNRKFHIRC YVVCRGDLQVFVYDRMLALFAAKPFVPLDPYAYSVTDLKDLECHLTNTCLQSKKKDKD SSVLEFDSIEEIPNERKSNIKEQIHSITNDVFLAAVNVNRLNFQPLPNAFETYGVDFL IDSNYEVKLLEINAFPDFKQTGKDLKNLIDELFDDTVKYCVTPIFNENRNKTDDETDP NFVKVIDYTSNGW YBR095C MTIRSSMKNNAELESKSVLANESNIISTFTRRIIKEKSGNYQVL KRSLDGKLIYPEATGISSNRGNKLLQRSEVVTRRDLNNSKPMIEQTVFYNGSEHRLLQ TNIVTDSRRKRIKFTPDINVEPVLVGDENDIDGSEKEDENITDEYYGEEDDDDLSKLV NVKEILTPILSLGDIINHKTISRTFSSPILKNLALQIILMIEKEQMSVVRYSQFLEVF LGDHPEPIYESNLNLPSYNHNLTLPEDRGASDEDDINNKNNINEVNSNSLSTEAGHIN NGMEEFGEEDPFFALPRLEQSNALLSLLPSSSGSASISTLTAAEQQQLNEEIESARQL SQIALQRNKEFIRNLQKIRKSVIKANRIRGRILNWSREYLGISDDDITIPVALRVVKR GLISATTNKTTNFEEEIENTMEDGVVDDNEPDEEANRA YBR096W MGVCTIFRWLFAAYLLSSYKSLPGAYFVRFYYYVIQNLFLPMFT GFETENIKKLEKNEYGCFSYTSLDTYASPFECDFYFHKSNSTYFAELDISRGNLMCKI FQKLMLNSKHYPYIPVANVFTNFLKEIKPFQKYSVSSRIICWDEKWIYVMSRFTIKKG TVLCSLSLTKYVLKDGRKTIKPKDALEYCGLYNEKVAKISEDNLKLLTERCGFHETVP LENLSQEYCSEI YBR097W MGAQLSLVVQASPSIAIFSYIDVLEEVHYVSQLNSSRFLKTCKA LDPNGEIVIKVFIKPKDQYSLRPFLQRIRAQSFKLGQLPHVLNYSKLIETNRAGYMIR QHLKNNLYDRLSLRPYLQDIELKFIAFQLLNALKDIHNLNIVHGDIKTENILVTSWNW CILTDFAAFIKPVYLPEDNPGEFLFYFDTSKRRTCYLAPERFNSKLYQDGKSNNGRLT KEMDIFSLGCVIAEIFAEGRPIFNLSQLFKYKSNSYDVNREFLMEEMNSTDLRNLVLD MIQLDPSKRLSCDELLNKYRGIFFPDYFYTFIYDYFRNLVTMTTSTPISDNTCTNSTL EDNVKLLDETTEKIYRDFSQICHCLDFPLIKDGGEIGSDPPILESYKIEIEISRFLNT NLYFPQNYHLVLQQFTKVSEKIKSVKEECALLFISYLSHSIRSIVSTATKLKNLELLA VFAQFVSDENKIDRVVPYFVCCFEDSDQDVQALSLLTLIQVLTSVRKLNQLNENIFVD YLLPRLKRLLISNRQNTNYLRIVFANCLSDLAIIINRFQEFTFAQHCNDNSMDNNTEI MESSTKYSAKLIQSVEDLTVSFLTDNDTYVKMALLQNILPLCKFFGRERTNDIILSHL ITYLNDKDPALRVSLIQTISGISILLGTVTLEQYILPLLIQTITDSEELVVISVLQSL KSLFKTGLIRKKYYIDISKTTSPLLLHPNNWIRQFTLMIIIEIINKLSKAEVYCILYP IIRPFFEFDVEFNFKSMISCCKQPVSRSVYNLLCSWSVRASKSLFWKKIITNHVDSFG NNRIEFITKNYSSKNYGFNKRDTKSSSSLKGIKTSSTVYSHDNKEIPLTAEDRNWIDK FHIIGLTEKDIWKIVALRGYVIRTARVMAANPDFPYNNSNYRPLVQNSPPNLNLTNIM PRNIFFDVEFAEESTSEGQDSNLENQQIYKYDESEKDSNKLNINGSKQLSTVMDINGS LIFKNKSIATTTSNLKNVFVQLEPTSYHMHSPNHGLKDNANVKPERKVVVSNSYEGDV ESIEKFLSTFKILPPLRDYKEFGPIQEIVRSPNMGNLRGKLIATLMENEPNSITSSAV SPGETPYLITGSDQGVIKIWNLKEIIVGEVYSSSLTYDCSSTVTQITMIPNFDAFAVS SKDGQIIVLKVNHYQQESEVKFLNCECIRKINLKNFGKNEYAVRMRAFVNEEKSLLVA LTNLSRVIIFDIRTLERLQIIENSPRHGAVSSICIDEECCVLILGTTRGIIDIWDIRF NVLIRSWSFGDHAPITHVEVCQFYGKNSVIVVGGSSKTFLTIWNFVKGHCQYAFINSD EQPSMEHFLPIEKGLEELNFCGIRSLNALSTISVSNDKILLTDEATSSIVMFSLNELS SSKAVISPSRFSDVFIPTQVTANLTMLLRKMKRTSTHSVDDSLYHHDIINSISTCEVD ETPLLVACDNSGLIGIFQ YBR098W MSQIVDFVEDKDSRNDASIQIIDGPSNVEIIALSESMDQDECKR AHVSSAEMIPSSPQRKSVSNDVENVDLNKSIELSAPFFQDISISKLDDFSTTVNSIID SSLRNENNAKGNAKKLLDDLISDEWSADLESSGKKHNKSQYNLRDIAEKWGVQSLKNP EPIAVDCEYKTQGIGKTNSDISDSPKSQIGAADILFDFPLSPVKHENPTEEKHNSIAN ENSSPDNSLKPAGKQNHGEDGTSMAKRVYNKGEDEQEHLPKGKKRTIALSRTLINSTK LPDTVELNLSKFLDSSDSITTDVLSTPAKGSNIVRTGSQPIFSNANCFQEAKRSKTLT AEDPKCTKNTAREVSQLENYIAYGQYYTREDSKNKIRHLLKENKNAFKRVNQIYRDNI KARSQMIIEFSPSLLQLFKKGDSDLQQQLAPAVVQSSYNDSMPLLRFLRKCDSIYDFS NDFYYPCDPKIVEENVLILYYDAQEFFEQYTSQKKELYRKIRFFSKNGKHVILILSDI NKLKRAIFQLENEKYKARVEQRLSGTEEALRPRSKKSSQVGKLGIKKFDLEQRLRFID REWHVKIHTVNSHMEFINSLPNLVSLIGKQRMDPAIRYMKYAHLNVKSAQDSTETLKK TFHQIGRMPEMKANNVVSLYPSFQSLLEDIEKGRLQSDNEGKYLMTEAVEKRLYKLFT CTDPNDTIE YBR101C MEKLLQWSIANSQGDKEAMARAGQPDPKLLQQLFGGGGPDDPTL MKESMAVIMNPEVDLETKLVAFDNFEMLIENLDNANNIENLKLWEPLLDVLVQTKDEE LRAAALSIIGTAVQNNLDSQNNFMKYDNGLRSLIEIASDKTKPLDVRTKAFYALSNLI RNHKDISEKFFKLNGLDCIAPVLSDNTAKPKLKMRAIALLTAYLSSVKIDENIISVLR KDGVIESTIECLSDESNLNIIDRVLSFLSHLISSGIKFNEQELHKLNEGYKHIEPLKD RLNEDDYLAVKYVL YBR102C MVEFSLKKARNNWKHVKKSASSPAKQKTPPSPAKPKQKTKKNPY SDLKDPATSYTLPTINARERSRVATSMQRRLSIHNTNYAPPTLDYSMPLPDMPNMIVP NDNVDSSHNNSSFTTENESVSSKGPSNSLNLSTADLSLNDSSYNKVPARSAMRNTVNP SGSNDPFNNSTSLRKMLANPHFNAKDFVHDKLGNASAITIDKFTSNLTDLSIQVQEEV KLNINKSYNEIMTVNNDLNVAMLELKRVRANINDLNEVLDQCTKIAEKRLQLQDQIDQ ERQGNFNNVESHSNSPALLPPLKAGQNGNLMRRDRSSVLILEKFWDTELDQLFKNVEG AQKFINSTKGRHILMNSANWMELNTTTGKPLQMVQIFILNDLVLIADKSRDKQNDFIV SQCYPLKDVTVTQEEFSTKRLLFKFSNSNSSLYECRDADECSRLLDVIRKAKDDLCDI FHVEEENSKRIRESFRYLQSTQQTPGRENNRSPNKNKRRSMGGSITPGRNVTGAMDQY LLQNLTLSMHSRPRSRDMSSTAQRLKFLDEGVEEIDIELARLRFESAVETLLDIESQL EDLSERISDEELMLLNLISLKIEQRREAISSKLSQSILSSNEIVHLKSGTENMIKLGL PEQALDLFLQNRSNFIQDLILQIGSVDNPTNYLTQLAVIRFQTIKKTVEDFQDIFKEL GAKISSILVDWCSDEVDNHFKLIDKQLLNDEMLSPGSIKSSRKQIDGLKAVGLDFVYK LDEFIKKNSDKIR YBR103W MSITSEELNYLIWRYCQEMGHEVSALALQDETRVLEFDEKYKEH IPLGTLVNLVQRGILYTESELMVDSKGDISALNEHHLSEDFNLVQALQIDKEKFPEIS SEGRFTLETNSESNKAGEDGASTVERETQEDDTNSIDSSDDLDGFVKILKEIVKLDNI VSSTWNPLDESILAYGEKNSVARLARIVETDQEGKKYWKLTIIAELRHPFALSASSGK TTNQVTCLAWSHDGNSIVTGVENGELRLWNKTGALLNVLNFHRAPIVSVKWNKDGTHI ISMDVENVTILWNVISGTVMQHFELKETGGSSINAENHSGDGSLGVDVEWVDDDKFVI PGPKGAIFVYQITEKTPTGKLIGHHGPISVLEFNDTNKLLLSASDDGTLRIWHGGNGN SQNCFYGHSQSIVSASWVGDDKVISCSMDGSVRLWSLKQNTLLALSIVDGVPIFAGRI SQDGQKYAVAFMDGQVNVYDLKKLNSKSRSLYGNRDGILNPLPIPLYASYQSSQDNDY IFDLSWNCAGNKISVAYSLQEGSVVAI YBR104W MSEEFPTPQLLDELEDQQKVTTPNEKRELSSNRVLKDIFAGTIG GIAQVLVGQPFDTTKVRLQTATTRTTTLEVLRNLVKNEGVFAFYKGALTPLLGVGICV SVQFGVNEAMKRFFQNYNASKNPNMSSQDVDLSRSNTLPLSQYYVCGLTGGVVNSFLA SPIEQIRIRLQTQTSNGGDREFKGPWDCIKKLKAQGGLMRGLFPTMIRAGHGLGTYFL VYEALVAREIGTGLTRNEIPPWKLCLFGAFSGTMLWLTVYPLDVVKSIIQNDDLRKPK YKNSISYVAKTIYAKEGIRAFFKGFGPTMVRSAPVNGATFLTFELVMRFLGEE YBR105C MINNPKVDSVAEKPKAVTSKQSEQAASPEPTPAPPVSRNQYPIT FNLTSTAPFHLHDRHRYLQEQDLYKCASRDSLSSLQQLAHTPNGSTRKKYIVEDQSPY SSENPVIVTSSYNHTVCTNYLRPRMQFTGYQISGYKRYQVTVNLKTVDLPKKDCTSLS PHLSGFLSIRGLTNQHPEISTYFEAYAVNHKELGFLSSSWKDEPVLNEFKATDQTDLE HWINFPSFRQLFLMSQKNGLNSTDDNGTTNAAKKLPPQQLPTTPSADAGNISRIFSQE KQFDNYLNERFIFMKWKEKFLVPDALLMEGVDGASYDGFYYIVHDQVTGNIQGFYYHQ DAEKFQQLELVPSLKNKVESSDCSFEFA YBR106W MNPQVSNIIIMLVMMQLSRRIDMEDPTIIMYIRILYCSSIGISW IIYQMARKRIVAKNDMTTMKYVEPGNAMSGEGEKLQVTTVRDYDLKEIDSAIKSIYTG MAMMGFMHLYLKYTNPLFMQSISPVKSALEHNEVKIHLFGKPATGDLKRPFKAPSLFG GMGQTGPKTDKKSIEEAERAGNAGVKAE YBR107C MPYTWKFLGISKQLSLENGIAKLNQLLNLEVDLDIQTIRVPSDP DGGTAADEYIRYEMRLDISNLDEGTYSKFIFLGNSKMEVPMFLCYCGTDNRNEVVLQW LKAEYGVIMWPIKFEQKTMIKLADASIVHVTKENIEQITWFSSKLYFEPETQDKNLRQ FSIEIPRESCEGLALGYGNTMHPYNDAIVPYIYNETGMAVERLPLTSVILAGHTKIMR ESIVTSTRSLRNRVLAVVLQSIQFTSE YBR108W MGFWENNKDSITSGLKSAGKYGYQGTKYVAKTGYKASKKHYNNS KARRERKSGKKNSSDEEYDSEDEMEYERKPTDIRSLKDPKSFPPPPLKPGQKTYTGQQ QQQMPNGQASYAFQGAYQGQPGAGSTEQSQYAQPQYNQYPQQQLQQGVMPQQQQLQQG VVPQQPPIYGEQVPPYGSNSNATSYQSLPQQNQPQNAIPSQVSLNSASQQSTGFVSQN LQYGTQSSNPAPSPSFQNGLQCHQQPQYVSHGSTNLGQSQFPSGQQQQPTTQFGQQVL PSPAQPQQQQQGQPLPPPRGQVILPAPGEPLSNGFGQQQQQQQQQQQPLNQNNALLPQ MNVEGVSGMAAVQPVYGQAMSSTTNMQDSNPSYGASPMQGQPPVGGQPPVPVRMQPQP PQPMQQGNIYPIEPSLDSTGSTPHFEVTPFDPDAPAPKPKIDIPTVDVSSLPPPPTHR DRGAVVHQEPAPSGKIQPNTTSSAASLPAKHSRTTTADNERNSGNKENDESTSKSSIL GHYDVDVNIMPPPKPFRHGLDSVPSEHTTKNAPERAVPILPPRNNVEPPPPPSRGNFE RTESVLSTNAANVQEDPISNFLPPPKPFRHTETKQNQNSKASPVEMKGEVLPGHPSEE DRNVEPSLVPQSKPQSQSQFRRAHMETQPIQNFQPPPKPFRRSQSSNSSDSSYTIDGP EANHGRGRGRIAKHHDGDEYNPKSENSTENGRLGDAPNSFIRKRAPTPPAPSRSEKLH EGTITSEVDSSKDANKYEKSIPPVTSSIQAQQSTKKAPPPVVKPKPRNFSLKANEYPK ELTREATGQDEVLNSITNELSHIKLRKTNVNLEKLGGSKKVKDSSPVPSDLDEKYVSA SGSITPPRPPPSRSSPKKVPPVVPKKNDNLKKKPPVVPKKKPLLKSLEPRPIEMERAY SGDISAADDNLNPFERYKRNVVPQEDDRLHKLK YBR109C MSSNLTEEQIAEFKEAFALFDKDNNGSISSSELATVMRSLGLSP SEAEVNDLMNEIDVDGNHQIEFSEFLALMSRQLKSNDSEQELLEAFKVFDKNGDGLIS AAELKHVLTSIGEKLTDAEVDDMLREVSDGSGEINIQQFAALLSK YBR110W MFLEIPRWLLALIILYLSIPLVVYYVIPYLFYGNKSTKKRIIIF VLGDVGHSPRICYHAISFSKLGWQVELCGYVEDTLPKIISSDPNITVHHMSNLKRKGG GTSVIFMVKKVLFQVLSIFKLLWELRGSDYILVQNPPSIPILPIAVLYKLTGCKLIID WHNLAYSILQLKFKGNFYHPLVLISYMVEMIFSKFADYNLTVTEAMRKYLIQSFHLNP KRCAVLYDRPASQFQPLAGDISRQKALTTKAFIKNYIRDDFDTEKGDKIIVTSTSFTP DEDIGILLGALKIYENSYVKFDSSLPKILCFITGKGPLKEKYMKQVEEYDWKRCQIEF VWLSAEDYPKLLQLCDYGVSLHTSSSGLDLPMKILDMFGSGLPVIAMNYPVLDELVQH NVNGLKFVDRRELHESLIFAMKDADLYQKLKKNVTQEAENRWQSNWERTMRDLKLIH YBR111C MFLRNVRVISLNSRRLFRTMSTVKGKPEDAKIIEARHVKETSDC KWIGLQKIIYKDPNGKEREWDSAVRTTRSSGGVDGIGILTILKYKDGKPDEILLQKQF RPPVEGVCIEMPAGLIDAGEDIDTAALRELKEETGYSGKIISKSPTVFNDPGFTNTNL CLVTVEVDMSLPENQKPVTQLEDNEFIECFSVELHKFPDEMVKLDQQGYKLDARVQNV AQGILMAKQYHIK YBR111W-A MTMDTAQLKSQIQQYLVESGNYELISNELKARLLQEGWVDKVKD LTKSEMNINESTNFTQILSTVEPKALEMVSDSTRETVLKQIREFLEEIVDTQ YBR112C MNPGGEQTIMEQPAQQQQQQQQQQQQQQQQAAVPQQPLDPLTQS TAETWLSIASLAETLGDGDRAAMAYDATLQFNPSSAKALTSLAHLYRSRDMFQRAAEL YERALLVNPELSDVWATLGHCYLMLDDLQRAYNAYQQALYHLSNPNVPKLWHGIGILY DRYGSLDYAEEAFAKVLELDPHFEKANEIYFRLGIIYKHQGKWSQALECFRYILPQPP APLQEWDIWFQLGSVLESMGEWQGAKEAYEHVLAQNQHHAKVLQQLGCLYGMSNVQFY DPQKALDYLLKSLEADPSDATTWYHLGRVHMIRTDYTAAYDAFQQAVNRDSRNPIFWC SIGVLYYQISQYRDALDAYTRAIRLNPYISEVWYDLGTLYETCNNQLSDALDAYKQAA RLDVNNVHIRERLEALTKQLENPGNINKSNGAPTNASPAPPPVILQPTLQPNDQGNPL NTRISAQSANATASMVQQQHPAQQTPINSSATMYSNGASPQLQAQAQAQAQAQAQAQA QAQAQAQAQAQAQAQAQAQAQAQAQAQAHAQAQAQAQAQAQAQAQAQAQQQQQQQQQQ QQQQQQQQQQQQQQQQQQQQQLQPLPRQQLQQKGVSVQMLNPQQGQPYITQPTVIQAH QLQPFSTQAMEHPQSSQLPPQQQQLQSVQHPQQLQGQPQAQAPQPLIQHNVEQNVLPQ KRYMEGAIHTLVDAAVSSSTHTENNTKSPRQPTHAIPTQAPATGITNAEPQVKKQKLN SPNSNINKLVNTATSIEENAKSEVSNQSPAVVESNTNNTSQEEKPVKANSIPSVIGAQ EPPQEASPAEEATKAASVSPSTKPLNTEPESSSVQPTVSSESSTTKANDQSTAETIEL STATVPAEASPVEDEVRQHSKEENGTTEASAPSTEEAEPAASRDAEKQQDETAATTIT VIKPTLETMETVKEEAKMREEEQTSQEKSPQENTLPRENVVRQVEEDENYDD YBR114W MQEGGFIRRRRTRSTKKSVNYNELSDDDTAVKNSKTLQLKGNSE NVNDSQDEEYRDDATLVKSPDDDDKDFIIDLTGSDKERTATDENTHAIKNDNDEIIEI KEERDVSDDDEPLTKKRKTTARKKKKKTSTKKKSPKVTPYERNTLRLYEHHPELRNVF TDLKNAPPYVPQRSKQPDGMTIKLLPFQLEGLHWLISQEESIYAGGVLADEMGMGKTI QTIALLMNDLTKSPSLVVAPTVALMQWKNEIEQHTKGQLKIYIYHGASRTTDIKDLQG YDVVLTTYAVLESVFRKQNYGFRRKNGLFKQPSVLHNIDFYRVILDEAHNIKDRQSNT ARAVNNLKTQKRWCLSGTPLQNRIGEMYSLIRFLNINPFTKYFCTKCDCASKDWKFTD RMHCDHCSHVIMQHTNFFNHFMLKNIQKFGVEGPGLESFNNIQTLLKNIMLRRTKVER ADDLGLPPRIVTVRRDFFNEEEKDLYRSLYTDSKRKYNSFVEEGVVLNNYANIFTLIT RMRQLADHPDLVLKRLNNFPGDDIGVVICQLCNDEAEEPIESKCHHKFCRLCIKEYVE SFMENNNKLTCPVCHIGLSIDLSQPALEVDLDSFKKQSIVSRLNMSGKWQSSTKIEAL VEELYKLRSNKRTIKSIVFSQFTSMLDLVEWRLKRAGFQTVKLQGSMSPTQRDETIKY FMNNIQCEVFLVSLKAGGVALNLCEASQVFILDPWWNPSVEWQSGDRVHRIGQYRPVK ITRFCIEDSIEARIIELQEKKANMIHATINQDEAAISRLTPADLQFLFNN YBR115C MTNEKVWIEKLDNPTLSVLPHDFLRPQQEPYTKQATYSLQLPQL DVPHDSFSNKYAVALSVWAALIYRVTGDDDIVLYIANNKILRFNIQPTWSFNELYSTI NNELNKLNSIEANFSFDELAEKIQSCQDLERTPQLFRLAFLENQDFKLDEFKHHLVDF ALNLDTSNNAHVLNLIYNSLLYSNERVTIVADQFTQYLTAALSDPSNCITKISLITAS SKDSLPDPTKNLGWCDFVGCIHDIFQDNAEAFPERTCVVETPTLNSDKSRSFTYRDIN RTSNIVAHYLIKTGIKRGDVVMIYSSRGVDLMVCVMGVLKAGATFSVIDPAYPPARQT IYLGVAKPRGLIVIRAAGQLDQLVEDYINDELEIVSRINSIAIQENGTIEGGKLDNGE DVLAPYDHYKDTRTGVVVGPDSNPTLSFTSGSEGIPKGVLGRHFSLAYYFNWMSKRFN LTENDKFTMLSGIAHDPIQRDMFTPLFLGAQLYVPTQDDIGTPGRLAEWMSKYGCTVT HLTPAMGQLLTAQATTPFPKLHHAFFVGDILTKRDCLRLQTLAENCRIVNMYGTTETQ RAVSYFEVKSKNDDPNFLKKLKDVMPAGKGMLNVQLLVVNRNDRTQICGIGEIGEIYV RAGGLAEGYRGLPELNKEKFVNNWFVEKDHWNYLDKDNGEPWRQFWLGPRDRLYRTGD LGRYLPNGDCECCGRADDQVKIRGFRIELGEIDTHISQHPLVRENITLVRKNADNEPT LITFMVPRFDKPDDLSKFQSDVPKEVETDPIVKGLIGYHLLSKDIRTFLKKRLASYAM PSLIVVMDKLPLNPNGKVDKPKLQFPTPKQLNLVAENTVSETDDSQFTNVEREVRDLW LSILPTKPASVSPDDSFFDLGGHSILATKMIFTLKKKLQVDLPLGTIFKYPTIKAFAA EIDRIKSSGGSSQGEVVENVTANYAEDAKKLVETLPSSYPSREYFVEPNSAEGKTTIN VFVTGVTGFLGSYILADLLGRSPKNYSFKVFAHVRAKDEEAAFARLQKAGITYGTWNE KFASNIKVVLGDLSKSQFGLSDEKWMDLANTVDIIIHNGALVHWVYPYAKLRDPNVIS TINVMSLAAVGKPKFFDFVSSTSTLDTEYYFNLSDKLVSEGKPGILESDDLMNSASGL TGGYGQSKWAAEYIIRRAGERGLRGCIVRPGYVTGASANGSSNTDDFLLRFLKGSVQL GKIPDIENSVNMVPVDHVARVVVATSLNPPKENELAVAQVTGHPRILFKDYLYTLHDY GYDVEIESYSKWKKSLEASVIDRNEENALYPLLHMVLDNLPESTKAPELDDRNAVASL KKDTAWTGVDWSNGIGVTPEEVGIYIAFLNKVGFLPPPTHNDKLPLPSIELTQAQISL VASGAGARGSSAAA YBR117C MAQFSDIDKLAVSTLRLLSVDQVESAQSGHPGAPLGLAPVAHVI FKQLRCNPNNEHWINRDRFVLSNGHSCALLYSMLHLLGYDYSIEDLRQFRQVNSRTPG HPEFHSAGVEITSGPLGQGISNAVGMAIAQANFAATYNEDGFPISDSYTFAIVGDGCL QEGVSSETSSLAGHLQLGNLITFYDSNSISIDGKTSYSFDEDVLKRYEAYGWEVMEVD KGDDDMESISSALEKAKLSKDKPTIIKVTTTIGFGSLQQGTAGVHGSALKADDVKQLK KRWGFDPNKSFVVPQEVYDYYKKTVVEPGQKLNEEWDRMFEEYKTKFPEKGKELQRRL NGELPEGWEKHLPKFTPDDDALATRKTSQQVLTNMVQVLPELIGGSADLTPSNLTRWE GAVDFQPPITQLGNYAGRYIRYGVREHGMGAIMNGISAFGANYKPYGGTFLNFVSYAA GAVRLAALSGNPVIWVATHDSIGLGEDGPTHQPIETLAHLRAIPNMHVWRPADGNETS AAYYSAIKSGRTPSVVALSRQNLPQLEHSSFEKALKGGYVIHDVENPDIILVSTGSEV SISIDAAKKLYDTKKIKARVVSLPDFYTFDRQSEEYRFSVLPDGVPIMSFEVLATSSW GKYAHQSFGLDEFGRSGKGPEIYKLFDFTADGVASRAEKTINYYKGKQLLSPMGRAF YBR118W MGKEKSHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIAGGVGEFEAGISKDGQTREHALLAFTLGVRQLIVAVNKMDSVKW DESRFQEIVKETSNFIKKVGYNPKTVPFVPISGWNGDNMIEATTNAPWYKGWEKETKA GVVKGKTLLEAIDAIEQPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVV TFAPAGVTTEVKSVEMHHEQLEQGVPGDNVGFNVKNVSVKEIRRGNVCGDAKNDPPKG CASFNATVIVLNHPGQISAGYSPVLDCHTAHIACRFDELLEKNDRRSGKKLEDHPKFL KSGDAALVKFVPSKPMCVEAFSEYPPLGRFAVRDMRQTVAVGVIKSVDKTEKAAKVTK AAQKAAKK YBR119W MSALYFQNLPSRPANKENYTRLLLKHINPNNKYAINPSLPLPHN KLQISSQPLMLLDDQMGLLEVSISRSSKMTNQAFLTFVTQEEADRFLEKYTTTALKVQ GRKVRMGKARTNSLLGLSIEMQKKKGNDETYNLDIKKVLKARKLKRKLRSDDICAKKF RLKRQIRRLKHKLRSRKVEEAEIDRIVKEFETRRLENMKSQQENLKQSQKPLKRAKVS NTMENPPNKVLLIQNLPSGTTEQLLSQILGNEALVEIRLVSVRNLAFVEYETVADATK IKNQLGSTYKLQNNDVTIGFAK YBR120C MSSSQVVRDSAKKLVNLLEKYPKDRIHHLVSFRDVQIARFRRVA GLPNVDDKGKSIKEKKPSLDEIKSIINRTSGPLGLNKEMLTKIQNKMVDEKFTEESIN EQIRALSTIMNNKFRNYYDIGDKLYKPAGNPQYYQRLINAVDGKKKESLFTAMRTVLF GK YBR121C MSVEDIKKARAAVPFNREQLESVLRGRFFYAPAFDLYGGVSGLY DYGPPGCAFQNNIIDAWRKHFILEEDMLEVDCTMLTPYEVLKTSGHVDKFSDWMCRDL KTGEIFRADHLVEEVLEARLKGDQEARGLVEDANAAAKDDAEKKKRKKKVKQIKAVKL DDDVVKEYEEILAKIDGYSGPELGELMEKYDIGNPVTGETLESPRAFNLMFETAIGPS GQLKGYLRPETAQGQFLNFNKLLEFNNSKTPFASASIGKSFRNEISPRAGLLRVREFL MAEIEHFVDPLDKSHPKFNEIKDIKLSFLPRDVQEAGSTEPIVKTVGEAVASRMVDNE TLGYFIARIYQFLMKIGVDESKLRFRQHMANEMAHYAADCWDGELKTSYGWIECVGCA DRSAYDLTVHSKKTKEKLVVRQKLDNPIEVTKWEIDLTKKLFGPKFRKDAPKVESHLL NMSQDDLASKAELLKANGKFTIKVDGVDGEVELDDKLVKIEQRTKVEHVREYVPSVIE PSFGIGRIIYSVFEHSFWNRPEDNARSVLSFPPLVAPTKVLLVPLSNHKDLVPVTTEV AKILRKSQIPFKIDDSGVSIGKRYARNDELGTPFGVTIDFESAKDHSVTLRERDSTKQ VRGSVENVIKAIRDITYNGASWEEGTKDLTPFIAQAEAEAETD YBR122C MLKSIFAKRFASTGSYPGSTRITLPRRPAKKIQLGKSRPAIYHQ FNVKMELSDGSVVIRRSQYPKGEIRLIQDQRNNPLWNPSRDDLVVVDANSGGSLDRFN KRYSSLFSVDSTTPNSSSETVELSEENKKKTQIKKEEKEDVSEKAFGMDDYLSLLDDS EQQIKSGKLASKKRDKK YBR123C MPVEEPLATLSSIPDSSADQAPPLIADEFTLDLPRIPSLELPLN VSTKHSSIQKAIKMCGGIEKVKEAFKEHGPIESQHGLQLYLNDDTDSDGSKSYFNEHP VIGKRVPFRDESVILKVTMPKGTLSKNNNSVKDSIKSLKDSNKLRVTPVSIVDNTIKF REMSDFQIKLDNVPSAREFKSSFGSLEWNNFKSFVNSVPDNDSQPQENIGNLILDRSV KIPSTDFQLPPPPKLSMVGFPLLYKYKANPFAKKKKNGVTEVKGTYIKNYQLFVHDLS DKTVIPSQAHEQVLYDFEVAKKTKVYPGTKSDSKFYESLEECLKILRELFARRPIWVK RHLDGIVPKKIHHTMKIALALISYRFTMGPWRNTYIKFGIDPRSSVEYAQYQTEYFKI ERKLLSSPIVKKNVPKPPPLVFESDTPGGIDSRFKFDGKRIPWYLMLQIDLLIGEPNI AEVFHNVEYLDKANELTGWFKELDLVKIRRIVKYELGCMVQGNYEYNKYKLKYFKTML FVKESMVPENKNSEEGMGVNTNKDADGDINMDAGSQMSSNAIEEDKGIAAGDDFDDNG AITEEPDDAALENEEMDTDQNLKVPASIDDDVDDVDADEEEQESFDVKTASFQDIINK IAKLDPKTAETMKSELKGFVDEVDL YBR125C MGQLLSHPLTEKTIEYNEYKNNQASTGIVPRFYNCVGSMQGYRL TQEDAHLIRNENSVVYVRFFNPFIDKYETLSLNVFAVFDGHGGDDCSKFLSGGRHHRD GNGSSNGNGEPNAGLIKWIAYSFENHHYTSTTNNDSSKFKRSFNTLEGLVSQIFKDAF ILQDEELYRHFANSSCGSTAVVACIINEESLYVANCGDSRCILSSKSNGIKTMSFDHK PQHIGELIRINDNGGTVSLGRVGGVLALSRAFSDFQFKRGVTYPHRRTKLTNITQNLT YGTPPQEAQVTVEPDVLMHKIDYSKDEFLVLACDGIWDIYNNKQLIHFIKYHLVSGTK LDTIITKLLDHGIAQANSNTGVGFDNMTAIIVVLNRKGETLQDWFNKMKTRLERERGL V YBR126C MTTDNAKAQLTSSSGGNIIVVSNRLPVTITKNSSTGQYEYAMSS GGLVTALEGLKKTYTFKWFGWPGLEIPDDEKDQVRKDLLEKFNAVPIFLSDEIADLHY NGFSNSILWPLFHYHPGEINFDENAWLAYNEANQTFTNEIAKTMNHNDLIWVHDYHLM LVPEMLRVKIHEKQLQNVKVGWFLHTPFPSSEIYRILPVRQEILKGVLSCDLVGFHTY DYARHFLSSVQRVLNVNTLPNGVEYQGRFVNVGAFPIGIDVDKFTDGLKKESVQKRIQ QLKETFKGCKIIVGVDRLDYIKGVPQKLHAMEVFLNEHPEWRGKVVLVQVAVPSRGDV EEYQYLRSVVNELVGRINGQFGTVEFVPIHFMHKSIPFEELISLYAVSDVCLVSSTRD GMNLVSYEYIACQEEKKGSLILSEFTGAAQSLNGAIIVNPWNTDDLSDAINEALTLPD VKKEVNWEKLYKYISKYTSAFWGENFVHELYSTSSSSTSSSATKN YBR126W-A MAITPDKQKKEQQHQPQNGPLDYAHICKCIAMFFVVAGVVLMFF ETGLDPEQKEQIKRLHQLDGIPHA YBR127C MVLSDKELFAINKKAVEQGFNVKPRLNYNTVSGVNGPLVILEKV KFPRYNEIVNLTLPDGTVRQGQVLEIRGDRAIVQVFEGTSGIDVKKTTVEFTGESLRI PVSEDMLGRIFDGSGRPIDNGPKVFAEDYLDINGSPINPYARIYPEEMISTGVSAIDT MNSIARGQKIPIFSASGLPHNEIAAQICRQAGLVRPTKDVHDGHEENFSIVFAAMGVN LETARFFKQDFEENGSLERTSLFLNLANDPTIERIITPRLALTTAEYLAYQTERHVLT ILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVEGRNGSITQIPI LTMPNDDITHPIPDLTGYITEGQIFVDRQLHNKGIYPPINVLPSLSRLMKSAIGEGMT RKDHGDVSNQLYAKYAIGKDAAAMKAVVGEEALSIEDKLSLEFLEKFEKTFITQGAYE DRTVFESLDQAWSLLRIYPKEMLNRISPKILDEFYDRARDDADEDEEDPDTRSSGKKK DASQEESLI YBR128C MHCPICHHRAHVVYCAHCINTSPSLLLKLKLDLILLKDENKELN GKVEQILNEAMNYDQLDIKRMEKKKDPLMNSLMKLDVLRMKKNNNLIRHRIEQLNERI YSKRNHISELKVEIDNYKCYKVGTGTDKLREQVEISDAKNKLAQVSKICESARDYKLN LLNNWFVIQKLQDNFQIPFAIAFQPLISLKNFRILPLAITNDSINIMWKYISFFSDIL MIKLPYTNKICEQPMFEFSDSIQTVVQRLIKLIINILQICRHLKLVPSTPMDIPWLLD QYDVDGLFYNMVKRNKMKCRSVSLYWTFGMLYSMVLDNMNNPQRGHPARRTAPPPTVT GPHDRWYVVG YBR129C MIAGATAPSSQHEILIASNLIKKPSTSQNKTPTAQSSSGNNGAA DGAPQGYHHHHHHHRHLWWPRTTDHQYWCVLRKNQFAYYKTRDEREAISVIPRFDILN FKISELDGILTVYTPSKDLIFKFPRGQNEKVGMELMHNWKIALEKFLSSPSGNESVTT GSDYDEEEDDDDLIVVDEKAGPSSSKHSCSLTMDEQLSREDKEFYRMFDPRNAEHQVC SGILYTKVKKKKLFNRAKWQKFNVELTNTSFNLYSFKTGKLKKSIKLDKIIDCIELDN NSKMKNDDTNFALITFDERLSFKAANDQDMVDWIINFKSGILIRKKLKAENI YBR130C MSDQDNTQTSSSKLAPHHNIFMANLESSPTKDRNTSSQNASSSR VIESLHDQIDMLTKTNLQLTTQSQNLLSKLELAQSKESKLLENLNLLKNENENLNSIF ERKNKKLKELEKDYSELSNRYNEQKEKMDQLSKLAKNSSAIEQSCSEKLQNMEVNYNS LLESQNLYRDHYSDEISKLNEKIGLLELELSNQNLNYGSDTSSNSDIELNLNKFNDSV KDLKSLETEKDSKLSKIITHSLDELNLQSWLNLYQTNENLISTFAEKMDLKDVLKRND EKISNKGAVVQTLKKNVQTQVESNNADALSSNNAQDMLPIKMVKLRKTPNTNDSSSNG NSSNNKRRSFYTASPLLSSGSIPKSASPVLPGVKRTASVRKPSSSSSKTNVTHNNDPS TSPTISVPPGVTRTVSSTHKKKGNSMVVHGAQS YBR131W MRLHYITVFDPSRSTNENDTFKQLLLFHYFGTTDSIPSLNEKLS IIGVIQGIWSLTSSCVNKDGEDLEKIIELNNDIIFCIKVESRFFISLAISNISDDQSA IPLQYLSAYLWLSYRFFKLLNGSFSGFNKDFRKLTDLLNEFVIPFWNDIYLNLETVTN RSFTVMWPGFYKRANFQHSSYNPGEKNNVEESWDAIILQNILLDKKSYLGLKDILVYH LPKRTKAANRESMGTKTYGLVRNFTSDLNTLPDISNWLYHLHCTYGEISSHILTGNVH FKEELQVEEEQERSRDTNGRDEEESQEQQRREHQETTQNNTSELSLSERVIHNVTLPI SFAYDAIHEVSTTTGVSGSLSMIMDYVPKPHWPFISSSNKSADKNNYSNSNDNANSNA PLMAQSEAVGGTIGNSRFGFLISPLNSDLLPSSYQALKLNLNFENSKDKEDFYNCLFW YFDDFLIVIVCDPDFNKICERDYLKDLSFQLCQSMECLNNEILNSQNCDNVESFAYVI RDNVTKEIDSSVPFGSPKFTSDESISTLQLAINGIDQFINDNSNSLSLANWNPITIMG GSNAISKKNTTEGFGNGVNDKTQKFKRKYLNFLNLMSAEKLWDLQVDVLQFLTSLQNS KRDPDYFQEERLLKLNNGVLCYIKENNSNLIIIIKNWFQNNGTSKAAKQRNRFSSDSS KGSSLFQSLGRDVTDWWESREI YBR132C MTKERMTIDYENDGDFEYDKNKYKTITTRIKSIEPSEGWLEPSG SVGHINTIPEAGDVHVDEHEDRGSSIDDDSRTYLLYFTETRRKLENRHVQLIAISGVI GTALFVAIGKALYRGGPASLLLAFALWCVPILCITVSTAEMVCFFPVSSPFLRLATKC VDDSLAVMASWNFWFLECVQIPFEIVSVNTIIHYWRDDYSAGIPLAVQVVLYLLISIC AVKYYGEMEFWLASFKIILALGLFTFTFITMLGGNPEHDRYGFRNYGESPFKKYFPDG NDVGKSSGYFQGFLACLIQASFTIAGGEYISMLAGEVKRPRKVLPKAFKQVFVRLTFL FLGSCLCVGIVCSPNDPDLTAAINEARPGAGSSPYVIAMNNLKIRILPDIVNIALITA AFSAGNAYTYCSSRTFYGMALDGYAPKIFTRCNRHGVPIYSVAISLVWALVSLLQLNS NSAVVLNWLINLITASQLINFVVLCIVYLFFRRAYHVQQDSLPKLPFRSWGQPYTAII GLVSCSAMILIQGYTVFFPKLWNTQDFLFSYLMVFINIGIYVGYKFIWKRGKDHFKNP HEIDFSKELTEIENHEIESSFEKFQYYSKA YBR133C MHSNVFVGVKPGFNHKQHSKKSRFLENVSSHSPELPSNYDYVLL PITTPRYKEIVGQVFKDFQRQSIQNWKPLQIPEPQLQDICIPPFNVKKLDNDDTPSYI GLLSSWLELESRDPNVRDLGLKVLLNECKYARFVGINKLILAPPRDLSNLQLYGQMIY RLLQNRIVFAAPALTISISLPLYEDSDPLATWELWNTVRKQCEYHPSLTISLALPRTR TPSYVLNRWLAEPVSCLLVSSSIFASNQYDYPVLHKFNQNLILKFQKVNGDSQILGNE LCVILHGMEKYANNVKGGESAYLEYINYLLKKGDKVLNSNSNHQFLLQEDSRIMPPLK PHSDNLLNSTYLTFEKDLVKYDLYESAILEALQDLAPRASAKRPLVILVAGAGRGPLV DRTFKIISMLFMDSKVSIIAIEKNPQAYLYLQKRNFDCWDNRVKLIKEDMTKWQINEP SEKRIQIDLCISELLGSFGCNELSPECLWSIEKYHSHNDTIFIPRSYSSYIAPISSPL FYQKLSQTNRSLEAPWIVHRVPYCILSSRVNEVWRFEHPMAQKDTVQDEDDFTVEFSQ SSLNEFKIKHRGEIHGFIGFFSANLYNNIFLSTLPNDSTVRLKFSEETLMNTRREENL IKKCDHTPNMTSWSPIIFPLKQPISFIDDSELSVLMSRIHSDTEQKVWYEWSLESFIY LMLSNYTSAVTAASMTIPRSIVTDDTKTLAHNRHYSATTNQKLDNQIDLDQDIENEEE QGFLSNLETGWQSVQDIHGLSETAKPDHLDSINKPMFDLKSTKALEPSNELPRHEDLE EDVPEVHVRVKTSVSTLHNVCGRAFSLPL YBR135W MYHHYHAFQGRKLTDQERARVLEFQDSIHYSPRYSDDNYEYRHV MLPKAMLKVIPSDYFNSEVGTLRILTEDEWRGLGITQSLGWEHYECHAPEPHILLFKR PLNYEAELRAATAAAQQQQQQQQQQQQQQQQHQTQSISNDMQVPPQIS YBR136W MESHVKYLDELILAIKDLNSGVDSKVQIKKVPTDPSSSQEYAKS LKILNTLIRNLKDQRRNNIMKNDTIFSKTVSALALLLEYNPFLLVMKDSNGNFEIQRL IDDFLNISVLNYDNYHRIWFMRRKLGSWCKACVEFYGKPAKFQLTAHFENTMNLYEQA LTEVLLGKTELLKFYDTLKGLYILLYWFTSEYSTFGNSIAFLDSSLGFTKFDFNFQRL IRIVLYVFDSCELAALEYAEIQLKYISLVVDYVCNRTISTALDAPALVCCEQLKFVLT TMHHFLDNKYGLLDNDPTMAKGILRLYSLCISNDFSKCFVDHFPIDQWADFSQSEHFP FTQLTNKALSIVYFDLKRRSLPVEALKYDNKFNIWVYQSEPDSSLKNVTSPFDDRYKQ LEKLRLLVLKKFNKTERGTLLKYRVNQLSPGFFQRAGNDFKLILNEASVSIQTCFKTN NITRLTSWTVILGRLACLESEKFSGTLPNSTKDMDNWYVCHLCDIEKTGNPFVRINPN RPEAAGKSEIFRILHSNFLSHPNIDEFSESLLSGILFSLHRIFSHFQPPKLTDGNGQI NKSFKLVQKCFMNSNRYLRLLSTRIIPLFNISDSHNSEDEHTATLIKFLQSQKLPVVK ENLVIAWTQLTLTTSNDVFDTLLLKLIDIFNSDDYSLRIMMTLQIKNMAKILKKTPYQ LLSPILPVLLRQLGKNLVERKVGFQNLIELLGYSSKTILDIFQRYIIPYAIIQYKSDV LSEIAKIMCDGDTSLINQMKVNLLKKNSRQIFAVALVKHGLFSLDILETLFLNRAPTF DKGYITAYLPDYKTLAEITKLYKNSVTKDASDSENANMILCSLRFLITNFEKDKRHGS KYKNINNWTDDQEQAFQKKLQDNILGIFQVFSSDIHDVEGRTTYYEKLRVINGISFLI IYAPKKSIISALAQISICLQTGLGLKEVRYEAFRCWHLLVRHLNDEELSTVIDSLIAF ILQKWSEFNGKLRNIVYSILDTLIKEKSDLILKLKPYTTLALVGKPELGILARDGQFA RMVNKIRSTTDLIPIFANNLKSSNKYVINQNLDDIEVYLRRKQTERSIDFTPKKVGQT SDITLVLGALLDTSHKFRNLDKDLCEKCAKCISMIGVLDVTKHEFKRTTYSENEVYDL NDSVQTIKFLIWVINDILVPAFWQSENPSKQLFVALVIQESLKYCGLSSESWDMNHKE LYPNEAKLWEKFNSVSKTTIYPLLSSLYLAQSWKEYVPLKYPSNNFKEGYKIWVKRFT LDLLKTGTTENHPLHVFSSLIREDDGSLSNFLLPYISLDIIIKAEKGTPYADILNGII IEFDSIFTCNLEGMNNLQVDSLRMCYESIFRVFEYCKKWATEFKQNYSKLHGTFIIKD TKTTNMLLRIDEFLRTTPSDLLAQRSLETDSFERSALYLEQCYRQNPHDKNQNGQLLK NLQITYEEIGDIDSLDGVLRTFATGNLVSKIEELQYSENWKLAQDCFNVLGKFSDDPK TTTRMLKSMYDHQLYSQIISNSSFHSSDGKISLSPDVKEWYSIGLEAANLEGNVQTLK NWVEQIESLRNIDDREVLLQYNIAKALIAISNEDPLRTQKYIHNSFRLIGTNFITSSK ETTLLKKQNLLMKLHSLYDLSFLSSAKDKFEYKSNTTILDYRMERIGADFVPNHYILS MRKSFDQLKMNEQADADLGKTFFTLAQLARNNARLDIASESLMHCLERRLPQAELEFA EILWKQGENDRALKIVQEIHEKYQENSSVNARDRAAVLLKFTEWLDLSNNSASEQIIK QYQDIFQIDSKWDKPYYSIGLYYSRLLERKKAEGYITNGRFEYRAISYFLLAFEKNTA KVRENLPKVITFWLDIAAASISEAPGNRKEMLSKATEDICSHVEEALQHCPTYIWYFV LTQLLSRLLHSHQSSAQIIMHILLSLAVEYPSHILWYITALVNSNSSKRVLRGKHILE KYRQHSQNPHDLVSSALDLTKALTRVCLQDVKSITSRSGKSLEKDFKFDMNVAPSAMV VPVRKNLDIISPLESNSMRGYQPFRPVVSIIRFGSSYKVFSSLKKPKQLNIIGSDGNI YGIMCKKEDVRQDNQYMQFATTMDFLLSKDIASRKRSLGINIYSVLSLREDCGILEMV PNVVTLRSILSTKYESLKIKYSLKSLHDRWQHTAVDGKLEFYMEQVDKFPPILYQWFL ENFPDPINWFNARNTYARSYAVMAMVGHILGLGDRHCENILLDIQTGKVLHVDFDCLF EKGKRLPVPEIVPFRLTPNLLDALGIIGTEGTFKKSSEVTLALMRKNEVALMNVIETI MYDRNMDHSIQKALKVLRNKIRGIDPQDGLVLSVAGQTETLIQEATSEDNLSKMYIGW LPFW YBR137W MVVLDKKLLERLTSRKVPLEELEDMEKRCFLSTFTYQDAFDLGT YIRNAVKENFPEKPVAIDISLPNGHCLFRTVTYGGSALDNDFWIQRKKKTALRFGHSS FYMGCKKGDKTPEEKFFVDSKEYAFHGGAVLIQSERSDYPYACLTISGLKQEEDHLMA VSSLIAFANESLEEDLNLD YBR138C MEKDQIQPRVLESVDTNSLSLLSSNTSSNMNSNTNNKLSIIASD ISTGSVLSRPLTPPVVQDIENNSMLQWQFEKKEFIFDSNSTPSKQAKPLQRNSPYQGN SQSENQNQQLLNVRKRRSQLIGAKPKIPSKLYQSVSKLDLIDDKSFTSLPIAPPCNIE TNEDDSGNNEYNNNKKRPRLNPVNELRVHNNKRNRYVSYGPSLDTKNYELTENTSQDI PPLVLVEDYIPYTQSKSTKKMVSISDLKSKLSKRRDNHIPLRVKNSYSEINKETNRNS FEPNSLTLIPHILRNTEENRDESNNPLDFIKEEIEISDISIPNSIENMVVNLVNIPSS NKSYDDLYLSELNVHSQLRKCVICEKALYEISSRLLNSGYYKEIVCEQCTVRYEEAAK IFENCEFESSMDESNLSSGTFSDLENSAEPFHLSTDVPKKINRHIEDNKIDLKKEISK KKDSFSKELIERLQLQLLENDKSIKHHFNKDAMGSKSMNWFLEARRKLKWKWRINGLL PHFLRNQNSDRLNFQP YBR139W MKYLNLVFVLQLLISIKYASFGRAFSLFEDDTTFANLDKQLKLP QNTQQTLKLDRLNHDDPLFTTFISSVDTDYSLRLRTVDPSKLGIDTVKQWSGYMDYKD SKHFFYWFFESRNDPANDPIILWLNGGPGCSSFTGLLFELGPSSIGADMKPIHNPYSW NNNASMIFLEQPLGVGFSYGDEKVSSTKLAGKDAYIFLELFFEAFPHLRSNDFHIAGE SYAGHYIPQIAHEIVVKNPERTFNLTSVMIGNGITDPLIQADYYEPMACGKGGYHPVL SSEECEKMSKAAGRCRRLNKLCYASKSSLPCIVATAYCDSALLEPYINTGLNVYDIRG PCEDNSTDGMCYTGLRYVDQYMNFPEVQETLGSDVHNYSGCDNDVFTGFLFTGDGSKP FQQYIAELLNHNIPVLIYAGDKDYICNWLGNHAWSNELEWINKRRYQRRMLRPWVSKE TGEELGQVKNYGPFTFLRIYDAGHMVPYDQPEASLEMVNSWISGNRAFSDLSTLENAS YBR140C MNQSDPQDKKNFPMEYSLTKHLFFDRLLLVLPIESNLKTYADVE ADSVFNSCRSIILNIAITKDLNPIIENTLGLIDLIVQDEEITSDNITDDIAHSILVLL RLLSDVFEYYWDQNNDFKKIRNDNYKPGFSSHRPNFHTSRPKHTRINPALATMLLCKI SKLKFNTRTLKVLQNMSHHLSGSATISKSSILPDSQEFLQKRNYPAYTEKIDLTIDYI QRFISASNHVEFTKCVKTKVVAPLLISHTSTELGVVNHLDLFGCEYLTDKNLLAYLDI LQHLSSYMKRTIFHSLLLYYASKAFLFWIMARPKEYVKIYNNLISSDYNSPSSSSDNG GSNNSDKTSISQLVSLLFDDVYSTFSVSSLLTNVNNDHHYHLHHSSSSSKTTNTNSPN SISKTSIKQSSVNASGNVSPSQFSTGNDASPTSPMASLSSPLNTNILGYPLSPITSTL GQANTSTSTTAATTKTDADTPSTMNTNNNNNNNNSANLNNIPQRIFSLDDISSFNSSR KSLNLDDSNSLFLWDTSQHSNASMTNTNMHAGVNNSQSQNDQSSLNYMENIMELYSNY TGSELSSHTAILRFLVVLTLLDSEVYDEMNSNSYRKISEPIMNINPKDSNTSSWGSAS KNPSIRHLTHGLKKLTLQQGRKRNVKFLTYLIRNLNGGQFVSDVSLIDSIRSILFLMT MTSSISQIDSNIASVIFSKRFYNLLGQNLEVGTNWNSATANTFISHCVERNPLTHRRL QLEFFASGLQLDSDLFLRHLQLEKELNHIDLPKISLYTEGFRVFFHLVSTKKLHEDIA EKTSSVLKRLFCIIADILLKATPYFDDNVTKIIASILDGHILDQFDAARTLSNDDHVS FDAATSVYTEPTEIIHNSSDASLVSSLSQSPLSINSGSNITNTRTWDIQSILPTLSNR SSASDLSLSNILTNPLEAQQNNNANLLAHRLSGVPTTKRYASPNDSERSRQSPYSSPP QLQQSDLPSPLSVLSSSAGFSSNHSITATPTILKNIKSPKPNKTKKIADDKQLKQPSY SRVILSDNDEARKIMMNIFSIFKRMTNWFIRPDANTEFPKTFTDIIKPLFVSILDSNQ RLQVTARAFIEIPLSYIATFEDIDNDLDPRVLNDHYLLCTYAVTLFASSLFDLKLENA KREMLLDIIVKFQRVRSYLSNLAEKHNLVQAIITTERLTLPLLVGAVGSGIFISLYCS RGNTPRLIKISCCEFLRSLRFYQKYVGALDQYSIYNIDFIDAMAQDNFTASGSVALQR RLRNNILTYIKGSDSILLDSMDVIYKKWFYFSCSKSVTQEELVDFRSLAGILASMSGI LSDMQELEKSKSAPDNEGDSLSFESRNPAYEVHKSLKLELTKKMNFFISKQCQWLNNP NLLTRENSRDILSIELHPLSFNLLFNNLGLKIDELMSIDLSKSHEDSSFVLLEQIIII IRTILKRDDDEKIMLLFSTDLLDAVDKLIEIVEKISIKSSKYYKGIIQMSKMFRAFEH SEKNLGISNHFHLKNKWLKLVIGWFKLSINKDYDFENLSRPLREMDLQKRDEDFLYID TSIESAKALAYLTHNVPLEIPPSSSKEDWNRSSTVSFGNHFTILLKGLEKSADLNQFP VSLRHKISILNENVIIALTNLSNANVNVSLKFTLPMGYSPNKDIRIAFLRVFIDIVTN YPVNPEKHEMDKMLAIDDFLKYIIKNPILAFFGSLACSPADVDLYAGGFLNAFDTRNA SHILVTELLKQEIKRAARSDDILRRNSCATRALSLYTRSRGNKYLIKTLRPVLQGIVD NKESFEIDKMKPGSENSEKMLDLFEKYMTRLIDAITSSIDDFPIELVDICKTIYNAAS VNFPEYAYIAVGSFVFLRFIGPALVSPDSENIIIVTHAHDRKPFITLAKVIQSLANGR ENIFKKDILVSKEEFLKTCSDKIFNFLSELCKIPTNNFTVNVREDPTPISFDYSFLHK FFYLNEFTIRKEIINESKLPGEFSFLKNTVMLNDKILGVLGQPSMEIKNEIPPFVVEN REKYPSLYEFMSRYAFKKVDMKEEEEDNAPFVHEAMTLDGIQIIVVTFTNCEYNNFVM DSLVYKVLQIYARMWCSKHYVVIDCTTFYGGKANFQKLTTLFFSLIPEQASSNCMGCY YFNVNKSFMDQWASSYTVENPYLVTTIPRCFINSNTDQSLIKSLGLSGRSLEVLKDVR VTLHDITLYDKEKKKFCPVSLKIGNKYFQVLHEIPQLYKVTVSNRTFSIKFNNVYKIS NLISVDVSNTTGVSSEFTLSLDNEEKLVFCSPKYLEIVKMFYYAQLKMEEDFGTDFSN DISFSTSSSAVNASYCNVKEVGEIISHLSLVILVGLFNEDDLVKNISYNLLVATQEAF NLDFGTRLHKSPETYVPDDTTTFLALIFKAFSESSTELTPYIWKYMLDGLENDVIPQE HIPTVVCSLSYWVPNLYEHVYLANDEEGPEAISRIIYSLIRLTVKEPNFTTAYLQQIW FLLALDGRLTNVIVEEIVSHALDRDSENRDWMKAVSILTSFPTTEIACQVIEKLINMI KSFLPSLAVEASAHSWSELTILSKISVSIFFESPLLSQMYLPEILFAVSLLIDVGPSE IRVSLYELLMNVCHSLTNNESLPERNRKNLDIVCATFARQKLNFISGFSQEKGRVLPN FAASSFSSKFGTLDLFTKNIMLLMEYGSISEGAQWEAKYKKYLMDAIFGHRSFFSARA MMILGIMSKSHTSLFLCKELLVETMKVFAEPVVDDEQMFIIIAHVFTYSKIVEGLDPS SELMKELFWLATICVESPHPLLFEGGLLFMVNCLKRLYTVHLQLGFDGKSLAKKLMES RNFAATLLAKLESYNGCIWNEDNFPHIILGFIANGLSIPVVKGAALDCLQALFKNTYY ERKSNPKSSDYLCYLFLLHLVLSPEQLSTLLLEVGFEDELVPLNNTLKVPLTLINWLS SDSDKSNIVLYQGALLFSCVMSDEPCKFRFALLMRYLLKVNPICVFRFYTLTRKEFRR LSTLEQSSEAVAVSFELIGMLVTHSEFNYLEEFNDEMVELLKKRGLSVVKPLDIFDQE HIEKLKGEGEHQVAIYERKRLATMILARMSCS YBR141C MHSRKSKSITGKRKQVGSNVTRVIKPQKTRRIIRRFHHLINKRQ SICKFLCLKENLDDSNEEKNDKIIRLSIKGNVRLGKYYEDGKSQSFNDAMESQLLRLH SLIKNESKSKDTSDLAVMYTLLGYIMNQINKLGGLETYQIASQNGQLKERGGDTSKLL EKWIRSSFENCPGAVALEIGSLSSGNRISRCALFRNVVRIDLEEHEGVIKQDFMERPL PRNENDKFDLISCSLVLNFVKNHRDRGAMCHRMVKFLKPQGYIFIVLPQACVTHSRYC DKTLLQNLLGSIGLIMLNSHQSNKLYYCLYQLQVVPPQPSSFSKRIKVNDGPGLNNFG ITL YBR142W MGKKRAPQKGKTVTKPQEIIVDESKLNWKPVDIPDTLDDFGGFY GLEEIDGVDVKVVDGKVTFVTKKDSKVLKDSNKEKVGDDQESVENESGSDSESELLEF KNLDDIKEGELSAASYSSSDEDEQGNIESSKLTDPSEDVDEDVDEDVLKENVFNKDIN IDDISPVNLPEWTNLAPLSMTILQSLQNLNFLRPTEIQKKSIPVIMQGVDVMGKASTG SGKTLAYGIPIVEKLISNFSQKNKKPISLIFTPTRELAHQVTDHLKKICEPVLAKSQY SILSLTGGLSIQKQQRLLKYDNSGQIVIATPGRFLELLEKDNTLIKRFSKVNTLILDE ADRLLQDGHFDEFEKIIKHLLVERRKNRENSEGSSKIWQTLIFSATFSIDLFDKLSSS RQVKDRRFKNNEDELNAVIQHLMSKIHFNSKPVIIDTNPESKVSSQIKESLIECPPLE RDLYCYYFLTMFPGTTLIFCNAIDSVKKLTVYLNNLGIPAFQIHSSMTQKNRLKSLER FKQQSAKQKTINHSNPDSVQLSTVLIASDVAARGLDIPGVQHVIHYHLPRSTDIYIHR SGRTARAGSEGVSAMICSPQESMGPLRKLRKTLATKNSVSTDLNSRSTNRKPIKWQNT VPLLPIETDILSQLRERSRLAGELADHEIASNSLRKDDNWLKKAADELGIDVDSDEDD ISKSNSDTFLLKNKNKKMQKTINKDKVKAMRATLNELLSVPIRKDRRQKYLTGGLVNL ADNLVKKRGHNSIIGHEKTNALETLKKKKKRNN YBR143C MDNEVEKNIEIWKVKKLVQSLEKARGNGTSMISLVIPPKGQIPL YQKMLTDEYGTASNIKSRVNRLSVLSAITSTQQKLKLYNTLPKNGLVLYCGDIITEDG KEKKVTFDIEPYKPINTSLYLCDNKFHTEVLSELLQADDKFGFIVMDGQGTLFGSVSG NTRTVLHKFTVDLPKKHGRGGQSALRFARLREEKRHNYVRKVAEVAVQNFITNDKVNV KGLILAGSADFKTDLAKSELFDPRLACKVISIVDVSYGGENGFNQAIELSAEALANVK YVQEKKLLEAYFDEISQDTGKFCYGIDDTLKALDLGAVEKLIVFENLETIRYTFKDAE DNEVIKFAEPEAKDKSFAIDKATGQEMDVVSEEPLIEWLAANYKNFGATLEFITDKSS EGAQFVTGFGGIGAMLRYKVNFEQLVDESEDEYYDEDEGSDYDFI YBR144C MLFDSSFRERRTFYNAAGSVSVPFYKQIAEQTHDIFKRCNVREH ILRPCPVDISHFSRDLNGAPLSYTENIKFTAKFWANAWIDYESVMKTLLVKLYISFFF MC YBR145W MPSQVIPEKQKAIVFYETDGKLEYKDVTVPEPKPNEILVHVKYS GVCHSDLHAWHGDWPFQLKFPLIGGHEGAGVVVKLGSNVKGWKVGDFAGIKWLNGTCM SCEYCEVGNESQCPYLDGTGFTHDGTFQEYATADAVQAAHIPPNVNLAEVAPILCAGI TVYKALKRANVIPGQWVTISGACGGLGSLAIQYALAMGYRVIGIDGGNAKRKLFEQLG GEIFIDFTEEKDIVGAIIKATNGGSHGVINVSVSEAAIEASTRYCRPNGTVVLVGMPA HAYCNSDVFNQVVKSISIVGSCVGNRADTREALDFFARGLIKSPIHLAGLSDVPEIFA KMEKGEIVGRYVVETSK YBR146W MFSRLSLFRRAALAPAPMRMSFRTIYQKTEDELPRRIVPKLATF YSANPNHEDRINRLERLLRKYIKLPSQNNNEAQQTKAPWISFDEYALIGGGTKLKPTQ YTQLLYMLNKLHNIDPQLTNDEITSELSQYYKKSSMLSNNIKIKTLDEFGRSIAVGKR KSSTAKVFVVRGTGEILVNGRQLNDYFLKMKDRESIMYPLQVIESVGKYNIFATTSGG GPTGQAESIMHAIAKALVVFNPLLKSRLHKAGVLTRDYRHVERKKPGKKKARKMPTWV KR YBR147W MKLIPIILNAKNLSGMAGSISICCWIVVFVPQIYENFRRQSAEG LSLLFIVLWLLGDIFNVMGAMMQNLLPTMIILAAYYTLADLILLIQCMWYDKEKKSIL QEVKKNVDPVHLPPANPINETVLQDVFNEYEPLLPRIEEEDSQSYSSLELGRTIVVKE RENFFNDFLIVSGVLIAGILSWYISYCSGLDNGIPKKKPAFEQINLPAQILGYLSAIL YLGSRIPQIVLNFKRKSCEGVSFLFFLFACLGNTSFIISVLSASWLIGSAGTLLMDFT VFIQFFLYAKPKYEKILIDN YBR148W MSSLADTVEGSEAKRGRFSNNALTSDTGILQKNSTLRNWFLKPT ADLKNSCEDRVEDDVNDVYLNDKNSQKSVEERKLGRKVRSFFKQTNSNKDESVLEDED DALVWKKTSNKCAKKENSHDIQKGSFTKKIRNSIFKSANDVKEFRNENNLLLPVELSS DDENESHFTDANSHVMQSKSPEKIPSKDQCLTKGAKNKGLKKEYEKSFEEYSDDSDDE FSPATPPENVLEGPYKFVFQTPNTFTSQPNITVENDFHKGGRHVIDYLNKKLATMNID IDLTSGGKQNVSWEEELDQLSDHVIESITNHISKGRMHAQEKQDELEKLKLENLNLST LKQENLQHKQEINSLKDNLESISKKNNDLILEMNKLKKKSTNNKTNEYISTDENENEE ITKSNMGPGILELNVNETSKKLQQSTFKPSKYLPRETRNNENRLKHLEKRIFGLEKSL EKKKKQVRADSVRLDLNRYTIDQFLTLLKSLSEVLQFHNVYGNDLKENDDNIIKIETC CSALNMKNCFEDSSFRLQENSFKRQLGPLFANINFSLIDQLTMNFRFYERSANFQKET IGGLRMMLQDKDNYIKTLMQHLKKKESTKLIKDSKNGASTLTS YBR149W MSSSVASTENIVENMLHPKTTEIYFSLNNGVRIPALGLGTANPH EKLAETKQAVKAAIKAGYRHIDTAWAYETEPFVGEAIKELLEDGSIKREDLFITTKVW PVLWDEVDRSLNESLKALGLEYVDLLLQHWPLCFEKIKDPKGISGLVKTPVDDSGKTM YAADGDYLETYKQLEKIYLDPNDHRVRAIGVSNFSIEYLERLIKECRVKPTVNQVETH PHLPQMELRKFCFMHDILLTAYSPLGSHGAPNLKIPLVKKLAEKYNVTGNDLLISYHI RQGTIVIPRSLNPVRISSSIEFASLTKDELQELNDFGEKYPVRFIDEPFAAILPEFTG NGPNLDNLKY YBR150C MNMDSGITSSHGSMDKTQKQSSEWAANQKHNQRVENTRVLMGPA VPAMPPVPSNFPPVPTGTIMSPQLSPFPDHRLRHHPLAHMMPADKNFLAYNMESFKSR VTKACDYCRKRKIRCTEIEPISGKCRNCIKYNKDCTFHFHEELKRRREEALNNKGNGK SVKKPRLDKENKFKDENFDIAVRSRNTSSTDSSPKLHTNLSQEYIGVSAGKSASDKED TWPDFVPIDRTVLEKIELNHTKVAGKVFVLEEICKNMKGTIEKLAEKSKIDVIDKEYM KRPKRKQYSKALLTKQKMFHFRQNVLSHLTDEEFLSPINEMFTTTFKYSILQTKLVLD FSFRSASSPSSDNILYPLPRLAIAKRLLKNIKCPSLASLLHIVDVDQCLQFADVHFDP AKGRLTSSQAFLLNICLCLGATVTNFEEKQELVDEDNHETYYFEKFELWRLRSFTFLN SVYYYHKLSVARADMTALKALLLLAKFAQQKISASSAVKVLSVAIKVALDLRLNLHST YEDLELDEIIKRRRLWCYCFSTDKFFSVVLSRPPFLKEENTDVLTDESYVELFRDKIL PNLSIKYDDSKLEGVKDIVSVVNLLANHLEYVPYIQSYFLSRLSLIESQIYYSCFSIR TTLDDTLDEIIENVLENQKALDRMRDDLPTILSLENYKENMRILSLDSSKLDFEVSCC TTILLHLRWYHQKITLSLFVISIIGDNLDQRESSRHDIAEIIRRSRLDFKRNCIEVLN ILKDFEYYPTVQNEFLYFSLTTVFSMFLYLSEIMVNDEHAMETGYIIGLLRDTHTRML GSEERCLSVHNLKWQTSLFFYTFFLRSTMEKFNLTSKYAKFYAFDSNYYEGVLNRLVK HTRESKDDMVELLKTSFINKEKMAAFGSFVTEDQEKMEVSFNIFNEITIQDLNFLQFS SIPKLWENKTLEPGEEYHHSNGTNTDNNETTGADDTDDNNNNNNNNNKNGNNSSSTIN NNNNNYSNSNNNDNDNNINDDDDDDDDDDDDDDDDDDDDDNDDDYSNNGADDDEEDDD YDRSLFPTGLASLLDASYPERTANDYRDENEQSNKLFEKIEGHLEHGVFFYDRDFFFK NVCVKM YBR151W MAFLNIFKQKRGDEASQLSAKGREEISQSIKICKSDDAANEHSC SGDCKTEIEEGEQAFAKLKIEHETPLLNSSKTPKIHFVVPTSQIDWQHDACLEDPKSV QYKISQWCDKNSAKFSNVGTGKTLNCAVSSLPKDIMDIDVMRGTKNNVLILPYFIWLN DLRSDDVEATLDGLVPDLLDENISREKLLETRPNVAVARERAFVFICSHTTRDKRCGI TAPYLKKVFDSKLQEHGLYRDNSDYRAEGVKIAFVNHVGGHKFAANVQIYLRNPNTLI WLGRVTPTIVPSIVEHLIVPEEPTLPFPEKVRCIKKYQSW YBR152W MSFRHFKRRLDTSSADESSSADEEHPDQNVSLTEKSASLSHSDL GGEILNGTGKNRTPNDGQESNESDGSPESDESPESEESSDNSDSSDSDDMRPLPRPLF MKKKANNLQKATKIDQPWNAQDDARVLQTKKENMIKNIDKANQVAKNYETMKLRLNTN YSTNEELIKQCLLLDDNDEVDSEKERQKWFERQNERKQKHRRIQLAKQRESEEYEAKR FEAMQKGKDGNTKYDVILDKEKEKLDHKKQRSAEKVEKSHNNNRYKITRTKNVEFGDL GKNSRDYEETEYSVI YBR153W MSLTPLCEDLPQFLQNYLPNAGQTENTIVPFVTLTYAQSLDARV SRGPGVRTTISHPETKTMTHYLRHHHDGILVGSGTVLADNPGLNCKWGPDPAANSPRP IIIDTKQKWRFDGSKMQELFIKRQGKPPIVVVTSEPIIKEQHVDYAICPINDTTKLVD WKKLFEILKEEFNIRSVMVEGGANVINQLLLRSDIVNSLIITIGSTFLGSSGTEVSPP QTVNLKDMSWWKGITDVVLCARLADD YBR154C MDQENERNISRLWRAFRTVKEMVKDRGYFITQEEVELPLEDFKA KYCDSMGRPQRKMMSFQANPTEESISKFPDMGSLWVEFCDEPSVGVKTMKTFVIHIQE KNFQTGIFVYQNNITPSAMKLVPSIPPATIETFNEAALVVNITHHELVPKHIRLSSDE KRELLKRYRLKESQLPRIQRADPVALYLGLKRGEVVKIIRKSETSGRYASYRICM YBR155W MSSVNANGGYTKPQKYVPGPGDPELPPQLSEFKDKTSDEILKEM NRMPFFMTKLDETDGAGGENVELEALKALAYEGEPHEIAENFKKQGNELYKAKRFKDA RELYSKGLAVECEDKSINESLYANRAACELELKNYRRCIEDCSKALTINPKNVKCYYR TSKAFFQLNKLEEAKSAATFANQRIDPENKSILNMLSVIDRKEQELKAKEEKQQREAQ ERENKKIMLESAMTLRNITNIKTHSPVELLNEGKIRLEDPMDFESQLIYPALIMYPTQ DEFDFVGEVSELTTVQELVDLVLEGPQERFKKEGKENFTPKKVLVFMETKAGGLIKAG KKLTFHDILKKESPDVPLFDNALKIYIVPKVESEGWISKWDKQKALERRSV YBR156C MDWAIKAARKKTQRKPGSTRSIIETLDDLNNLTTDAHSEINQRL YESSEWLRNNVYMNTLKYEDKKMEESLISPENTHNKMDVEFPKMKGEYELSNSQNDAA KDVTKTPRNGLHNDKSITPKSLRRKEVTEGMNRFSIHDTNKSPVEPLNSVKVDANESE KSSPWSPYKVEKVLRESSKTSESPINTKRFDNQTWAAKEEMENEPILQALKKAESVKV KPPPNSGIARSQRRSNMFVPLPNKDPLIIQHIPPTKSSGSIPKVRTVKESPIAFKKKS TINSPAIRAVENSDTAGSTKASSVFDRLSSIPTKSFENKISRGNVGHKYSSSSIDLTG SPMKKVSQKFKSINSTDTDMQEALRDIFSVKNKITKNNSPKGKNSRKSSIPRFDKTSL KLTTHKKLAIIAEQKKKSKHSSDVHKTGSRPHSISPTKISVDSSSPSKEVKNYYQSPV RGYLRPTKASISPNKNKNLTTSQTPHRLKIKEKTLRKLSPNIADISKPESRKSKNYRL TNLQLLPPAEAERDDLKKKFDKRLSGIMRSQQEHHRRKQEKQKRMSHLEQDLKKQTSF SNDYKDIRLKESLAPFDNHVRDTINKNTAFSTDNILATINTVDHREIIGNVTPKIASV NDSLPEINTDSEDEASVTLAAWAKSPYLQEQLIRQQDINPQTIFGPIPPLHTDEIFPN PRLNRLKPRQIVPKRS YBR157C MGKFEQKERERISTFSFPTTGSQSSTSIKSLGSPLYGRFSSLSS TESQFDSSKQPHEYEKSFYFEESQGEALFNKLKTYSFPGDKDGVKTRRNSSICPRKPN AVSPLRVESNELSSHSHSRSLSHELTKPSGRRKSYHRKSHAISFSRSCKPNFIDGYDS NSSIGVNSRKTSLASSFLDKEYHSSPDTSYTHQMSPKNTIMNTNEQLRRNASGRFGSL KEFAEKNQINIEGKIFAHKVETGDILQPLIDLDIDNK YBR158W MKLERVSSNGSFKRGRDIQSLESPCTRPLKKMSPSPSFTSLKME KPFKDIVRKYGGHLHQSSYNPGSSKVELVRPDLSLKTDQSFLQSSVQTTPNKKSCNEY LSTPEATPLKNTATENAWATSRVVSASSLSIVTPTEIKNILVDEFSELKLGQPLTAQH QRSHAVFEIPEIVENIIKMIVSLESANIPKERPCLRRNPQSYEHSLLMYKDEERAKKA WSAAQQLRDPPLVGHKEKKQGALFSCMMVNRLWLNVTRPFLFKSLHFKSVHNFKEFLR TSQETTQVMRPSHFILHKLHQVTQPDIERLSRMECQNLKWLEFYVCPRITPPLSWFDN LHKLEKLIIPGNKNIDDNFLLRLSQSIPNLKHLVLRACDNVSDSGVVCIALNCPKLKT FNIGRHRRGNLITSVSLVALGKYTQVETVGFAGCDVDDAGIWEFARLNGKNVERLSLN SCRLLTDYSLPILFALNSFPNLAVLEIRNLDKITDVRHFVKYNLWKKSLDAPILIEAC ERITKLIDQEENRVKRINSLVALKDMTAWVNADDEIENNVD YBR159W MTFMQQLQEAGERFRCINGLLWVVFGLGVLKCTTLSLRFLALIF DLFLLPAVNFDKYGAKTGKYCAITGASDGIGKEFARQMAKRGFNLVLISRTQSKLEAL QKELEDQHHVVVKILAIDIAEDKESNYESIKELCAQLPITVLVNNVGQSHSIPVPFLE TEEKELRNIITINNTATLLITQIIAPKIVETVKAENKKSGTRGLILTMGSFGGLIPTP LLATYSGSKSFLQGWSNSLAGELSKDAIDVELIISYLVTSSMSKIRRSSLMIPNPQQF VKSTLRSVGRRCGSQERYATMTPYWAHAVYQFVITETFGVYSKIVNSINYSFHKSIRI RALKKAARQVKKE YBR160W MSGELANYKRLEKVGEGTYGVVYKALDLRPGQGQRVVALKKIRL ESEDEGVPSTAIREISLLKELKDDNIVRLYDIVHSDAHKLYLVFEFLDLDLKRYMEGI PKDQPLGADIVKKFMMQLCKGIAYCHSHRILHRDLKPQNLLINKDGNLKLGDFGLARA FGVPLRAYTHEIVTLWYRAPEVLLGGKQYSTGVDTWSIGCIFAEMCNRKPIFSGDSEI DQIFKIFRVLGTPNEAIWPDIVYLPDFKPSFPQWRRKDLSQVVPSLDPRGIDLLDKLL AYDPINRISARRAAIHPYFQES YBR161W MKKELKILIIANIALLISIIHYTFDLLTLCIDDTSKDALTDEQL NPPNGFNSTFYESPPQLIPKIIHQTYKTNDIPEQWVKGRQKCIDLHPDYTYILWTDEM SDTFIKQEYPWFLDTFRSYEYPIERADAIRYFILSHYGGIYIDLDDGCERRLDPLLKV PAFLRKTSPTGVSNDVMGSVPRHPFFLKVIKSLKHYKKNWYIPYMTIMGSTGPLFISV VWKQYKRWSNTAENGAVRILQPADYKMHNNSFFSISKGSSWHTGDANFMKTLENHILS CVVTGFIFGFFILYGEFTFYTWLCSGPFNNKRYYIQWLSDKFKLHKWKLTSSYKNKEK RRNPTRHEYNSRGKRLRKDSNIPYDSVFLDIEKNHAKFTDLT YBR162C MLQKLSMTALVGLFSSVVSLVNADCTYSGGNYYCAQTDAIIYSN VGLSATYQDVTNMDESSCACTQADFTASGSLAPFNEELSVHFRGPIELLQFGVYYPNG ESNALKKRSEKQSIESCKEGEAVVSRHKHQHKRDVAVEYVQVTSTVYVDSNGQTVTAD STNTVVGPAVPSSYTKDSTVLSSSAQAVETSESQSSISSSKTTSSAAAASSSSSSSSN TNGDWSRGSYFVPGSTSNCTFMNNQGGTAGSGVWSSCFGNSISFAASDGVSGAASAQA LGDVTIKSGNEFMIFSGEECSGNNGDCGYYREGIPAYHGFGGADKIFVFEFSMPSDTS GSAYNQDMPAIWLLNAKIPRTLQYGDASCSCWKTGCGEMDLFEILTAGSDKLISHIHD GQDGGTQDYFERPTDGTLKAAVIFNSSDKTIHIIEVDESFDATLSDDVVDQWLSKSGS SAALP YBR162W-A MAVQTPRQRLANAKFNKNNEKYRKYGKKKEGKTEKTAPVISKTW LGILLFLLVGGGVLQLISYIL YBR163W MLGRALINKYGFLIHPRRFVHLNDKSLDGTFILPSKKNHMYDVP TNDPSGILNASDIDRINNLPFFDNTSPTKETNTKEGALLSEKLASVKELFGEDPENPS FINYRFPRGLENPYFDIQVNQLKKKRLSVTQLCTTQNWCELRNFYDFYSQNLSNQLLN LKFQVQKGKKIHKSLEDETHPELNQYKSFTHNFLALTKLSMDIDNDMDALLDNWFNSI NRLVSLFTKGDGHAREIVCHGFINLEDGKLVEHLLNSDSKTKENVIISGVIDHLTLRN RHNHQVQKGAAHLDTEYQSWGNILTNLLSNLKELKSNNEIVISDIKTRSVPKIPSIES VIESSKLQTMYYKFFFSHLSQDMTQTYHSFLINAQRRGLDVDAPINPTKILTFILTNP LFANDVKNLLYGLPINHSAFDNDAKGSNTFDMTAFNDLLDRGPTSFNVPIEQDEDSSE STKCVSLRDYGHFYTKWKTPLTLKYFAARLSQIYFIVGNLVSNDLMIEYYYHNDNFHN IIFPYDPLKLGTHAHDSAMVWFGGRDMHPIEPTQKNFNTYCKFCDYRHVCSWKNKNEL KLIDLGKELKKIILESSMK YBR164C MGNIFSSMFDKLWGSNKELRILILGLDGAGKTTILYRLQIGEVV TTKPTIGFNVETLSYKNLKLNVWDLGGQTSIRPYWRCYYADTAAVIFVVDSTDKDRMS TASKELHLMLQEEELQDAALLVFANKQDQPGALSASEVSKELNLVELKDRSWSIVASS AIKGEGITEGLDWLIDVIKEEQL YBR165W MAYSLTRKLLKDWKYFMRHPEKTQGLFHVRPHDSDLHLWHVVMY EPRTSLEVYLLLYIGGNDQDPYIIMKCLSPNCCFPINRTVSMTHLNYLLLKDLGLQDL LFHIWQPLFHIQATEDLQYSPSTVKFNRAWNRIIYKDFKSYFPELIGTLQPGDYSIVK SYSKNHNISNSNGGSVNEFMSSYNAQSHTFHAQDNSKNPYTNSSIGKSSMLSTLNNNN VNKRTHDYNAIDFMTKNLLACDDDSIHPVVSSKRSRTLACPDETNDNRGSEHYTKRKK I YBR166C MVSEDKIEQWKATKVIGIIGLGDMGLLYANKFTDAGWGVICCDR EEYYDELKEKYASAKFELVKNGHLVSRQSDYIIYSVEASNISKIVATYGPSSKVGTIV GGQTSCKLPEIEAFEKYLPKDCDIITVHSLHGPKVNTEGQPLVIINHRSQYPESFEFV NSVMACLKSKQVYLTYEEHDKITADTQAVTHAAFLSMGSAWAKIKIYPWTLGVNKWYG GLENVKVNISLRIYSNKWHVYAGLAITNPSAHQQILQYATSATELFSLMIDNKEQELT DRLLKAKQFVFGKHTGLLLLDDTILEKYSLSKSSIGNSNNCKPVPNSHLSLLAIVDSW FQLGIDPYDHMICSTPLFRIFLGVSEYLFLKPGLLEQTIDAAIHDKSFIKDDLEFVIS AREWSSVVSFANFDIYKKQFQSVQKFFEPMLPEANLIGNEMIKTILSHSSDRSAAEKR NT YBR167C MALKKNTHNKSTKRVTKHPSLKTLTHKQIHTTIFVKSTTPYVSA LKRINKFLDSVHKQGSSYVAVLGMGKAVEKTLALGCHFQDQKNKKIEVYTKTIEVLDE VITEGQADIDMESDVEDDDKETQLKKRAVSGVELRIYV YBR168W MDTNSKTKVQTENKKIKAKFIHNHGQKPSLIQITPPMISSTLFH AYPLLLIFDNALANIMWLSDDKCLTFIYLTSIWLTISFFIPVETEASHFLPFTKILRL WLGIISGAFLFLSFMYYIVSLIASLRDTEPPTLDEIVVLLESVLDKLEVLRNELNVWK KLKLSFDGVNKECSGKRLFCRLFLFGTIFQIIIMRYISPGTYTRFFIITGLIYNTSSF QATLRLLWRFTAVRNFYYLGIESFKISSFLPKHLKMEQIIPLSQGRAITVPLVEVLPK LLRDKKGDDHIHILQLLLNEQKDNFGNEDLKILEIEVYENQRRWYQNKNWSTKLLPYE RQNYCIEIKNTDGTLTMRSCLPPDGLGEEELPNNWHWINDNWDGTDWIYSDSAWKEIG QYSSLESFTRSRKWKRRLFHL YBR169C MSTPFGLDLGNNNSVLAVARNRGIDVVVNEVSNRSTPSLVGFGP RNRYLGESGKTKQTSNVKNTVENLKRIIGLKFKDPEFDIENKFFTSKLVQLKNGKVGV EVEFGGKTHVFSATQLTAMFIDKVKHTVQEETKSSITDVCLAVPVWYSEEQRYNIADA ARIAGLNPVRIVNDVTAAAVSYGVFKNDLPGPEEKPRIIGLVDIGHSTYTCSIMAFRK GEMKVLGTAYDKHFGGRDFDRAITEHFADQFKDKYKIDIRKNPKAYNRILIAAEKLKK VLSANTTAPFSVESVMDDIDVSSQLSREELEELVEPLLKRVTYPITNALAQAKLTVND IDFVEIIGGTTRIPVLKKSISDVFGKPLSSTLNQDEAVAKGAAFICAIHSPTLRVRPF KFEDIDPYSVSYTWDKQVDDEDRLEVFPANSSYPSTKLITLHRTGDFSMKAVYTHPSK LPKGTSTTIAKWSFTGVKVPKDQDFIPVKVKLRCDPSGLHIIENAYTTEDITVQEPVP LPEDAPEDAEPQFKEVTKTIKKDVLGMTAKTFALNPVELNDLIEKENELRNQDKLVAE TEDRKNALEEYIYTLRAKLDDEYSDFASDAEKEKLKNMLATTENWLYGDGDDSTKAKY IAKYEELASLGNIIRGRYLAKEEEKRQALRANQETSKMNDIAEKLAEQRRARAASDDS DDNNDENMDLD YBR170C MLIRFRSKNGTHRVSCQENDLFGTVIEKLVGNLDPNADVDTFTV CEKPGQGIHAVSELADRTVMDLGLKHGDMLILNYSDKPANEKDGVNVEIGSVGIDSKG IRQHRYGPLRIKELAVDEELEKEDGLIPRQKSKLCKHGDRGMCEYCSPLPPWDKEYHE KNKIKHISFHSYLKKLNENANKKENGSSYISPLSEPDFRINKRCHNGHEPWPRGICSK CQPSAITLQQQEFRMVDHVEFQKSEIINEFIQAWRYTGMQRFGYMYGSYSKYDNTPLG IKAVVEAIYEPPQHDEQDGLTMDVEQVKNEMLQIDRQAQEMGLSRIGLIFTDLSDAGA GDGSVFCKRHKDSFFLSSLEVIMAARHQTRHPNVSKYSEQGFFSSKFVTCVISGNLEG EIDISSYQVSTEAEALVTADMISGSTFPSMAYINDTTDERYVPEIFYMKSNEYGITVK ENAKPAFPVDYLLVTLTHGFPNTDTETNSKFVSSTGFPWSNRQAMGQSQDYQELKKYL FNVASSGDFNLLHEKISNFHLLLYINSLQILSPDEWKLLIESAVKNEWEESLLKLVSS AGWQTLVMILQESG YBR171W MSEFNETKFSNNGTFFETEEPIVETKSISVYTPLIYVFILVVSL VMFASSYRKKQAKKISEQPSIFDENDAHDLYFQIKEMSENEKIHEKVLKAALLNRGAE SVRRSLKLKELAPQINLLYKNGSIGEDYWKRFETEVKLIELEFKDTLQEAERLQPGWV QLFVMVCKEICFNQALSRRYQSILKRKEVCIKEWELKINNDGRLVN YBR172C MIAPDSQRLFGSFDEQFKDLKLDSVDTENNNTHGVSTILDSSPA SVNNNTNGAVAASVNTVPGSTFRSNTPLLGGRHPLSRTSSLIDSIGIQRAASPFSSMK EPFIPQSSGVMSSSFWHGDHPESRVSTPVQQHPLLQRNESSSSFSYAANLGVNLSTHS LAVDITPLSTPTAAQSHVNLFPSSDIPPNMSMNGMSQLPAPVSVESSWRYIDTQGQIH GPFTTQMMSQWYIGGYFASTLQISRLGSTPETLGINDIFITLGELMTKLEKYDTDPFT TFDKLHVQTTSSDSINLNLAPYASGVAATGTIKATENDIFKPLTHDNIWDMDGGTTSK GVDIKLASATTISQTDESHKQEYKSTTMLEKGKKEKSESVAKALLDEQEKRNRELKRK EEARLSKKQKQKEDDLLKKQKEQKEQKEKEALEAEKQKKSEKTKKDTQTQTEGFKTSK DLPSLNSSSANPAPWASKVKVNNAIETSIKNGVSSTGKKKGEPLGLQQRNSKEEKQKE ELKSVLNWANKSSLPSNQTIDIKSQFQKSPKGMKESSPLKELEDPNFIEEQKKLWEKV QSSSKQVKSTSSASTTTSSWTTVTSKGKAPIGTVVSPYSKTNTSLNSSLTAKTSTTST TTTFASMNNVSPRQEFIKWCKSQMKLNSGITNNNVLELLLSLPTGPESKELIQETIYA NSDVMDGRRFATEFIKRRVACEKQGDDPLSWNEALALSGNDDDGWEFQVVSKKKGRKH YBR173C MNIVPQDTFKSQVSTDQDKSVLSSAVPSLPDTLRQQEGGAVPLS TQLNDRHPLESTLKNWETTQRQRQMEQYRQIFGIAEPMKRTMEMEIVNRTDFNPLSTN GSIHRDILLNKECSIDWEDVYPGTGLQASTMVGDDVHSKIEKQLGI YBR175W MFQFVTPVGTQNGLKATCAKISPDGQFLAITQGLNILIYDINRR TVSQTLVTSHARPFSELCWSPDGQCIATASDDFSVEIIHLSYGLLHTFIGHTAPVISL TFNRKGNLLFTSSMDESIKIWDTLNGSLMKTISAHSEAVVSVDVPMNDSSILSSGSYD GLIRIFDAETGHCLKTLTYDKDWKRENGVVPISQVKFSENARYLLVKSLDGVVKIWDC IGGCVVRTFQVQPLEKGVLHHSCGMDFLNPEDGSTPLVISGYENGDIYCWNSDTKSLL QLLDGSLYHHSSPVMSIHCFGNIMCSLALNGDCCLWRWV YBR176W MNIMKRQLCTSSKRFFSTAKNVVKYNTIQDIRNKYFTGTPLSMC TAYDFITATWVNKANCDLLLVGDSLAMTSLGYDSTITLSLNEFKYHVASVCRAEGSSM VVVDMPFGTFESGISDGLKNAIDIMKLDSKVTSVKVEVGSYTKDKYAMKFIEELCSRG IPVMAHIGLTPQKVHSLGGYKVQGSKSLLQMQELYETAMQLQKIGCWSILIECVPHKM AQFITSKLSVPTIGIGAGNGTSGQVLVISDLLGMQGDSVPKFVKQAVNMTDIATQGLK EYIASVEDRTFPERGTHTFKVKEDLWNEFLSSINEK YBR177C MSEVSKWPAINPFHWGYNGTVSHIVGENGSIKLHLKDNKEQVDF DEFANKYVPTLKNGAQFKLSPYLFTGILQTLYLGAADFSKKFPVFYGREIVKFSDGGV CTADWLIDSWKKDYEFDQSTTSFDKKKFDKDEKATHPEGWPRLQPRTRYLKDNELEEL REVDLPLVVILHGLAGGSHEPIIRSLAENLSRSGRFQVVVLNTRGCARSKITTRNLFT AYHTMDIREFLQREKQRHPDRKLYAVGCSFGATMLANYLGEEGDKSPLSAAATLCNPW DLLLSAIRMSQDWWSRTLFSKNIAQFLTRTVQVNMGELGVPNGSLPDHPPTVKNPSFY MFTPENLIKAKSFKSTREFDEVYTAPALGFPNAMEYYKAASSINRVDTIRVPTLVINS RDDPVVGPDQPYSIVEKNPRILYCRTDLGGHLAYLDKDNNSWATKAIAEFFTKFDELV V YBR179C MSEGKQQFKDSNKPHKDSTDQDDDAATIVPQTLTYSRNEGHFLG SNFHGVTDDRTTLFDGEEGRREDDLLPSLRSSNSKAHLISSQLSQWNYNNNRVLLKRS ILKTQAFMDQLQEENNIRPIFIAANDEREKLHVLQLNIKLDGQYNTKEKNGFNIEKKA LSKLFHSQIVSVTNHLNALKKRVDDVSSKVFITGDVNTGKSALCNSLLKQRLLPEDQL PCTNVFSEILEARENDGIEEVHAIPLNIAPTLKEAIDMYSIQNPKTYEIHTLKELPDL VPQNGKYALLKIYIKDDKRPASTSLLRNGTVDISLIDSPGLNMDSLQTAEVMSRQEEI DLVIFVVNAENQLTLSAKEFISLASREKKLMFFVVKKFDKIRDKQRCKELILKQIRDL SPETYKRAADFVHFVSKNGDELPHYHNENDNEDHGDRKPDDDPYSSSDPDPDFDSLED SLRNFVLKKRSLSKLLPAKTYLSKLLSDIIMISKSNMKMYSEEEIKINEQLETLRPEI LSARAKCNDLTTSVDQMAEQTITMTYNNTKEALLNALDVPLHEYPKYQGLGQIYDFIF STEAFIANQIDESIGSSELFAKQKTDLLVKKIYEIGKNELGDDFMCERVFRSELMFRK RKHLIGKRLKVSLSITDLFAPTWKGFLSYLSWQKPVTAPLPDIEGQTNEGQIGLMKYL GLKNYPLTQYWSRPSLLFTSKIPTLTLYFLGSTKVVGNIILNGIKLSSWSSLKKLSVP VIVVGSLLGLTYLIHDLPRALPMNLSIKYKRKLQELDYIHLNAQRTSNEVRDVLRVPT REILRSCEIIMDKKQITKKELENKKESNLLSIKFFQSLYEGTVAQKLMVEEINLDID YBR180W MGSEPFQKKNLGLQINSQESGTTRSTFHSLEDLGDDVINESWDQ VNQKRANIDHDVFHEHPDSSPSLSAQKAKTKEEEVAVKSSNSQSRDPSPDTQAHIPYT YFSKDQRLIIFGIIIFIGFLGPMSGNIYIPALPLLQREYDVSATTINATVSVFMAVFS VGPLFWGALADFGGRKFLYMVSLSLMLIVNILLAAVPVNIAALFVLRIFQAFASSSVI SLGAGTVTDVVPPKHRGKAIAYFMMGPNMGPIIAPIVAGLILMKGNYWRWLFGFTSIM TGIALILVTALLPETLRCIVGNGDPKWGDKKDERENNESPFFEGNKISHRRLFPDIGI RKPVNNDAFFQENFPKPPKAGLTLYWKMIKCPPIIITSVSTALLFSSYYAFSVTFSYY LEHDYRFTMLEIGAAYVCPGVAMLLGSQSGGHLSDYLRSRWIKSHPKKKFPAEFRLLL NLIGILLTICGTIGYGWAIFFHYHFVVLLVFSALTAFGMTWCSNTSMTYLTELFPKRA AGTVAVSSFFRNVGAAISSAIILQLCNAMGIGWCFTGLGLCSSISLIGILYLLIFQRK YTAKEF YBR181C MKLNISYPVNGSQKTFEIDDEHRIRVFFDKRIGQEVDGEAVGDE FKGYVFKISGGNDKQGFPMKQGVLLPTRIKLLLTKNVSCYRPRRDGERKRKSVRGAIV GPDLAVLALVIVKKGEQELEGLTDTTVPKRLGPKRANNIRKFFGLSKEDDVRDFVIRR EVTKGEKTYTKAPKIQRLVTPQRLQRKRHQRALKVRNAQAQREAAAEYAQLLAKRLSE RKAEKAEIRKRRASSLKA YBR182C MGRRKIEIEPIKDDRNRTVTFIKRKAGLFKKAHELSVLCQVDIA VIILGSNNTFYEYSSVDMSNLLNVHQNNTDLPHNIIEPSDYGDYVKKPRVVLNERKRR RRRATVLQPASHSGSCTVSSQDSSSVQNNGNLSAPLASNDAGNAGVSTPLVHCHGAIS RSGSNHSDCARNSADYQMLQGGLNSGGSFHANDYKESVDQQHVANEAIHRNFMNKRIR PDTHLLLSESNHSNYHNFYPSPYENLPKPSLPASLVGNIPSFQSQFVQVIPANSNPMG KGFNGTGDSESFEAKQKIHPTVAISNTLEGPAPVQAMVHHLHQLNSNRGKLSGKPYLK LNIPKATNDACQRSPAMYSGTASPKTDVQATPNQMLASNMSSPLSRSKFLGFKNNDMD DLYHNGRCGSTYVNNKTFFLKPPIGRPPKFPKSPSSSIVVFPSSVASSTLKSTSSTNS PD YBR182C-A MKIFTLYTMIQQYFFDNGGVYSIKNFYSAVPKEKMNIILVSLDC ELQKLALKLSKKTSGTHTTH YBR183W MGIFRWNYPESSVPGVWGETTSTIDWCEENYVVSPYIAEWSNTL TNSVFILSAIYTTYSAYKNKLEKRFLLIGFGYGLVGVGSWLFHMTLKYRFQLLDELPM IYAMCIPTWSLVCEAKEALLNGDNHKKVPLFEQIFIGVIIGLAVTTASILYVIYKNVD IHQILFGVQIVVVAATAGSLTYRYVHDPLAKRNLKASMALGAILFLSGYISWLLDIHY CSFWVHVRRSILALPLGVLLEPHGWWHILTGMGIYFYIVSLEHLRVITLNVSCNYQFI WRWKVFPELIWKGRKPSTRYSLELFGPYVEDQSIEVKKEK YBR184W MYQNNVLNAILASEKSNFQYDSGTILRNHKRPIITFNNNIEHTV SEPNNFTGYEEKEDLDIMDICPYYPKARMLADAIQHAKTSASENKMELSMKTIPCLKK ENVHVEKGHDWSQLSTSRICKILEDIADKKNKTRRQSAPLQKTKYFPTNENQNTDIEN QNWSQIPNEDICALIEKIASRRNKNRKRKNLSCSKVQEIQGNIDLPKKDVQEGDISDS SLFAAVRGTKKVSGYDYNSEDKIPNAIRLPYCKQILRLFSLLQMKRNDLIVTSENCNS GVFFSNFNYQLQVKSNCIANISSTLSFLPHHEITVYTSFILYPNVVDNIWECTRYAIQ LLKSEAAQFTLLRDIYSGFTIILSNHRYHPKGFSADYCYSANELTLFLFVIRTGQKKV LYRSIPHNTAAIEKDSSFDTENRKRRSEEEVVLKCRKCSNNSLALKEISTYRLDSAEG FEKSQPLKDEAKLSDMNYVQGSISYNRTILTGLWKLFHRLCCKDRYRKTNLSETLFYD DSTERWVRMGELMHY YBR185C MSVLRSTCLFFPPRSLLISFNKRRLFSTSRLILNKESETTKKKD KSKQQDFNPRHLGVAAEIFIPSAYKNLPNVFAHPLIVANALIRRLYTFGLNSVQVALF RFQSGIKPSFLLWKNKAIETYINVNTSFAHKNLSDIKGLVSLWVQEALEARSRQLPGN ATLDWQLIKFNAVPKLVSVQPIMIPGMPLEHLQLVYKFDTKQRLIKVNQQTKKTETLD RDVVDYIAFLCDATTNDMILMGSLFESKPNDKLPKSYEDDAKVAIHRMKVNGDIYRLP PS YBR186W MSYIVDLQVRGSSLRVIKCMFREDEQISSLHSGSDSKQNSNKKL GEFLNLLKAVVKRKLESFPKDRLKTSIITGQELMREGQGSIEIKDPPTEAQQHLIRSL AKVLLHQFSSINGKVNTVNEGQDNLFLSLFVKKISIEQQSTSHVSIKLNFHEKINLGQ HIDSILDSEETNESDTYHMGSVDEFIIYPFCCLEEQDELKNGSILSTEFDKIDLELDE DDGFEGETLNNCINSVGNFDIPLSKQTLNLVNISYLPGTTFEGQWESLYFGNNIKERL YSYATISLKIARFKQTGDSNQEDITTLITNNKLLLVHGPPGTGKTTLCKALCQKLSVR REFSDGSDTIDTNYKGIIIELSCARIFSKWFGESSKNISIVFKDIEELLKVNEGRGIF ICLLIDEVEAIASSRTNLSSRNESTDGIRVVNTLLTQLDRLKKYHNFLALATSNLLDS LDDAFVDRADGVFYVGNPTAEGILHILKVCIEEMITSGIILFHARSTGVKFFNKYQDI LRKIAIKCSTVDISGRTIRKLPLMCLSEYFRTFPVDDDEFVLALAMSARKLSAARK YBR187W MGNMIKKASLIALLPLFTAAAAAATDAETSMESGSSSHLKSFLM SVSMIGLSEIGDKTFLIAALMAMRHKRVLVFSAAATSLAIMTILSGVVGHSAVAFLSE RYTAFFAGILFLVFGYKLTMEGLEMSKDAGVEEEMAEVEEEIAIKDMNQDMDDVEKGG DTAYDKQLKNASIGKKIVHRIRELASFMFSPVWVQIFLMVFLGELGDRSQISIIAMAT DSDYWYVIAGAVIGHAICSGLAVVGGKLLATRISIRTITLASSLLFFIFALMYIYQAF TTQD YBR188C MPSLRDLSLERDQELNQLRARINQLGKTGKEEANDFVGLNISNE PVYDTVIQTGQSSNATNSFVQETIQKTKQKESGQPYIIPQKNEHQRYIDKVCETSDLK AKLAPIMEVLEKKTNEKIKGIIRKRVLQEPDRDNDDSG YBR189W MPRAPRTYSKTYSTPKRPYESSRLDAELKLAGEFGLKNKREIYR ISFQLSKIRRAARDLLTRDEKDPKRLFEGNALIRRLVRVGVLSEDKKKLDYVLALKVE DFLERRLQTQVYKLGLAKSVHHARVLITQRHIAVGKQIVNIPSFMVRLDSEKHIDFAP TSPFGGARPGRVARRNAARKAEASGEAAEEAEDEE YBR191W MGKSHGYRSRTRYMFQRDFRKHGAVHLSTYLKVYKVGDIVDIKA NGSIQKGMPHKFYQGKTGVVYNVTKSSVGVIINKMVGNRYLEKRLNLRVEHIKHSKCR QEFLERVKANAAKRAEAKAQGVAVQLKRQPAQPRESRIVSTEGNVPQTLAPVPYETFI YBR192W MPKKSIEEWEEDAIESVPYLASDEKGSNYKEATQIPLNLKQSEI ENHPTVKPWVHFVAGGIGGMAGAVVTCPFDLVKTRLQSDIFLKAYKSQAVNISKGSTR PKSINYVIQAGTHFKETLGIIGNVYKQEGFRSLFKGLGPNLVGVIPARSINFFTYGTT KDMYAKAFNNGQETPMIHLMAAATAGWATATATNPIWLIKTRVQLDKAGKTSVRQYKN SWDCLKSVIRNEGFTGLYKGLSASYLGSVEGILQWLLYEQMKRLIKERSIEKFGYQAE GTKSTSEKVKEWCQRSGSAGLAKFVASIATYPHEVVRTRLRQTPKENGKRKYTGLVQS FKVIIKEEGLFSMYSGLTPHLMRTVPNSIIMFGTWEIVIRLLS YBR193C MSQSTASLVPEGNQGSLQEDVSFDFNGVPGQALDAVRMRLAQLT HSLRRIRDEMSKAELPQWYTLQSQLNVTLSQLVSVTSTLQHFQETLDSTVVYPLPKFP TTSHESLVTTLLRKKNIPEVDEWMKYVRETSGVTTALLKDEEIEKLLQQDREITNWAR TTFRNEYGKHDFKNEESLSEEHASLLVRDSKPSKPFNVDDVLKFTFTGEKPIITGSTS TSSSN YBR194W MDQKKDPSNNLTERRVSKVQRPNKKKVRNQVESLSRNLERNKEG QLLQTVSKGHLEADSGHSLGREKENGELGIRSIFYDKDWNPRGTAPSHYRNIPYNPAT FKRRTEVQARLGNLENIKIPK YBR195C MNQCAKDITHEASSIPIDLQERYSHWKKNTKLLYDYLNTNSTKW PSLTCQFFPDLDTTSDEHRILLSSFTSSQKPEDETIYISKISTLGHIKWSSLNNFDMD EMEFKPENSTRFPSKHLVNDISIFFPNGECNRARYLPQNPDIIAGASSDGAIYIFDRT KHGSTRIRQSKISHPFETKLFGSHGVIQDVEAMDTSSADINEATSLAWNLQQEALLLS SHSNGQVQVWDIKQYSHENPIIDLPLVSINSDGTAVNDVTWMPTHDSLFAACTEGNAV SLLDLRTKKEKLQSNREKHDGGVNSCRFNYKNSLILASADSNGRLNLWDIRNMNKSPI ATMEHGTSVSTLEWSPNFDTVLATAGQEDGLVKLWDTSCEETIFTHGGHMLGVNDISW DAHDPWLMCSVANDNSVHIWKPAGNLVGHS YBR196C MSNNSFTNFKLATELPAWSKLQKIYESQGKTLSVKQEFQKDAKR FEKLNKTFTNYDGSKILFDYSKNLVNDEIIAALIELAKEANVTGLRDAMFKGEHINST EDRAVYHVALRNRANKPMYVDGVNVAPEVDSVLKHMKEFSEQVRSGEWKGYTGKKITD VVNIGIGGSDLGPVMVTEALKHYAGVLDVHFVSNIDGTHIAETLKVVDPETTLFLIAS KTFTTAETITNANTAKNWFLSKTGNDPSHIAKHFAALSTNETEVAKFGIDTKNMFGFE SWVGGRYSVWSAIGLSVALYIGYDNFEAFLKGAEAVDNHFTQTPLEDNIPLLGGLLSV WYNNFFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKSVTRGNVFTDYSTGSILFGEP ATNAQHSFFQLVHQGTKLIPSDFILAAQSHNPIENKLHQKMLASNFFAQAEALMVGKD EEQVKAEGATGGLVPHKVFSGNRPTTSILAQKITPATLGALIAYYEHVTFTEGAIWNI NSFDQWGVELGKVLAKVIGKELDNSSTISTHDASTNGLINQFKEWM YBR196C-A MSRVYIYPLTVFYFFAIEMSVFCYYNWFYRRNFPYLFRPIFPFL IVLIS YBR196C-B MWVVLSKEKILLKKAYYAKTILFSALVLRGVRGE YBR197C MGVKQTPPVQVKVSDADSTNRRKSSSQEGNPQLVQLKAKSDKDK RKGSSDSTASIMGSSNALPTKNLTTPPALNPLTTSISRGNTAYERSVNGSRITMHSNL APTETQDVSWSEIDTLDDVKKMAKEPIVNDGFPRDFESNLTQMRKSHAQLLRLMRERN QRLKYAKLRSPPHKDQHNSATNKDQEPDEVLHDPEIALDGEKYVSQVVDTIKDVHRC YBR198C MSQKQSTNQNQNGTHQPQPVKNQRTNNAAGANSGQQPQQQSQGQ SQQQGRSNGPFSASDLNRIVLEYLNKKGYHRTEAMLRAESGRTLTPQNKQSPANTKTG KFPEQSSIPPNPGKTAKPISNPTNLSSKRDAEGGIVSSGRLEGLNAPENYIRAYSMLK NWVDSSLEIYKPELSYIMYPIFIYLFLNLVAKNPVYARRFFDRFSPDFKDFHGSEINR LFSVNSIDHIKENEVASAFQSHKYRITMSKTTLNLLLYFLNENESIGGSLIISVINQH LDPNIVESVTAREKLADGIKVLSDSENGNGKQNLEMNSVPVKLGPFPKDEEFVKEIET ELKIKDDQEKQLNQQTAGDNYSGANNRTLLQEYKAMNNEKFKDNTGDDDKDKIKDKIA KDEEKKESELKVDGEKKDSNLSSPARDILPLPPKTALDLKLEIQKVKESRDAIKLDNL QLALPSVCMYTFQNTNKDMSCLDFSDDCRIAAAGFQDSYIKIWSLDGSSLNNPNIALN NNDKDEDPTCKTLVGHSGTVYSTSFSPDNKYLLSGSEDKTVRLWSMDTHTALVSYKGH NHPVWDVSFSPLGHYFATASHDQTARLWSCDHIYPLRIFAGHLNDVDCVSFHPNGCYV FTGSSDKTCRMWDVSTGDSVRLFLGHTAPVISIAVCPDGRWLSTGSEDGIINVWDIGT GKRLKQMRGHGKNAIYSLSYSKEGNVLISGGADHTVRVWDLKKATTEPSAEPDEPFIG YLGDVTASINQDIKEYGRRRTVIPTSDLVASFYTKKTPVFKVKFSRSNLALAGGAFRP YBR199W MRFLSKRILKPVLSVIILISIAVTVVLYFLTANENYLQAVKDSA KSQYASLRESYKSITGKTESADELPDHDAEVLDSIMDRLHEPLYEKDTFDPNEVLAEN KQLYEEFLLQEISEPKVDNLVRSGDPLAGKAKGTILSLVRNSDLEDIISSIQQLEEEY NKNFGYPYTFLNDEEFTDEFKDGIKSILPKDRVVEFGTIGPDNWNMPDSIDRERYDQE MDKMSKENIQYAEVESYHNMCRFYSKEFYHHPLLSKYKYVWRLEPNVNFYCKINYDVF QFMNKNDKIYGFVLNLYDSPQTIETLWTSTMDFVEEHPNYLNVNGAFAWLKDNSQNPK NYDYTQGYSTCHFWTNFEIVDLDFLRSEPYEKYMQYLEEKGGFYYERWGDAPVRSLAL ALFADKSSIHWFRDIGYHHTPYTNCPTCPADSDRCNGNCVPGKFTPWSDLDNQNCQAT WIRHSMSEEELEMY YBR200W MLKNFKLSKRDSNGSKGRITSADISTPSHDNGSVIKHIKTVPVR YLSSSSTPVKSQRDSSPKNRHNSKDITSPEKVIKAKYSYQAQTSKELSFMEGEFFYVS GDEKDWYKASNPSTGKEGVVPKTYFEVFDRTKPSSVNGSNSSSRKVTNDSLNMGSLYA IVLYDFKAEKADELTTYVGENLFICAHHNCEWFIAKPIGRLGGPGLVPVGFVSIIDIA TGYATGNDVIEDIKSVNLPTVQEWKSNIARYKASNISLGSVEQQQQQSITKPQNKSAK LVDGELLVKASVESFGLEDEKYWFLVCCELSNGKTRQLKRYYQDFYDLQVQLLDAFPA EAGKLRDAGGQWSKRIMPYIPGPVPYVTNSITKKRKEDLNIYVADLVNLPDYISRSEM VHSLFVVLNNGFDREFERDENQNNIKTLQENDTATFATASQTSNFASTNQDNTLTGED LKLNKKLSDLSLSGSKQAPAQSTSGLKTTKIKFYYKDDIFALMLKGDTTYKELRSKIA PRIDTDNFKLQTKLFDGSGEEIKTDSQVSNIIQAKLKISVHDI YBR200W-A MLLCFHMCQRIMWLPFDLMKWRRFHCGAVLVGTLSLRNRSPKIL SLYFISDRTG YBR201W MDAVILNLLGDIPLVTRLWTIGCLVLSGLTSLRIVDPGKVVYSY DLVFKKGQYGRLLYSIFDYGAFNWISMINIFVSANHLSTLENSFNLRRKFCWIIFLLL VILVKMTSIEQPAASLGVLLHENLVYYELKKNGNQMNVRFFGAIDVSPSIFPIYMNAV MYFVYKRSWLEIAMNFMPGHVIYYMDDIIGKIYGIDLCKSPYDWFRNTETP YBR201C-A MLAMKSFSQVSKSYKASAPSKKLTTLFYVAYITLGLTTPFLLPA RMASKDTHYYKDEFCSQRSYTRF YBR202W MSAALPSIQLPVDYNNLFNEITDFLVTFKQDTLSSDATRNENED ENLDAENIEQHLLEKGPKYMAMLQKVANRELNSVIIDLDDILQYQNEKFLQGTQADDL VSAIQQNANHFTELFCRAIDNNMPLPTKEIDYKDDVLDVILNQRRLRNERMLSDRTNE IRSENLMDTTMDPPSSMNDALREVVEDETELFPPNLTRRYFLYFKPLSQNCARRYRKK AISSKPLSVRQIKGDFLGQLITVRGIITRVSDVKPAVEVIAYTCDQCGYEVFQEVNSR TFTPLSECTSEECSQNQTKGQLFMSTRASKFSAFQECKIQELSQQVPVGHIPRSLNIH VNGTLVRSLSPGDIVDVTGIFLPAPYTGFKALKAGLLTETYLEAQFVRQHKKKFASFS LTSDVEERVMELITSGDVYNRLAKSIAPEIYGNLDVKKALLLLLVGGVDKRVGDGMKI RGDINVCLMGDPGVAKSQLLKAICKISPRGVYTTGKGSSGVGLTAAVMKDPVTDEMIL EGGALVLADNGICCIDEFDKMDESDRTAIHEVMEQQTISISKAGINTTLNARTSILAA ANPLYGRYNPRLSPLDNINLPAALLSRFDILFLMLDIPSRDDDEKLAEHVTYVHMHNK QPDLDFTPVEPSKMREYIAYAKTKRPVMSEAVNDYVVQAYIRLRQDSKREMDSKFSFG QATPRTLLGIIRLSQALAKLRLADMVDIDDVEEALRLVRVSKESLYQETNKSKEDESP TTKIFTIIKKMLQETGKNTLSYENIVKTVRLRGFTMLQLSNCIQEYSYLNVWHLINEG NTLKFVDDGTMDTDQEDSLVSTPKLAPQTTASANVSAQDSDIDLQDA YBR203W MSSASRLQNVNIVSNNYSRYGTSVYDKLYHSNGSGSNNAGKNST TVGKLSSISQKSRSKQRHGSNCSRSMSQSPLSTFKSPLSNQNQSSAPDDLASIGQRRS DDVTSLDNETIITMNSRKSRIKKKYKSLISTSSKKFMNKLYDHGASSDSFSIFSLKTS HSGKHENSRFEKLRKRKYHAWGKFADINDLPVEIIAKILSEFELGRDQKTLVRCLYVS KKFYKATKIVLYRLPYFTSTYRVAQFVTSLRLHPDNGAYVKVLDLSHLKPGIIGQDSK DSQGLDDDGHSRRHRRRRRRSTNTSLNLPPATPTSTISNEDDANSGLIKDDASNGSEV EDLALAGWRDWRYRNEPLYSSPLLNSFKLKKVVSRSSSITSTSSGNSTGVHSTRRQRS NSSVASITTSIMSSIYNTSHVSLSSTTSNTSNGNISSGSNLSRVSTAGSLKKASAKST RSSPQKAKPISDITSSSWFRMRLSSRNRKARTANTINLKNSKDKSDDDFKVLKHDSGH PSNYRSSTLKFSIEQPFSTHHPYANKFLLKYAPYKDLPLGYILHMLNLCPNLVELNLS NLVICTDFKLINQRSERRRMTSSLLPAVQESSVSAGPEKDLEIVYMTDSGKGYEYYEG LSKKHSRSSSLGTNPSSWIGGQANWTDYPPPIDAQTKTREEHRRNNTLNNKNVVLKKL NPFEIFEMICNRNEEKGGYCSLTKVKMNDIVWCRQYMVKYFVMRTFRQHLDYKSMENN SYERHLFSFRDSGLDRNFSWACNAKLHEFVALMVMDHLSNLDDLGLEELFNIKSEKLY IKNYCCRDPDILEISNLFDIRYGAGSEADATSDSNLEAESLQFRLTILKTEKPTSFWL TKVSKDYVSLVVKLCVDDDIDMDKMKVGKPTLRIDSITHNLISRLKELRRVDLRRNVG ENNYYAESII YBR204C MNMAERAEATKSWSCEPLSGKTLEEIVQNAENAADLVAYIRKPE VDLDFRLKFIAEHEEFFNVQLSDRNSRIRTCHNLSDKGIRGDTVFVFVPGLAGNLEQF EPLLELVDSDQKAFLTLDLPGFGHSSEWSDYPMLKVVELIFVLVCDVLRKWSTAVPNN DNVNPFNGHKIVLVGHSMGCFLACHLYEQHMADTKAVQTLVLLTPPKAHIEQLSKDKH IIQWALYGVFKLPWLFDVYRNKFDQVKGLQSSGIKQYFYQQGDDVKLKYRKFWQFKNN ISNKSRTIIGYLLGWETVDWVKFNGVLTQTDMKQKIIIFGAEKDPIAPIENLEFYKQT INKECLRKVIILPDCSHNLCFDRPELVCENFQREVIDNSKL YBR205W MSVHHKKKLMPKSALLIRKYQKGIRSSFIGLIIVLSFLFFMSGS RSPEVPIAQGTSVSRVASKDYLMPFTDKSQGVIHPVDDGKKEKGVMVTLARNSDLWNL VKSIRHVEDRFNNRYHYDWVFLNDQPFSDEFKRVTSALVSGKAKYGTIPKDHWSIPSW IDTEKFDEKRLAMGKLDIPYGSSVPYRHMCRFQSGFIWRHPLLEEYEWFWRVDTDITL FCDIQYDIFKFLKVNNKKYGFILSVSEYERTIPTLWETTKKFIKKNPKFLHKNNLMKF ISNDDGDTYNMCHFWTNFEIGSLDFFRSDAYREYFDYLDSSGGFFYERWGDAPVHSIA ASLFLDKSEIHFFDGLGFHHPDFTSCPIEQKIRLQNKCICEPSKDVTWTPDYFCTRKY FSAGNYKLPPGI YBR207W MAFEDYFSFQIFFIFLRESLEIVVIVSILLTIVKQGLSVEDDSP FEGSSSSAGLPSPNTNTNADSTTAFLQAGPSDGNAIGTSATAANNKSRPLNVEEEEEI YEYSNELRDQDRESDEHTADNVKLYQKLKIQILAGGAFGLLLCMLIGGAFVSIFYHIG TDLWTLSEHYYEGVLSLVASVIISVMGLFFLRMGKLREKFRVKLASIIYSKDNNLLGN KTQKGVKFSEKYSFFILPFITTLREGLEAVVFIGGIGIDQPLSSIPLSMVLATAISTV FGIFFFRYSSSLSLKICLVVATCFLYLIAAGLFSKGVWQLELQDYVNKCNGQDMSEVG NGPGSYDISRSVWHVNCCNGEKDGGWMIFTAIFGWTNSATVGSVISYNAYWLVLICAL KLLMIEEKYGYIPYLPISWQKKRIMKRLSIAKASLDLKHHTSELNSSTSEPDSQRRSK DSSVPLIIDSSGSAN YBR208C MTVSSDTTAEISLGWSIQDWIDFHKSSSSQASLRLLESLLDSQN VAPVDNAWISLISKENLLHQFQILKSRENKETLPLYGVPIAVKDNIDVRGLPTTAACP SFAYEPSKDSKVVELLRNAGAIIVGKTNLDQFATGLVGTRSPYGKTPCAFSKEHVSGG SSAGSASVVARGIVPIALGTDTAGSGRVPAALNNLIGLKPTKGVFSCQGVVPACKSLD CVSIFALNLSDAERCFRIMCQPDPDNDEYSRPYVSNPLKKFSSNVTIAIPKNIPWYGE TKNPVLFSNAVENLSRTGANVIEIDFEPLLELARCLYEGTWVAERYQAIQSFLDSKPP KESLDPTVISIIEGAKKYSAVDCFSFEYKRQGILQKVRRLLESVDVLCVPTCPLNPTM QQVADEPVLVNSRQGTWTNFVNLADLAALAVPAGFRDDGLPNGITLIGKKFTDYALLE LANRYFQNIFPNGSRTYGTFTSSSVKPANDQLVGPDYDPSTSIKLAVVGAHLKGLPLH WQLEKVNATYLCTTKTSKAYQLFALPKNGPVLKPGLRRVQDSNGSQIELEVYSVPKEL FGAFISMVPEPLGIGSVELESGEWIKSFICEESGYKAKGTVDITKYGGFRAYFEMLKK KESQKKKLFDTVLIANRGEIAVRIIKTLKKLGIRSVAVYSDPDKYSQHVTDADVSVPL HGTTAAQTYLDMNKIIDAAKQTNAQAIIPGYGFLSENADFSDACTSAGITFVGPSGDI IRGLGLKHSARQIAQKAGVPLVPGSLLITSVEEAKKVAAELEYPVMVKSTAGGGGIGL QKVDSEEDIEHIFETVKHQGETFFGDAGVFLERFIENARHVEVQLMGDGFGKAIALGE RDCSLQRRNQKVIEETPAPNLPEKTRLALRKAAESLGSLLNYKCAGTVEFIYDEKKDE FYFLEVNTRLQVEHPITEMVTGLDLVEWMIRIAANDAPDFDSTKVEVNGVSMEARLYA ENPLKNFRPSPGLLVDVKFPDWARVDTWVKKGTNISPEYDPTLAKIIVHGKDRDDAIS KLNQALEETKVYGCITNIDYLKSIITSDFFAKAKVSTNILNSYQYEPTAIEITLPGAH TSIQDYPGRVGYWRIGVPPSGPMDAYSFRLANRIVGNDYRTPAIEVTLTGPSIVFHCE TVIAITGGTALCTLDGQEIPQHKPVEVKRGSTLSIGKLTSGCRAYLGIRGGIDVPKYL GSYSTFTLGNVGGYNGRVLKLGDVLFLPSNEENKSVECLPQNIPQSLIPQISETKEWR IGVTCGPHGSPDFFKPESIEEFFSEKWKVHYNSNRFGVRLIGPKPKWARSNGGEGGMH PSNTHDYVYSLGAINFTGDEPVIITCDGPSLGGFVCQAVVPEAELWKVGQVKPGDSIQ FVPLSYESSRSLKESQDVAIKSLDGTKLRRLDSVSILPSFETPILAQMEKVNELSPKV VYRQAGDRYVLVEYGDNEMNFNISYRIECLISLVKKNKTIGIVEMSQGVRSVLIEFDG YKVTQKELLKVLVAYETEIQFDENWKITSNIIRLPMAFEDSKTLACVQRYQETIRSSA PWLPNNVDFIANVNGISRNEVYDMLYSARFMVLGLGDVFLGSPCAVPLDPRHRFLGSK YNPSRTYTERGAVGIGGMYMCIYAANSPGGYQLVGRTIPIWDKLCLAASSEVPWLMNP FDQVEFYPVSEEDLDKMTEDCDNGVYKVNIEKSVFDHQEYLRWINANKDSITAFQEGQ LGERAEEFAKLIQNANSELKESVTVKPDEEEDFPEGAEIVYSEYSGRFWKSIASVGDV IEAGQGLLIIEAMKAEMIISAPKSGKIIKICHGNGDMVDSGDIVAVIETLA YBR209W MNAYWFHYRASIKKEAPNYKRTFLGRARNAFLLILSEAYLLFVF LSYLIRGKSLEKRVNDEAKCSQRCVPLQLANNLAFGDRHKRSANFKKGIANTHSSLIC SKP YBR210W MSGTGLSLFVTGLILNCLNSICQIYFTILYGDLEADYINSIELC KRVNRLSVPEAILQAFISALFLFNGYWFVFLLNVPVLAYNASKVYKKTHLLDATDIFR KLGRCKIECFLKLGFYLLIFFFYFYRMVTALLENDANLIS YBR211C MDRDTKLAFRLRGSHSRRTDDIDDDVIVFKTPNAVYREENSPIQ SPVQPILSSPKLANSFEFPITTNNVNAQDRHEHGYQPLDAEDYPMIDSENKSLISESP QNVRNDEDLTTRYNFDDIPIRQLSSSITSVTTIDVLSSLFINLFENDLIPQALKDFNK SDDDQFRKLLYKLDLRLFQTISDQMTRDLKDILDINVSNNELCYQLKQVLARKEDLNQ QIISVRNEIQELKAGKDWHDLQNEQAKLNDKVKLNKRLNDLTSTLLGKYEGDRKIMSQ DSEDDSIRDDSNILDIAHFVDLMDPYNGLLKKINKINENLSNELQPSL YBR212W MMSNVANASQRQENPYIIPLPPSSTVETSTEPPRTLWMGDLDPS FDEATIEEIWSKLDKKVIVKLIRAKKNLLIPCSSTSSSNNNTSEENAENQQSASNSTD QLDNSQMININGISFIDPSTTQLHHAGYCFVEFETQKDAKFALSLNATPLPNFYSPTT NSQTNPTFKRTFRLNWASGATLQSSIPSTPEFSLFVGDLSPTATEADLLSLFQTRFKS VKTVRVMTDPLTGSSRCFGFVRFGDEDERRRALIEMSGKWFQGRALRVAYATPRNNMM LQLQEQQQQQQQLQQQHQQLDQEDNNGPLLIKTANNLIQNNSNMLPLNALHNAPPMHL NEGGISNMRVNDSLPSNTYNTDPTNTTVFVGGLVPKTTEFQLRSLFKPFGPILNVRIP NGKNCGFVKFEKRIDAEASIQGLQGFIVGGSPIRLSWGRPSSSNAKTNSTIMGASQYM SSNGLRAPSAASSVDNSKQILEQYAEDKRRLFLHQQQQQQQQQQQDGNFSMEQMAHNN YYNYNNYDYHRNKNGSHSDLVNLQRSNVPYMQEDGALYPHQYSSPSYSLHPTGNQFSN ATNNLPQFGNAMSISMQLPNGNSNKTASSMNTNPNTNMIMNSNMNMNMNVNPVPYGMG NGANMYDVSRMMTPPLNIAPNSNNSKSSIMNKHPNRNNVPPIHPSLLH YBR213W MVKSLQLAHQLKDKKILLIGGGEVGLTRLYKLIPTGCKLTLVSP DLHKSIIPKFGKFIQNEDQPDYREDAKRFINPNWDPTKNEIYEYIRSDFKDEYLDLED ENDAWYIIMTCIPDHPESARIYHLCKERFGKQQLVNVADKPDLCDFYFGANLEIGDRL QILISTNGLSPRFGALVRDEIRNLFTQMGDLALEDAVVKLGELRRGIRLLAPDDKDVK YRMDWARRCTDLFGIQHCHNIDVKRLLDLFKVMFQEQNCSLQFPPRERLLSEYCSS YBR214W MASTSNTFPPSQSNSSNNLPTSRHASIVEMLSTPPLLPHVQVND TDDKEQPEESTPPTATAAAPGPGCAATPAPLRDEKPQFKLSAVPMTQTPSQCLSCVHA QKWQHIPLSQLIEQNKLIFVPGSISVEEAFNTLIKYHLNSIPVESFPGDMNCFTFDYN DLNSYLLLVLNKITVSNKQLTADCQNGKPVPVGEMVKLTPKNPFYKLPENESLSTVMG ILGSGVHRVAITNEEMTKVKGILSQRRLIKYLWDNARSFTSLEPLLNSSLQDLHIGVL NIQSKPTSRQSRVISIQGEEPLIMGLYKMHVERISSIAVIDKQGNLLGNISVTDVKHV TRTSQYPLLHKTCRHFISVILNSRGLETGKDSFPIFHVYPSSSLARTLAKLVATKSHR LWIVQPPESSTSASSTNLTAANTAANAVSATAQSSANGATPMSKSSSSTSLNSHSPLM TAMEDPPSPRSSAIAIPPPSPASSTNTPNLFEKEYRTGKLIGVVSLTDIINLLARKQT GNKEVDPQSARRQRGSIAM YBR215W MDQKAIVLDNSKSGSKQTKSSGKMQTQTDTNAEVLNTDNSIKKE TGSDSEDLFNKFSNKKTNRKIPNIAEELAKNRNYVKGASPSPIIISGSSSTSPSGPSS SSTNPMGIPTNRFNKNTVELYQHSPSPVMTTNKTDTEEKRQNNRNMDNKNTPERGSSS FAAKQLKISSLLTISSNEDSKTLHINDTNGNKNSNAASNNIPSAYAELHTEGNSIESL IKPPSSPRNKSLTPKVILPTQNMDGTIAKDPHLGDNTPGILIAKTSSPVNLDVESTAQ SLGKFNKSTNSLKAALTKAPAEKVSLKRSISSVTNSDSNISSSKKPTSEKAKKSSSAS AILPKPTTTKTSKKAASNSSDSTRKKNASNKTTSAIKKESNAGSKLNTVKKENSSLSS IKATEKEKDKGGNSTEAKNSTSNVRKEPTAKSPKRLVAAPTVSPPKILQTAETKAKEP SILIDVPLYQADTNDYLDENGQVIFNLSTLIKEKYHPKSKELAQLKDSKRNLLMQLSD HSNGSLEKEKDEEGDVIELDDDEDMEEDEGEIDTETNTVTTTISPKKKSHPMKGKNLI GKYDVEDPFIDDSELLWEEQRAATKDGFFVYFGPLIEKGHYASLERANGTMKRGGVKN K YBR216C MEPIDDILFEVTDAFKTQKEDLLELVTLIDIYGEQVNQEGSYEE KTRFIETLNTLLEDNPSTTGEIGWDLPKGLLKFLSKDNVDVNGRLGTNMIVQGVMKCF YAISIQGEPKKCLITGLELLSSLCSKDFSKSDQQNKEDFVDKKANTLPPEGVIENSSN RKDFPSYGESKSSNEFFLKLKSYILFEFIGASLKRISTLFPSKYLGAAVSTIEKFVYS HADTFEDALFLLRRVYTFCRNYIPPDPPKDIQLNEDFTREMFDKVVEEESELQVRLLR RLCTFGISTPIKTVTTNADVKYYCALNQQKFELSAYYTEYLELFCRYYQMAFSLDVDI EGEFQNVIKECRIIYKSVPQEISAVNDEAKLVLERMVYKLAYTFEVQKAAKEKNVGLD YNGVILFSGIHYLETNQHLVKEMNITDAIYLYLRFTTPSLYSKVYYNVAVESVSRYWL WYAITTEPLEDVKKELKNLSVFVTKTLLHVLLQKNCIQVNQQLRMITFTLLTRLLCLI PEKVAFEFILDVLKTSPLPLAKTSVLCVFKDLSRRRISTKDNDSETDLIVEKLSKLKV NDSNKAQQSNIRHYIQLDSSKMKAVHDCCLQTIQDSFTADAKKSDILLLLTYLNIFIV LKKTWDEDLLKIVCSKIDSNLKSVEPDKLPKYKEIVDKNESLNDYFTGIK YBR217W MSRILESENETESDESSIISTNNGTAMERSRNNQELRSSPHTVQ NRLELFSRRLSQLGLASDISVDQQVEDSSSGTYEQEETIKTNAQTSKQKSHKDEKNIQ KIQIKFQPIGSIGQLKPSVCKISMSQSFAMVILFLKRRLKMDHVYCYINNSFAPSPQQ NIGELWMQFKTNDELIVSYCASVAFG YBR218C MSSSKKLAGLRDNFSLLGEKNKILVANRGEIPIRIFRSAHELSM RTIAIYSHEDRLSMHRLKADEAYVIGEEGQYTPVGAYLAMDEIIEIAKKHKVDFIHPG YGFLSENSEFADKVVKAGITWIGPPAEVIDSVGDKVSARHLAARANVPTVPGTPGPIE TVQEALDFVNEYGYPVIIKAAFGGGGRGMRVVREGDDVADAFQRATSEARTAFGNGTC FVERFLDKPKHIEVQLLADNHGNVVHLFERDCSVQRRHQKVVEVAPAKTLPREVRDAI LTDAVKLAKVCGYRNAGTAEFLVDNQNRHYFIEINPRIQVEHTITEEITGIDIVSAQI QIAAGATLTQLGLLQDKITTRGFSIQCRITTEDPSKNFQPDTGRLEVYRSAGGNGVRL DGGNAYAGATISPHYDSMLVKCSCSGSTYEIVRRKMIRALIEFRIRGVKTNIPFLLTL LTNPVFIEGTYWTTFIDDTPQLFQMVSSQNRAQKLLHYLADLAVNGSSIKGQIGLPKL KSNPSVPHLHDAQGNVINVTKSAPPSGWRQVLLEKGPSEFAKQVRQFNGTLLMDTTWR DAHQSLLATRVRTHDLATIAPTTAHALAGAFALECWGGATFDVAMRFLHEDPWERLRK LRSLVPNIPFQMLLRGANGVAYSSLPDNAIDHFVKQAKDNGVDIFRVFDALNDLEQLK VGVNAVKKAGGVVEATVCYSGDMLQPGKKYNLDYYLEVVEKIVQMGTHILGIKDMAGT MKPAAAKLLIGSLRTRYPDLPIHVHSHDSAGTAVASMTACALAGADVVDVAINSMSGL TSQPSINALLASLEGNIDTGINVEHVRELDAYWAEMRLLYSCFEADLKGPDPEVYQHE IPGGQLTNLLFQAQQLGLGEQWAETKRAYREANYLLGDIVKVTPTSKVVGDLAQFMVS NKLTSDDIRRLANSLDFPDSVMDFFEGLIGQPYGGFPEPLRSDVLRNKRRKLTCRPGL ELEPFDLEKIREDLQNRFGDIDECDVASYNMYPRVYEDFQKIRETYGDLSVLPTKNFL APAEPDEEIEVTIEQGKTLIIKLQAVGDLNKKTGQREVYFELNGELRKIRVADKSQNI QSVAKPKADVHDTHQIGAPMAGVIIEVKVHKGSLVKKGESIAVLSAMKMEMVVSSPAD GQVKDVFIKDGESVDASDLLVVLEEETLPPSQKK YBR219C MTLLNTLSNFGGTWPRLIIMSMINYFTVYQCTIPGTNKVYVTHG GSMQACTELLNGTVTILRDGYYITNLICIVVGLFLYFGYLKRKILHLQSLPISSWRFF HFFFTILAVTSRAIYYKSQNWRREC YBR220C MEPKRKSGSLAKHDLPQFYLLIMLYLAQGIPVGLAFGTVPFLLK SLAKETSFTSLGIFSMATYPYSLKIIWSPIVDSLYNKRIGRRRSWIIPVQFVSGFVLW ALGWCISQGIIFDGVDDAFHNRGNGTLHSVSIKNLTWWFGLLVFLCATQDIAVDGWAL TILSKESLSYASTAQTIGLNIGYFMSFTIFLSLNSSDFANKYFRNIPLDHGFISLGGY MKFSGMLYIVITIYIIFCTKEKPYVEYLPKVEPINTSDGGSKPISIEYDDGDVVSTQN TSSIKYIYRCFIKVLKLKSVRSLAFIHMISKFAFQCNEAATNLKLLEQGFKREDLAVT VLIDLPFEIIFGYYVVKWSSDKDPMIRDNRRLRNSTGTNKVIKFLVGDAGVLTPWLWG FLGRLAAAVLGSYVVKQFPKDGEISTGYFCLVIFQHLLGSFMNTVQFIGISAFHTRVA DPVLGGTYMTLLNTLSNFGGTWPRLIIMSMINYFTVYQCTIPGTNKVYVTHGGSMQAC TELLNGTVTILRDGYYITNLICIVVGLFLYFGYLKRKILHLQSLPISSWRCT YBR221C MFSRLPTSLARNVARRAPTSFVRPSAAAAALRFSSTKTMTVREA LNSAMAEELDRDDDVFLIGEEVAQYNGAYKVSKGLLDRFGERRVVDTPITEYGFTGLA VGAALKGLKPIVEFMSFNFSMQAIDHVVNSAAKTHYMSGGTQKCQMVFRGPNGAAVGV GAQHSQDFSPWYGSIPGLKVLVPYSAEDARGLLKAAIRDPNPVVFLENELLYGESFEI SEEALSPEFTLPYKAKIEREGTDISIVTYTRNVQFSLEAAEILQKKYGVSAEVINLRS IRPLDTEAIIKTVKKTNHLITVESTFPSFGVGAEIVAQVMESEAFDYLDAPIQRVTGA DVPTPYAKELEDFAFPDTPTIVKAVKEVLSIE YBR221W-A MFSHFEVSENRPRKQPRRKRISLGMINTVVSLDR YBR222C MTSAATVTASFNDTFSVSDNVAVIVPETDTQVTYRDLSHMVGHF QTMFTNPNSPLYGAVFRQDTVAISMRNGLEFIVAFLGATMDAKIGAPLNPNYKEKEFN FYLNDLKSKAICVPKGTTKLQSSEILKSASTFGCFIVELAFDATRFRVEYDIYSPEDN YKRVIYRSLNNAKFVNTNPVKFPGFARSSDVALILHTSGTTSTPKTVPLLHLNIVRST LNIANTYKLTPLDRSYVVMPLFHVHGLIGVLLSTFRTQGSVVVPDGFHPKLFWDQFVK YNCNWFSCVPTISMIMLNMPKPNPFPHIRFIRSCSSALAPATFHKLEKEFNAPVLEAY AMTEASHQMTSNNLPPGKRKPGTVGQPQGVTVVILDDNDNVLPPGKVGEVSIRGENVT LGYANNPKANKENFTKRENYFRTGDQGYFDPEGFLVLTGRIKELINRGGEKISPIELD GIMLSHPKIDEAVAFGVPDDMYGQVVQAAIVLKKGEKMTYEELVNFLKKHLASFKIPT KVYFVDKLPKTATGKIQRRVIAETFAKSSRNKSKL YBR223C MSRETNFNGTKRKRSDVAEKVAQRWKSVRYSAEMENMAPVNSNN DSDDCVIVSESKIIDLTNQEQDLSERIETNDTAKGAVFKLMKSDFYEREDFMGEVEDM ITLKDIFGTETLKRSILFSFQYELDFLLRQFHQNVENITIVGQKGTIMPIEARAMDAT LAVILKKVKLIEITMPPFASHHTKLIINFYDNGECKIFLPSNNFTSMETNLPQQVCWC SPLLKIGKEGLPVPFKRSLIEYLNSYHLKDIDELITKSVEEVNFAPLSELEFVYSTPS KFQSSGLLSFYNKLEKLSAGTSASDTAKHYLCQTSSIGTSLSRARDENLWTHLMIPLF TGIMSPPAKDTAGRKKAEILPTNSLINEYSQRKIKPYIIFPTEQEFVTSPLKWSSSGW FHFQYLQKKSYYEMLRNKFKVFYKQDPAMVTRRRGTTPAHSKFYMHCATNSAGPCDAS QVFKELEWCLYTSANLSQTAWGTVSRKPRNYEAGVLYHSRRLANTRKVTCRTFTRDRR GCAGNPTHVAVPFTLPVIPYDLAEDECFCLARHEND YBR225W MGSNKEAKNIDSKNDRGLTSITSNKISNLKAHDNHTSSMITEHK NADKEKGKQEKESRNGTTQSSSSVESHSPQVSHHSDKLSSFDSPLHLPNFRLADDLFS NSSRRSSDSAASSSVSKLKSAQLSKIGLHHHHTSNNKHSHRSGTPTSEVKANYSPDPS APRFIVSNMVGNGRGGGGLHGATSNVVKKLHSRKKWDWNTLPASDSSLLIKTVSGNHN LINICIDGEFKQIMYDPNHNELFNRMDLFLSFNMDSSPEDSLIFAKKRLRSYIDFLTK YLESRKYAFECYPFNIENIINIETEVKCFPSFDPLKDYSEIESLIQLWLAQSQKFLLQ SNSFFFSSEVVEELIKRKPTTRQHSNPTISTTSNKISDPTLYIQQLDIEANSPRPVIS DPLDEIDILLIRPLHKTLGGWQLAYDEPSLNIADFALDLSPWMIDSSDNDAQNKNASE IAPEYLTNLQNYLPRKGSRAKIVSDEQEVIELNSSNASEYMYDCMNRKFFTDDAKERI SRNNFNQGVEEDPLNDQFASSRSLSLPSSGADAVKRKKSPTKATKKSGFVNFFKRKHS QLASTSHTTSPSVSPSISSSSSPKIQPQSHISSPPRTEKAPHVKSANQAHQNEWLENF FCRTLNNYKEIDLPTQFILPKEVKRSSNAQLQPEDEPPLSSPISSNSDNSFPNEGLDR AKSAAIYGKEYLKLRLPFASDTIPAVICPWVWTSLSYYKWKALLREIYRSIIPGGYAL AIVPDLRISNTYYTGILGNADAEKANNSSEEFLTTKERDKTFDAMAIDAINKGLHIHP TKHLTRTFKDVGFTGIKSSVLSLKTGDFKTDMGFLNEFNSLDMWDYMLRRQLPDSSCP PKDTDPTTLFKRYVEEHIGKIDDNAGCFRTLYVVAQKPKLPYTK YBR227C MLKSASQNFFRAYSSRIGRYAATASGKLAQSRLSNIPTPKALKK FLDEYIVGQEIGKKVLSVAVYNHYLRINDKQKKGELQRQRELMEREKIADDRDEPIFS GNSESKAGWRNLQRQFNLAGREVDEDLELSKSNVLVVGPSGSGKTLLATTLAKILNVP IAITDCTQLTQAGYIGEDVEVCIERLLVNAEFDVARAEKGIIVLDEIDKLAKPAASIG TKDVSGEGVQQSLLKIIEGHKVEITVKRPVKHDIDGQKNQTTTKKDEVFVVDTSNILF MIMGAFVGLDKHIVKRIEDMKKIQKAGESVESSNSKEVEKERAKKFRFSNTLEQVELD NGKKVCALDLTTPTDLVSFGLIPELIGRVPIITALQPLQRDDLFHILKEPKNALLDQY EYIFKQFGVRLCVTQKALKKVAQFALKEGTGARGLRGIMERLLLNVNYDCPGSNIAYV LIDEATVDSLQETEHSLASQVDVKYYSGDEKDSLIRDVSEEDKKLGVMLEKELGHSAN IHTPTIPKRSLT YBR228W MSQKIQQHQFPDFYCCYLLQSINKRQSFYVGSTPNPVRRLRQHN GKLAVGGAYRTKRDGSRPWEMIMIVRGFPSKIAALQFEHAWQHGYQTHYIAEKDRVVK HKAGGRTLHHKVALMKLLLKHEFFQRMNLIVEVFNIKAWEVWKQDKFFIERDRFPINI QINENALEEPKEKTVDVLMDHSDENLKVVEAVYTKVIENERNIFETFEKKLTTGVVRC EICEKEIDYTSEEQNLKPFVALCNNKDCGCVNHLKCLHRYFLDDEQLMVGRRNLIPRG GKCPKCDMFCDWTTLVKFSTRMKLAHGK YBR229C MVLLKWLVCQLVFFTAFSHAFTDYLLKKCAQSGFCHRNRVYAEN IAKSHHCYYKVDAESIAHDPLENVLHATIIKTIPRLEGDDIAVQFPFSLSFLQDHSVR FTINEKERMPTNSSGLLISSQRFNETWKYAFDKKFQEEANRTSIPQFHFLKQKQTVNS FWSKISSFLSLSNSTADTFHLRNGDVSVEIFAEPFQLKVYWQNALKLIVNEQNFLNIE HHRTKQENFAHVLPEETTFNMFKDNFLYSKHDSMPLGPESVALDFSFMGSTNVYGIPE HATSLRLMDTSGGKEPYRLFNVDVFEYNIGTSQPMYGSIPFMFSSSSTSIFWVNAADT WVDIKYDTSKNKTMTHWISENGVIDVVMSLGPDIPTIIDKFTDLTGRPFLPPISSIGY HQCRWNYNDEMDVLTVDSQMDAHMIPYDFIWLDLEYTNDKKYFTWKQHSFPNPKRLLS KLKKLGRNLVVLIDPHLKKDYEISDRVINENVAVKDHNGNDYVGHCWPGNSIWIDTIS KYGQKIWKSFFERFMDLPADLTNLFIWNDMNEPSIFDGPETTAPKDLIHDNYIEERSV HNIYGLSVHEATYDAIKSIYSPSDKRPFLLTRAFFAGSQRTAATWTGDNVANWDYLKI SIPMVLSNNIAGMPFIGADIAGFAEDPTPELIARWYQAGLWYPFFRAHAHIDTKRREP YLFNEPLKSIVRDIIQLRYFLLPTLYTMFHKSSVTGFPIMNPMFIEHPEFAELYHIDN QFYWSNSGLLVKPVTEPGQSETEMVFPPGIFYEFASLHSFINNGTDLIEKNISAPLDK IPLFIEGGHIITMKDKYRRSSMLMKNDPYVIVIAPDTEGRAVGDLYVDDGETFGYQRG EYVETQFIFENNTLKNVRSHIPENLTGIHHNTLRNTNIEKIIIAKNNLQHNITLKDSI KVKKNGEESSLPTRSSYENDNKITILNLSLDITEDWEVIF YBR230C MSATAKHDSNASPNSDSEDGHHHNNKKECAIEYLKARLNSASAV ACGYLQAFVSKTQDFAKVCFLELQNPVVLVNLLLHSSVVCYLCNGYANHNARFLKGKP NSTVLATTAGALGLLTLDGIISKKYYSRYDKK YBR230W-A MRNELYQLWCVASAARGVAKSSFVRANSAMCEYVRTSNVLSRWT RDRQWEAAKALSQRVKKEYAAN YBR231C MPEVETKIIPNEKEDEDEDGYIEEEDEDFQPEKDKLGGGSDDSD ASDGGDDYDDGVNRDKGRNKVDYSRIESESGGLIKTRRARQAEEEYAKTHKYESLTVE SIPAKVNSIWEELQEASKNRLLSSSGKVGSVLDGSKEARSTTAAQQEDKILIERNYKF AGETVHEKKWVSRSSAEGQEYLNSLKFKQQAPAAPVQLEKAVRTKSNESRQHLRRPLK RPPLLEQIISGGLRPKLTTLEKSQLDWASYVDRAGLNDELVLHNKDGFLARQEFLQRV GSAEDERYKELRRQQLAQQLQQDSEAS YBR233W MSTETTKPSITTTPTTVLVSPNTLKRKKGEDTSEEQLEAEIKRV ALKDADSHSDNDHDSPDNVPSDVHLRMLCLVKHASLIVGHKGATISRIKSETSARINI SNNIRGVPERIVYVRGTCDDVAKAYGMIVRALLEEHGNEDNGEDIEISINLLIPHHLM GCIIGKRGSRLREIEDLSAAKLFASPNQLLLSNDRILTINGVPDAIHIATFYISQTLL NFQMESPQKNVKRSIYYQPTQFNSVLIDHSQPNTIFHQRNHQYHPSDKLLSYKPNKNL PISSTLLSMATPQYTTASVANATAFQPNFVIPNVTVLDGPVISPAPGNHLLMNFVQQE IFIDEKFVGNVIGKDGKHINSVKESTGCSIIIQDPVEGSSERRLTIRGTFMASQAAIM LISNKIEIDRSNAERKRRSPL YBR233W-A MEHNLSPLQQEVLDKYKQLSLDLKALDETIKELNYSQHRQQHSQ QETVSPDEILQEMRDIEVKIGLVGTLLKGSVYSLILQRKQEQESLGSNSK YBR234C MSFSNSKDKSVVAVYKLVKAPIYSHCFSQDKSILAVTCETDCLV YRVSNNTPPVLFATLKDHDKTITAVDISIHGRIVTCSQDRNAYVWEPLSDGTYKPTLV LLRINRAATSVTWAPNGYKFAVGSSARIIAVCYYEHENNWWVSKHIKKPIKSTINCLS WHANGVLLAAGGTDGFMRVFSGFIKGLDSKESVAGSPWGQKFPFGCLIREWYQGSYIH DVEWRSQMERIAYVAHDGTLNVVDYQSPVQSVNAPEGLPYRSLVWINDHEIVCGGYSC HPVLFSEASEGWKFAKNLDKSDNNKSSALTASGNTDELSGNNDESSTFGISALRKFKE LDLKGKVSTDVQESAHENAIVELRPFAESNGQITQVSSCGLDGKIVIYTI YBR235W MVSRFYQIPGTHRPSSAISSSNESSSLLSARRISQTYFNYQATP ECQKVSSKYDPDNPNKDKLGTYDGVFVPTALNVLSILMFLRFGFILGQLGIICTIGLL LLSYTINLLTTLSISAISTNGTVRGGGAYYMISRSLGPEFGGSIGLVFFLGQVFNAGM NAVGIIEPLLYNLGYSAQGEPPAALGELLPRGHWHEFTYATVILFLCFSVAFVGSQTV SRAGNILFLVLAASIFSIPLSALIRSPFTEGGISYTGPSWQTFHDNLLPHLTKGAAGS LLKGKETFNDLFGVFFPATAGIFAGAGMSSELRKPSKSIPKGTLWGLLFTFICYAVVV FSMGCSIPRRSLYDEVQIIQTISSVQWVIFMGEMATSLFSIIVGMLGAAYVLEAIAKD NIIPGLEIFAHSPLYSLIFTWILTQLCLFSDVNKIATFITMTFLMTFVVMNLACFLLG ISSAPNFRPSFKYFNRYTTAIGALLSVVAMLIVDGISASVLFLAMILLFLFIHYFSPP KSWGDVSQSLIYHQVRKYLLRLRQDNIKYWRPQILLFVDNPRTSWNLIRFCNHLKKGG LYILGHVAVTADFPKQLNELKTQQKAWMKIRDMAAIKAFVQVGTGPSLIWGIRNVFIG SGLGGMKPNITVVGFFDLESYRKHIPQSRSQNNLQKQVEIKATVPRSTCSDVKINVPL PTDECKNETKVNVQQWVQIVEDLSLMQSNIAIAHGFKNLEIPNKRDSCFPKKTIDLYP IQMCGKVEAKGDQPAAITTNFDTYTLILQLAAILVTVPEWKRTHSLRVILFVEQEYHR TNETQRMKKLLQVLRIDAEVLVVSLDQFRVYNTIVKGDPIVFDYVNSKLADNEWWKDL VEARDTLKPKRRFSTIEPQTIAKQFTQSRKYTSGVQKLGVSFTMNTRMPTNRIDTPCE SEDSDLDTDLTSIRDAFSASTNISVGKDLTTKSKTGSDRTNLLVKNLQSDVSTQSLRP VFSSNTLPRTRVVEDGTGEQPTLIPIAEPDLSNGNGTGSGIGNGNKLKKPVLPELSPC CSKDSLVTAMQNLGFNDLPSTAQHLVLNDVMTQMSKSSDLIFSTLPVPALGTHEDHDA SLQYVEDLDIWLEGLPPCMLINSQTMTVTTAL YBR236C MSTKPEKPIWMSQEDYDRQYGSITGDESSTVSKKDSKVTANAPG DGNGSLPVLQSSSILTSKVSDLPIEAESGFKIQKRRHERYDQEERLRKQRAQKLREEQ LKRHEIEMTANRSINVDQIVREHYNERTIIANRAKRNLSPIIKLRNFNNAIKYMLIDK YTKPGDVVLELGCGKGGDLRKYGAAGISQFIGIDISNASIQEAHKRYRSMRNLDYQVV LITGDCFGESLGVAVEPFPDCRFPCDIVSTQFCLHYAFETEEKARRALLNVAKSLKIG GHFFGTIPDSEFIRYKLNKFPKEVEKPSWGNSIYKVTFENNSYQKNDYEFTSPYGQMY TYWLEDAIDNVPEYVVPFETLRSLADEYGLELVSQMPFNKFFVQEIPKWIERFSPKMR EGLQRSDGRYGVEGDEKEAASYFYTMFAFRKVKQYIEPESVKPN YBR237W METIDSKQNINRESLLEERRKKLAKWKQKKAQFDAQKEHQTSRN DIVTNSLEGKQTTEKFTERQERVKEELRKRKNEFRKSDEPVSVKPSKKKSKRSKVKKK ISFDFSDDDDSEIGVSFRSKEHIQKAPEHDNEKDPLDEFMTSLKEEKMSNSKGMYDRG DILDVEDQLFELGGTDDEDVEDNTDNSNIAKIAKLKAKKRVKQIYYSPEELEPFQKNF YIESETVSSMSEMEVEELRLSLDNIKIKGTGCPKPVTKWSQLGLSTDTMVLITEKLHF GSLTPIQSQALPAIMSGRDVIGISKTGSGKTISYLLPLLRQVKAQRPLSKHETGPMGL ILAPTRELALQIHEEVTKFTEADTSIRSVCCTGGSEMKKQITDLKRGTEIVVATPGRF IDILTLNDGKLLSTKRITFVVMDEADRLFDLGFEPQITQIMKTVRPDKQCVLFSATFP NKLRSFAVRVLHSPISITINSKGMVNENVKQKFRICHSEDEKFDNLVQLIHERSEFFD EVQSENDGQSSDVEEVDAKAIIFVSSQNICDFISKKLLNAGIVTCAIHAGKPYQERLM NLEKFKREKNSILLCTEVLSRGLNVPEVSLVIIYNAVKTFAQYVHTTGRTARGSRSGT AITLLLHDELSGAYILSKAMRDEEIKALDPLQAKELQEMSAKFESGMKKGKFRLSKGF GGKGLENIKSKREEAQNKDLELKKNDKRSDDLEKKISNPREGHDSVSESSALIPRLNY ELFKESTDGSIIFYAKVYINDLPQIVRWEATKNTTLLFIKHETGCSITNKGKFYPEGK EPKNENDEPKLYLLIEGQDEKDIQLSIELLEQKVKEGVVKAASLSLKSTKY YBR238C MIRLAQQTQVLKGKPPNQFVPHPTKNSLTHPMKFNGTIAMEHHE HNYAIPYTPATFNNPALATYQVSPANHFVPHFGGNIGANNNNHLAQNNSNNSNNHHNN NRNHHHNNNRNHHQNNHNHSKYNNSNQGNSISPDSPWFHKVCAFEDCVSQTLYMSQTP RRQNMKHHSEHPNSNANPLFWDSIGRAMGLYHDLLTTPELNSDRVSKLVHLLHNGLRA NRNQLTRMNKKPDYDSQSFHKEMTNYLCKSLREISEDVLNGKVELNEYGAMHLITAFK ELLLFEEAVDIWKAAINGQNTYTSNIFLNPRVVGVILPILYDNGVSYPEIQALYEKSS SMINYFHPNLSVGMIRASLSASENDMALKLFQKLCQESTEMKYGYLIETHLSFIGECK DLNVAQTFFDKALNDEMPYKIDLQVSYVKSFLRNIWSQTRDFNHIYQIWYKSSLHYGR NVNHGISSSLNDTFFDIFFENYAVDKMQGFQTLQNIIQTYNNIKHIDEPFFNIILAKC TVWHDRSILEYIDKSYEAYHIPKTIVAYRILLKSMGSVDDASNAEILQRWMDLIRKSD EIGQRFIANADWAALRDATVTWTQNDRDSKKSNMNSTQISRTATPSPSLTPMDTPAPE HLFNNPQNPMDFYSHPALQAATASGAFDEFAAEAASSSIPVDGRMVLYLKIVKRYSPY CRDSRQLARLTTGTAVKYSVLQEVLNQFQTLIVNDIPIPELHNLKPTCV YBR239C MCTPDENDYKTSTDPDTSANTNHTLEKKKRKKRKNTNVACVNCS RLHVSCEAKRPCLRCISKGLTATCVDAPRKKSKYLAGIPNRELPMNIQPDLPPRKIMI PIYNNSSNSSLNVNNMGEQQKFTSPQHIVHKAKFLSNAADSEYSILSNIIYQDTLSNK IPIDILYSNTNSTSNSTIGNSSNNSPTGTNTSPEETEMEKIRQLYSEQRANIPPHPYP SSNQNVYSILLGPNSAKIVASQVNLFANHFPLVPVDSADNSLNFKRLLPRDPSEKSSQ INWDSSINQYYLNSETVTFPELAIPLKRRKNHLVSVSLESCSPDAANIKSNVEWEHSL RYSTPMEIYTSINAPFSHTPGFHHLLVYLKHRFNQQDLVKMCRSIAEFRPIFIACSVT LTEEDMIFMEQCYQRTLLEYVKFIAQIGTPTCIWRRNGQISYVNEEFEILCGWTREEL LNKMTFIVEIMDDESVRDYFKTLSKVAYRDFRGSEKMKVCRLLSPIKGKIIHCCCMWT LKRDVSGLPLMILGNFMPILN YBR240C MVNSKRQQRSKKVASSSKVPPTKGRTFTGCWACRFKKRRCDENR PICSLCAKHGDNCSYDIRLMWLEENIYKVRKHSLISSLQARKSKSKPLCQKISKSRFK QMTHFRQLSPPTSDCEDSVHEASKETTLPNDNTFTISVRRLKIYNNAVASVFGSMTNR DYTQKRIDKKLDELLNMVENDISVVNLNCSKHGPYSVFRANPAAVTSALTDQLPSPGH SMSSAEETTTAALSSPPEDSTSLIDIIQGKIFGILWFNCYGNMILNRQEYTTWFINKM RNSLTTEFIRFLGKIIDDPDINMASCLFKECIARWSCVDWQSIAITMLVIIHGYTCPN LTKLLRVWFLQQKLLRFSMYPLVNFIINNTQDLDVLYHCNGLLGNADLFEDPYQDELT SELHVLVTERLVNSWKDTILQQLCSCQDTTLSCSQLRYWQLQLKCNQQFYKDVYAMQD YBR241C MAETERLMPNGGSRETKPLITGHLILGTIVACLGSIQYGYHIAE LNAPQEFLSCSRFEAPDENISYDDTWVGQHGLKQCIALTDSQYGAITSIFSIGGLFGS YYAGNWANRYGRKYVSMGASAMCMVSSLLLFFSNSYLQLLFGRFLVGMSCGTAIVITP LFINEIAPVEWRGAMGSMNQVSINLGILLTQTLALKYADSYNWRWLLFSGSVIAVANI LAWLKVDESPRWLVSHGFVSEAETALFKLRPGTYQQAKQEIQDWQRSHGHNRDPESSE ETHSGPTLWQYVTDPSYKKPRTVILAILSCQQFCGINSIIFYGVKVIGKILPDYSIQV NFAISILNVVVTLAASAIIDHVGRRPLLLASTTVMTAMSLLISVGLTLSVSFLLVTAT FVYIAAFAIGLGPIPFLIIGELSYPQDAATAQSFGTVCNWLATFIVGYLFPIGHGLMG GYVFAIFAAIAAMFATYVYKRVPETKGKTTYSEVWAGY YBR242W MTATITNKKSCSGSVEAGKTRLTTEWKPESQVPQYVKNELSKPH PNYILAFLNVVQQLKIQRRTGYLDLGIKECESISDHMYRLSIITMLIKDSRVNRDKCV RIALVHDIAESLVGDITPVDPIGKEEKHRREWETIKYLCNALIKPYNEIAAKEIMDDW LAYENVTSLEARYVKDIDKYEMLVQCFEYEREYKGTKNFDDFFGAVASIKTDEVKGWT SDLVVQRQKYFADLTQSITK YBR243C MLRLFSLALITCLIYYSKNQGPSALVAAVGFGIAGYLATDMLIP RVGKSFIKIGLFGKDLSKPGRPVLPETIGAIPAAVYLFVMFIYIPFIFYKYMVITTSG GGHRDVSVVEDNGMNSNIFPHDKLSEYLSAILCLESTVLLGIADDLFDLRWRHKFFLP AIAAIPLLMVYYVDFGVTHVLIPGFMERWLKKTSVDLGLWYYVYMASMAIFCPNSINI LAGVNGLEVGQCIVLAILALLNDLLYFSMGPLATRDSHRFSAVLIIPFLGVSLALWKW NRWPATVFVGDTYCYFAGMVFAVVGILGHFSKTMLLLFIPQIVNFIYSCPQLFKLVPC PRHRLPKFNEKDGLMYPSRANLKEEPPKSIFKPILKLLYCLHLIDLEFDENNEIISTS NMTLINLTLVWFGPMREDKLCNTILKLQFCIGILALLGRHAIGAIIFGHDNLWTVR YBR244W MTTSFYDLECKDKKGESFKFDQLKGKVVLIVNVASKCGFTPQYK ELEELYKKYQDKGFVILGFPCNQFGKQEPGSDEQITEFCQLNYGVTFPIMKKIDVNGS NADSVYNYLKSQKAGLLGFKGIKWNFEKFLVDSNGKVVQRFSSLTKPSSLDQEIQSLL SK YBR245C MAYMLAIANFHFFKFYTRMRKKHENNSCNEKDKDENLFKIILAI FLQEKKKYDCISSGSIMTASEEYLENLKPFQVGLPPHDPESNKKRYLLKDANGKKFDL EGTTKRFEHLLSLSGLFKHFIESKAAKDPKFRQVLDVLEENKANGKGKGKHQDVRRRK TEHEEDAELLKEEDSDDDESIEFQFRESPAYVNGQLRPYQIQGVNWLVSLHKNKIAGI LADEMGLGKTLQTISFLGYLRYIEKIPGPFLVIAPKSTLNNWLREINRWTPDVNAFIL QGDKEERAELIQKKLLGCDFDVVIASYEIIIREKSPLKKINWEYIIIDEAHRIKNEES MLSQVLREFTSRNRLLITGTPLQNNLHELWALLNFLLPDIFSDAQDFDDWFSSESTEE DQDKIVKQLHTVLQPFLLRRIKSDVETSLLPKKELNLYVGMSSMQKKWYKKILEKDLD AVNGSNGSKESKTRLLNIMMQLRKCCNHPYLFDGAEPGPPYTTDEHLVYNAAKLQVLD KLLKKLKEEGSRVLIFSQMSRLLDILEDYCYFRNYEYCRIDGSTAHEDRIQAIDDYNA PDSKKFVFLLTTRAGGLGINLTSADVVVLYDSDWNPQADLQAMDRAHRIGQKKQVKVF RLVTDNSVEEKILERATQKLRLDQLVIQQNRTSLKKKENKADSKDALLSMIQHGAADV FKSGTSTGSAGTPEPGSGEKGDDIDLDELLLKSENKTKSLNAKYETLGLDDLQKFNQD SAYEWNGQDFKKKIQRDIISPLLLNPTKRERKENYSIDNYYKDVLNTGRSSTPSHPRM PKPHVFHSHQLQPPQLKVLYEKERMWTAKKTGYVPTMDDVKAAYGDISDEEEKKQKLE LLKLSVNNSQPLTEEEEKMKADWESEGFTNWNKLEFRKFITVSGKYGRNSIQAIAREL APGKTLEEVRAYAKAFWSNIERIEDYEKYLKIIENEEEKIKRVKMQQEALRRKLSEYK NPFFDLKLKHPPSSNNKRTYSEEEDRFILLMLFKYGLDRDDVYELVRDEIRDCPLFEL DFYFRSRTPVELARRGNTLLQCLEKEFNAGIVLDDATKDRMKKEDENGKRIREEFADQ TANEKENVDGVESKKAKIEDTSNVGTEQLVAEKIPENETTH YBR246W MDSIQESDVLNAVKTKLPPCCLRIFRNKIILVGTYDLDKSTGYR SGSLDVFTMDLKLLCSNNTYGAILDLKLSPFDDTLICTAHSTGNIMLWRIRCTDKDDF QSNELDIHAIANLQLFEKDVLIASCHFSPLDCKKLLVTNTAGEAATIDIRTLSVQFTA SAIAQAYSKLDKIDYEVQGATEKVIHVESGQFLKPHELECWTAEFGSLQPFQDVVFTG GDDSRIMAHDLRSKEFIWSNNRIHDAGVVSIKCSQPNFRNNKPTSIITGSYDDNIRSL DLRMMGESIFPGANVPTVNKLACDLGGGVWRFVESPIDQEQSHHNGSDRLLVCCMYNG AKVVTMNDNSDEYFQIQHYLKKGHDSMCYGGDWSNSLIATCSFYDNSLQTWIV YBR247C MARASSTKARKQRHDPLLKDLDAAQGTLKKINKKKLAQNDAANH DAANEEDGYIDSKASRKILQLAKEQQDEIEGEELAESERNKQFEARFTTMSYDDEDED EDEDEEAFGEDISDFEPEGDYKEEEEIVEIDEEDAAMFEQYFKKSDDFNSLSGSYNLA DKIMASIREKESQVEDMQDDEPLANEQNTSRGNISSGLKSGEGVALPEKVIKAYTTVG SILKTWTHGKLPKLFKVIPSLRNWQDVIYVTNPEEWSPHVVYEATKLFVSNLTAKESQ KFINLILLERFRDNIETSEDHSLNYHIYRAVKKSLYKPSAFFKGFLFPLVETGCNVRE ATIAGSVLAKVSVPALHSSAALSYLLRLPFSPPTTVFIKILLDKKYALPYQTVDDCVY YFMRFRILDDGSNGEDATRVLPVIWHKAFLTFAQRYKNDITQDQRDFLLETVRQRGHK DIGPEIRRELLAGASREFVDPQEANDDLMIDVN YBR248C MPVVHVIDVESGNLQSLTNAIEHLGYEVQLVKSPKDFNISGTSR LILPGVGNYGHFVDNLFNRGFEKPIREYIESGKPIMGICVGLQALFAGSVESPKSTGL NYIDFKLSRFDDSEKPVPEIGWNSCIPSENLFFGLDPYKRYYFVHSFAAILNSEKKKN LENDGWKIAKAKYGSEEFIAAVNKNNIFATQFHPEKSGKAGLNVIENFLKQQSPPIPN YSAEEKELLMNDYSNYGLTRRIIACLDVRTNDQGDLVVTKGDQYDVREKSDGKGVRNL GKPVQLAQKYYQQGADEVTFLNITSFRDCPLKDTPMLEVLKQAAKTVFVPLTVGGGIK DIVDVDGTKIPALEVASLYFRSGADKVSIGTDAVYAAEKYYELGNRGDGTSPIETISK AYGAQAVVISVDPKRVYVNSQADTKNKVFETEYPGPNGEKYCWYQCTIKGGRESRDLG VWELTRACEALGAGEILLNCIDKDGSNSGYDLELIEHVKDAVKIPVIASSGAGVPEHF EEAFLKTRADACLGAGMFHRGEFTVNDVKEYLLEHGLKVRMDEE YBR249C MSESPMFAANGMPKVNQGAEEDVRILGYDPLASPALLQVQIPAT PTSLETAKRGRREAIDIITGKDDRVLVIVGPCSIHDLEAAQEYALRLKKLSDELKGDL SIIMRAYLEKPRTTVGWKGLINDPDVNNTFNINKGLQSARQLFVNLTNIGLPIGSEML DTISPQYLADLVSFGAIGARTTESQLHRELASGLSFPVGFKNGTDGTLNVAVDACQAA AHSHHFMGVTKHGVAAITTTKGNEHCFVILRGGKKGTNYDAKSVAEAKAQLPAGSNGL MIDYSHGNSNKDFRNQPKVNDVVCEQIANGENAITGVMIESNINEGNQGIPAEGKAGL KYGVSITDACIGWETTEDVLRKLAAAVRQRREVNKK YBR250W MLFSSSSSSLNSEVSQVFSENISSRSTTLTESSTQSEIRQHFGN EDFSGELPKKLIQLKKLKNGGTTIKKAKEDLEYCYDSLRLYENPYVTSSVDKKCGYSI ELYLDNKYKTLMFSDLQLNADYPLYYDSSLDNISTNVERERATPLQIKGKIRINIDRE DQALLITSHSISLKCFTKEYACFVNSETSKNSYSDKIIKELNHTEFFESSTYPKQQLR VIHHSLNDKKILLTKGTYDYPFTFTLQANTFPASFSSFFGKTHFRIESLTTIMRIPSK PKNLLKFLKNESFTDKIILTEEIKVKRVLPSTSMLKFETFQLRSYNTASEIVVSVIGN SKLIEIGMPFQMILSITKTDSSIELQEASLAVAQRMAIPSIDLKTKKILREPYIKKSE YLLRTVESQSFDSDKTIFGFCFDDVVIPTYADGLPSWFKTFYCEPSSFYPNHAALKVT HLLLFRITYSRNELVEGLEMKKNYRITVNFPILVGDSDISTSSLLPKYEKFENISDLQ DEPPLYSMVAGENSL YBR251W MFKRQLSTSVRYLQHYDESLLSRYYPESLLKSIKLAQQTIPEDT KFRVSRNVEFAPPYLDDFTKIHPFWDYKPGMPHLHAQEENNNFSIFRWDQVQQPLPGE GNILPPGVSLPNDGGRKSKSADVAAGLHKQTGVDPDYITRKLTMKPLVMKRVSNQTGK GKIASFYALVVVGDKNGMVGLGEGKSREEMSKAIFKAHWDAVRNLKEIPRYENRTIYG DIDFRYHGVKLHLRSAKPGFGLRVNHVIFEICECAGIKDLSGKVYKSRNDMNIAKGTI EAFTKAQKTLDEVALGRGKKLVDVRKVYYSS YBR252W MTATSDKVLKIQLRSASATVPTKGSATAAGYDIYASQDITIPAM GQGMVSTDISFTVPVGTYGRIAPRSGLAVKNGIQTGAGVVDRDYTGEVKVVLFNHSQR DFAIKKGDRVAQLILEKIVDDAQIVVVDSLEESARGAGGFGSTGN YBR253W MSNQALYEKLEQTRTILSVKLAELINMTTIADRNDDDEGSFAQE NSELAVATTSVMMVNNQTMQLIKNVQDLLILTRSIKEKWLLNQIPVTEHSKVTRFDEK QIEELLDNCIETFVAEKTT YBR254C MPQYFAIIGKKDNPVYEIEFTNAENPQGFPQDLKELNPFILHAS LDIVEDLQWQINPTSQLNGNGGNGSNGGGGFLRSRAVNNTDNCYLGKVDHFYGLAITA YISYSGMKFVMIHGNSANSSVVIDDNNMRSFYQEVHELYVKTLMNPFYKITDPIRSPA FDSRVRTLARKHLSK YBR255W MTHTNEHDHKAEQQQNGRGDTTTETVNPQKMKLVTKLLIDNKFG LMDDLNFSIPLTASSEGVPISAKTSELGTEYLKNQQENSVSPILPISRSTRIKADRVR IYLDYYYNILERCISIDSSQNHHEGVEGVYNPLQVIRNRKLKKKHHELPTREFYTTKH PIIAIKQFSKKPNKKMPWFVDINEKYMDLTWRTSHWEELVDPQGKLWFQSYSPSNESS GSSSSRRHHGHHIHPRRHLQHHSRVRTANSVHSNTQSLTPKRVMTNEEDNNNHNNNNM ITKIATTPEAQISRNKKSDLNLSHIHLEVPITNTVTNTSSDQGSLIIEAKGSSYGGDR RGSSNTSGSGGKRNSKHYRSKSAGPPENEKSRMNGLEKIISKTSKGWSRSPKKNTPGL EKQVLLNPTISNGGTSRRSSNNGESISTNSSKSSMGITFGNTETYKTPVDNGKDAIIR QSLLSEVPVHTLRGKTSNRSLRAEGEQALESDKELPNGAGSIYEGAPREKTTSQGSEP VGLVSDSLQVDEQLQRYWHDTRYIMSTVAMMQHRRETHDIVKRREIARRNEIEITQDA DTNIRKTADALTQYDNELNKVLKLGNDWTSKLLNDYSIRVETLISSSDRILSDINTTL TLKLKMFQENTERYVTVKVMRAQKMTKTIYRLLEFGIVLVLWTIWFLFSVLRSIRFTI FLVLKIIKALLW YBR255C-A MGGNVLPIHYDPKTVKQLTKEITVASCIGAAQGALFSIASALLL RRFSSVYRNVRTQVRVFYHCSWISMGAVFRADKQLLKFQTNYYREEQKRREKIMDEAA ERGLFLEDESLNSSRSTT YBR256C MFTGIVECMGTVLENNPYDDSESGGQGVSITIGNAGSILTDCHV GDSIAVNGVCLTVTEFNNDSFKVGISPETIKRSNVASWIQGTQVNLERAVSQDVRFGG HYVQGHVDTVANIVSRRPEGNSIIFGFQLRDQEYFKYIVEKGFICIDGTSLTIIKVDP LSQGGAFYISMIKHTQDNVIMPLKKIGDEVNIEVDLTGKIIEKQILLTLENQISKKDS TLNTMISNIIEEKVRNYLNK YBR257W MDRTQTFIKDCLFTKCLEDPEKPFNENRFQDTLLLLPTDGGLTS RLQRQQRKSKLNLDNLQKVSQLESADKQLEKRDYQRINKNSKIALREYINNCKKNTKK CLKLAYENKITDKEDLLHYIEEKHPTIYESLPQYVDFVPMYKELWINYIKELLNITKN LKTFNGSLALLKLSMADYNGALLRVTKSKNKTLIGLQGIVIWDSQKFFIMIVKGNIID EIKCIPKKGTVFQFEIPISDDDDSALRYSILGDRFKYRSVDRAGRKFKSRRCDDMLYY IQN YBR258C MAYNQEDSKRLSDKYKKEGHFDKLKREILSNPWNNTEENSESFE QALRKRVASTVKEMVNEDEELIFKNRGLTSALIESQLVKDNYLKLGSKMEGDNGDGEK KFDLDVYVRSKLQDPKLLEMIKGQLQETLNSYEEEANGST YBR259W MSIDEAVARYRDVIGNLATGNLRRIVIQSEKLAQIIASSKGTVR FHHKTRSGKTVIYKCIKKALLSSVASLSSEFSSETDVQQFLHLNYIYQSHFQALSGQI NKYCGMKKYYELKFAAIDYLETEVQTTGLTLSRFWVASLDEFIKKERWPDNGSNFQIF YKLMAEYSSWKWDSDDKRQLQFMYEFRMKLKECLVKFYENFDLQKSSDPLKELIIPWE KIVYVANCIDAFTGEQVRIDGAELIWTSKNLVFSSISSAVLRLNDLQNMFSAFRPYGE EALVQDFAHIRSLKWDSNDKVESLIRALIFNDMFPYFNKEQVDTKADGIFFLRLLRKN FKEHINDVKDFHIQVIKYLNSQFKNNYSTLMTSSKTQDRRKSHNMPSSILDDGNKIGM HVSPIDEYSHFIDNDEPLWRDKVYPKIYTNEQTPTPDASAIFDSHKIYAIISLLRYYL PEKRKFFRIYYLPSIFKRILYYGAKFAQLYFMEGCLERLVIESLQILEPSLVHAINNL IKSSIESLKNVTVTSDDKTSSGVIILSYKEFKSLSEVNKDFNEPFWPNQSIANSWPDF ANKQLKRGQILQDAFAFHLFEIELPIIIDTTRNTHLKLVSNMCTTSILYLYNEVDSLS LTSIQEKLAVLPTSKRNEILLYNLNRLTKLKLLLLKENEKGQKFYAFNFKYKRDGQKT SLIRLI YBR260C MEETAKKPASATVSAKSSHDGGTDDLAHLFSTPEIKKVLNSDVA INALLSRLKQSLLTCEEFMKFIRKKYAFEEEHVQELSKQYKHFFNIQGSTNSSLKKMI HEVLGFDGKMAQVKQSYITALQKMYSEISSLLLTMTKLRKSVKENSKRLEKDVSDAIH SAEKAQSRYNSLCQDWDKLRMTDPTKTKLTLRGSKTTKEQEEELLRKIDNADLEYKQK VDHSNSLRNTFITKERPRIVQELKDLILEIDTAMTIQLQKYTIWTENLVLNTGVTISP LDSTKSMKSFAGSVSNERDLYSFLNKYNQTGKHSLLINKNLIPVSYKKHPSMNHGQKN KSPPKFAVDPSRNSIPKRMISTHNESPFLSSSSNTAAVPNANLNSATPSLNTNKQLPP TMASSISSTSNAAGAMSPSSSIVTSDTTSSITKTLDPGNNSPQIPEELINSLDSDRPI SHIQTNNNMPPGVQKNFKTFGVPLESLIEFEQDMVPAIVRQCIYVIDKFGLDQEGIYR KSANVLDVSKLKEEIDKDPANISMILPSKPHSDSDIYLVGSLLKTFFASLPDSVLPKA LSSEIKVCLQIEDPTTRKNFMHGLIYNLPDAQYWTLRALVFHLKRVLAHEAQNRMNLR ALCIIWGPTIAPANPDDANDVNFQIMAMEVLLEVSDQAFEPE YBR261C MDVPADSHIKYEDAIDYWTDVDATVDGVLGGYGEGTVVPTMDVL GSNNFLRKLKSRMLPQENNVKYAVDIGAGIGRVSKTMLHKHAAKIDLVEPVKPFIEQM HVELAELKDKGQIGQIYEVGMQDWTPDAGKYWLIWCQWCVGHLPDAELVAFLKRCIVG LQPNGTIVVKENNTPTDTDDFDETDSSVTRSDAKFRQIFEEAGLKLIASERQRGLPRE LYPVRMYALKPMPN YBR262C MSKLGPLARSVKWTLSVGVIGSVFYLYRYSNNGYFYDHDATWLK QDHQVQDLVDRKEVVPGETRNRKLVVTDDGTAWSRTMGESIKDIWNEQIRNSVDWIYS WGKN YBR263W MFPRASALAKCMATVHRRGLLTSGAQSLVSKPVSEGDPEMFDIL QQERHRQKHSITLIPSENFTSKAVMDLLGSELQNKYSEGYPGERYYGGNEIIDKSESL CQARALELYGLDPAKWGVNVQPLSGAPANLYVYSAIMNVGERLMGLDLPDGGHLSHGY QLKSGTPISFISKYFQSMPYHVDHTTGLIDYDNLQVLAKAFRPKVIVAGTSAYSRLID YARFKEISQGCGAYLMSDMAHISGLVAANVVPSPFEHSDIVTTTTHKSLRGPRGAMIF FRKGIKSVTKKGKEIPYELEKKINFSVFPGHQGGPHNHTIGAMAVALKQAMSPEFKEY QQKIVDNSKWFAQELTKMGYKLVSGGTDNHLIVIDLSGTQVDGARVETILSALNIAAN KNTIPGDKSALFPSGLRIGTPAMTTRGFGREEFSQVAKYIDSAVKLAENLKTLEPTTK LDARSRLNEFKKLCNESSEVAALSGEISKWVGQYPVPGDI YBR264C MEATIKVVLLGDSSVGKTSIVTRLKSGKFLAKHAATIGAAFITK TIEVPSNDSSTEKRIHMEIWDTAGQERYKSLVPMYYRDANIALIVFELGDVSSLQCAK TWFQDLQDRAQGTQVIIVGNKYDLVCEEHSGEVTIPAELQGLPYVAVSAKTGYNFDTL NKIIISLVPESQFKTLSKNNEQGNILEINKKKSGSGCIC YBR265W MKFTLEDQVVLITGGSQGLGKEFAKKYYNEAENTKIIIVSRSEA RLLDTCNEIRIEAHLRRETTDEGQVQHKLAAPLDLEQRLFYYPCDLSCYESVECLFNA LRDLDLLPTQTLCCAGGAVPKLFRGLSGHELNLGMDINYKTTLNVAHQIALAEQTKEH HLIIFSSATALYPFVGYSQYAPAKAAIKSLVAILRQELTNFRISCVYPGNFESEGFTV EQLTKPEITKLIEGPSDAIPCKQACDIIAKSLARGDDDVFTDFVGWMIMGMDLGLTAK KSRFVPLQWIFGVLSNILVVPFYMVGCSWYIRKWFRENDGKKAN YBR267W MSSSGVYTCNSCVLTFDSSDEQRAHMKSDWHRYNLKRRVAQLPP ISFETFDSKVSAAAASTSKSAEKEKPVTKKELKRREKQALLEKKKKLLEIARANMLEN MQKSQEGNTPDLSKLSLQENEENKEKEEPKKEEPEQLTEEEMAERVMQEKLRNRVDIP LEQCLFCEHNKHFKDVEENLEHMFRTHGFYIPEQKYLVDKIGLVKYMSEKIGLGNICI VCNYQGRTLTAVRQHMLAKRHCKIPYESEDERLEISEFYDFTSSYANFNSNTTPDNED DWEDVGSDEAGSDDEDLPQEYLYNDGIELHLPTGIKVGHRSLQRYYKQDLKPEVILTE GQGTLVAAETRSFLPAFDKKGVQTQQRVWQTERFDKKRLDKRSAKFVNNQPHYRDQLL Q YBR268W MLARSLGYRLISTSRILYNKPTVKSVVSSCPAGTSLNLNIWKSG KDAVALEDKEYPNWLWSVLDSDHVVEHAAEDPEGQALLKRRKNIRKANRQRIKQNNFL SQL YBR269C MLCAIKSTGYRYPRTGALNLLRGRPFNMATRKITTERIPGPPKL PREEQEEFERLQRIATSQEAIDQYNAQATGDRTKESLNSPLLTKNDIGSFSPEFSKTI PEFEGDVNPKTGEVGGPKQDPLRHGDYSFNGRVTDF YBR270C MATDLNRKRSATSGSLSVTNPNIKATNRKPARVYSVSSDIVPQA LTHPDEDVHLKTSKSPHDAAPRWSQVGFQSIFHDGSNARRSTDSIEEEYSQGTENNDG HSEIGSSSSNRMEGNTTSNDSLFSSNSRGNKRRLSIFTNSKDNMRNRSRSGSKNYGTV ITGTSSNNISRSGSKLFHTKSNMSVNSLQSSLSTGHSHSNKGSNVFSKMAKKLLPYKP HNSIGKDDVEPVVPSPFSKFLHSSYGKHRSPVQFIHTSTGGLIDSGKSVYSFNPSINN NPNDTALSLIQDDAFDATNVSLLHDLLKNLPSLIANYKSFTVQELFVLEGNIWGIYCS IVVELFKNKRVWQLPAKIEDIDRLLEFYITLKTQTKAAVTHSRFLAEIEEFITTSLYI LENQIVFNYANEDTVNTALKRVGIIWKVFYQQVYYDMMAVLLPFEKSFQKNSNYWLDG YLSEPSRYAPSIDVLLLKCFRDSIILPYYESFLHTNDGASKSFQRYIFSEEEQNGVTE EDKLTLLQCFGILNTIKGNSRNQRIIGELLEGIRMSI YBR271W MFDPLDLYTPDDIQVEALQFNLAEREPKDPCSPQRDEILTAVDE EESDDDDTIIDNLDLPSVKYAPPEVILCILILLKPDRQVNFNQETGKNKSVLEVCKSH GLEPDLLKRLLTWYTEEWPNKRLNSLEKICNKIPMLRFTVSKELLLGYYTSVLKKYNN SCGLNEEIIQELLKELSSRISENCGRTAQPSIVRYFELRNLSTSIPLHEPSLTADNLG WKTWGSSLILSQLVVDHLDYLHTTNVNMLANSDIKQIKVLELGAGTGLVGLSWALKWK ELYGTENIEIFVTDLPEIVTNLKKNVSLNNLGDFVQAEILDWTNPHDFIDKFGHENEF DVILIADPIYSPQHPEWVVNMISKFLAASGTCHLEIPLRAKYAKEREVLKLLLKESDL KVVEERHSEGVDDWGAVKYLYRQIVRN YBR272C MSEKETNYVENLLTQLENELNEDNLPEDINTLLRKCSLNLVTVV SLPDMDVKPLLATIKRFLTSNVSYDSLNYDYLLDVVDKLVPMADFDDVLEVYSAEDLV KALRSEIDPLKVAACRVIENSQPKGLFATSNIIDILLDILFDEKVENDKLITAIEKAL ERLSTDELIRRRLFDNNLPYLVSVKGRMETVSFVRLIDFLTIEFQFISGPEFKDIIFC FTKEEILKSVEDILVFIELVNYYTKFLLEIRNQDKYWALRHVKKILPVFAQLFEDTEN YPDVRAFSTNCLLQLFAEVSRIEEDEYSLFKTMDKDSLKIGSEAKLITEWLELINPQY LVKYHKDVVENYFHVSGYSIGMLRNLSADEECFNAIRNKFSAEIVLRLPYLEQMQVVE TLTRYEYTSKFLLNEMPKVMGSLIGDGSAGAIIDLETVHYRNSALRNLLDKGEEKLSV WYEPLLREYSKAVNGKNYSTGSETKIADCR YBR273C MLEALFRDSVEEAINDSIKEGVVLAVYNTARDDQWLKSWFKGDD VSLDTLAEHSIWLRLVKDTEQFQLFEQVFPNVVVPSIYLIRAGKIELIIQGEDDRHWE KLLACIGIKDKKAGESSSRETNPGLAREEKSSRDVHRKNARERIAETTLEIQRREQLK QRKLAEEERERIIRLVRADRAERKALDETHHRTLDDDKPLDVHDYIKDAQKLHSSKCV LQIRMTDGKTLKHEFNSSETLNDVRKWVDVNRTDGDCPYSFHRGIPRVTFKDSDELKT LETLELTPRSALLLKPLETQNSGLSVTGMEGPSLLGRLYKGFSTWWHNDKDPEVTSQR EETSKPNRHEVRSSTPLSGAASSSCFQYNNVREPVQSSAHASPMLTPSGTRYPSETNL TTSRSVSPNVFQFVNNDHQEDPEDPTTFNGNNVHLEKKKDEDKK YBR274W MSLSQVSPLPHIKDVVLGDTVGQGAFACVKNAHLQMDPSIILAV KFIHVPTCKKMGLSDKDITKEVVLQSKCSKHPNVLRLIDCNVSKEYMWIILEMADGGD LFDKIEPDVGVDSDVAQFYFQQLVSAINYLHVECGVAHRDIKPENILLDKNGNLKLAD FGLASQFRRKDGTLRVSMDQRGSPPYMAPEVLYSEEGYYADRTDIWSIGILLFVLLTG QTPWELPSLENEDFVFFIENDGNLNWGPWSKIEFTHLNLLRKILQPDPNKRVTLKALK LHPWVLRRASFSGDDGLCNDPELLAKKLFSHLKVSLSNENYLKFTQDTNSNNRYISTQ PIGNELAELEHDSMHFQTVSNTQRAFTSYDSNTNYNSGTGMTQEAKWTQFISYDIAAL QFHSDENDCNELVKRHLQFNPNKLTKFYTLQPMDVLLPILEKALNLSQIRVKPDLFAN FERLCELLGYDNVFPLIINIKTKSNGGYQLCGSISIIKIEEELKSVGFERKTGDPLEW RRLFKKISTICRDIILIPN YBR275C MSKDFSDKKKHTIDRIDQHILRRSQHDNYSNGSSPWMKTNLPPP SPQAHMHIQSDLSPTPKRRKLASSSDCENKQFDLSAINKNLYPEDTGSRLMQSLPELS ASNSDNVSPVTKSVAFSDRIESSPIYRIPGSSPKPSPSSKPGKSILRNRLPSVRTVSD LSYNKLQYTQHKLHNGNIFTSPYKETRVNPRALEYWVSGEIHGLVDNESVSEFKEIIE GGLGILRQESEDYVARRFEVYATFNNIIPILTTKNVNEVDQKFNILIVNIESIIEICI PHLQIAQDTLLSSSEKKNPFVIRLYVQIVRFFSAIMSNFKIVKWLTKRPDLVNKLKVI YRWTTGALRNENSNKIIITAQVSFLRDEKFGTFFLSNEEIKPIISTFTEIMEINSHNL IYEKLLLIRGFLSKYPKLMIETVTSWLPGEVLPRIIIGDEIYSMKILITSIVVLLELL KKCLDFVDEHERIYQCIMLSPVCETIPEKFLSKLPLNSYDSANLDKVTIGHLLTQQIK NYIVVKNDNKIAMDLWLSMTGLLYDSGKRVYDLTSESNKVWFDLNNLCFINNHPKTRL MSIKVWRIITYCICTKISQKNQEGNKSLLSLLRTPFQMTLPYVNDPSAREGIIYHLLG VVYTAFTSNKNLSTDMFELFWDHLITPIYEDYVFKYDSIHLQNVLFTVLHLLIGGKNA DVALERKYKKHIHPMSVIASEGVKLKDISSLPPQIIKREYDKIMKVVFQAVEVAISNV NLAHDLILTSLKHLPEDRKDQTHLESFSSLILKVTQNNKDTPIFRDFFGAVTSSFVYT FLDLFLRKNDSSLVNFNIQISKVGISQGNMTLDLLKDVIRKARNETSEFLIIEKFLEL DDKKTEVYAQNWVGSTLLPPNISFREFQSLANIVNKVPNENSIENFLDLCLKLSFPVN LFTLLHVSMWSNNNFIYFIQSYVSKNENKLNVDLITLLKTSLPGNPELFSGLLPFLRR NKFMDILEYCIHSNPNLLNSIPDLNSDLLLKLLPRSRASYFAANIKLFKCSEQLTLVR WLLKGQQLEQLNQNFSEIENVLQNASDSELEKSEIIRELLHLAMANPIEPLFSGLLNF CIKNNMADHLDEFCGNMTSEVLFKISPELLLKLLTYKEKPNGKLLAAVIEKIENGDDD YILELLEKIIIQKEIQILEKLKEPLLVFFLNPVSSNMQKHKKSTNMLRELVLLYLTKP LSRSAAKKFFSMLISILPPNPNYQTIDMVNLLIDLIKSHNRKFKDKRTYNATLKTIGK WIQESGVVHQGDSSKEIEAIPDTKSMYIPCEGSENKLSNLQRKVDSQDIQVPATQGMK EPPSSIQISSQISAKDSDSISLKNTAIMNSSQQESHANRSRSIDDETLEEVDNESIRE IDQQMKSTQLDKNVANHSNICSTKSDEVDVTELHESIDTQSSEVNAYQPIEVLTSELK AVTNRSIKTNPDHNVVNSDNPLKRPSKETPTSENKRSKGHETMVDVLVSEEQAVSPSS DVICTNIKSIANEESSLALRNSIKVETNCNENSLNVTLDLDQQTITKEDGKGQVEHVQ RQENQESMNKINSKSFTQDNIAQYKSVKKARPNNEGENNDYACNVEQASPVRNEVPGD GIQIPSGTILLNSSKQTEKSKVDDLRSDEDEHGTVAQEKHQVGAINSRNKNNDRMDST PIQGTEEESREVVMTEEGINVRLEDSGTCELNKNLKGPLKGDKDANINDDFVPVEENV RDEGFLKSMEHAVSKETGLEEQPEVADISVLPEIRIPIFNSLKMQGSKSQIKEKLKKR LQRNELMPPDSPPRMTENTNINAQNGLDTVPKTIGGKEKHHEIQLGQAHTEADGEPLL GGDGNEDATSREATPSLKVHFFSKKSRRLVARLRGFTPGDLNGISVEERRNLRIELLD FMMRLEYYSNRDNDMN YBR276C MVLEVPSITPGELHDLMRLHQDAEWPECKKMFPWAHDISFGQPP DFPHSLAIVKSQSDANNSALLRNSLEVNDIFQSWKVRTSFHREGDTCETGNDSNGFQY PNNTKELLNLLKFQIRQLELQVDDVALENAATYCHNHSILPFLKVDPRGLSLELKRYS RNKVGSNTTLKRSGQDVWGRRGLFRRFDLQCAKMIEMVDNIVIYCSRTGGSTDMQTES APACSHEGNCPNCTTLALLLQICLMFVQKGYVGSGGSLYKTNLFICTYQNFNTDIPQT LIGTPLLDNEFFKNNTPLNLCSSPSEIVCFNNVDKNMVLCEKLELNKLTSATRLEETG LICGNTTDWHNYQIIKKNNISLTHRFEENTSIVNLKSLNYDTDNPTTSISQLYNIPNT KEVWKLIIKCTSNSQMPSLTKIRTYLDLLLDDDASKSQEHLHLTFPASGSIGLGNLNI QSVEILLNVCYLIFQVSQVQELLTFMYCEDGYTETSLLLTAYIIFHFNIPLQDALLRI HPRPFFLFPSDLQILGHLQPVLREFSPQNGSNLKLYANALKFRDKSFQLHISSELFSS IFFMKIPLESNFVNLKGPLPSRILRHLYLGSLDHAQNPALLKSLGITHIVSVGEVVSW TLNKDKIAHPVRPHRAITMTNTNEVAGNTTCNKSRNRADTVVSDKQENGSNVVISENS GFQICQIENLDDNGKDPLFHQIDKVLDFISNSEATGGKVLVHCMVGVSRSATVCIAEC MRYLQCDLASAYLFVRVRRLNVIIQPNLFFVYELFKWWKKHYNREKDKTMDWHIICRG IAEVNMKYT YBR278W MSNLVKEKAPVFPISKVKKIAKCDPEYVITSNVAISATAFAAEL FVQNLVEESLVLAQLNSKGKTSLRLSLNSIEECVEKRDNFRFLEDAIKQLKKNSALDK KRELNMQPGRSDQEVVIEEPELHEDDGVEEEEEEDEVSEEEEPVHNEELLDDSKDQQN DKSTRSVASLLSRFQYKSALDVGEHSDSSDIEVDHTKSTDP YBR279W MSKKQEYIAPIKYQNSLPVPQLPPKLLVYPESPETNADSSQLIN SLYIKTNVTNLIQQDEDLGMPVDLMKFPGLLNKLDSKLLYGFDNVKLDKDDRILLRDP RIDRLTKTDISKVTFLRRTEYVSNTIAAHDNTSLKRKRRLDDGDSDDENLDVNHIISR VEGTFNKTDKWQHPVKKGVKMVKKWDLLPDTASMDQVYFILKFMGSASLDTKEKKSLN TGIFRPVELEEDEWISMYATDHKDSAILENELEKGMDEMDDDSHEGKIYKFKRIRDYD MKQVAEKPMTELAIRLNDKDGIAYYKPLRSKIELRRRRVNDIIKPLVKEHDIDQLNVT LRNPSTKEANIRDKLRMKFDPINFATVDEEDDEDEEQPEDVKKESEGDSKTEGSEQEG ENEKDEEIKQEKENEQDEENKQDENRAADTPETSDAVHTEQKPEEEKETLQEE YBR280C MSEVESREKEPDAGLSPDIVQATLPFLSSDDIKNLSQTNKYYNT LLDFDHSKILWHELFHKAFGTLKTNDEPFQGRNSAEFKTCTETILREAFPTLSWQEVY QLRAYDAKFYSWGYLKHGRLGYTASSNNELVATSLNGPSPRFKYGVNTPTEVPWFNSR TTSRTSNFTPSEDPLSAIKKDGDEIIAQVSSGGFSFQILTESGNLYSSGSTFSGGLKG PGPSGSQHDYNPFREMIHNMERSYPRITSRSNGSTVNTTGTFSGRRMSGSHPSTAYEP GNATTAQHITIDSGGAPAASPGGSHSGVPRTTMPSMGPHENIYSQIEMLERSANKAVP GNNHIRRMFARNSFPLYSGRDENLGSFNDIQFVAVSSGRSHFLAMDTDNNIYSWDSTE SDQGVKIEFANLPSRATNPILKIASGWNFNCCYIYKVGLVAWKERDAIQKGESFAFAK YEIVPNTNDVNGDSRIVDFACLQDNCVFFINNNGDKLWKYHNGLNQIVDLNIVGKLCK INACFASLVLFTDTHCYTLKVTNGDVDKDSLTELDINENVISVASGDYHTVALTERGH LYSWGIESQDCGCLGLGPSEKIVNELHIGNWEGQRNIRVVKPTKIELPEDYICVSVTA GGWQTGALIIKKH YBR281C MYDSRGVALHSELIHRWNHAFSILSIVAFPKKRLLFAGSQDSKI LVFDLPTYNLIHTIRLGESQEETHTRSSVLCLTGSEDENFLFSGGADSLVRIWSIGEK TIRDDFLPVTEIATVYSVTDIGDIFSLAYLDSLETIVFGCQNASLLYVENLIQKIEKK SSDGVENINKLPHRRYDKFFDSLGPTGYSSNSLSQTSLTSLQENCGAAIIEVPSENII KYAHYGFIYSINKLCPRFNQLLEKSSRTSGAEHIISSAGDGISKLWEFSKDKGQNTVK ISLINDKIDNEDSVISQTIEFPFLYCGLTDGIIKIWDLNTQQIISTLKTKHESDVISI SVYMDHVFAIDESGITHFYQNQVNHWNPQQGKILSSEIFSKSNAGSVSLLTGGSDGSL TLWDITSLLSAVPLSSNSPINASSTLQTTNLWAAYQSASLNNEEMLNTLRELISFQTV SQSKDTTNTLSLRRCAIYLQQLFLKFGATNSQLFPLPDGGNPVVFAYFQGNGKVSQVK GAKKKRILWYGHYDVISSGNTFNWNTDPFTLTCENGYLKGRGVSDNKGPLVSAIHSVA YLFQQGELVNDVVFLVEGSEEIGSASLKQVCEKYHDIIGKDIDWILLSNSTWVDQEHP CLNYGLRGVINAQIKVWSDKPDGHSGLNGGVYDEPMVNLVKIVSKLQNEQNEIMIPNF YSPLKDLTEEEYQRFQKITELANIDENTTVQDLITNWTKPSLSMTTVKFSGPGNITVI PKSVTMGISIRLVPEQSVEQVKRDLKAYLEESFKQLKSQNHLEIKVLNEAEGWLGDPT NHAYQILKDEITTAWDVEPLLVREGGSISCLRMLERIFDAPAVQIPCGQSTDNGHLAN ENLRIKNWSNLTEILSKVFNRL YBR282W MKGSPISQFSKTSINALTRPWKKYRDGELFYGLSKVGNKRVPLT TKQGNKTMYKGTRASGIGRHTKFGGYVINWKKVRTYVTPDMVNFELKPYVNANVPPLK HEFKGFSGGPLDPRLQLLKIKEYIVNGRVQSEGATDTSCYKERG YBR283C MSGFRLIDIVKPILPILPEVELPFEKLPFDDKIVYTIFAGLIYL FAQFPLVGLPKATTPNVNDPIYFLRGVFGCEPRTLLEFGLFPNISSGLILQLLAGLKV IKVNFKIQSDRELFQSLTKVFAIVQYVILTNIFIFAGYFGDDLSVVQIGLINFQLVGA GIFTTLLAEVIDKGFGFSSGAMIINTVVIATNLVADTFGVSQIKVGEDDQTEAQGALI NLIQGLRSKHKTFIGGIISAFNRDYLPNLTTTIIVLAIAIIVCYLQSVRVELPIRSTR ARGTNNVYPIKLLYTGCLSVLFSYTILFYIHIFAFVLIQLVAKNEPTHIICKIMGHYE NANNLLAVPTFPLSLLAPPTSFFKGVTQQPLTFITYSAFILVTGIWFADKWQAISGSS ARDVALEFKDQGITLMGRREQNVAKELNKVIPIAAVTGASVLSLITVIGESLGLKGKA AGIVVGIAGGFSLLEVITIEYQQSGGQSALNQVLGVPGAM YBR284W MVQNNESVFFVECDSYKESPSTSPIRLDDLDGNDAVSDQGLAFD GDVGITSQARVRNPRAQIFEDSNTDVVLHLDDLDMVPLNTKFDMQMEMGSPMAMPAET PPPVEPLKTKDLAYSSLAHLPSYFFEQTHFRIDRKCLLEMSKLRRNYLTISKQDALSC PQLHSRVAGGYLKPVKEKLFGIRHFLDLEESNTVNLLQDGNYMTELFNSQINIPTFKE FREDFEWCLKIIRDRSLSRFSEKRLQYLVNKFPVFQHLHSKEEMRQSKKVPHKDFYNC RKIDLNLLLSGCFSQWQLTEFIWTKLRKEPDRVIHQAFNGSHITLSQLFKVNFEETGQ FFNGLKIIDDSFLEWYKVIYLAKYHLVNDEMEIHTGSHGKQLRYYLIAKTFLEFDNYI NGEYLAELLKTFLIKPQEESKYQLCQLSVDFQFYLHYDNSDVDNWWMVFANWLNHYNI FSNNIRWNIRISRIYPELYHTGKVKNFQEYLNLIFKPLFNAENYLHKSLGPILLKFLS QVSSIDLCIQDTDNYIWKNFTAVSCLPKDWTSGGDNPTISQYMYYVYVNLTKLNHIRQ ALHQNTFTLRSSCSPTSMNRTSQFSNTLNFTEHTEAILNNFLLACGGFLNAENLWNAP PSLVYLFYLSQIPMVVAPLNSIVDSKPTMLQEQAPTGLVLEPSKPYKKNPFMKFFEMG FKISLSSESILYNNSYTKEPIIEEYSVAASIYRLHSADLCELLRNSVITSGFSSTLKN KWLGVSLASHDYFVENTGFVDKWYDCKPNTSLEHNVPIIRRQYRSSTLAGEWRLIIA YBR285W MTIFSRFSYFDSLFSFKKQEPSPIEIIYCNENNGFINIKSLESP TDDSMEADISDREMATILTRNRNNLGKVAIDKKGVNNHCIDLNELKKGLVANEHKLDN DNSTRHQNTYSPEDSVEFDRFDDKQSRILKCSTRRSYLRYKK YBR286W MHFSLKQLAVAAFYATNLGSAYVIPQFFQEAFQQEEPIENYLPQ LNDDDSSAVAANIPKPHIPYFMKPHVESEKLQDKIKVDDLNATAWDLYRLANYSTPDY GHPTRVIGSKGHNKTMEYILNVFDDMQDYYDVSLQEFEALSGKIISFNLSDAETGKSF ANTTAFALSPPVDGFVGKLVEIPNLGCEEKDYASVVPPRHNEKQIALIERGKCPFGDK SNLAGKFGFTAVVIYDNEPKSKEGLHGTLGEPTKHTVATVGVPYKVGKKLIANIALNI DYSLYFAMDSYVEFIKTQNIIADTKHGDPDNIVALGAHSDSVEEGPGINDDGSGTISL LNVAKQLTHFKINNKVRFAWWAAEEEGLLGSNFYAYNLTKEENSKIRVFMDYDMMASP NYEYEIYDANNKENPKGSEELKNLYVDYYKAHHLNYTLVPFDGRSDYVGFINNGIPAG GIATGAEKNNVNNGKVLDRCYHQLCDDVSNLSWDAFITNTKLIAHSVATYADSFEGFP KRETQKHKEVDILNAQQPQFKYRADFLII YBR287W MVETFSFAHLAYLVFESVLQVVIIALAGFWSASSGLLPKQSQKI ISLLNVDLFTPCLIFSKLAKSLSMAKIFEIAIIPIFFGLTTGISFISGKIMSRILDLD KDETNFVVANSVFGNSNSLPVSLTLSLAYTLPNLTWDQIPNDNRDNVASRGILYLLIF QQIGQMLRWSWGYNKLMKWSGENTQHMPPSQVQSLLERTPNIDNEELVNEEQEEQELL EEENNRMNSSFLSSSSIGDKIWQKSCTVFERIRANLNPPLYSMIFAVVVAAIGPLQRE LFMEDGFINNTFAEAVTQLGSVSIPLILVVLGSNLYPSAEVFPKTVHHSKLLIGSIIG RMILPSCFLLPIIAIAVKYINVSILDDPIFLVVGFLLTVSPPAIQLTQITQLNEFFEA EMADILFWGYAVLSLPVSIIVVSGAIYVLQWANPT YBR288C MYLSFYITDTKNKLIFQYLLGATAPSFKHLWTRVQSTCPQLLED SSSDDYLDHSMVGRDLEVYKYFSVINKLNYWCLASTSKSKGPLDCFTFLETIDRILLE YFDKDKLSIKKIVNNYDRISLIFNCCVEAGEPNVSDMLYVNKIKEAVPERSDLSKFIS STAHNLQQAVQLPQQRQQQLQQNQISRGSNSLIENEEIVPWRTSRASKHENNELYVDL LETFHVVFEKKKSHLRLLTGSIHGIVDVRSYLNDNPLVAVKLNTMGNDIGIPSLHDCV EINDGVFSPSNITFIPPDGKFRLLEYSVDLSSQVKQSGVRMNSIGLMSLHFQNGLGKD SDEFELSLNIENFKKVSQVDDLKIDLQFNVENADPNEIAYKIKILRNTHGRFENSIIM GQGQWIFDKSTATGTVPVLRGCIEYENTGPNFTKKVDLQTVSLEYSYIGQSASGIYVE AIDIVSGLTIGKNTKLYKGAKYKTQTGNFQVRL YBR289W MNNQPQGTNSVPNSIGNIFSNIGTPSFNMAQIPQQLYQSLTPQQ LQMIQQRHQQLLRSRLQQQQQQQQQTSPPPQTHQSPPPPPQQSQPIANQSATSTPPPP PAPHNLHPQIGQVPLAPAPINLPPQIAQLPLATQQQVLNKLRQQAIAKNNPQVVNAIT VAQQQVQRQIEQQKGQQTAQTQLEQQRQLLVQQQQQQQLRNQIQRQQQQQFRHHVQIQ QQQQKQQQQQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQGQIPQSQQ VPQVRSMSGQPPTNVQPTIGQLPQLPKLNLPKYQTIQYDPPETKLPYPTYWSDKKADT DTLLYEQIIQRDKINKYSLIRETNGYDPFSIYGFSNKEYISRLWHTLKYYQDLKNTRM KSITSTSQKIPSASIWGNGYSGYGNGITNTTTRVIPQVEVGNRKHYLEDKLKVYKQAM NETSEQLVPIRLEFDQDRDRFFLRDTLLWNKNDKLIKIEDFVDDMLRDYRFEDATREQ HIDTICQSIQEQIQEFQGNPYIELNQDRLGGDDLRIRIKLDIVVGQNQLIDQFEWDIS NSDNCPEEFAESMCQELELPGEFVTAIAHSIREQVHMYHKSLALLGYNFDGSAIEDDD IRSRMLPTITLDDVYRPAAESKIFTPNLLQISAAELERLDKDKDRDTRRKRRQGRSNR RGMLALSGTSASNTSMNGVHNTVAAGNASSLPPGEILLPDIADIPRTFRTPVPSTLMP GGVDVGPSVESYELRNTTTYKSRPDRPKPVSPPCYIIDHIPGHSLLLSIKLPGKVNTK EEFAAAPNDTSSGTNAMLPSPESLKTKLNSNIRAGVTIPSIPNPIANHTVTNSPNPTL QPVIPGGAASKSVPTPSLPIAPPVAPHDSEATLLTNSNNGSSNNNTQNT YBR290W MPEQELLIGQEMNTLHAGSSTDGINVGNAGRTRDTQTGVEGETE IGSDEEDSIEDEGSSSGGNSTTERLVPHQLREQAARHIGKIGRHFNILDRLFKKRTQQ SSDIQQGAMFDGVFSNLSAKPDTTETEGNNEQDIPPTYDEAAADMAPSYYGMDLNNSD IYYDEICIEGLPVGNIANLLWNIIVSTSFQFIGFLITYILHTSHAAKQGSRFGLGLTF IGYGYSMIPNDVTSKVGKNKSLNRMELEDPNEFDDVRLNSQSTTQDKFESHLNHGLDE EKQNIPWLAVFVAFLGLFITLKSIYDYIQVKKLEKKYLNQSQNQA YBR291C MSSKATKSDVDPLHSFLAGSLAGAAEACITYPFEFAKTRLQLID KASKASRNPLVLIYKTAKTQGIGSIYVGCPAFIIGNTAKAGIRFLGFDTIKDMLRDSE TGELSGTRGVIAGLGAGLLESVAAVTPFEAIKTALIDDKQSATPKYHNNGRGVVRNYS SLVRDKGFSGLYRGVLPVSMRQAANQAVRLGCYNKIKTLIQDYTDSPKDKPLSSGLTF LVGAFSGIVTVYSTMPLDTVKTRMQSLDSTKYSSTMNCFATIFKEEGLKTFWKGATPR LGRLVLSGGIVFTIYEKVLVMLA YBR292C MKFPADYHYTSPFRSCKYSNILHFISLLLIFSRVGLPNYIFIFL INKAANKEHRVETLLSGNSANACKLFNILKSFQHLFFFFQICTIFSISIKLVLLKSQE YGRIPAEFSIIRSEKKKKEEQ YBR293W MSISNWITTAYLITSTSFQPLYGSFSDALGRRNCLFFANGAFTI GCLACGFSKNIYMLSFMRALTGIGGGGLITLSTIVNSDVIPSSKRGIFQAFQNLLLGF GAICGASFGGTIASSIGWRWCFLIQVPISVISSILMNYYVPNQKEYNRQNSSIFQNPG KILRDIDVMGSILIITGLTLQLLYLSLGCSTSKLSWTSPSVLLLLVGSVIILLLFILH ERKTSARAIIPMELVNSSYSVVVLSISILVGFASYAYLFTLPLFFQIVLGDSTAKAGL RLTIPSLFTPVGSLITGFSMSKYNCLRLLLYIGISLMFLGNFLFLFIEKTSPNWLIGL FLIPANLGQGITFPTTLFTFIFMFSKSDQATATSTLYLFRSIGSVWGVAISAGVIQLS FAGLLRSNLKGLLDENKIKKLIVQLSANSSYIGSLHGEVKNTVIKSFDEATKRAHLMS TLLSSLALILCILKDNLAKPKTRR YBR294W MSRKSSTEYVHNQEDADIEVFESEYRTYRESEAAENRDGLHNGD EENWKVNSSKQKFGVTKNELSDVLYDSIPAYEESTVTLKEYYDHSIKNNLTAKSAGSY LVSLFPIIKWFPHYNFTWGYADLVAGITVGCVLVPQSMSYAQIASLSPEYGLYSSFIG AFIYSLFATSKDVCIGPVAVMSLQTAKVIAEVLKKYPEDQTEVTAPIIATTLCLLCGI VATGLGILRLGFLVELISLNAVAGFMTGSAFNIIWGQIPALMGYNSLVNTREATYKVV INTLKHLPNTKLDAVFGLIPLVILYVWKWWCGTFGITLADRYYRNQPKVANRLKSFYF YAQAMRNAVVIVVFTAISWSITRNKSSKDRPISILGTVPSGLNEVGVMKIPDGLLSNM SSEIPASIIVLVLEHIAISKSFGRINDYKVVPDQELIAIGVTNLIGTFFHSYPATGSF SRSALKAKCNVRTPFSGVFTGGCVLLALYCLTDAFFFIPKATLSAVIIHAVSDLLTSY KTTWTFWKTNPLDCISFIVTVFITVFSSIENGIYFAMCWSCAMLLLKQAFPAGKFLGR VEVAEVLNPTVQEDIDAVISSNELPNELNKQVKSTVEVLPAPEYKFSVKWVPFDHGYS RELNINTTVRPPPPGVIVYRLGDSFTYVNCSRHYDIIFDRIKEETRRGQLITLRKKSD RPWNDPGEWKMPDSLKSLFKFKRHSATTNSDLPISNGSSNGETYEKPLLKVVCLDFSQ VAQVDSTAVQSLVDLRKAVNRYADRQVEFHFAGIISPWIKRSLLSVKFGTTNEEYSDD SIIAGHSSFHVAKVLKDDVDYTDEDSRISTSYSNYETLCAATGTNLPFFHIDIPDFSK WDV YBR295W MKPEKLFSGLGTSDGEYGVVNSENISIDAMQDNRGECHRRSIEM HANDNLGLVSQRDCTNRPKITPQECLSETEQICHHGENRTKAGLDVDDAETGGDHTNE SRVDECCAEKVNDTETGLDVDSCCGDAQTGGDHTNESCVDGCCVRDSSVMVEEVTGSC EAVSSKEQLLTSFEVVPSKSEGLQSIHDIRETTRCNTNSNQHTGKGRLCIESSDSTLK KRSCKVSRQKIEVSSKPECCNISCVERIASRSCEKRTFKGSTNVGISGSSSTDSLSEK FFSEQYSRMYNRYSSILKNLGCICNYLRTLGKESCCLPKVRFCSGEGASKKTKYSYRN SSGCLTKKKTHGDKERLSNDNGHADFVCSKSCCTKMKDCAVTSTISGHSSSEISRIVS MEPIENHLNLEAGSTGTEHIVLSVSGMSCTGCESKLKKSFGALKCVHGLKTSLILSQA EFNLDLAQGSVKDVIKHLSKTTEFKYEQISNHGSTIDVVVPYAAKDFINEEWPQGVTE LKIVERNIIRIYFDPKVIGARDLVNEGWSVPVSIAPFSCHPTIEVGRKHLVRVGCTTA LSIILTIPILVMAWAPQLREKISTISASMVLATIIQFVIAGPFYLNALKSLIFSRLIE MDLLIVLSTSAAYIFSIVSFGYFVVGRPLSTEQFFETSSLLVTLIMVGRFVSELARHR AVKSISVRSLQASSAILVDKTGKETEINIRLLQYGDIFKVLPDSRIPTDGTVISGSSE VDEALITGESMPVPKKCQSIVVAGSVNGTGTLFVKLSKLPGNNTISTIATMVDEAKLT KPKIQNIADKIASYFVPTIIGITVVTFCVWIAVGIRVEKQSRSDAVIQAIIYAITVLI VSCPCVIGLAVPIVFVIASGVAAKRGVIFKSAESIEVAHNTSHVVFDKTGTLTEGKLT VVHETVRGDRHNSQSLLLGLTEGIKHPVSMAIASYLKEKGVSAQNVSNTKAVTGKRVE GTSYSGLKLQGGNCRWLGHNNDPDVRKALEQGYSVFCFSVNGSVTAVYALEDSLRADA VSTINLLRQRGISLHILSGDDDGAVRSMAARLGIESSNIRSHATPAEKSEYIKDIVEG RNCDSSSQSKRPVVVFCGDGTNDAIGLTQATIGVHINEGSEVAKLAADVVMLKPKLNN ILTMITVSQKAMFRVKLNFLWSFTYNLFAILLAAGAFVDFHIPPEYAGLGELVSILPV IFVAILLRYAKI YBR296C MALHQFDYIFAIAMLFAFLDAFNIGANDVANSFASSISSRSLKY WQAMVLAGLCEFLGAVLAGARVSGTIKNNIIDSSIFTNDPAVLMLTMTSALIGSSCWL TFATAIGMPVSTTHSIVGGTIGAGIAAGGANGVVWGWSGVSQIIASWFIAPILAGAIA AIVFSISRFSVLEVKSLERSIKNALLLVGVLVFATFSILTMLIVWKGSPNLHLDDLSE TETAVSIVLTGAIASIVYFIFFYPFYRRKVLDQDWTLKLIDIFRGPSFYFKSTDDIPP MPEGHQLTIDYYEGRRNLGTTVSVEDEENKAASNSNDSVKNKEDIQEVDLVRTETEPE TKLSTKQYWWSLLKQGPKKWPLLFWLVISHGWTQDVIHAQVNDRDMLSGDLKGMYERS KFYDNRVEYIYSVLQAITAATMSFAHGANDVANATGPLSAVYVIWKTNTIGAKSEVPV WVLAYGGVALVIGCWTYGYNIIKNLGNKMILQSPSRGFSIELAVAITTVMATQLGIPT STTQIAVGGIVAVGLCNKDLKSVNWRMVAWCYSGWFLTLPIAGLIAGIINGIILNAPR FGVEYQMT YBR296C-A MKVLDDWFSRKFSKAVHGNNHGTISLSTLSYIRVHKLVK YBR297W MTLVKYACDYCRVRRVKCDGKKPCSRCIEHNFDCTYQQPLKKRG SKPIGTRSLKYIPKAKMFIDNKSCTAAAEILMKVPKKVIDQCLRLYHDNLYVIWPLLS YDDLHKLLDEEYNDHYVYWFLVALSAANLSDLQSELESEGGFSFTGKQLAVLCMSSRQ QFDDLSGRDIFRIMTYYCLLRCFSQSSDVRNSYRLCREAIGLVIVAGLHREKAYESLS FREQQLLRKVYYLLLLTERYYSVYVHCVTSLDTTIAPPQPEFVTDPRLSLDSFFEMIR VFTVPGKCFFDALATESTSGSCTEDSLKKIWKELHTASLEIEPWSYGYVDISFSRHWI RALAWKLVFQMNGTKFFSNANNAHILVEIAKDMLDDIFLTPNNLYDVHGPGIPMKSLE VANALVDIVNKYDHNMKLEAWNILCDVSKFVFSLKHCNHKMFQRFSTKCQSALIDLPI SRPLRLNDDSKDEDDIIP YBR298C MKGLSSLINRKKDRNDSHLDEIENGVNATEFNSIEMEEQGKKSD FDLSHLEYGPGSLIPNDNNEEVPDLLDEAMQDAKEADESERGMPLMTALKTYPKAAAW SLLVSTTLIQEGYDTAILGAFYALPVFQKKYGSLNSNTGDYEISVSWQIGLCLCYMAG EIVGLQMTGPSVDYMGNRYTLIMALFFLAAFIFILYFCKSLGMIAVGQALCGMPWGCF QCLTVSYASEICPLALRYYLTTYSNLCWAFGQLFAAGIMKNSQNKYANSELGYKLPFA LQWIWPLPLAVGIFFAPESPWWLVKKGRIDQARRSLERTLSGKGPEKELLVSMELDKI KTTIEKEQKMSDEGTYWDCVKDGINRRRTRIACLCWIGQCSCGASLIGYSTYFYEKAG VSTDTAFTFSIIQYCLGIAATFISWWASKYCGRFDLYAFGLAFQAIMFFIIGGLGCSD THGAKMGSGALLMVVAFFYNLGIAPVVFCLVSEIPSSRLRTKTIILARNAYNVIQVVV TVLIMYQLNSEKWNWGAKSGFFWGGFCLATLAWAVVDLPETAGRTFIEINELFRLGVP ARKFKSTKVDPFAAAKAAAAEINVKDPKEDLETSVVDEGRNTSSVVNK YBR298C-A MELFIPCPERLKKMMLKEELRKELLILRCLYHPTIQIMLPTLGT LGTEKRKEKYALSLFEPILNCVGSAKTSG YBR299W MTISDHPETEPKWWKEATIYQIYPASFKDSNNDGWGDLKGITSK LQYIKDLGVDAIWVCPFYDSPQQDMGYDISNYEKVWPTYGTNEDCFELIDKTHKLGMK FITDLVINHCSTEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWKSFFGG SAWTFDETTNEFYLRLFASRQVDLNWENEDCRRAIFESAVGFWLDHGVDGFRIDTAGL YSKRPGLPDSPIFDKTSKLQHPNWGSHNGPRIHEYHQELHRFMKNRVKDGREIMTVGE VAHGSDNALYTSAARYEVSEVFSFTHVELGTSPFFRYNIVPFTLKQWKEAIASNFLFI NGTDSWATTYIENHDQARSITRFADDSPKYRKISGKLLTLLECSLTGTLYVYQGQEIG QINFKEWPIEKYEDVDVKNNYEIIKKSFGKNSKEMKDFFKGIALLSRDHSRTPMPWTK DKPNAGFTGPDVKPWFFLNESFEQGINVEQESRDDDSVLNFWKRALQARKKYKELMIY GYDFQFIDLDSDQIFSFTKEYEDKTLFAALNFSGEEIEFSLPREGASLSFILGNYDDT DVSSRVLKPWEGRIYLVK YBR301W MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYSFQVAHPTETYPVEIAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YBR302C MKENELKNEKSVDVLSFKQLESQKIVLPQDLFRSSFTWFCYEIY KSLAFRIWMLLWLPLSVWWKLSNNCIYPLIVSLLVLFLGPIFVLVICGLSRKRSLSKQ LIQFCKEITENTPSSDPHDWEVVAANLNSYLYENNVWNTKYFFFNAMVCQEAFRTTLL EPFSLKKDKAAKVKSFKDSVPYIEEALGVYFTEVEKQWKLFNTEKSWSPVGLEDAKLP KEAYRFKLTWFLKRISNIFMLIPFLNFLCCIYVSRGMCLLLRTLYLGWILFMLVQGFQ NIRVLIMSMEHKMQFLSTIINEQESGANGWDEIARKMNRYLFEKKAWKNEEFFFDGID CEWFFNHFFYRVLSAKKSMWPLPLNVELWPYIKEAQLSRSEVLLV YCL073C MSSSVVGASSNKKSGIRQSCEIIERERHSNDDTYSMTSTFFKLK ENEIMSAQFDSLKYKILLISTAFVCGFGISLDYTLRSTYTGYATNSYSEHSLLSTVQV INAVVSVGSQVVYSRLSDHFGRLRLFLVATIFYIMGTIIQSQATRLTMYAAGSVFYNC GYVGTNLLLTLILSDFSSLKWRMFYQYASYWPYIIIPWISGNIITAANPQKNWSWNIA MWAFIYPLSALPIIFLILYMKYKSSKTAEWRSLKEQARKERTGGLFENLVFLFWKLDI VGILLITVSLGCILVPLTLANETSQKWHNSKIIATLVSGGCLFFIFLYWEAKFAKSPL LPFKLLSDRGIWAPLGVTFFNFFTFFISCDYLYPVLLVSMKESSTSAARIVNLPDFVA ATASPFYSLLVAKTRKLKLSVIGGCAAWMVCMGLFYKYRGGSGSHEGVIAASVIMGLS GLLCSNSVIVILQAMTTHSRMAVITGIQYTFSKLGAAIGASVSGAIWTQTMPNQLYKN LGNDTLAEIAYASPYTFISDYPWGSPERDAVVESYRYVQRIIMTVGLACTVPFFAFTM FMRDPELIDKATHEEFTEDGLVVLPDEENIFSQIKALFRHNRSNKKLGC YCL069W MNMLIVGRVVASVGGSGLQTLCFVIGCTMVGERSRPLVISILSC AFAVAAIVGPIIGGAFTTHVTWRWCFYINLPIGGLAIIMFLLTYKAENKGILQQIKDA IGTISSFTFSKFRHQVNFKRLMNGIIFKFDFFGFALCSAGLVLFLLGLTFGGNKYSWN SGQVIAYLVLGVLLFIFSLVYDFFLFDKFNPEPDNISYRPLLLRRLVAKPAIIIINMV TFLLCTGYNGQMIYSVQFFQLIFASSAWKAGLHLIPIVITNVIAAIASGVITKKLGLV KPLLIFGGVLGVIGAGLMTLMTNTSTKSTQIGVLLLPGFSLGFALQASLMSAQLQITK DRPEAAMDFIEVTAFNTFMKSLGTTLGGVLSTTVFSASFHNKVSRAHLEPYEGKTVDD MILYRLQNYDGSHSTIGNILSDSIKNVFWMDLGFYALGFLFCSFSSNKKLIIPKKDET PEDNLEDK YCL068C MFVLIDNVLAYLLEQDDLFVTARFAIQGQIVSRRVNKIHISNIT DVLLQQFISHTLPYNDNIVPKKILDSMRTAVRQLLEATACVSRECPLVKRSQDIKRAR KRLLSDWYRLGADANMDAVLLVVNSAWRFLAVWRPFVNSIQHATQELYQNIAHYLLHG NVNIQRVTALLQLVMGQDDLLFSMDDVLQEVFRIQLYLNKMLPHNSHKWQKPSPFDSA NLLLNFRDWTTDNALLQELLLSYPTINKNKHKNHSVPRLIQV YCL067C MNKIPIKDLLNPQITDEFKSSILDINKKLFSICCNLPKLPESVT TEEEVELRDILGFLSRANKNRKISDEEKKLLQTTSQLTTTITVLLKEMRSIENDRSNY QLTQKNKSADGLVFNVVTQDMINKSTKPYRGHRFTKENVRILESWFAKNIENPYLDTK GLENLMKNTSLSRIQIKNWVSNRRRKEKTITIAPELADLLSGEPLAKKKE YCL066W MFTSKPAFKIKNKASKSYRNTAVSKKLKEKRLAEHVRPSCFNII RPLKKDIQIPVPSSRFLNKIQIHRIASGSQNTQFRQFNKTSIKSSKKYLNSFMAFRAY YSQFGSGVKQNVLSSLLAEEWHADKMQHGIWDYFAQQYNFINPGFGFVEWLTNNYAEV RGDGYWEDVFVHLAL YCL064C MSIVYNKTPLLRQFFPGKASAQFFLKYECLQPSGSFKSRGIGNL IMKSAIRIQKDGKRSPQVFASSGGNAGFAAATACQRLSLPCTVVVPTATKKRMVDKIR NTGAQVIVSGAYWKEADTFLKTNVMNKIDSQVIEPIYVHPFDNPDIWEGHSSMIDEIV QDLKSQHISVNKVKGIVCSVGGGGLYNGIIQGLERYGLADRIPIVGVETNGCHVFNTS LKIGQPVQFKKITSIATSLGTAVISNQTFEYARKYNTRSVVIEDKDVIETCLKYTHQF NMVIEPACGAALHLGYNTKILENALGSKLAADDIVIIIACGGSSNTIKDLEEALDSMR KKDTPVIEVADNFIFPEKNIVNLKSA YCL063W MATQALEDITERLLIRSQEAILQLDLWIQRQQRSSICQTTDQES LDKLSQQYNQYMSQLNSLYVRSESVRDKLSKEQQRRLITEDNEHQRIEDLVREFQDIT LRLNELATVPNEAPNDSPQSQSTRSSLGSFQPRPLKIIERQRLCMVTPSKPPKKSVGF NPINEVDCPSKTNSLPCSPKKQPARNRTLRAAKSHDTGLNKSKKPSSSDTYESFFKNR QRLSLTFFDEMDDEDFDSDQDTIILPNISTPPHVGVTAKGAEFEPLRRYNSHESILSN KPAPSKSLNLGSFSASFFRPSNPTFGTSISNVQVNCHPTVAATMAPSRNGPRISSSKA LLSSFIARSDTHTVKENNTNLKHASFMDKFNSSLSTISESFQSKRGRKNKGMNEERIS NHNVAQEQKNNMDISVSIEELQDALNTELLF YCL061C MDDALHALSSLTAKKRTTTYKKVAVPILDENDNTNGNGPNDIDN PPELTGNGFLFANATLNRVKNRLEGKKAPEQNHNNGKDRSENSLPTQLISNLYDGGEE LEKSEVKDNSYSEKNVSSSFTQTQRIPVSIQQDKVFNVPIHSVNDGKPTQLIKEDGLV NETSQALKTPLTTGRPGATQRIDSSGATSQTQPIKSIEPQSQIITTSSNHSNALSPKI PIIPTELIGTSPLFQSIQNRGPDTQMDVPPQTAHDEDKTQAIGIPQATHQEQKTQIDT VAQTLQDEVPHTLKIREIQSELASEDSKREKARNVEYKKPQKPIPTKKFFSKESFLAD FDDSSSNEDDDIKLENAHPKPVQNDDELHENKSVELNLTDETRINEKRVPLLSSYANN LKREIDSSKCITLDLDSDSDEYGDDDMDSIKLSKDESVLPISQLSKATILNLKARLSK QNQKLSQRPNKSKDPKVDHNVLLNTLRKASRKQILDHQKEVIETKGLKLEDMAKEKEI VENLLEQEILRNKRIRQKEKRREKLEENDFQLNAHDSGSDSGSESSGFALSGNEIADY ESSGSENDNRRESDSEKEDDEIILKQKKSHHVKHIINESDSDTEVEAKPKEKADESLP KRIAINLGHYGDNIGEDTDKFQETNVLDTQNIEEVMAERNTIENEVKDDVYVNEEADE AIRRQLIDKEKLQLKQKEKEHEAKIKELKKRGVTNFFEMEAEESEDEWHGIGGADGEG SDDYDSDLEKMIDDYSKNNFNPHEIREMLAAENKEMDIKMINKILYDIKNGGFRNKRA KNSLELELSDDDEDDVLQQYRLKRRELMRKRRLEIGDDAKLVKNPKSSAFFESMVEDI IEYKNPFGAEEEYNLDITSTATDLDTQDNSINVGDNTGNNEQKPVDQKNKKVIISEDF VQKSLSFLKSNNYEDFETDKELSRIQHGNDEAIEDLYTLKQNSSIKSFTNSQTDSTTS KTVNTIIDLEKRPEDEDEVENGDTSLVGVFKHPSIIKSFASRTDINDKFKEGNKTVKI LKSYKTVGSSKASITYMGKTRKLIAPKRKTEGSHRYHHDHHNKKMKMKTKTKSNKLFE SGQDSFDN YCL059C MVSTHNRDKPWDTDDIDKWKIEEFKEEDNASGQPFAEESSFMTL FPKYRESYLKTIWNDVTRALDKHNIACVLDLVEGSMTVKTTRKTYDPAIILKARDLIK LLARSVPFPQAVKILQDDMACDVIKIGNFVTNKERFVKRRQRLVGPNGNTLKALELLT KCYILVQGNTVSAMGPFKGLKEVRRVVEDCMKNIHPIYHIKELMIKRELAKRPELANE DWSRFLPMFKKRNVARKKPKKIRNVEKKVYTPFPPAQLPRKVDLEIESGEYFLSKREK QMKKLNEQKEKQMEREIERQEERAKDFIAPEEEAYKPNQN YCL058C MQYHSALYVYIYVTFTTIPYKEKPDIISICFSMLSFVFDFSVRI CSRTLESFSWSLISSSAFKVVSAFSLAGSCVLASRSSVGIIVSLLLFNFSTCNFVLFL SAVLIDLFFCTFLPTPTFLPTPFFFMLHLPIFSLLNALELLYLIIAGLHI YCL058W-A MGKCSMKKKGVGKNVGVGKKVQKKRSISTAERKRTKLQVEKLNK SSETMIPTLLREASTQEPAKLKAETTLKAEELIKDQEKDSKVREQIRTEKSKTNDSML KQIEMISGFSL YCL057C-A MSEQAQTQQPAKSTPSKDSNKNGSSVSTILDTKWDIVLSNMLVK TAMGFGVGVFTSVLFFKRRAFPVWLGIGFGVGRGYAEGDAIFRSSAGLRSSKV YCL057W MRLLLCKNWFASPVISPLLYTRSLYSMANTTSFPIAPQAPPNWS FTPSDISGKTNEIINNSNNFYDSMSKVESPSVSNFVEPFMKFENELGPIINQLTFLQH VSSDKEIRDASVNSSMKLDELNIDLSLRHDIFLQFARVWQDVQSKADSVERETFKYVE KSYKDYIHSGLELDEGNRLKIKEIKKKISVNSINFSKNLGEQKEYITFTKEQLEGVPD SILTQFETIKSDKDSNETLYKVTFKYPDIFPVMKLASSAQTRKQAFLADQNKVPENEA ILLDTLKLRDELASLLGYDTYANYNLYDKMAEDSTTVMNFLNDLKDKLIPLGRKELQV LQDMKAEDVKKLNQGADPNYYIWDHRYYDNKYLLENFNVDLEKISEYFPLEATITGML EIYETLFNLKFIETKDSQNKSVWHDDVKQIAVWNMDDPKSPNFVGWIYFDLHPRDGKY GHAANFGLSSSFMIDDTTRSYPVTALVCNFSKSTKDKPSLLKHNEIVTFFHELGHGIH DLVGQNKESRFNGPGSVPWDFVEAPSQMLEFWTWNKNELINLSSHYKTGEKIPESLIN SLIKTKHVNGALFTLRQLHFGLFDMKVHTCKDLQNLSICDTWNQLRQDISLISNGGTL SKGYDSFGHIMSDSYSAGYYGYLWAEVFATDMYHTKFAKDPLNAKNGIQYRDIVLARG GLYDINDNLKEFLGREPSKDAFLKELGLQN YCL056C MVSKKNTAEISAKDIWENIWSGVSSLLDFFAVLENLGVVNDKLY VSGLLRKVWLCYSCISVIKCVWKLIKLCKVKFKIDQRLDGEGNGLVKDKLINFKKKYN EHIRHITAALLQDLSYLMVLIYPGTRLFKRLSNIITLCRIIV YCL055W MAFQDPTYDQNKSRHINNSHLQGPNQETIEMKSKHVSFKPSRDF HTNDYSNNYIHGKSLPQQHVTNIENRVDGYPKLQKLFQAKAKQINQFATTPFGCKIGI DSIVPTLNHWIQNENLTFDVVMIGCLTENQFIYPILTQLPLDRLISKPGFLFIWANSQ KINELTKLLNNEIWAKKFRRSEELVFVPIDKKSPFYPGLDQDDETLMEKMQWHCWMCI TGTVRRSTDGHLIHCNVDTDLSIETKDTTNGAVPSHLYRIAENFSTATRRLHIIPART GYETPVKVRPGWVIVSPDVMLDNFSPKRYKEEIANLGSNIPLKNEIELLRPRSPVQKA Q YCL054W-A MIRQKIFVFIVKSRRNSICPAIRRKEDY YCL054W MGKTQKKNSKGRLDRYYYLAKEKGYRARSSFKIIQINEKYGHFL EKSKVVIDLCAAPGSWCQVASKLCPVNSLIIGVDIVPMKPMPNVITFQSDITTEDCRS KLRGYMKTWKADTVLHDGAPNVGLGWVQDAFTQSQLTLQALKLAVENLVVNGTFVTKI FRSKDYNKLIWVFQQLFEKVEATKPPASRNVSAEIFVVCKGFKAPKRLDPRLLDPKEV FEELPDGQQNMESKIYNPEKKVRKRQGYEEGDNLLYHETSILDFVRTEDPISMLGEMN KFTIDENDHEWKILKKLKQTTDEFRSCIEDLKVLGKKDFKMILRWRKIAREILGIEVK DDAKTEIEVVPLTEEEQIEKDLQGLQEKQRLNVKRERRRKNEMKQKELQRMQMNMITP TDIGIEAASLGKESLFNLKTAEKTGILNDLAKGKKRMIFTDDELAKDNDIYIDENIMI KDKDSAADADDLESELNAMYSDYKTRRSERDAKFRAKQARGGDNEEEWTGFNEGSLEK KEEEGKDYIEDNDDEGVEGDSDDDEAITNLISKLKGQEGDHKLSSKARMIFNDPIFNN VEPDLPVNTVNDGIMSSESVGDISKLNKKRKHEEMHQKQDEADSSDESSSDDSDFEIV ANDNASEEFDSDYDSEEEKNQTKKEKHSRDIDIATVEAMTLAHQLALGQKNKHDLVDE GFNRYTFRDTENLPDWFLEDEKEHSKINKPITKEAAMAIKEKIKAMNARPIKKVAEAK ARKRMRAVARLEKIKKKAGLINDDSDKTEKDKAEEISRLMRKVTKKPKTKPKVTLVVA SGRNKGLAGRPKGVKGKYKMVDGVMKNEQRALRRIAKKHHKKK YCL052C MVTRHRVTVLYNAPEDIGNHMRQNDTHLTVRGGSGVVLQQRWLL ERTGSLDKSFTRITWRPRADLARSLSVIENELSAGFSVYSNSSDVPERFITNPVYNSF HSEKFDIEQYLPPEVDLNLSWNPEDFTYDISVEPTQIQIVEYRLLKQGEEFTIARVKD EKLEVGVFFVDASDESDVDIGGIRCNWRMDDGKMERCQKTSLLYKQGHIAYNHSTTTT SLYLNEPIGLHPKIMIDLTDFEERPKCMYLMHLQLPLELFIDKFQSSPLLLFGEDDLE LPEYSLRDKAWGSESIFELKAGTMNEVTLHTRYIEPSNNKGDKLEVSFDPEVILACDT GDNKVSRNPFYKKGLGYESLFTDDTTFRHLNSTTLLVPIPRPDTKDYSKIKNGTLLCL LISIIYIFSKVFGNNKKKRSVKRE YCL051W MPNTHTQHVQISEPNPVNTLSTPSKRGHRHRRSLAISGDFDFLK QPAAIVNLPPPQAAENCPSTAPTAVSSTLSPIRYNRFPCKTNEDAGTLDLPEPRFYPL SPKNNLQTPSPRFFISEEPSFSSPVKGVPDAIINLDDALKTRPRSFKSHRRSESAPPD LEVMVDKGNCAAGSNSMIKEEEDSLIEPESKNEYYEQKLPTALLSPLRPSLCVSEQAI DVDDSALNGSPTHHNHGMQNANARNSNTFNSLKIKGQKQRYYHYTKQLPLTVGCDSQS PKEQRSAASMTINQAMTPSSLAYTPSKLASTPATPVSFYDSNADINLESDNFPLKDNP RYAKDGYPKKCGNSQLNRVLDSDKRQDFSGESRRRRSGSPISHMQHRNLIDNMKGRRN SNTINSIFNYKSQHYEMPYDDMMKNENINAQSMPFSVNGVNNENSIGGVITRADDAPL QHSVVKSCTPDGKEEMNRLKSNDSNEYSKSEGQIRTNSQLSKDILMGEPGDMVDLSSF VNAQRKASNETGDLVFSLSQDDDALKTFHASNSAATSNESWCISDDALGKQAQDSEVR RKRKSKLGLFRHIFSRK YCL050C MSIPADIASLISDKYKSAFDNGNLKFIQTETTKTKDPKTSMPYL ISHMPSLIEKPERGQTPEGEDPLGKPEEELTVIPEFGGADNKAYKLLLNKFPVIPEHT LLVTNEYQHQTDALTPTDLLTAYKLLCALDNEESDKRHMVFYNSGPASGSSLDHKHLQ ILQMPEKFVTFQDRLCNGKEHFLPTFNTEPLQDAKVSFAHFVLPMPESEETVDEDLLA MCYISILQRALTFFQDWLNENPELKKSYNLMLTKEWICVVPRSKAFSDEMKIGFNSTG YCGMILTKNDEVFSKITEKPELINDILLECGFPNTSGQKPNEYNY YCL049C MFSKYLVTASSLFVALTSAASTVDLDALLLLPGVESHDGVDTVF STKDFYQVSFVKSIAPAIVNSSVIFHDVSRGVAMGNVKSRASIFNPEETYYDWEQYQV VNNGDWRTEWAPASDCIWREEKDNSDETPDRFPISVPYNWTSQYSIVDYDTDANEDNL DFRFIKSLLDKKNWLKKINQTVSQSSIMVAPMIRPYNVVQLWYSKDMVWANVQRQYCS GVYPGGTQCSAWSRYYHVDAPTCDEPVASYMTKMLENEVQCPNERNATTLEPLRLNKQ GDSDFSLTFEEEEEEETGSKSLWSTLKKIFSKRSIS YCL048W-A MQIKNIVAVLATVTAINAQVGIEPNATTPNATQPNATQPNTTLP TASVTTTVSIGEAVVNTMAAGAFGAAIAAGVAFLF YCL048W MNRITRKSCLFAIIFASLFVTHALGAAIDPPRRPHNVKPFHNGN LELQRRANEPFFEIDVKSLNTNSPISELCKKDLHVIESSHDLFHLQNQCEFILGSLKV TNYDSNILDLNSLRAIGGDLIIQDSPELIRIQAGNLNKIEGLFQLQGLTSLVSVEIPT LKFCQSLEWKVVPILNYVSMDSQNIEIIKDIVISDTSLANIENFNKVQEIDTFNINNN RFLETIHSNVKTIRGQFSVHANAKELELEMPHLREVENITIRDTSLVYLPQLTKVKSS LEFIENYFYELNLNNLQKIGGTLGIINNVNLIKVNLENLTDIQGGLMIADNESLEDIT FLPNLKQIGGAIFFEGSFKDIMFDSLKLVKGSAFIKSSSNVLDCNKWTNPSNGRSIIR GGKFTCISGKKENTLNVKQDGTIIEKGYKDLTQEGEDSKKRVISKYANSANPSMQLDP LLFGTCLVAMLLF YCL047C MKKTFEQFRKSNLLFQVLKGPQHLECQKLFVLDSSFNPPHLAHF QLLSQTIKNFKLKDTRSHVLLLLAVNNADKLPKPASFPTRLEMMCLFADYLQEKLPQS VVSVGLTVFSKFIDKDKILHEQFVKGCSADIGYLVGFDTIARIFDEKYYHPLKISDVM ESFMSGSQLYCLARGDCHLSAESQLRYASDILEGKFEPVIPREWGARIHVMQNDYPAL RNVSSSEIRNKLKNGQVESLKDELPLCIYDYLINNKTIFD YCL045C MKITCTDLVYVFILLFLNTSCVQAVFSDDAFITDWQLANLGPWE KVIPDSRDRNRVLILSNPTETSCLVSSFNVSSGQILFRNVLPFTIDEIQLDSNDHNAM VCVNSSSNHWQKYDLHDWFLLEEGVDNAPSTTILPQSSYLNDQVSIKNNELHILDEQS KLAEWKLELPQGFNKVEYFHREDPLALVLNVNDTQYMGFSANGTELIPVWQRDEWLTN VVDYAVLDVFDSRDVELNKDMKAELDSNSLWNAYWLRLTTNWNRLINLLKENQFSPGR VFTKLLALDAKDTTVSDLKFGFAKILIVLTHDGFIGGLDMVNKGQLIWKLDLEIDQGV KMFWTDKNHDELVVFSHDGHYLTIEVTKDQPIIKSRSPLSERKTVDSVIRLNEHDHQY LIKFEDKDHLLFKLNPGKNTDVPIVANNHSSSHIFVTEHDTNGIYGYIIENDTVKQTW KKAVNSKEKMVAYSKRETTNLNTLGITLGDKSVLYKYLYPNLAAYLIANEEHHTITFN LIDTITGEILITQEHKDSPDFRFPMDIVFGEYWVVYSYFSSEPVPEQKLVVVELYESL TPDERLSNSSDNFSYDPLTGHINKPQFQTKQFIFPEIIKTMSISKTTDDITTKAIVME LENGQITYIPKLLLNARGKPAEEMAKDKKKEFMATPYTPVIPINDNFIITHFRNLLPG SDSQLISIPTNLESTSIICDLGLDVFCTRITPSGQFDLMSPTFEKGKLLITIFVLLVI TYFIRPSVSNKKLKSQWLIK YCL044C MAVFTPPSGNSNSTDHTHTQDDHDKDDNDIKKFYIRPSLGLKLW GPLVPAPDNLPGLYTLITIQSAVGFFALWRLRRLYKLPPPRRIATGTHSDLSFGELPS EMIVNGKTKIKKDIADFPTLNRFSTTHGDIVLAPPPIIPRQSRFVSVRKLLWGLFGSL LLSQSLLELTRLNFLKYDPWCDEMKSVRDKKFFNNIVKYYHEGIDPTKIKVKDAMNGT PLSTNIPEVKQSVALARAQVEAQNPIIKWFGPLEYKPMSFNEYLNRMEFHLDMFEFFQ NKRNIRENSIELINSISHNPQSSSTGLEGLSESKKLHLQNVEKRLHFLASSGDSISAP VKKRSSTTLSRGVILPHDTKGPQDIDLDTIRSLYDPWMTLALETSLSIKFIPTTMPSH TKTPTSTDQPLPGPTPKALTNEKTH YCL043C MKFSAGAVLSWSSLLLASSVFAQQEAVAPEDSAVVKLATDSFNE YIQSHDLVLAEFFAPWCGHCKNMAPEYVKAAETLVEKNITLAQIDCTENQDLCMEHNI PGFPSLKIFKNSDVNNSIDYEGPRTAEAIVQFMIKQSQPAVAVVADLPAYLANETFVT PVIVQSGKIDADFNATFYSMANKHFNDYDFVSAENADDDFKLSIYLPSAMDEPVVYNG KKADIADADVFEKWLQVEALPYFGEIDGSVFAQYVESGLPLGYLFYNDEEELEEYKPL FTELAKKNRGLMNFVSIDARKFGRHAGNLNMKEQFPLFAIHDMTEDLKYGLPQLSEEA FDELSDKIVLESKAIESLVKDFLKGDASPIVKSQEIFENQDSSVFQLVGKNHDEIVND PKKDVLVLYYAPWCGHCKRLAPTYQELADTYANATSDVLIAKLDHTENDVRGVVIEGY PTIVLYPGGKKSESVVYQGSRSLDSLFDFIKENGHFDVDGKALYEEAQEKAAEEADAD AELADEEDAIHDEL YCL042W MEKKKILDAALAPRIISGVPTDGQPLSGGPLSWAWCHTTLKRWA LMKTRPYKYPLSTEPPTPPSVPNSASVNHNTTTNTTLSYTRCHSTTYTKPLRERSSRP WTRSATISRLPPRSWTN YCL040W MSFDDLHKATERAVIQAVDQICDDFEVTPEKLDELTAYFIEQME KGLAPPKEGHTLASDKGLPMIPAFVTGSPNGTERGVLLAADLGGTNFRICSVNLHGDH TFSMEQMKSKIPDDLLDDENVTSDDLFGFLARRTLAFMKKYHPDELAKGKDAKPMKLG FTFSYPVDQTSLNSGTLIRWTKGFRIADTVGKDVVQLYQEQLSAQGMPMIKVVALTND TVGTYLSHCYTSDNTDSMTSGEISEPVIGCIFGTGTNGCYMEEINKITKLPQELRDKL IKEGKTHMIINVEWGSFDNELKHLPTTKYDVVIDQKLSTNPGFHLFEKRVSGMFLGEV LRNILVDLHSQGLLLQQYRSKEQLPRHLTTPFQLSSEVLSHIEIDDSTGLRETELSLL QSLRLPTTPTERVQIQKLVRAISRRSAYLAAVPLAAILIKTNALNKRYHGEVEIGCDG SVVEYYPGFRSMLRHALALSPLGAEGERKVHLKIAKDGSGVGAALCALVA YCL039W MSHTNKIAYVLNNDTEETASPSSVGCFDKKQLTKLLIHTLKELG YDSAANQLLLESGGYQNESNHIQTFFKLIKTGQFHLINWQIVCSLPLAHSSPLRSEWL QRLLIPTPTPATTSLFDHMLLQLQYLQQLMSSVNSSTCSDAEIATLRNYVEIMILVNR QIFLEFFHPVTNSASHKGPHTALPVLYLRKILKNFIEIWDSLLVSNDQFLNEENIFNP ETTLRELSTYLTNPKLTAQLNLERDHLIDAISKYIDPNELVPKGRLLHLLKQAIKYQQ SQDIFNIIDPDDDASFSSPPHRINLLQDNFSHDLTVTFQEWKTIQDTTDEIWFLTFSP NGKYLASATSESSRGYFITVYDVEQDFKIYKTCVSLSQSVLYLMFSPDSRYLVACPFS EDVTIYDMNATSLPDASATDSFLLYPSTRLSPMDSFKLDTTTYPDDTESSASSSSRPA NANSNQSRVWCCDAFHTAERAGWMVVGSPDREAIVHSLTTKESLFSLKGRTCIALGHD ENISGRKSIDPAKVLYKPTSSNGNWQYVEDDETFPRVHDVKISYDDKYVLLMTHQGVI DVYDFSGFPSKEELSKQTVDPKNFLIPRIARLDVGKNMTCISLPLNTTHQGFHRQQIS ESQHLVLVSLQDNELQMWDYKENILIQKYFGQKQQHFIIRSCFAYGNKLVMSGSEDGK IYIWDRIRGNLVSVLSGHSTVMSNSTKPMGKNCNVVASNPADKEMFASGGDDGKIKIW KISRN YCL038C MSYGTINDMNESVTNYRIKKAQNNIKGWYAYSFSSEPFVVSAVS TYIPLLLQQFASINGVKVHDHSIPCLSETGSDSDKCVLGLFNNRIFVDTSSFALYVFS LSVLFQTIIVISVSGIVDLWGSVKFKGRILVWFGIVGALSTVAISKLNDTQIYSLAGL YIVANGCFGVINVVGNSLLPIFVKDSLKCQSQGAYEPDKVDSLTTVISGRGASLGYSS ALIVQIVSMFLVASKKGSKQDVQVAVLFVGIWWFVWQLPMIWLIDDVTIPIRVDDSTL ASARSPYPGEQDALGQLNWKNYLSYGWVSLFESFKHARLLKDVMIFLIAWFIISDSIT TINSTAVLFSKAELHMSTLNLIMISVLTVVNAMLGAFMIPQFLATKFRWTSSQTLMYI IIWASFIPFYGILGFFFNAFGLKHKFEMFLLAIWYGLSLGGLSAVSRSVFSLIVPPGK ESTFFSMFSITDKGSSILGPFLVGLLTDKTHNIRYSFYFFFLLLMLSLPVLNCLDVKR GRREAEELSQVLPESERRLD YCL037C MSAETAAANTATAPVPEVQEQESSKSKQVNLTPAPLPTSSPWKL APTEIPVSTISIEDLDATRKKKNRTPTPKSSTATKWVPIKASITVSGTKRSGSKNGAS NGNSNKSKNNKTAASSTSSSNANRKKKHHQHNAKKQQQMKKDGFESAVGEEDSKDATS QENGQSTQQQQPPHHRNHHHSHHHNSNGPQRRKFHNSNNAGMPQNQGFPPQFKPYQGR NARNNNNNRSKYHNHFHHNQQHPQQPMVKLQQQFYPVQPVLMAINNIARQIEYYFSEE NLTVDNYLRSKLSKDGFAPLSLISKFYRVVNMSFGGDTNLILAALREIVANEAATVNV AEGTLAAKEGDNVTGEAKEPSPLDKYFVRSKSWSNWLPETFETEINIEKELVGDALDQ FMISLPPVPQQEEESSTELASQEQETKEDSAPVAAGESESSL YCL036W MQVQKMVRDNSNNGSDKSVHWERRNNNGAGPRYRSRSGNTGALA TKLSNGTLSVRGLVKDRTGSGKIAGCVEAFLDARTQLNTPWDRAKCNWLDQIDYYVQL RKTAFSKELDQLRKPMIDAYVAEMRQKFDASYGQSRAQLEAKLAQVDSEWHMVHGDVH AKLEKLVEERRFLKRLSDTIVPPRSKRSQRLSPLTKEDRANCICPQPKGMSDTAWFEA IQKKMLGMNGTIKLLETEQKLLADEKNSVRKTFWPMVEAHSRSNEFAYLEKCIRLMAS QRAICFCLDIEAFETNQNVITEIGISIYDPRENMVPSMVPITKNYHLIIEESLELRNQ KWVCDYKDCYLLGESYVLSLKECVHFIQSLINYYLVPVTEEDKTWSRAFVGHHVSGDL KWLETIGVKFPGRGYEGHLDHTLLLAETPGDLDVFILDTEQFYRKSYGEKGSSLGKIL RLFEIPHAFLHNAGNDAYYTLHLFMKFCDVNFRKISGMDDVLKVMGQVKVWGERDVRE PKVVPMSYAISIEEAVKNRTYRKGVKSSRKERVCQTEFGGLTYFGTAKDAFTSTLPTH YCL035C MVSQETIKHVKDLIAENEIFVASKTYCPYCHAALNTLFEKLKVP RSKVLVLQLNDMKEGADIQAALYEINGQRTVPNIYINGKHIGGNDDLQELRETGELEE LLEPILAN YCL034W MGFLSDHPHTAITETIFRIVSSRDYTLEVELAPLIQLIKADHND YNYTVNQEEAARALRKKIKYGNRLQQSRTLDLLDLFISQGVKFTVMYNDDKLLQRLRG MATNSENSGSGEKYEPRIIKKCAAYAISWLNYITQNNLENARAYSGLYQLGQTVKQRY SKSSRSRRSGGGSGGRSNFMDDSADDTLYQSNSLTSADRLYRIPQINMNKEAPRIRLI ISDALASAVSLQNSLIGLPKGKFSTDDEEATSKFIQARAIRRKVLRYLQLVTEGEFLG SLIHANDELVAALTAYDDRSAQDDSSDESDHGSYDDGIYDENEQDNSRYIDSESSEEE SLSSYQPSTISNPFGDHNKI YCL033C MNKWSRLYVITVRRTFPGRRNIVLTQYWNKSKKMSDESNDVKWN DALTPLQLMVLRDKATERPNTGAYLHTNESGVYHCANCDRPLYSSKAKFDARCGWPAF YEEVSPGAITYHRDNSLMPARVEICCARCGGHLGHVFEGEGWKQLLNLPKDTRHCVNS ASLNLKKD YCL032W MEDGKQAINEGSNDASPDLDVNGTILMNNEDFSQWSVDDVITWC ISTLEVEETDPLCQRLRENDIVGDLLPELCLQDCQDLCDGDLNKAIKFKILINKMRDS KLEWKDDKTQEDMITVLKNLYTTTSAKLQEFQSQYTRLRMDVLDVMKTSSSSSPINTH GVSTTVPSSNNTIIPSSDGVSLSQTDYFDTVHNRQSPSRRESPVTVFRQPSLSHSKSL HKDSKNKVPQISTNQSHPSAVSTANTPGPSPNEALKQLRASKEDSCERILKNAMKRHN LADQDWRQYVLVICYGDQERLLELNEKPVIIFKNLKQQGLHPAIMLRRRGDFEEVAMM NGSDNVTPGGRL YCL031C MGIEDISAMKNGFIVVPFKLPDHKALPKSQEASLHFMFAKRHQS SNSNESDCLFLVNLPLLSNIEHMKKFVGQLCGKYDTVSHVEELLYNDEFGLHEVDLSA LTSDLMSSTDVNEKRYTPRNTALLKFVDAASINNCWNALKKYSNLHAKHPNELFEWTY TTPSFTTFVNFYKPLDIDYLKEDIHTHMAIFEQREAQAQEDVQSSIVDEDGFTLVVGK NTKSLNSIRKKILNKNPLSKHENKAKPISNIDKKAKKDFYRFQVRERKKQEINQLLSK FKEDQERIKVMKAKRKFNPYT YCL030C MVLPILPLIDDLASWNSKKEYVSLVGQVLLDGSSLSNEEILQFS KEEEVPLVALSLPSGKFSDDEIIAFLNNGVSSLFIASQDAKTAEHLVEQLNVPKERVV VEENGVFSNQFMVKQKFSQDKIVSIKKLSKDMLTKEVLGEVRTDRPDGLYTTLVVDQY ERCLGLVYSSKKSIAKAIDLGRGVYYSRSRNEIWIKGETSGNGQKLLQISTDCDSDAL KFIVEQENVGFCHLETMSCFGEFKHGLVGLESLLKQRLQDAPEESYTRRLFNDSALLD AKIKEEAEELTEAKGKKELSWEAADLFYFALAKLVANDVSLKDVENNLNMKHLKVTRR KGDAKPKFVGQPKAEEEKLTGPIHLDVVKASDKVGVQKALSRPIQKTSEIMHLVNPII ENVRDKGNSALLEYTEKFDGVKLSNPVLNAPFPEEYFEGLTEEMKEALDLSIENVRKF HAAQLPTETLEVETQPGVLCSRFPRPIEKVGLYIPGGTAILPSTALMLGVPAQVAQCK EIVFASPPRKSDGKVSPEVVYVAEKVGASKIVLAGGAQAVAAMAYGTETIPKVDKILG PGNQFVTAAKMYVQNDTQALCSIDMPAGPSEVLVIADEDADVDFVASDLLSQAEHGID SQVILVGVNLSEKKIQEIQDAVHNQALQLPRVDIVRKCIAHSTIVLCDGYEEALEMSN QYAPEHLILQIANANDYVKLVDNAGSVFVGAYTPESCGDYSSGTNHTLPTYGYARQYS GANTATFQKFITAQNITPEGLENIGRAVMCVAKKEGLDGHRNAVKIRMSKLGLIPKDF Q YCL029C MDRYQRKIGCFIQIPNLGRGQLKYVGPVDTKAGMFAGVDLLANI GKNDGSFMGKKYFQTEYPQSGLFIQLQKVASLIEKASISQTSRRTTMEPLSIPKNRSI VRLTNQFSPMDDPKSPTPMRSFRITSRHSGNQQSMDQEASDHHQQQEFGYDNREDRME VDSILSSDRKANHNTTSDWKPDNGHMNDLNSSEVTIELREAQLTIEKLQRKQLHYKRL LDDQRMVLEEVQPTFDRYEATIQEREKEIDHLKQQLELERRQQAKQKQFFDAENEQLL AVVSQLHEEIKENEERNLSHNQPTGANEDVELLKKQLEQLRNIEDQFELHKTKWAKER EQLKMHNDSLSKEYQNLSKELFLTKPQDSSSEEVASLTKKLEEANEKIKQLEQAQAQT AVESLPIFDPPAPVDTTAGRQQWCEHCDTMGHNTAECPHHNPDNQQFF YCL028W MDTDKLISEAESHFSQGNHAEAVAKLTSAAQSNPNDEQMSTIES LIQKIAGYVMDNRSGGSDASQDRAAGGGSSFMNTLMADSKGSSQTQLGKLALLATVMT HSSNKGSSNRGFDVGTVMSMLSGSGGGSQSMGASGLAALASQFFKSGNNSQGQGQGQG QGQGQGQGQGQGSFTALASLASSFMNSNNNNQQGQNQSSGGSSFGALASMASSFMHSN NNQNSNNSQQGYNQSYQNGNQNSQGYNNQQYQGGNGGYQQQQGQSGGAFSSLASMAQS YLGGGQTQSNQQQYNQQGQNNQQQYQQQGQNYQHQQQGQQQQQGHSSSFSALASMASS YLGNNSNSNSSYGGQQQANEYGRPQQNGQQQSNEYGRPQYGGNQNSNGQHESFNFSGN FSQQNNNGNQNRY YCL027W MVATIMQTTTTVLTTVAAMSTTLASNYISSQASSSTSVTTVTTI ATSIRSTPSNLLFSNVAAQPKSSSASTIGLSIGLPIGIFCFGLLILLCYFYLKRNSVS ISNPPMSATIPREEEYCRRTNWFSRLFWQSKCEDQNSYSNRDIEKYNDTQWTSGDNMS SKIQYKISKPIIPQHILTPKKTVKNPYAWSGKNISLDPKVNEMEEEKVVDAFLYTKPP NIVHIESSMPSYNDLPSQKTVSSKKTALKTSEKWSYESPLSRWFLRGSTYFKDYGLSK TSLKTPTGAPQLKQMKMLSRISKGYFNESDIMPDERSPILEYNNTPLDANDSVNNLGN TTPDSQITSYRNNNIDLITARPHSVIYGTTAQQTLETNFNDHHDCNKSTEKHELIIPT PSKPLKKRKKRRQSKMYQHLQHLSRSKPLPLTPNSKYNGEASVQLGKTYTVIQDYEPR LTDEIRISLGEKVKILATHTDGWCLVEKCNTQKGSIHVSVDDKRYLNEDRGIVPGDCL QEYD YCL026C-B MSAVATYLKTLTARRTIYALKPELPGEITINDIQSVVQTIIKET PTAFNSQPNRAVILTGETHKKVWDEVTKAIESPAGQKRPASARDEAFGSVIFFTDDKV TEKLKADFPAYAAAFPSFADHTSGAAQINSWVALEAMGLGGHLQHYNGYIKAALPSKI PESWTVQAQLVFGTPAAPPGEKTYIKNDVEIFN YCL026C-A MSPTGNYLNAITNRRTIYNLKPELPQGVGLDDVKRTVHVILKNT PTAFNSQVNRAVIIVGDTHKRIWDAVASAMPTAEAKKRPESCRDEAYGSVIFFTDEGP TEKLQRDFPALAAAFPTCAAHTTGAVQIQSWTALELLGLGANLQHYNDYVKSALPQDV PIAWTVQSQLVFGVPTALPEEKTFINNVINVYH YCL025C MSSSKSLYELKDLKNSSTEIHATGQDNEIEYFETGSNDRPSSQP HLGYEQHNTSAVRRFFDSFKRADQGPQDEVEATQMNDLTSAISPSSRQAQELEKNESS DNIGANTGHKSDSLKKTIQPRHVLMIALGTGIGTGLLVGNGTALVHAGPAGLLIGYAI MGSILYCIIQACGEMALVYSNLTGGYNAYPSFLVDDGFGFAVAWVYCLQWLCVCPLEL VTASMTIKYWTTSVNPDVFVIIFYVLVITINIFGARGYAEAEFFFNCCKILMMTGFFI LGIIIDVGGAGNDGFIGGKYWHDPGAFNGKHAIDRFKGVAATLVTAAFAFGGSEFIAI TTAEQSNPRKAIPGAAKQMIYRILFLFLATIILLGFLVPYNSDQLLGSTGGGTKASPY VIAVASHGVRVVPHFINAVILLSVLSMANSSFYSSARLFLTLSEQGYAPKVFSYIDRA GRPLIAMGVSALFAVIAFCAASPKEEQVFTWLLAISGLSQLFTWTAICLSHLRFRRAM KVQGRSLGELGFKSQTGVWGSAYACIMMILILIAQFWVAIAPIGEGKLDAQAFFENYL AMPILIALYVGYKVWHKDWKLFIRADKIDLDSHRQIFDEELIKQEDEEYRERLRNGPY WKRVVAFWC YCL024W MTVANTETHSAAKPSSTIGPWKLGETLGFGSTGKVQLAQHERTG HRTAVKVISKSIFNNNGNHSNDDSVLPYNIEREIVIMKLLSHPNVLSLYDVWETNNNL YLILEYAEKGELFNLLVDHGPLPEREAINCFRQIIIGISYCHALGIVHRDLKPENLLL DSFYNIKIADFGMAALQTDADLLETSCGSPHYAAPEIVSGLPYEGFASDVWSCGVILF ALLTGRLPFDEENGNVRDLLLKVQKGQFEMPNDTEISRDAQDLIGKILVVDPRQRIKI RDILSHPLLKKYQTIKDSKSIKDLPRENTYLYPLADSNNHTSASIDDSILQNLVVLWH GRHADDIVSKLKENGTNKEKILYALLYRFKLDSVRGSNKKNRNKIKKTKKNKRSSTLS SSSSLLLNNRSIQSTPRRRTSKRHSREFSSSRKRSSFLLSSNPTDSSPIPLRSSKRIT HINVASANTQATPSGVPNPHKRNSKKRSSKRLSYMPNTKRSSLTSKSLSNFTNLIDDD DWEYIEKDAKRTSSNFATLIDEIFEPEKFELAKREKAELQRKVQEAKRQSVNAQKINE DEFGSEVSDGMKELKKINDKVSSPLINYEFSQQELLQDIDTLLTNRYQLSSYTRPISR LDPGLTPVTETLPNNLKEKTALLQDTEKKIIETIRRSKFLGSLLNVRGGLSPGKSELA PIEESPIVSTTPLIYNDRMEPRRISDVEVPHFTRKSKHFTTANNRRSVLSLYAKDSIK DLNEFLIKEDPDLPPQGSTDNESRSEDPEIAESITDSRNIQYDEDDSKDGDNVNNDNI LSDFPQGVGISQEYDMKDKNPNQSPISKSAEPTLVVKLPSLSSFQGKNASGLGLYQRE PSKVTLPSLTSNNSSVGENIEDGAEKGTESEKIAASLSDDDLKEDNDKKDNDTVNAPT TVKKPPNSVLLKKFSKGKILELEIHAKIPEKRLYEGLHKLLEGWKQYGLKNLVFNITN MIITGKLVNDSILFLRSTLFEIMVLPNGDGRSLIKFNKKTGSTKTLTKLATEIQIILQ KEGVLDK YCL021W-A MVLTDAEELRSPVITSDMSFFDLESNHSSDSVHLLCEKYTHKLP IESESQTTFRLAPTKQRLYRQSTLYVPLSLKQRVFLFTERVKSIWAGLPRCKPNKYFK VAFALAVLTPLAIWIFYIDFRVH YCL019W MESQQLHQNPRSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAETK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYQRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTHTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDKQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSINPLQLDKEPVQKVRAP KEVDADISEYNILPSPVRSRTPHIINKESTEMGGTVESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAVCDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHQSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YCL020W MESQQLHQNPRSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAETK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYQRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YCL018W MSAPKKIVVLPGDHVGQEITAEAIKVLKAISDVRSNVKFDFENH LIGGAAIDATGVPLPDEALEASKKADAVLLGAVGGPKWGTGSVRPEQGLLKIRKELQL YANLRPCNFASDSLLDLSPIKPQFAKGTDFVVVRELVGGIYFGKRKEDDGDGVAWDSE QYTVPEVQRITRMAAFMALQHEPPLPIWSLDKANVLASSRLWRKTVEETIKNEFPTLK VQHQLIDSAAMILVKNPTHLNGIIITSNMFGDIISDEASVIPGSLGLLPSASLASLPD KNTAFGLYEPCHGSAPDLPKNKVNPIATILSAAMMLKLSLNLPEEGKAIEDAVKKVLD AGIRTGDLGGSNSTTEVGDAVAEEVKKILA YCL017C MLKSTATRSITRLSQVYNVPAATYRACLVSRRFYSPPAAGVKLD DNFSLETHTDIQAAAKAQASARASASGTTPDAVVASGSTAMSHAYQENTGFGTRPIYL DMQATTPTDPRVLDTMLKFYTGLYGNPHSNTHSYGWETNTAVENARAHVAKMINADPK EIIFTSGATESNNMVLKGVPRFYKKTKKHIITTRTEHKCVLEAARAMMKEGFEVTFLN VDDQGLIDLKELEDAIRPDTCLVSVMAVNNEIGVIQPIKEIGAICRKNKIYFHTDAAQ AYGKIHIDVNEMNIDLLSISSHKIYGPKGIGAIYVRRRPRVRLEPLLSGGGQERGLRS GTLAPPLVAGFGEAARLMKKEFDNDQAHIKRLSDKLVKGLLSAEHTTLNGSPDHRYPG CVNVSFAYVEGESLLMALRDIALSSGSACTSASLEPSYVLHALGKDDALAHSSIRFGI GRFSTEEEVDYVVKAVSDRVKFLRELSPLWEMVQEGIDLNSIKWSGH YCL016C MSINLHSAPEYDPSYKLIQLTPELLDIIQDPVQNHQLRFKSLDK DKSEVVLCSHDKTWVLKQRKHSNTVLLMREFVPEQPITFDETLLFGLSKPYMDVVGFA KTESEFETRETHGELNLNSVPIYNGELDFSDKIMKRSSTKVIGTLEELLENSPCSALE GISKWHKIGGSVKDGVLCILSQDFLFKALHVLLMSAMAESLDLQHLNVEDTHHAVGKD IEDEFNPYTREIIETVLNKFAVQEQEAENNTWRLRIPFIAQWYGIQALRKYVSGISMP IDEFLIKWKSLFPPFFPCDIDIDMLRGYHFKPTDKTVQYIAKSTLPMDPKERFKVLFR LQSQWDLEDIKPLIEELNSRGMKIDSFIMKYARRKRLGKKTVVTSR YCL014W MEKDLSSLYSEKKDKENDETLFNIKLSKSVVETTPLNGHSLFDD DKSLSDWTDNVFTQSVFYHGSDDLIWGKFFVCVYKSPNSNKLNAIIFDKLGTSCFESV DISSNSQYYPAIENLSPSDQESNVKKCIAVILLQRYPLLSPSDLSQILSNKSENCDYD PPYAGDLASSCQLITAVPPEDLGKRFFTSGLLQNRFVSSTLLDVIYENNESTIELNNR LVFHLGEQLEQLFNPVTEYSPEQTEYGYKAPEDELPTESDDDLVKAICNELLQLQTNF TFNLVEFLQKFLIALRVRVLNEEINGLSTTKLNRLFPPTIDEVTRINCIFLDSLKTAI PYGSLEVLKACSITIPYFYKAYTRHEAATKNFSKDIKLFIRHFSNVIPEREVYTEMKI ESIIKGPQEKLLKLKLIIERLWKSKKWRPKNQEMAKKCYNNIIDVIDSFGKLDSPLHS YSTRVFTPSGKILTELAKCWPVELQYKWLKRRVVGVYDVVDLNDENKRNLLVIFSDYV VFINILEAESYYTSDGSNRPLISDILMNSLINEVPLPSKIPKLKVERHCYIDEVLVSI LDKSTLRFDRLKGKDSFSMVCKLSSAFISSSSVADLITKARILEKDTAFHLFKASRSH FTLYSTAHELCAYDSEKIKSKFALFLNIPPSKEILEVNNLHLAFFARFCSNDGRDNIV ILDVLTKHDDKHIEVTSDNIVFTIINQLAIEIPICFSSLNSSMAKDLLCVNENLIKNL EHQLEEVKHPSTDEHRAVNSKLSGASDFDATHEKKRSYGTITTFRSYTSDLKDSPSGD NSNVTKETKEILPVKPTKKSSKKPREIQKKTKTNASKAEHIEKKKPNKGKGFFGVLKN VFGSKSKSKPSPVQRVPKKISQRHPKSPVKKPMTSEKKSSPKRAVVSSPKIKKKSTSF STKESQTAKSSLRAVEFKSDDLIGKPPDVGNGAHPQENTRISSVVRDTKYVSYNPSQP VTENTSNEKNVEPKADQSTKQDNISNFADVEVSASSYPEKLDAETDDQIIGKATNSSS VHGNKELPDLAEVTTANRVSTTSAGDQRIDTQSEFLRAADVENLSDDDEHRQNESRVF NDDLFGDFIPKHYRNKQENINSSSNLFPEGKVPQEKGVSNENTNISLKTNEDASTLTQ KLSPQASKVLTENSNELKDTNNEGKDAKDIKLGDDYSDKETAKEITKPKNFVEGITER KEIFPTIPRLAPPASKINFQRSPSYIELFQGMRVVLDKHDAHYNWKRLASQVSLSEGL KVNTEEDAAIINKSQDDAKAERMTQISEVIEYEMQQPIPTYLPKAHLDDSGIEKSDDK FFEIEEELKEELKGSKTGNEDVGNNNPSNSIPKIEKPPAFKVIRTSPVRIIGRTFEDT RKYENGSPSDISFTYDTHNNDEPDKRLMELKFPSQDEIPDDRFYTPAEEPTAEFPVEE LPNTPRSINVTTSNNKSTDDKLSSGNIDQKPTELLDDLEFSSFNIAFGNTSMSTDNMK ISSDLSSNKTVLGNAQKVQESPSGPLIYVLPQSSTKHEKEGFLRKKQKDEPIWVSPSK IDFADLSRRTKALTPERNTVPLKNNDSRKYKYTGEGSIGNMTNMLLTKDASYAYLKDF VALSDDEDEDGKQNCAVGGPEKLKFY YCL012C MKSLFYLKLLLWVVLLSLCLLMAHRKTKVADKFRALRSRIQLRF NRHIRLNDSFADDLENGLHSRNFDIISENSNDVRGGLDDVSKNEIKQIMENDNVDFDK ARLLYMERKFGQNGIAPDGTPIDPKAFTFDSR YCL011C MERELGMYGNDRSRSRSPVRRRLSDDRDRYDDYNDSSSNNGNGS RRQRRDRGSRFNDRYDQSYGGSRYHDDRNWPPRRGGRGRGGSRSFRGGRGGGRGRTLG PIVERDLERQFDATKRNFENSIFVRNLTFDCTPEDLKELFGTVGEVVEADIITSKGHH RGMGTVEFTKNESVQDAISKFDGALFMDRKLMVRQDNPPPEAAKEFSKKATREEIDNG FEVFIINLPYSMNWQSLKDMFKECGHVLRADVELDFNGFSRGFGSVIYPTEDEMIRAI DTFNGMEVEGRVLEVREGRFNKRKNNDRYNQRREDLEDTRGTEPGLAQDAAVHIDETA AKFTEGVNPGGDRNCFIYCSNLPFSTARSDLFDLFGPIGKINNAELKPQENGQPTGVA VVEYENLVDADFCIQKLNNYNYGGCSLQISYARRD YCL010C MDGYWDVVVSSLQDIYNANEVIPFDDELQTKKLNFLNMSKDQLQ LHLNTFQEHMENVNRVHRILDNVRSNLSLMLNQSREEKSEENTEDAEEGEGTRMALSQ GKKAVGKVGRSYWTSEYNPNAPILVGSEVAYKPRRGSADGEWIQCEVLKVVADGTRFE VRDPEPDELGNSGKVYKCNRKELLLIPPGFPTKNYPPGTKVLARYPETTTFYPAIVIG TKRDGTCRLRFDGEEEVDKETEVTRRLVLPSPTALANLARK YCL009C MLRSLLQSGHRRVVASSCATMVRCSSSSTSALAYKQMHRHATRP PLPTLDTPSWNANSAVSSIIYETPAPSRQPRKQHVLNCLVQNEPGVLSRVSGTLAARG FNIDSLVVCNTEVKDLSRMTIVLQGQDGVVEQARRQIEDLVPVYAVLDYTNSEIIKRE LVMARISLLGTEYFEDLLLHHHTSTNAGAADSQELVAEIREKQFHPANLPASEVLRLK HEHLNDITNLTNNFGGRVVDISETSCIVELSAKPTRISAFLKLVEPFGVLECARSGMM ALPRTPLKTSTEEAADEDEKISEIVDISQLPPG YCL008C MSANGKISVPEAVVNWLFKVIQPIYNDGRTTFHDSLALLDNFHS LRPRTRVFTHSDGTPQLLLSIYGTISTGEDGSSPHSIPVIMWVPSMYPVKPPFISINL ENFDMNTISSSLPIQEYIDSNGWIALPILHCWDPAAMNLIMVVQELMSLLHEPPQDQA PSLPPKPNTQLQQEQNTPPLPPKPKSPHLKPPLPPPPPPQPASNALDLMDMDNTDISP TNHHEMLQNLQTVVNELYREDVDYVADKILTRQTVMQESIARFHEIIAIDKNHLRAVE QAIEQTMHSLNAQIDVLTANRAKVQQFSSTSHVDDEDVNSIAVAKTDGLNQLYNLVAQ DYALTDTIECLSRMLHRGTIPLDTFVKQGRELARQQFLVRWHIQRITSPLS YCL005W-A MSSFYTVVGVFIVVSAMSVLFWIMAPKNNQAVWRSTVILTLAMM FLMWAITFLCQLHPLVAPRRSDLRPEFAE YCL005W MFVVDWSVQLCMGVISPLFRALVQLPLSIFVWNGFQLVALPINI PLRLFLGTSLSRLVAQTSTLDFYVVLTLFQYFAVLCAFGSIIGLIFGFILGVFHSICG VPSVYISLEWKRWFAPIRTVLERASTSIVNIMRGQTIAPIPMPKPNPTHISKPNMKKF HDEPGADDMTITHDVNCYITPCQTPTNEKIQHYNNDSFNTTTTDDEPTDIWDRSDTYQ NSFVTNETLMSLSNRAKLRRNASDADIVNIKILRRNSR YCL004W MTTRLLQLTRPHYRLLSLPLQKPFNIKRQMSAANPSPFGNYLNT ITKSLQQNLQTCFHFQAKEIDIIESPSQFYDLLKTKILNSQNRIFIASLYLGKSETEL VDCISQALTKNPKLKVSFLLDGLRGTRELPSACSATLLSSLVAKYGSERVDCRLYKTP AYHGWKKVLVPKRFNEGLGLQHMKIYGFDNEVILSGANLSNDYFTNRQDRYYLFKSRN FSNYYFKLHQLISSFSYQIIKPMVDGSINIIWPDSNPTVEPTKNKRLFLREASQLLDG FLKSSKQSLPITAVGQFSTLVYPISQFTPLFPKYNDKSTEKRTILSLLSTITSNAISW TFTAGYFNILPDIKAKLLATPVAEANVITASPFANGFYQSKGVSSNLPGAYLYLSKKF LQDVCRYRQDHAITLREWQRGVVNKPNGWSYHAKGIWLSARDKNDANNWKPFITVIGS SNYTRRAYSLDLESNALIITRDEELRKKMKAELDNLLQYTKPVTLEDFQSDPERHVGT GVKIATSILGKKL YCL002C MLVIVLQGLAGFLSIIAILCQKRYNKLHRSIYGLSYDLFLLDFV GNGLYLYCALHYCYSSLVREQLSQRFPLFYPLNDARSIPISSFLILKDFCVSCCCMMV LRQLYYYRSTKHIYQGISITSIIIISVFLVLGIFTYGCSISNLPLKNSGKFGVFYLEH INYLWVMANLLKCFKYVPQMSINWMGCSTVGLSSKFALISFLAESIDLLGRLVIPTNA LFYEIPFNSTPFWVKLIQFVTLLVILCQVQYVYVGRKPRLPKGKL YCL001W MDYDSSDTMNGGSSNPLITKMNTMKLLYQHYLDKVTPHAKERWA VLGGLLCLFMVRITMAEGWYVICYGLGLFLLNQFLAFLTPKFDMSLQQDEENNELEAG EKSEEFRPFIRRLPEFKFWYNSIRATVISLLLSLFSIFDIPVFWPILLMYFILLFFLT MRRQIQHMIKYRYIPLDIGKKKYSHSSN YCL001W-A MTFLQFINNNRQEGQGYISEKLFKTKKNEMIRKTVTNLVAVRLK NLSHEFDVIENYLRYIASTSEHLFTAIKRHFNKCARKLLKEAIDSKSNSETATVVLQE GFSGICLLKASSIILKLKLKFPKKKDRTDISKLCDKKERMTQWLEISILMN YCL001W-B MDDFLEHLSKDDNKAWYGAEETERAAKLDAIETLLITDSVLKRN DVKKREKYLDLIENSGNNNGKIFVLSTSKITVSNLTNQQI YCR001W MLYLLKKLKHIDSYGESNLSMFYLKFYCPWKLCPLLPILYGRNK SVQNAWSCHKLHRFIDFPTPSANMNFFFFIRVCYGTVSLFISTFLKKISFFIYVNFTV YF YCR002C MDPLSSVQPASYVGFDTITNQIEHRLLKKGFQFNIMVVGQSGLG KSTLINTLFASHLIDSATGDDISALPVTKTTEMKISTHTLVEDRVRLNINVIDTPGFG DFIDNSKAWEPIVKYIKEQHSQYLRKELTAQRERFITDTRVHAILYFLQPNGKELSRL DVEALKRLTEIANVIPVIGKSDTLTLDERTEFRELIQNEFEKYNFKIYPYDSEELTDE ELELNRSVRSIIPFAVVGSENEIEINGETFRGRKTRWSAINVEDINQCDFVYLREFLI RTHLQDLIETTSYIHYEGFRARQLIALKENANSRSSAHMSSNAIQR YCR003W MNSLIFGKQLAFHKIVPTTAIGWLVPLGNPSLQIPGQKQLGSIH RWLREKLQQDHKDTEDKDFFSNNGILLAVPKKKVSHQKKRQKLYGPGKKQLKMIHHLN KCPSCGHYKRANTLCMYCVGQISHIWKTHTAKEEIKPRQEEELSELDQRVLYPGRRDT KYTKDLKDKDNYLERRVRTLKKD YCR004C MVKIAIITYSTYGHIDVLAQAVKKGVEAAGGKADIYRVEETLPD EVLTKMNAPQKPEDIPVATEKTLLEYDAFLFGVPTRFGNLPAQWSAFWDKTGGLWAKG SLNGKAAGIFVSTSSYGGGQESTVKACLSYLAHHGIIFLPLGYKNSFAELASIEEVHG GSPWGAGTLAGPDGSRTASPLELRIAEIQGKTFYETAKKLFPAKEAKPSTEKKTTTSD AAKRQTKPAAATTAEKKEDKGLLSCCTVM YCR005C MTVPYLNSNRNVASYLQSNSSQEKTLKERFSEIYPIHAQDVRQF VKEHGKTKISDVLLEQVYGGMRGIPGSVWEGSVLDPEDGIRFRGRTIADIQKDLPKAK GSSQPLPEALFWLLLTGEVPTQAQVENLSADLMSRSELPSHVVQLLDNLPKDLHPMAQ FSIAVTALESESKFAKAYAQGISKQDYWSYTFEDSLDLLGKLPVIAAKIYRNVFKDGK MGEVDPNADYAKNLVNLIGSKDEDFVDLMRLYLTIHSDHEGGNVSAHTSHLVGSALSS PYLSLASGLNGLAGPLHGRANQEVLEWLFALKEEVNDDYSKDTIEKYLWDTLNSGRVI PGYGHAVLRKTDPRYMAQRKFAMDHFPDYELFKLVSSIYEVAPGVLTEHGKTKNPWPN VDAHSGVLLQYYGLKESSFYTVLFGVSRAFGILAQLITDRAIGASIERPKSYSTEKYK ELVKNIESKL YCR006C MFIRVCNRLIYTPTNVLLITVEDGRISVLLWFRYAIPAELCYTR LARILRGKHCADFPQSCCHNISRFGVLNKSVLGSFNQWLGGISKERKLIARTFDAFIR WSSTRGEEVTTYFFLQKKSVTFSVARRLSGRQQWEAQRKNNNNGKRNYLLSVTFV YCR007C MQPHLDNNSNNDDVKLDTLGEQNVLSSAENITLPEDTFKSYMTY LLYEMAHYKPMIFSFLALSVSILIVVIFHNVKACDVVFGFSIFVTSILFLSTLIPFNV YISDEGFRIKLLLEVITHRPAVKGKEWRAITDNMNQYLLDNGLWSTRYYFYSSERCYK FFRFLVKEKPPGVNVNSSVKDATSTQIDAPANEASNEVIKCFSFSSDPIFEAYFVKAV EVEKQAQQEYWRKQYPDADIP YCR008W MTGMNDNNAAIPQQTPRKHALSSKVMQLFRSGSRSSRQGKASSN IQPPSNINTNVPSASKSAKFGLHTPTTATPRVVSNPSNTAGVSKPGMYMPEYYQSASP SHSSSSASLNNHIDINTSKSSSAASLTSSVSALSLSPTSAINISSKSLSPKFSHHSNS NTAITPAPTPTASNINNVNKITNTSAPICGRFLVHKDGTHEHHLKNAKRQEKLSTMIK NMVGASKLRGEAKSAVPDIIMDPKTTLKSNKNPPTLFAGFMKQVVDMDDKYPEGAPTS GALNCPERDIYRSDQKDSKNNTHNITTTKKDRQCFAEKYGRCQEVLGKGAFGVVRICQ KKNVSSQDGNKSEKLYAVKEFKRRTSESAEKYSKRLTSEFCISSSLHHTNIVTTLDLF QDAKGEYCEVMEYCAGGDLFTLVVAAGKLEYMEADCFFKQLIRGVVYMHEMGVCHRDL KPENLLLTHDGVLKITDFGNSECFKMAWEKNIHLSGGVCGSSPYIAPEEYIKEEFDPR PVDIWACGVIYMAMRTGRQLWSSAEKDDPFYMNYLKGRKEKGGYEPIESLKRARCRNV IYSMLDPVPYRRINGKQILNSEWGREIKCCHNGRALK YCR009C MSWEGFKKAINRAGHSVIIKNVDKTIDKEYDMEERRYKVLQRAG EALQKEAKGFLDSLRAVTASQTTIAEVISNLYDDSKYVAGGGYNVGNYYLQCVQDFDS ETVKQLDGPLRETVLDPITKFSTYFKEIEEAIKKRDHKKQDFDAAKAKVRRLVDKPAK DASKLPRAEKELSLAKDIFENLNNQLKTELPQLVSLRVPYFDPSFEALIKIQLRFCTD GYTRLAQIQQYLDQQSRDDYANGLLDTKIEELLGQMTSLDICALGIK YCR010C MSDKEQTSGNTDLENAPAGYYSSHDNDVNGVAEDERPSHDSLGK IYTGGDNNEYIYIGRQKFLKSDLYQAFGGTLNPGLAPAPVHKFANPAPLGLSAFALTT FVLSMFNARAQGITVPNVVVGCAMFYGGLVQLIAGIWEIALENTFGGTALCSYGGFWL SFAAIYIPWFGILEAYEDNESDLNNALGFYLLGWAIFTFGLTVCTMKSTVMFFLLFFL LALTFLLLSIGHFANRLGVTRAGGVLGVVVAFIAWYNAYAGVATKQNSYVLARPFPLP STERVIF YCR011C MGSHRRYLYYSILSFLLLSCSVVLAKQDKTPFFEGTSSKNSRLT AQDKGNDTCPPCFNCMLPIFECKQFSECNSYTGRCECIEGFAGDDCSLPLCGGLSPDE SGNKDRPIRAQNDTCHCDNGWGGINCDVCQEDFVCDAFMPDPSIKGTCYKNGMIVDKV FSGCNVTNEKILQILNGKIPQITFACDKPNQECNFQFWIDQLESFYCGLSDCAFEYDL EQNTSHYKCNDVQCKCVPDTVLCGAKGSIDISDFLTETIKGPGDFSCDLETRQCKFSE PSMNDLILTVFGDPYITLKCESGECVHYSEIPGYKSPSKDPTVSWQGKLVLALTAVMV LALFTFATFYISKSPLFRNGLGSSKSPIRLPDEDAVNNFLQNEDDTLATLSFENITYS VPSINSDGVEETVLNEISGIVKPGQILAIMGGSGAGKTTLLDILAMKRKTGHVSGSIK VNGISMDRKSFSKIIGFVDQDDFLLPTLTVFETVLNSALLRLPKALSFEAKKARVYKV LEELRIIDIKDRIIGNEFDRGISGGEKRRVSIACELVTSPLVLFLDEPTSGLDASNAN NVIECLVRLSSDYNRTLVLSIHQPRSNIFYLFDKLVLLSKGEMVYSGNAKKVSEFLRN EGYICPDNYNIADYLIDITFEAGPQGKRRRIRNISDLEAGTDTNDIDNTIHQTTFTSS DGTTQREWAHLAAHRDEIRSLLRDEEDVEGTDGRRGATEIDLNTKLLHDKYKDSVYYA ELSQEIEEVLSEGDEESNVLNGDLPTGQQSAGFLQQLSILNSRSFKNMYRNPKLLLGN YLLTILLSLFLGTLYYNVSNDISGFQNRMGLFFFILTYFGFVTFTGLSSFALERIIFI KERSNNYYSPLAYYISKIMSEVVPLRVVPPILLSLIVYPMTGLNMKDNAFFKCIGILI LFNLGISLEILTIGIIFEDLNNSIILSVLVLLGSLLFSGLFINTKNITNVAFKYLKNF SVFYYAYESLLINEVKTLMLKERKYGLNIEVPGATILSTFGFVVQNLVFDIKILALFN VVFLIMGYLALKWIVVEQK YCR012W MSLSSKLSVQDLDLKDKRVFIRVDFNVPLDGKKITSNQRIVAAL PTIKYVLEHHPRYVVLASHLGRPNGERNEKYSLAPVAKELQSLLGKDVTFLNDCVGPE VEAAVKASAPGSVILLENLRYHIEEEGSRKVDGQKVKASKEDVQKFRHELSSLADVYI NDAFGTAHRAHSSMVGFDLPQRAAGFLLEKELKYFGKALENPTRPFLAILGGAKVADK IQLIDNLLDKVDSIIIGGGMAFTFKKVLENTEIGDSIFDKAGAEIVPKLMEKAKAKGV EVVLPVDFIIADAFSADANTKTVTDKEGIPAGWQGLDNGPESRKLFAATVAKAKTIVW NGPPGVFEFEKFAAGTKALLDEVVKSSAAGNTVIIGGGDTATVAKKYGVTDKISHVST GGGASLELLEGKELPGVAFLSEKK YCR014C MSLKGKFFAFLPNPNTSSNKFFKSILEKKGATIVSSIQNCLQSS RKEVVILIEDSFVDSDMHLTQKDIFQREAGLNDVDEFLGKIEQSGIQCVKTSCITKWV QNDKFAFQKDDLIKFQPSIIVISDNADDGQSSTDKESEISTDVESERNDDSNNKDMIQ ASKPLKRLLQGDKGRASLVTDKTKYKNNELIIGALKRLTKKYEIEGEKFRARSYRLAK QSMENCDFNVRSGEEAHTKLRNIGPSIAKKIQVILDTGVLPGLNDSVGLEDKLKYFKN CYGIGSEIAKRWNLLNFESFCVAAKKDPEEFVSDWTILFGWSYYDDWLCKMSRNECFT HLKKVQKALRGIDPECQVELQGSYNRGYSKCGDIDLLFFKPFCNDTTELAKIMETLCI KLYKDGYIHCFLQLTPNLEKLFLKRIVERFRTAKIVGYGERKRWYSSEIIKKFFMGVK LSPRELEELKEMKNDEGTLLIEEEEEEETKLKPIDQYMSLNAKDGNYCRRLDFFCCKW DELGAGRIHYTGSKEYNRWIRILAAQKGFKLTQHGLFRNNILLESFNERRIFELLNLK YAEPEHRNIEWEKKTA YCR015C MKTIIISDFDETITRVDTICTIAKLPYLLNPRLKPEWGHFTKTY MDGYHKYKYNGTRSLPLLSSGVPTIISQSNFNKLFADELKYQNHNRVVELNSVNEITK QQIFKSISLDQMKTFARDQNHEDCLLRDGFKTFCSSVVKNFESDFYVLSINWSKEFIH EVIGDRRLKNSHIFCNDLKKVSDKCSQSYNGEFDCRLLTGSDKVKILGEILDKIDSGC NKEGNSCSYWYIGDSETDLLSILHPSTNGVLLINPQENPSKFIKITEKIIGIPKDKIS SFEADNGPAWLQFCEKEGGKGAYLVKSWDSLKDLIMQVTKM YCR016W MSENHVPAWKRIALKRQTISSGDESKEKGQSNLIDDDPLNITTH LSTGNLTKKEKKRIINGESKSSTKKGKRVSKPGTKKKEKLSKDEKNSKKNKILKDQLR YLIEFFRTKSESKFPTGILELESVKENYGDSLIKDEPSESGVVEVWKFSKQKQNWLIK HFFNLDEIPSVYNDLLLLYFRDLQGKSKEELISKCKGKLKQWNDYVEDQETKIKALIA EDKASEPINGEEKEEGEKDGNAEQGKQKEVQDEQEEVQMPNKELVQRSLKLLEIWKND DSEQIELKNFFVDV YCR017C MLIINGKIIPIAHTICAFSAFFAALVTGYSLHFHKIVTNAHYTY PDEWFPSVSATIGDRYPERSIFQILIALTAFPRFLLLLGHYYLNQSKVCFLVGVLRTV SCGGWVYITSTDDHDIHDIFMITYIVLTLPWDIMITRYSSPLTSKNKGLTATIFFGTL FPMIYWYIQHSVQQRAGAYSIYAYFEWSLILLDIAFDAFAYADFKKIDIVLAFNEKPG NTSFFQIRDSSPINYGEEKSSELQKSGEKKVEKEKPVARSATGSYFRFDSFFYLLTNI FNGFLFWSNVTSLLCSIWHFPLWYMGISGYEAAILGYLGPIFLYLPFVSEAFTQYGVL LGGIIAIGAYIVQMPELRLISVAVGTSITVATFVQNLRYITNAETSFSFALTWLLGLV ASVILKMGFYTNNPTWVILDERNGGYNKTALVLTVLFGMLSPYVNSINFEGKRNAQAK SASLIGKLFLAVGFGSLLFGIHQLLTDSSTTIYWAWEGYNESHGPLPWPWGALTCTVM LFASLSSVKFMGKPLVPCLLLLISTAVLSARSITQWPKYIFGGLLYAIAMLWLVPSYF SALGQVQNIWVYVLSFSVYIIFVLAHVWVVAYAFVPMGWVLREKIETVLAFSSTFIII GALTCKNLNIQLVTMGKKFFIYVFFFAVALLSLTARFVYDIRPTGIPQPYHPDSQLIT AGIWTIHFGLDNDMWASEDRMINLIKDMELDVVGLLETDTQRITMGNRDLTSKLAHDL NMYADFGPGPNKHTWGCVLLSKFPIVNSTHHLLPSPVGELAPAIHATLQTYNDTLVDV FVFHSGQEEDEEDRRLQSNYMAKLMGNTTRPAILLSYLVVDPGEGNYNTYVSETSGMH DIDPSDDDRWCEYILYRGLRRTGYARVARGTITDTELQVGKFQVLSEQALVEHSDSMY EYGHMSEPEYEDMKFPDKFLGEGERGHFYHVFDEPRYYL YCR018C MRYNNYDNSGSSFLTRVVKKSDMEKTLLLNREIDDWKSNDKKKA YKERGRVYASCSFIEVSFSQIRAVDVEKKIENAEQLRDLTRNIVKNKTSSLNEITPSK NRVTSACNSERRTTSQEANNLEGYHSCAQGTSRSASITKKYSKKTTSRPKREKRQTIL PNGEIKECSKCKDTWTIQWRSGPDQNRELCSPCGLAYGKRLKKENEKKRQAADKRIDR NNP YCR019W MMNEEDSTETKSLVITNGMFIIDDIERSKYNIHYKNVPGGGGTF AILGACIISSGNVTSKGLKWIVDRGSDFPKEVIREIDSWGTDVRFRDDFSRLTTKGLN YYEGSDDLRKFKFLTPKKQINVDDWISTFGQKIIDEMHAFHLLCSGSRCLDIINDLLR VKSSKGTKPIVIWEPFPDLCDFDHQNDIKSVMQRNDVTVILSPNAEESSRLFGLSSKE PTSLEECLALAHRFDDFMDENNMCILRCGALGSISVSEKFKNGRTYDHFPAYHFKTQS KVLDPTGGGNSFLGGFAVSYALTKSLDIASICGNIAAGAIIEQFGIPRYDPIAKTWNG ITFLDRLKFYLSQSGLQYNINDLYKSLTR YCR020C MSCTTDKLIQKYDALVRKTTEHKFAKELCAGTLKDRSLYIYLSQ DLQFFETSLRLICKTTSLAPTTHALITLAKKIGFFSNDENSYFHDCLELLAPSLTKEE RDNFDNKAIPGVDAYINFLDELRKDASITWPSLVTSLWVAEELYWRWARDTPRAPGLH WKYQKWIDLHDGEHFQTWCEFLKAEVDKFPVEEVESIFVKVSQFEFEFFESCYNA YCR020C-A MDILKLSDFIGNTLIVSLTEDRILVGSLVAVDAQMNLLLDHVEE RMGSSSRMMGLVSVPRRSVKTIMIDKPVLQELTANKVELMANIV YCR020W-B MSQNNTISSMNPERAYNNVTLKNLTAFQLLSQRENICELLNLVE STERHNSIINPERQRMSLEEMKKMLDALKNERKK YCR021C MNDTLSSFLNRNEALGLNPPHGLDMHITKRGSDWLWAVFAVFGF ILLCYVVMFFIAENKGSRLTRYALAPAFLITFFEFFAFFTYASDLGWTGVQAEFNHVK VSKSITGEVPGIRQIFYSKYIAWFLSWPCLLFLIELAASTTGENDDISALDMVHSLLI QIVGTLFWVVSLLVGSLIKSTYKWGYYTIGAVAMLVTQGVICQRQFFNLKTRGFNALM LCTCMVIVWLYFICWGLSDGGNRIQPDGEAIFYGVLDLCVFAIYPCYLLIAVSRDGKL PRLSLTGGFSHHHATDDVEDAAPETKEAVPESPRASGETAIHEPEPEAEQAVEDTA YCR022C MENRCSNPCYIQSDPSDLLSLRLPARFCWPFSRSSRIFQGSSRR RILSEGKNASKACFVRIFGMAKVSKAISRSRSRLLFRSLPPHPACLFEYQISSSSLFH HPFLLWQGQVFF YCR023C MARQKLTFKEQMDGFPWVQLVVVSLVRFSEPIAFSSLFPYVYFM VRDFNIAPNDAQVSKYSGYLSSSFALCQVISAYHWGRFSEKHGRKITLTCGLIGTSVS LLILGFSRNFYQALVARSLMGLLNGNVGVIRTIIGEIATERKHQALAFSTMPLLFQFG AVVGPMIGGFLVFRDGTMNEVPLWFPHFAKRIIRSYPYALPNVVVCMFLMFGLTNATL FLEETHPAFKDRRDYGLEVGDFIKKNIFGIQPKRRPWQKRIQDDSENIHHRNENVNSI RGQDSEEDENSPLVNTTNDDDTESIQSIDPILTRRQSVGLIRTYSLHEPTDAVHANID TAPDGCKESSIFHHVFHTKVFYPISVNFIMALHLIVYNEFLPVFLAYDLAVDPENPKK LASKFPWKISGGIGYEPEQTGTLLSTTGIFGCFVVIFIFPIVDRNFDCLTIFRTLVKL YPIMYVMVPYVVFLQNERIPSWYTVVYLYIITGIKTFCGALTSPQIMLLIHNSSPLSC RSVINGATISISASARFIGPLVWGYIMSWSQQNDVAWVSWWSLSLFCMVALYQSYKIA PIDDNENELHGQGSEDAYNSQSQSSDLRMAHRSSLSSLSNQRCTT YCR024C MFHAFTFLKGGRFYSSLTVKSLYEQVHHTSHDPISINGWIKSIR LLKRIAFLDLQDGTSVNPLRIVIPLTNTDEVQFLKILKTGQTLSISNATWQSTPNRKQ PFELQIKNPVKSIKLVGPVSENYPLQKKYQTLRYLRSLPTLKYRTAYLSAILRLRSFV EFQFMLYFQKNHFTKVSPPILTSNDCEGAGELFQVSTNTSPTASSYFGKPTYLTVSTQ LHLEILALSLSRCWTLSPCFRAEKSDTPRHLSEFWMLEVEMCFVNSVNELTSFVETTI KHIIKACIDNQQELLPKQFISSQENNASSELSINQETQQIKTRWEDLINEKWHNITYT NAIEILKKRHNEVSHFKYEPKWGQPLQTEHEKFLAGEYFKSPVFVTDYPRLCKPFYMK QNSTPDDTVGCFDLLVPGMGEIIGGSLREDDYDKLCREMKARGMNRSGELDWYVSLRK EGSAPHGGFGLGFERFISYLYGNHNIKDAIPFYRTSAESIDF YCR024C-B MCVCAIPFFEFFLPFIPHYAFLLFVSSVRFTVNERCYYLVCVLK LNCAFFFMVMIFELKRVCVSYLDRSRKIQIVSFFPFITIIFFHS YCR024C-A MTLPGGVILVFILVGLACIAIIATIIYRKWQARQRGLQRF YCR025C MSSFGHRAFFSCCPLLEGETLHSIKLRKEATYQTQSGWPVRWPI RYPRALPLESHVHPYHMIVKLPHPRTQPRLFLWLSLHWTGIPFLGKPGIGRNRVKSKQ FSHFQQSKIKTTMRKKTTNRKQKAERCQYRLVTA YCR026C MELQNDLESLDNELNDFSEDPFRDDFITDEDAVRSGWRSAWTRM KYWFYKNRLKWTNNPIVIGDAKDSRDGSNFRRGIPLYELDANGQPIDTELVDENELSF GTGFHSKVPFKIIFRTLFGSLVFAIFLILMINIAKPHHSTRVLSHFGSPEFDPYVKYF NGTHEFFPLTIVISLDGFHPSLISKRNTPFLHDLYELKYDGGMNITSTPFMVPSFPTE TFPNHWTLVTGQYPIHHGIVSNVFWDPDLNEEFHPGVLDPRIWNNNDTEPIWQTVQSA FDGDIPFKAATHMWPGSDVNYTKYNEEKLQPEHKNPIARERTPFYFDEFNAKEPLSQK LSKIIEYVDMSTLNERPQLILGYVPNVDAFGHKHGYPSESEYYYEDFTETLGEVDTFL KQLVESLQERNLTSFTNLVIVSDHGMSDIVVPSNVIIWEDLLDEKLRKDYVSHAYLEG PMMAISLKDSGNINEVYHNLKTSIDEDKYTVYVNGNFPKEWNFNDGKNHHMASIWIVP EPGYAVMKKEQLKKVAKGDHKDKNEDNVFTIGSHGYDNNAIDMRSVFIGMGPYFPQGY IEPFQNTEIYNLLCDICGVAEKDRNSNDGTGMLMNQLREPQSSEEVEIEDDFDYLVSK FGEFSTYNIIWGGYPEETEQDNVDNDNDDNDDGNTDEIAAMPSSSLTIKLEMTTSIPS ATETLLGETSPSSRSSSSSSIQASATASTVGDWLQDIINDAKDLIDDIIDSIDDLVDS DT YCR027C MEYATMSSSNSTHNFQRKIALIGARNVGKTTLTVRFVESRFVES YYPTIENEFTRIIPYKSHDCTLEILDTAGQDEVSLLNIKSLTGVRGIILCYSIINRAS FDLIPILWDKLVDQLGKDNLPVILVGTKADLGRSTKGVKRCVTKAEGEKLASTIGSQD KRNQAAFIECSAELDYNVEETFMLLLKQMERVEGTLGLDAENNNKCSIM YCR028C MMKESKSITQHEVERESVSSKRAIKKRLLLFKIDLFVLSFVCLQ YWINYVDRVGFTNAYISGMKEDLKMVGNDLTVSNTVFMIGYIVGMVPNNLMLLCVPPR IWLSFCTFAWGLLTLGMYKVTSFKHICAIRFFQALFESCTFSGTHFVLGSWYKEDELP IRSAIFTGSGLVGSMFSGFMQTSIFTHLNGRNGLAGWRWLFIIDFCITLPIAIYGFIF FPGLPDQTSAVSKFSMTRYIFNEQELHYARRRLPARDESTRLDWSTIPRVLKRWHWWM FSLVWVLGGENLGFASNSTFALWLQNQKYTLAQRNNYPSGIFAVGIVSTLCSAVYMSK IPRARHWHVSVFISLVMVIVAVLIRADPLNPKVVFSAQYLGGVAYAGQAVFFSWANII CHADLQERAIVLASMNMFSGAVNAWWSILFFASDMVPKFERGCYALLATAISSGIVSV VIRSLQIKENLSKKQVPYIDANDMPGEDDDDDNQDNENDGDDESMEVELHNEEMAEIS NPFR YCR028C-A MFLRTQARFFHATTKKMDFSKMSIVGRIGSEFTEHTSANNNRYL KYSIASQPRRDGQTNWYNITVFNEPQINFLTEYVRKGALVYVEADAANYVFERDDGSK GTTLSLVQKDINLLKNGKKLEDAEGQENAASSE YCR030C MTEQRTKYADSILTTKSPYEATETIRIRLSQVKLLNKDFYLLFK ELANLKRNYAQQLRKIIAENEDITKILNAQMIESNVLTPQEMSAFRFNSLGELRNVWD TVIEELKSDLKSSTEYYNTLDQQVVRELKESVENNTSWRESKDLHSKLSKNAASIEHY SKNNENSSHLEEARRQWDQQSPYLFELFETIDYNRLDTLKNCMLRFQTSFSDYLLNTT KECETVMTKFLAFEPQSEIDRFAKDASQYNFQLSSSSKEVVPNNASPASATGARPVSV SNGAANTEREKKSPQKDKRKSAFGNIGHRLASASSSLTHNDLMNNEFSDSTNNSSLKS KKSSHTLRSKVGSIFGRNKTKNKRQQQSSSNSHIQASITETPNNSSTRVSSTATSSIY QKQRRPTYSSSKSNNWTPGEASDTPPLPPHATPKNVDAPVTADTPPAQTFTPSEVPPS TPQQSSPPTAKEPDSSNLPKTVPISISQPPLQPQSKTKPLPVEPASPSISLPTATVDN QPSGQVDSRPLHIRAPALPPSRKQNFIHNRDSQLYDSLPNHGSGATPTSSSLSSIPQE RPVSTLSSQITGELRELNPQATGSSTSLVGQSLFQHSSLDTSQFGLNASIAEVLNASF KDGMLQNSQLIGEIALNYLPNSVMNSPLPIGINLRINNGAKFEKVILNQAFIERVAPE EFKVNPSFIDSRTLGAIKYSIKEPIAPIVIHPVWRFESHQASVVLTVKMSPSLPDEIS QIVIEDLVVFVNIDGANATSALSKPQGSFSKEKKRITWRFKEPVVLTRNGEGQRLIAR FITDGLAHESAKGVITKFTISETDNVALPHSGAGSGITLTCQELDENNPFGGEWLDVN TKRTLTTGNYHGLA YCR031C MSNVVQARDNSQVFGVARIYASFNDTFVHVTDLSGKETIARVTG GMKVKADRDESSPYAAMLAAQDVAAKCKEVGITAVHVKIRATGGTRTKTPGPGGQAAL RALARSGLRIGRIEDVTPVPSDSTRKKGGRRGRRL YCR032W MNSIINAASKVLRLQDDVKKATIILGDILILQPINHEVEPDVEN LVQHELTKIIQGYPIQDNMIINSKKGTVEDDLCELNNYTCFALSKSFDLCHDSRNFNI AQPKRWIQLLETLTDSVSFAVIVQIILTLSNISLINKQTLGKLKKLRIRIFEILSNKN DSWKSTLLQKNLIEWYIFMLSVDCTPLELQNLYLHKELKFCNGILNSLTLQVSDPRSQ NYLQFENTYKLFQIQKSSRINNSFLFYIEFNSVTSNRIMTIERHIYLEIKEGQFCISN DNYIIGLFENFEFEAGTLYFIGVLIDHNNRITLYVDGSMINQLTLFENSICQLSTCEL GSMICSIKVYRFYLWDGLLTEFAINILQAIGTNYQYTFSKKKEGPEVLSLCQDFLIAK AHLMARPTTEISSTKYIDEIELLEMENIIIDVNPNDILQDFTESSNFTVKFEESTNSK NIPEVGKCYFYRSSNLVSKFVSIDSIRLAFLNMTESGSIDDLFHHVSHLMNLLRNIDI LNWFKKDFGFPLFAYTLKQKITQDLSQPLNIQFFNLFLEFCGWDFNDISKSIILDTDA YENIVLNLDLWYMNEDQSSLASGGLEIIRFLFFQISSLMEASIYSKFNSNKFNDMNIL EKLCLSYQAVTKRENQNSKFNELSSDLISVFVTLLKSNTDKRHLQWFLHLSYYFIKRK DVRSTEIILQAVDQLFSFYLDQGSDENAKILSEIIPLKLILMIMDQIVENNESNPITC LNILFKVVLTNKPLFKQFYKNDGLKLILTMLCKVGKSYREEIISLLLTYSIGNYTTAN EIFSGAEDMIGGISNDKITAKEIIYLAVNFIEWHVINSNASDSSSVLDLNNHILRFVE DLKSLSAVPINESVFDPKKSYVMVSLLDLSIALNESEDISKFKSSSKVISELIKGNIM CALTKYAAYDFEVYMSTFFCHSTEYKLVYPKTVMNNSSYLELSFIVTLLPEILNDLVD SNNNLNLMMLKHPYTMSNLLYFLRKFRPDTSQIVMPKDFYFSSYTCLLHCVIQIDKSS FYHFKNVSKSQLLQDFKICIMNLIYSNTLKQIIWEKEEYEMFSESLMAHQEVLFAHGA CDNETVGLLLIFFANRLRDCGYNKAVFNCMKVIIKNKERKLKEVACFFDPANKSEVLE GLSNILSCNNSETMNLITEQYPFFFNNTQQVRFINIVTNILFKNNNFSPISVRQIKNQ VYEWKNARSEYVTQNNKKCLILFRKDNTSLDFKIKKSISRYTYNLKTDREENAVFYRN NLNLLIFHLKHTLEIQSNPNSSCKWSSDFAEDFDGMKRRLLPAWEPKYEPLINEEDAN QDTITGGNRQRRESGSILSYEFIEHMETLESEPVGDLNENRKILRLLKDNDSIATIWN CSLIIGLEIKEGILIHGSNYLYFVSDYYFSLEDKKILKLSEVSQESRDMTVSLINGPD VKRVSTFLKHEVFVWKLLDITFVTKRPFLLRDVAIELLFKERVSAFFSFYNKRVRDDV LRVLNKIPKHLPADPIFSSVLQEINDRGNSIVARNGIGKASIASKFTSVFSANNSLID GFEISKKWVRGEISNFYYLLSINILAGRSFNDLTQYPVFPWVIADYESNVLDLENPKT YRDLSKPMGAQSEKRKLQFIERYEALASLENADSAPFHYGTHYSSAMIVSSYLIRLKP FVESFLLLQGGSFGPADRLFSSLERAWSSASSENTTDVRELTPEFFFLPEFLINVNSY DFGTDQSGKKVDDVVLPPWANGDPKVFIQKNREALESPYVSAHLHEWIDLIFGYKQKG DIAVKSVNVFNRLSYPGAVNLDNIDDENERRAITGIIHNFGQTPLQIFQEPHPEKIAC NVQQLTTEVWRKVPMKPIFEKTIFNLNEKNRSVDYVIHDPSYFDSLYWRGFAFPNLFF RTEESLVSLRIVHKNWLKIGLDIFKKTHMAQITSFAYWKLGEFITGDKNGLIKVWKYR KDKHSVSGNLENKKTMFGHLCELKEMRCYHDYNTLLTLDISGLVYVWDMINFELVRQI TNDAQKVAISQHAGSIMVLTKNNAISIFNLNGQIYTSKKFEPAKIVSSIDFFDFTKLD AGYRKHIYWKEMEILLVGFEDGTIEIYELFLNFHNEWAIKLLKQLCTEKGKAITSIKG QGKTYLSQKRRKDTAEPHEIEVIAGTLDGRLAIWY YCR033W MGYPPPTRRLGDKKRYHYSNNPNRRHPSAVYSKNSFPKSSNNGF VSSPTADNSTNPSVTPSTASVPLPTAAPGSTFGIEAPRPSRYDPSSVSRPSSSSYSST RKIGSRYNPDVERSSSTTSSTPESMNTSTITHTNTDIGNSRYSRKTMSRYNPQSTSST NVTHFPSALSNAPPFYVANGSSRRPRSMDDYSPDVTNKLETNNVSSVNNNSPHSYYSR SNKWRSIGTPSRPPFDNHVGNMTTTSNTNSIHQREPFWKANSTTILKSTHSQSSPSLH TKKFHDANKLDKPEASVKVETPSKDETKAISYHDNNFPPRKSVSKPNAPLEPDNIKVG EEDALGKKEVHKSGREIAKEHPTPVKMKEHDELEARAKKVSKINIDGKQDEIWTTAKT VASAVEVSKESQKELTRSVERKESPEIRDYERAYDPKALKTDVTKLTVDNDNKSYEEP LEKVEGCIFPLPKAETRLWELKNQKRNKIISEQKYLLKKAIRNFSEYPFYAQNKLIHQ QATGLILTKIISKIKKEEHLKKINLKHDYFDLQKKYEKECEILTKLSENLRKEEIENK RKEHELMEQKRREEGIETEKEKSLRHPSSSSSSRRRNRADFVDDAEMENVLLQIDPNY KHYQAAATIPPLILDPIRKHSYKFCDVNNLVTDKKLWASRILKDASDNFTDHEHSLFL EGYLIHPKKFGKISHYMGGLRSPEECVLHYYRTKKTVNYKQLLIDKNKKRKMSAAAKR RKRKERSNDEEVEVDESKEESTNTIEKEEKSENNAEENVQPVLVQGSEVKGDPLGTPE KVENMIEQRGEEFAGELENAERVNDLKRAHDEVGEESNKSSVIETNNGVQIMDPKGAV QNGYYPEETKELDFSLENALQRKKHKSAPEHKTSYWSVRESQLFPELLKEFGSQWSLI SEKLGTKSTTMVRNYYQRNAARNGWKLLVDETDLKRDGTSSESVQQSQILIQPERPNI NAYSNIPPQQRPALGYFVGQPTHGHNTSISSIDGSIRPFGPDFHRDTFSKISAPLTTL PPPRLPSIQFPRSEMAEPTVTDLRNRPLDHIDTLADAASSVTNNQNFSNERNAIDIGR KSTTISNLLNNSDRSMKSSFQSASRHEAQLEDTPSMNNIVVQEIKPNITTPRSSSISA LLNPVNGNGQSNPDGRPLLPFQHAISQGTPTFPLPAPRTSPISRAPPKFNFSNDPLAA LAAVASAPDAMSSFLSKKENNN YCR034W MNSLVTQYAAPLFERYPQLHDYLPTLERPFFNISLWEHFDDVVT RVTNGRFVPSEFQFIAGELPLSTLPPVLYAITAYYVIIFGGRFLLSKSKPFKLNGLFQ LHNLVLTSLSLTLLLLMVEQLVPIIVQHGLYFAICNIGAWTQPLVTLYYMNYIVKFIE FIDTFFLVLKHKKLTFLHTYHHGATALLCYTQLMGTTSISWVPISLNLGVHVVMYWYY FLAARGIRVWWKEWVTRFQIIQFVLDIGFIYFAVYQKAVHLYFPILPHCGDCVGSTTA TFAGCAIISSYLVLFISFYINVYKRKGTKTSRVVKRAHGGVAAKVNEYVNVDLKNVPT PSPSPKPQHRRKR YCR035C MAESTTLETIEIHPITFPPEVLARISPELSLQRHLSLGIRPCLR KYEEFRDVAIENNTLSRYADAGNIDTKNNILGSNVLKSGKTIVITSITGGIIEETSAA IKDLDDFGEEELFEVTKEEDIIANYASVYPVVEVERGRVGACTDEEMTISQKLHDSIL HSRILPKKALKVKAGVRSANEDGTFSVLYPDELEDDTLNETNLKMKRKWSYVLYAKIV VLSRTGPVFDLCWNSLMYALQSVKLPRAFIDERASDLRMTIRTRGRSATIRETYEIIC DQTKSVPLMINAKNIAFASNYGIVELDPECQLQNSDNSEEEEVDIDMDKLNTVLIADL DTEAEETSIHSTISILAAPSGNYKQLTLVGGGAKITPEMIKRSLLLSRVRADDLSTRF NI YCR036W MGITVIGSLNYDLDTFTDRLPNAGETFRANHFETHAGGKGLNQA AAIGKLKNPSSRYSVRMIGNVGNDTFGKQLKDTLSDCGVDITHVGTYEGINTGTATIL IEEKAGGQNRILIVEGANSKTIYDPKQLCEIFPEGKEEEEYVVFQHEIPDPLSIIKWI HANRPNFQIVYNPSPFKAMPKKDWELVDLLVVNEIEGLQIVESVFDNELVEEIREKIK DDFLGEYRKICELLYEKLMNRKKRGIVVMTLGSRGVLFCSHESPEVQFLPAIQNVSVV DTTGAGDTFLGGLVTQLYQGETLSTAIKFSTLASSLTIQRKGAAESMPLYKDVQKDA YCR037C MRFSHFLKYNAVPEWQNHYLDYNELKNLIYTLQTDELKQETPTG DLNDDADSQTPGPIADIESNIAAGEPSSSKRRFTHKLKRKLFGSKTPSGSKRGDSDEK AIDGNNINEETIELDELSPQGKTTSFNKNFIRKKFFESRSSSVSSEGKTLFSSYDTFV TNLSDEKLKVDDFYKRMEAKFYERFDHLINDLEKEGIVTRLNETFNPEIQALPPLREI ISGTSETHSSNNPFEIHSSNIDSELRNRFDYSEEEMDEDDDVDVFADTTDNTALLNYS QFNIKSQKKSLLKQTIINLYIDLCQLKSFIELNRMGFSKITKKSDKVLHMNTRQELIE SEEFFKDTYIFQHETLSSLNSKIAQLIEFYAVLMGQPGNVDSCKQELKSYLHDHIVWE RSNTWKDMLGLSSQNNDIITIEDEAEKLMQEKLQIEYFKYPLPKPINLKFTKIENLAV PKLFFGKRAMKIGFIIIVTGVLLGVKTFNDPVEHRCMALVECCAFLWASEAIPLHITG LLVPLLTVLFRVLKDDDGKVMGAAAASTEILGTMWSSTIMILLAGFTLGEALSQYNVA KVLASWLLALAGTKPRNVLLMAMSVVFFLSMWISNVASPVLTYSLLTPLLDPLDYTSP FAKALVMGVALSADIGGMASPISSPQNIISMQYLKPYGIGWGQFFAVALPTGILSMLC SWALMILTFKIGKTKLEKFKPIRTRFTIKQYFIIIVTIATILLWCVESQIESAFGSSG EIAVIPIVLFFGTGLLSTKDFNTFPWSIVVLAMGGIALGKAVSSSGLLVTIARALQKK IQNDGVFAILCIFGILMLVVGTFVSHTVSAIIIIPLVQEVGDKLSDPKAAPILVFGCA LLASCGMGLASSGFPNVTAISMTDKKGNRWLTVGAFISRGVPASLLAFVCVITLGYGI SSSVLKGST YCR038C MSPKNKYVYICVEYIYIYFAKIHKQSTLSSDTTKMFVLIDNVLA YLLEQDDLFVTARFAIQGQIVSRRVNKIHISNITDVLLQQFISHTLPYNDNIVPKKIL DSMRTAVRQLLEATACVSRECPLVKRSQDIKRARKRLLSDWYRLGADANMDAVLLVVN SAWRFLAVWRPFVNSIQHATQELYQNIAHYLLHGNVNIQRVTALIQLVMGQDDLLFSM DDVLQEVFRIQLYLNKMLPHNSHKWQKPSPFDSANLLLNFRDWTTDNALLQELLLSYP TINKNKHKNHSVPRLIQIWVESYWQDSETTLKDILNFWYSHLAEYYEYQELFADIVQL FINKKRTRQLKIHYIGLTDKEIEENKPPLDYENLFLQYEIDKTNANDELCGATDLSDL LFQWKQGELLEVEAFALNVSPWSLAKTLTLLESSLYLDIETIEFTRHFKHNDTTIDSV FTLSNQLSSYVLETTLQQTHTISYWLQVALSCLYLRNLNSLASIITSLQNHSIERLSL PIDVKSDHLFQRLKVVVHPNNNYNVYRRTIKHIFHSQLPCVPFTSLLIRDITFIRDGN DTFTKDGNNVNMQKFNQITKIVAFAQYLQQKQYEDIHCSNTTARSLLGAMIKVHTLYN DNKDRAYQVSIAKVPRLT YCR039C MNKIPIKDLLNPQITDEFKSSILDINKKLFSICCNLPKLPESVT TEEEVELRDILGFLSRANKNRKISDEEKKLLQTTSQLTTTITVLLKEMRSIENDRSNY QLTQKNKSADGLVFNVVTQDMINKSTKPYRGHRFTKENVRILESWFAKNIENPYLDTK GLENLMKNTSLSRIQIKNWVSNRRRKEKTITIAPELADLLSGEPLAKKKE YCR040W MFTSKPAFKIKNKASKSYRNTAVSKKLKEKRLAEHVRPSCFNII RPLKKDIQIPVPSSRFLNKIQIHRIASGSQNTQFRQFNKTSIKSSKKYLNSFMAFRAY YSQFGSGVKQNVLSSLLAEEWHADKMQHGIWDYFAQQYNFINPGFGFVEWLTNNYAEV RGDGYWEDVFVHLAL YCR041W MLKYVVTDIGKMCLYIWPYRVWSWRRLFIFRVLNVVSIAILFET PHRLALVPNVCLYTHMAIPLSTCLFCLCLCICIKYDITQTQANNQFLASFFVLILTIN DLDVTFVI YCR042C MMSFSKNATPRAIVSESSTLHEMKFRNFRVAHEKISLDIDLATH CITGSATIIIIPLIQNLEYVTFDCKEMTIKDVLVENRRCDQFIHDDPLQTNLNGLTSQ NVLYSDNSIEQSHFLRSKFASLNEYPETDSKSQLTIKIPSSIKISLEDANALSNYTPI TPSIKTTPGFQESVFTPITLQIEYEIRNPKSGIKFDTVYADKPWLWNVYTSNGEICSS ASYWVPCVDLLDEKSTWELEFSVPRLVKNIGTSKLIGQNGEESEKEKEDTPEHDEEEE GKPARVIKDEDKDSNLKNDEEGKNSKSKDAQDNDEEEEEGESDEEEEEGEEERRNIEE SNNPSLRDVIVCCSEYSNIKELPHPIDLTKKKCIFQIINPVAPHHIGWAIGAFNSWSL PLISPPSVDAEDEVEEDKLRENVVDNVNDTMDDDIGSDIIPIQIFTLPTQETDELTVI NSTVVCQKIIDFYSKEFGSYPFTCYSMVFLPTAPSKHMDFAALGICNTRLLYPLEVID KAFSTTNELAWALANQWSCVNITPLDMNDYWCCLGIAGYMVFQVTKKLMGNNTYKYQL KRNSEAIVEQDFEKPPIGSTFTGSSRPISWSSKDLSFIQLKAPMILHILDRRMTKTER SFGMSRVLPKIFLQAMSGDLPNNSLTSSHFQHVCERVNKSKLENFFNEWVYGSGVPIL RVTQRFNRKRMVIELGIRQVQDEELGHEKVVGEEGFFKSALDHLEHPDLNRTECFTGS MTIRIHEHDGTPYEHIVEIKDTFTKIDIQYNTKYRRLRKRGGGANDENGVENNNEEKP IVVDVNCLGNVYMSPEECSRFSLTEFNRTSESNELLKQNEAFEWIRIDSDLEWICQMH INQPDYMFSSQLRQDGDIEAQLEAIRYYEDVVVNGGVKSLVYSSILFRTAIDERYFFG IRLAACEALSKYVYDPDFTGGVKHLIQIFQILFCLEDSNIPKSNNFENPKLYFLQCNI PKYLAKVKNENGKCPKLVKQFLLDILVYNENGENKYSDDAYVRSLIENVVKVALNEYK DKAYMEKVKTQLLRYENLVNWLSSYESLIKTTIMYAKYKLHKVGAYDFTELTGMIMHT LTLGINNGDISRESFQNEFLMVLKIMLLEGGLKNKDALVLFTEILCFHEDSYIRDKSV DVLSECVNLVVMDGSLDTISDDIKSSVQSVHNEVKNIKSEDDIELFLSGHYVDDMKIK IEKIGRQNISGLIQICRDMFKGYSPLKILLWDVLNLPVLSLYQRKQIHDLVRVMYTLI NSFVVRLETPRERRLVAKMNSNEEGKLDIVIKRESILKVHIKKEVTSTVEAPKKANKI KISLKGDKPVRKVEKQIVKPKVTSKQRKVKSHVNRMGSLPLRFVKIQQQPRVMVHLSS VPYSQFVQITKVTSRSFMVKIRTKNDAKN YCR043C MIPAPLDASLLREHAFQGTNDLSTVLSPSTFTDEGGYKPVLKYG LGYFNYGLVIDDEVYDYSVCDIIRGHVYDHFWCYFCCFMILFTIWLISLNWCPSSKKS KFDWSKKKDDFKMEGGDLEYQHVKI YCR044C MRLAVVVTLLVHCFLVTCSPGDNLDEFIDCTYACEYNRRCPNSQ INYIDPETNMFHDIEFFDTPPLYSKLLFWDCISDCDYQCQHIITRWRIDEEEEIYQFH GKWPFLRVLGTQEFFSTIFSIGNFIPHYKGFVKFSRIIREEGDRRRKNSRSILIWNYL YVTVAGMLAWTASSVFHCRDLIITEKLDYFFAGLTVLTGFHAIFARMTSMFLYPKIAQ AFTASVAAIFALHILRLYVDWSYTYNMRFNIFFGVLQYILLIMLSCQNYHALQKQKLM GEFKKTAYSSFKRQIFKLCVIPILLVIVTTMAMSLELFDFFSYEWQIDAHALWHLCTI WPSWVLYDFFLEDYAYWGNRQLY YCR045C MKPQCILISLLVNLAYAEEYLVRFKNPTAFQQFTSNSNRSWRQF IDNKIEKKFSIGSFRGVTMNLSKNLVNKLKKSPLVADIVPNFRFEAFEGDSVNSAESS YTFNATAKYSYEDVEEEQNITYQPDAPRHLARISRHYQLPFDVGDKDRYKSWFNYYYE HDYQGQDVNAYIMDTGIFADHPEFEDRVIQGIDLTKEGFGDQNGHGTHVAGLVGSKTY GAAKRVNLVEVKVLGKDGSGEASNVLSGLEFIVEHCTKVSRPQGKKCVANLSLGSFRS PIINMAVEGAIEEGIVFVAAAGNFNLDAYWASPASAENVITVGAFDDHIDTIAKFSNW GPCVNIFAPGVEIESLSHLNYNDTLILSGTSMSTPIVTGVAAILLSKGIEPEMIAQEI EYLSTRNVFHRRTLFFKPSTPNQILYNGVDKLDDPYDDETFPRLNIEAIAKELEEYNA TLQTPMSENLQSGSKLWGWNNDVTLPLGEIRLKRRDFMKNL YCR046C MWSRNVRLLGSWTRSYMVPATKRKTIPVYPPVQRIASSQIMKQV ALSEIESLDPGAVKRKLISKKNKDRLKAGDVVRIVYDSSKCSYDTFVGYILSIDRKQL VQDASLLLRNQIAKTAVEIRVPLFSPLIERIDLLTPHVSSRQRNKHYYIRGTRLDVGD LEAGLRRKK YCR047C MSRPEELAPPEIFYNDSEAHKYTGSTRVQHIQAKMTLRALELLN LQPCSFILDIGCGSGLSGEILTQEGDHVWCGLDISPSMLATGLSRELEGDLMLQDMGT GIPFRAGSFDAAISISAIQWLCNADTSYNDPKQRLMRFFNTLYAALKKGGKFVAQFYP KNDDQVDDILQSAKVAGFSGGLVVDDPESKKNKKYYLVLSSGAPPQGEEQVNLDGVTM DEENVNLKKQLRQRLKGGKDKESAKSFILRKKELMKRRGRKVAKDSKFTGRKRRHRF YCR048W MTETKDLLQDEEFLKIRRLNSAEANKRHSVTYDNVILPQESMEV SPRSSTTSLVEPVESTEGVESTEAERVAGKQEQEEEYPVDAHMQKYLSHLKSKSRSRF HRKDASKYVSFFGDVSFDPRPTLLDSAINVPFQTTFKGPVLEKQLKNLQLTKTKTKAT VKTTVKTTEKTDKADAPPGEKLESNFSGIYVFAWMFLGWIAIRCCTDYYASYGSAWNK LEIVQYMTTDLFTIAMLDLAMFLCTFFVVFVHWLVKKRIINWKWTGFVAVSIFELAFI PVTFPIYVYYFDFNWVTRIFLFLHSVVFVMKSHSFAFYNGYLWDIKQELEYSSKQLQK YKESLSPETREILQKSCDFCLFELNYQTKDNDFPNNISCSNFFMFCLFPVLVYQINYP RTSRIRWRYVLEKVCAIIGTIFLMMVTAQFFMHPVAMRCIQFHNTPTFGGWIPATQEW FHLLFDMIPGFTVLYMLTFYMIWDALLNCVAELTRFADRYFYGDWWNCVSFEEFSRIW NVPVHKFLLRHVYHSSMGALHLSKSQATLFTFFLSAVFHEMAMFAIFRRVRGYLFMFQ LSQFVWTALSNTKFLRARPQLSNVVFSFGVCSGPSIIMTLYLTL YCR050C MVAVHKVRYNVIMILGPEQTPNEKTTLDNCGLARRNLVLLKAVH TNCDSWNMNRYPLTLLKMANMAISWNTALKKKVNNVAWLLLKCNAPMELWYTCLSKNL YCR051W MNANIWVAASDGNLDRVEHILRESKGAMTPQSKDINGYTPMHAA AAYGHLDLLKKMCNEYNGDINVLDNDGDTPLHHVEDVATARLIVEELGGDFTIRNVEG QTPYDSFVENGEDGELIEYMRIKSGVADVHGVDGVQGEGVIDSKLLEEFKDNVRYTLE NDPEEGADEATLQRRRQLEQIITGDNAEEELERYIRAMVREQMLGQGSMAGSGDEPDS KRRK YCR052W MVTQTNPVPVTYPTDAYIPTYLPDDKVSNLADLKKLIEMDSRLD LYLTRRRLDTSINLPTNTKTKDHPPNKEMLRIYVYNTTESSPRSDSGTPADSGKTTWT LRIEGKLLHESANGKHPFSEFLEGVAVDFKRLKPLGMGKKRKRDSSLSLPLNLQQPEY NDQDSTMGDNDNGEDEDSAEAESREEIVDALEWNYDENNVVEFDGIDIKRQGKDNLRC SITIQLRGVDGGKVQYSPNLATLIGMQTGSVNDAVYSIYKYILINNLFVTEQTEAQDG SNDAEDSSNENNNKNGAGDDDGVEGSTPKDKPELGEVKLDSLLQKVLDTNAAHLPLMN VVQTVNKLVSPLPPIILDYTIDLSKDTTYGATTLDVDVSHILHQPQPQPNLQKEEETD AEDTAKLREITKLALQLNSSAQKYQFFHELSLHPRETLTHYLWSSKQNELVLQGDQYF NEDAARTSDIYSNNNNDRSLMGNISLLYSQGRL YCR053W MPNASQVYRSTRSSSPKTISFEEAIIQGLATDGGLFIPPTIPQV DQATLFNDWSKLSFQDLAFAIMRLYIAQEEIPDADLKDLIKRSYSTFRSDEVTPLVQN VTGDKENLHILELFHGPTYAFKDVALQFVGNLFEYFLQRTNANLPEGEKKQITVVGAT SGDTGSAAIYGLRGKKDVSVFILYPTGRISPIQEEQMTTVPDENVQTLSVTGTFDNCQ DIVKAIFGDKEFNSKHNVGAVNSINWARILAQMTYYFYSFFQATNGKDSKKVKFVVPS GNFGDILAGYFAKKMGLPIEKLAIATNENDILDRFLKSGLYERSDKVAATLSPAMDIL ISSNFERLLWYLAREYLANGDDLKAGEIVNNWFQELKTNGKFQVDKSIIEGASKDFTS ERVSNEETSETIKKIYESSVNPKHYILDPHTAVGVCATERLIAKDNDKSIQYISLSTA HPAKFADAVNNALSGFSNYSFEKDVLPEELKKLSTLKKKLKFIERADVELVKNAIEEE LAKMKL YCR054C MPMNNFLDEFNLFDSIITMMKNDPCCVEDYEPIVENLNRIFQRT FNDEEHRKSMANSQLFWERLRDTLEAMLLPASLNENSSIPYTRTVRGLILMMRNLAAE NQEIPQKLLLQNLVIRGFLHATSEYVVDTPLIKHLYIACLTCLFNIQQNYSTVDMTTF PALLQFLQYPYGIKLEDGEEEEHFWLPYLFLFKTYLNNDEFSNEFFRDNDTPQKDYYC VRDRIFFDIVTAKFIQDQENSFLIEKGRNYLDDSKLEITSIDLSVLECISKSLTTASF GKYLNGLEERQPGKFTTLLQILQLVVTSKEDWNTYELTAIMSWCYPILQRLACKDIPA FFNKSCNDYAPSVAIQLHSTLLSCLDIISDLCKFNHVRKFLISYDSVKILVSLLDTFQ KNLLRINFLKGNGDTVNEIKITDHEGNKIEDRLLIFNRVNTNESFIRADNFPHCKLVI IEILASLVYAHPEIQDQIRELGGLALILSNCVIDDNDPFIKERSIVCLKFLLKNNAKN QEYVKKMEAQDVVQDDALSKAGFEISVEKGGKVRLVSKEEDPGNENSEIISIDED YCR057C MKSDFKFSNLLGTVYRQGNITFSDDGKQLLSPVGNRVSVFDLIN NKSFTFEYEHRKNIAAIDLNKQGTLLISIDEDGRAILVNFKARNVLHHFNFKEKCSAV KFSPDGRLFALASGRFLQIWKTPDVNKDRQFAPFVRHRVHAGHFQDITSLTWSQDSRF ILTTSKDLSAKIWSVDSEEKNLAATTFNGHRDYVMGAFFSHDQEKIYTVSKDGAVFVW EFTKRPSDDDDNESEDDDKQEEVDISKYSWRITKKHFFYANQAKVKCVTFHPATRLLA VGFTSGEFRLYDLPDFTLIQQLSMGQNPVNTVSVNQTGEWLAFGSSKLGQLLVYEWQS ESYILKQQGHFDSTNSLAYSPDGSRVVTASEDGKIKVWDITSGFCLATFEEHTSSVTA VQFAKRGQVMFSSSLDGTVRAWDLIRYRNFRTFTGTERIQFNCLAVDPSGEVVCAGSL DNFDIHVWSVQTGQLLDALSGHEGPVSCLSFSQENSVLASASWDKTIRIWSIFGRSQQ VEPIEVYSDVLALSMRPDGKEVAVSTLKGQISIFNIEDAKQVGNIDCRKDIISGRFNQ DRFTAKNSERSKFFTTIHYSFDGMAIVAGGNNNSICLYDVPNEVLLKRFIVSRNMALN GTLEFLNSKKMTEAGSLDLIDDAGENSDLEDRIDNSLPGSQRGGDLSTRKMRPEVRVT SVQFSPTANAFAAASTEGLLIYSTNDTILFDPFDLDVDVTPHSTVEALREKQFLNALV MAFRLNEEYLINKVYEAIPIKEIPLVASNIPAIYLPRILKFIGDFAIESQHIEFNLIW IKALLSASGGYINEHKYLFSTAMRSIQRFIVRVAKEVVNTTTDNKYTYRFLVSTDGSM EDGAADDDEVLLKDDADEDNEENEENDVVMESDDEEGWIGFNGKDNKLPLSNENDSSD EEENEKELP YCR059C MDDDHEQLVEELEAVEAIYPDLLSKKQEDGSIIVVKVPQHEYMT LQISFPTHYPSEEAPNVIEVGVCTSLAKRDLYDTKYLQHLFQEVMDSVFHRGSVCLFD FLTELDGVLYVEPEEETEPVQQSDIPTDPFEGWTASDPITDRGSTFMAFAAHVTSEEQ AFAMLDLLKTDSKMRKANHVMSAWRIKQDGSAATYQDSDDDGETAAGSRMLHLITIMD VWNVIVVVARWFGGAHIGPDRFKHINSTAREAVVRAGFDS YCR060W MSQFEKQKEQGNSLFKQGLYREAVHCYDQLITAQPQNPVGYSNK AMALIKLGEYTQAIQMCQQGLRYTSTAEHVAIRSKLQYRLELAQGAVGSVQIPVVEVD ELPEGYDRS YCR061W MVRFVSILSLFGCAATLVTAHDDMDMDMDMDMDMDMNIDTTTSQ SIDVSSTASIVPVPHEPKHLHGLPILQSPSLTPAERLYWENYNTTTYFTTQAGNRSAL RYHIITLLLVAFVLYPVSLALSAARSRWYLPLLFVNLCICISSVMALSVFKNTFPEED WYAHNIYGTTSVLLLVFMLVHFFAAVLSVPVSLASKKEYRPVDTIPLNDLESTPVMVN SARGSPSPSSNRDTLFSLSSDTTTATATNNNKRRRAEGEDEGDNTSNHDTLRDEDYDN DDDEIASIEAPPLLPQDIPVFRILFTNTKYQMLAAHLSCVANVVFHMLTYPLFMYIFV DLIIGFAVGNLLGKGIRIFNLLAHWIKGGVFFTLGVVSLARYCGFAAKYGWAWNNISF TSQLTQTRSSNLLFRFAPAGTFTMEFVESFLIFFYGSTNIFLEHLAGNGGAWTAKDLQ HVSIAFMFIGTGLCGLLTEYKLNHWRFEHARKRPQTDVVAATPGYSPNPFPAFTIFWT GILMSQHAQSSQFSTTIHTQWGYLLSYGSFFRLLTFLILFLVPNTNSAASKPFTELIT SFCLLCGGLVFMESTDQSIEAMEYRGFTPMFTFNLSVGFVSLLMAWEMILFIWKDWLI KTRKTSL YCR063W MPRIKTRRSKPAPDGFEKIKPTLTDFEIQLRDAQKDKSSKLAAK SNEQLWEIMQLHHQRSRYIYTLYYKRKAISKDLYDWLIKEKYADKLLIAKWRKTGYEK LCCLRCIQKNETNNGSTCICRVPRAQLEEEARKKGTQVSFHQCVHCGCRGCASTD YCR065W MMNEDISIIDGHNSFLTEKSTVLLTQAKRTLEDEKEMITPPSST VRKTMKEVNKRPSHPLSPDHSSPIAPSKAKRQRSDTCARSNGNLTLEEILQSLERRRI NGELAKKPPYSYATLICLAILQSQEGKLTLSQIYHWIHVHFPYYKQKDASWQNSIRHN LSLNDAFIKTEKSCDGKGHFWEVRPGAETKFFKGENRGYEFVKDSLQDIGKYFEIDST LDELEQVESGEGNDDLPDEEEREEAGKFPSIEIQLNSSPILRVSQLHHIPQLKTDNSV LNPHENLESMRNMIENDVNNIDSLEPPYVMKKYHTSLGLPSLVNAKDHFQAGVKNNNI TQANRFNTLPITSAKSPQNFRKYFTSFNSNFEDLSPLRSNVGAGSLLDPLPYSPLKLY DQKNLALMSKPQSQQSYSNSQLPPPPSSHGSDLLKTPKMRHSDGLEKTPSRLISTPKD GNSILRKWQTPSHLFEDLYCSPLFRAIETPIRYITTPGGTLETQISPRKSSAPDVLTS ATNSKFASSGLFGVDVYSVWKRATEKISDGNNTTDSNQKHHPYHNHPSNDSGNEKN YCR066W MDHQITTASDFTTTSIPSLYQLDTLLRCHICKDFLKVPVLTPCG HTFCSLCIRTHLNNQPNCPLCLFEFRESLLRSEFLVSEIIQSYTSLRSSLLDALRIPK PTPVPENEEVPGPENSSWIELISESESDSVNAADDDLQIVATSERKLAKRSMTDILPL SSKPSKRNFAMFRSERIKKKSKPNEQMAQCPICQQFYPLKALEKTHLDECLTLQSLGK KPKISTTFPTESNPHNKSSSRFKVRTPEVDKSSCGETSHVDKYLNSMMSAEHQRLPKI NFTSMTQSQIKQKLSSLGLSTNGTRQNMIKRYNHYEMLWNSNFCDSLEPVDEAELKRQ LLSWDVSHNKTPQNSSNKGGISKLMIMKSNGKSSSYRKLLENFKNDKFNRKGWMVMFR KDFARLIREAKMKIKTGSSDSSGSVGHSNDGDGVEKVQSDQGTEDQQMEKDQDTVINE DRVAGERNLPNEDSTDADLSRELMDLNEYSKDPPGNN YCR067C MSGNSANYDVGYPIYGAKFINEGTLLVAGGGGQFNSSFPNKITA LKVNFQKKKHIRRFREITLDSIDDAPTSLDCNNNLILVGCNELFNDSSMENVNHHLRK FVFEQEHLKFVASIDFNRTTDPSVFTKFVYINQRATVAAIASSEVPTVIRIIDPRNLT ENYEIETGREVNDLHFAPNGILLSYITSNSLEVASVRDGNFVARKTDFDKNLVLSNIR FLNDNTLLVAASLSNSDGVSLLKLGVSSKGVKILKTASFMFDLNGITSMDVSPNKKFV ALSSNDNLVAIVSVEKLKLVQLVPRVHESTITKVTFSPDSRYLASTSMGNTINVLKLS GTSSSILRNIWKFFLNFVLLVVLAGAIQLGYKHNVHGFIYKHAHDIYKSKFKENTTID QGSSSYFTINDDYRGITESADIISATDVASDIETEFSSFDTSTMRTTTEDEQKFVWIS SSADSQFTSADIPTSASSSSSSSSSSFYEESVTNEPIVSSPTSEITKPLASPTEPNIV EKPSLPLNSESIDLLSSSSNSITEYPEPTPDLEEKLSSLIVEQSESEITTDRESVSKL LSTESPSLSHMPSSSSSSLSLSSSLTTSPTTALSTSTATAVTTTQTNPTNDAANTSFL DNSKPASTREIYKTKIITEVITKIEYRNIPASDSNAEAEQYVTTSSSMLLTPTDTMVS SPVSEIDPIASELERMVETPTHSISIASEFDSVASNLIPNEEILSTSASQDSISSHPS TFSDSSITSGFQSIEVSTVTSSVLASESIPSISDSTFSKFHSISEPVSSAIVETATSS FSKTETKTSRVIAFSTEDSERSSALIDNSEYTSVLADNLEPTSVLADNSEPTSVLADS SEPTSVFTDAVQSPKTSVGQSSLSESTNIEGTSMASMIFSSSGASIGALSDIGKGTLS VESASSTVAQPMPGVTTTAPSFVSSPHKISASSIDASGFVQKEIMIEVQSSKDSSEAF GVRHKISENVNTPVSRMLTTEMQASGTVDVTEDVSLSSEVISALNVEITSLPNPVAPP QTIAAPLNNNSNTNIVNDDNAVAGTVNYAGLHDEL YCR068W MLHKSPSRKRFASPLHLGCILTLTVLCLIAYYFALPDYLSVGKS SSRGAMDQKSDGTFRLKSIYRHGVGANHRLHQRLEVTPEVISAAGMLYQETTTQGQDF EDQEPLWTTNAEYATTNPFDFEFELRRMPLLMKRMKERDPEFIESYIYGETYMTEEEE HAMWIDDDIVAPNITDRGTVVSLALMSSNAYVRIPQTGDWRNVTEPWNETEPEDFGWD GDGIRGHVFYNEVENIVVLSIKGTSAQGLPGSGEDETTGNDKINDNLLFSCCCARVSY LWTTVCDCYVKSYICDESCLEKELRRKDRFYSAVVDIYKGVLKEYPDAAIWVTGHSLG GALASLLGRTFGLPAVAFESPGELLPSKRLHLPFPPGLPSYMEGIWHFGHNADPIFMG TCNGASSSCSLVGYAMETACHTGRVCVYDVVNDKGWSVNMFNHRIHKVIDEVLLGYEQ AAKCVEPEPCVDCYNWKFIPSRDWESSSRLITKTKSHAAPTTTTRTTATTTSSSTCVG RNWLGFCTKYEL YCR069W MWLKSLLLCLYSLVLCQVHAAPSSGKQITSKDVDLQKKYEPSPP ATHRGIITIEYFDPVSKSMKEADLTFELYGTVVPKTVNNFAMLAHGVKAVIEGKDPND IHTYSYRKTKINKVYPNKYIQGGVVAPDVGPFTVYGPKFDDENFYLKHDRPERLAMAY FGPDSNTSEFIITTKADGNEELDGKSVVFGQITSGLDQLMDAIQYTETDEYGKPQHEL RFLYFVLEILKISNILDLHAAYTEKVEKFRNGDVSVGSTLENIFRNDKAYTPLTTSTG TTAYDLNHPISRALMCLTVLGLCFIAYKGMHEKPHTVSLRHK YCR071C MISSCVTRCFGRGKCLPGPATASIYQTIRCISTNSNKAAEAPIF PKLEDVKMHELIGNNNFGKKTYYVERSRTGNLPVYSAYKNGGNKIITEIRKIEGDVIQ LRNDLQEQLPFIPKKSWSVVMQSKKIIIKGNAVEAVKRVLTKKF YCR072C MSTLIPPPSKKQKKEAQLPREVAIIPKDLPNVSIKFQALDTGDN VGGALRVPGAISEKQLEELLNQLNGTSDDPVPYTFSCTIQGKKASDPVKTIDITDNLY SSLIKPGYNSTEDQITLLYTPRAVFKVKPVTRSSSAIAGHGSTILCSAFAPHTSSRMV TGAGDNTARIWDCDTQTPMHTLKGHYNWVLCVSWSPDGEVIATGSMDNTIRLWDPKSG QCLGDALRGHSKWITSLSWEPIHLVKPGSKPRLASSSKDGTIKIWDTVSRVCQYTMSG HTNSVSCVKWGGQGLLYSGSHDRTVRVWDINSQGRCINILKSHAHWVNHLSLSTDYAL RIGAFDHTGKKPSTPEEAQKKALENYEKICKKNGNSEEMMVTASDDYTMFLWNPLKST KPIARMTGHQKLVNHVAFSPDGRYIVSASFDNSIKLWDGRDGKFISTFRGHVASVYQV AWSSDCRLLVSCSKDTTLKVWDVRTRKLSVDLPGHKDEVYTVDWSVDGKRVCSGGKDK MVRLWTH YCR073C MMMDILNTQQQKAAEGGRVLAPHTISSKLVKRLSSHSSHKLSRS DLKALGGSETISDGPSQLTFKDRYVFNESLYLKKLKKTALDDYYTRGIKLTNRYEEDD GDDEIIRLSNGDRIDEDLHSGVKFFSTTPYCRKMRSDSDELAWNEIATERFKWQSMLA RVLKGDIVKGEKTRIANQVKKPGLNKELSDEIWLELKAWLNGRTMQEMEQSLTYLRDS SDSVFEEIMKFQIPQGKILSLDALEAILQDLMNRYHSVVSYWPNLKKMYKDKPITNTA EFTARIDVMNSWLNFKTNLTLRRQELDDWINRFSPISSSDNCQEDFDGVPQWNCKMKI LAEQLMKEKNIESIFQKKIFYPLSPWMFKLKLHFIVYRETLTKMNIKYPYERLRSLLA FPVYLIKEVILTRLSYARKLKNPTMMMIDQMIDDFNAFIRLSVQLKYTLTKYCSNLPF DVDFDPTFENTVIEAIRYLFFLLNLKLIDSSKQNFKAPDLLLKYWDHLKNTGHYINGA ETVIPNEFLKLTLRLVHKLQFYLLKQQNFPPTFANASEAEKWLSSIFENLGAMKRKLN RFSNILVKAFQNSAVYQINHNAQLVKKLKDAHYFLVYSGNTFESSGVYMFAAPELLGC DNDTILRILRNKSIGCDLVPKLDIGNNLNVYDITTKETDLNILVSKGEDSKGIPYYRV VANSSSDLDRHAHQSKKKNFSTDPFDQHLDEKNNEVFELEVALSSLGALVVLYPGEPV VWDGPVYKLPGNNLFASNEMDLGKIGNPNTLILLNQGSNYALTYQIDKFNQTVGDSVS FIEKRCSLNSIESSLQKINKAYYKLTYTVLNNYKGILGSFMKQCPGNELLNSIFMFGR DFGRSFLKYNAFSSKRKYVIIFLMVKLGMNWLKFLVEECDPTDQRTFRWCVLAMDFAM QMTSGYNILALNVKQFQELKERVSVCMSLLISHFDVMGARATEAENGMQQARLNIDTE ENIDEEATLEINSRLRLEAIKTLEKTMKRNPRQMGKVLDATDQGNKYLLSLASSLSNV SMRWQKRSFIGGGTFGQVYSAINLENGEILAVKEIKIHDTTTMKKIFPLIKEEMTVLE MLNHPNIVQYYGVEVHRDKVNIFMEYCEGGSLASLLDHGRIEDEMVTQVYTFELLEGL AYLHQSGVVHRDIKPENILLDFNGIIKYVDFGTARTVVGSRTRTVRNAAVQDFGVETK SLNEMMGTPMYMAPETISGSAVKGKLGADDVWALGCVVLEMATGRRPWSNLDNEWAIM YHVAAGRIPQLPNRDEMTAAGRAFLERCLVQDPTMRATAVELLIDPWMIQIREIAFGN SEKDQVPILSS YCR073W-A MTTTVPKIFAFHEFSDVAEAVADHVVHAQDGALAPKNERKHSVP NISMNALDMTREASCKSTASAAEGKSGSSGSGSGSSKPKKEKRFKIALSGGSLIEVLH EGLLKRDDVRWGDWDIYFADERLVPFSSNESNYGCAKRKILDLIDTAKYGTPKVYHID ESLIDDPQECADNYEKVLIRGFAGRDSVKLPMFDLFLLGCAPDGHIASLFPNFQDNLR EKLAWVVPVENAPSGPSTRISLTIPVICHSHRVTFVVEGATKAPIIKTIMERPEKGLP SSIVNEGAAGRVSWFVDDDALTDVLVTKKKYKFHQGLSI YCR075C MVSLDDILGIVYVTSWSISMYPPIITNWRHKSASAISMDFVMLN TAGYSYLVISIFLQLYCWKMTGDESDLGRPKLTQFDFWYCLHGCLMNVVLLTQVVAGA RIWRFPGKGHRKMNPWYLRILLASLAIFSLLTVQFMYSNYWYDWHNSRTLAYCNNLFL LKISMSLIKYIPQVTHNSTRKSMDCFPIQGVFLDVTGGIASLLQLIWQLSNDQGFSLD TFVTNFGKVGLSMVTLIFNFIFIMQWFVYRSRGHDLASEYPL YCR075W-A MEAEKQSDIKGTIAFDTHGNVIESTGVGSQRIEDIGDLSKVTLD AEGFAQVQGDSLLVHLYKRNDITLAVYTSAQ YCR076C MIENKVELVAELVLESIGKTEVVSRHTEGTKSCQVSFRIKDSPS EKGSTSFLSELVVIQTLDDNDKYTVVIRHGTSITMACVVGYSDFKLPTELKWPLERES LPVEPDLKPIMTQLKRQTAGSADMPKFDDEYQAQARQNQGTAPLNPYPGLTVTEPSFA NPAGGYADGDLYPVGTSHPDWSGGLPNPLGNPSSQGGMIFDPNRRPAPRREDMPPGWM PGSKYDEPFGPGSGGFGGSGSGGFGGSGSGFI YCR077C MSFFGLENSGNARDGPLDFEESYKGYGEHELEENDYLNDETFGD NVQVGTDFDFGNPHSSGSSGNAIGGNGVGATARSYVAATAEGISGPRTDGTAAAGPLD LKPMESLWSTAPPPAMAPSPQSTMAPAPAPQQMAPLQPILSMQDLERQQRQMQQQFMN FHAMGHPQGLPQGPPQQQFPMQPASGQPGPSQFAPPPPPPGVNVNMNQMPMGPVQVPV QASPSPIGMSNTPSPGPVVGATKMPLQSGRRSKRDLSPEEQRRLQIRHAKVEKILKYS GLMTPRDKDFITRYQLSQIVTEDPYNEDFYFQVYKIIQRGGITSESNKGLIARAYLEH SGHRLGGRYKRTDIALQRMQSQVEKAVTVAKERPSKLKDQQAAAGNSSQDNKQANTVL GKISSTLNSKNPRRQLQIPRQQPSDPDALKDVTDSLTNVDLASSGSSSTGSSAAAVAS KQRRRSSYAFNNGNGATNLNKSGGKKFILELIETVYEEILDLEANLRNGQQTDSTAMW EALHIDDSSYDVNPFISMLSFDKGIKIMPRIFNFLDKQQKLKILQKIFNELSHLQIII LSSYKTTPKPTLTQLKKVDLFQMIILKIIVSFLSNNSNFIEIMGLLLQLIRNNNVSFL TTSKIGLNLITILISRAALIKQDSSRSNILSSPEISTWNEIYDKLFTSLESKIQLIFP PREYNDHIMRLQNDKFMDEAYIWQFLASLALSGKLNHQRIIIDEVRDEIFATINEAET LQKKEKELSVLPQRSQELDTELKSIIYNKEKLYQDLNLFLNVMGLVYRDGEISELK YCR079W MRLGNAYAYCKPSQNVGLKLDLLRGLPGYVGHATSRINRLENQD NYSIKMMRSWPNAYGSALNCSVFDGHGEKGAQLSQLLADKLCSSLDFPEPSWDKQDLK KLVQEYARRFPEGNYWKHKLSTFEKFYNKFIKNCNSKQELLLMKEGDSAILGQNGGRM IFDKMGNIIDKIALLTELDRLRLFYGFARFDLDQCCGLGTAAGSTASSIFLYPYDDPN APIDEGKDDDSWIISHSGLLKLIVTQVGDSKIILCDQDGIAHALTTTHHINSSRERHR LSIDPSRLDPDAFGETRFLNNFANTRSFGDVAGKPYGISSEPDIFSFLVGNTLHLPRS ERSKLPFNGDECFLALVTDGITNKLADQEVVDLITSTVNSWGLKKATPQFVAEETIKF IQAIATKHSDNATCVVVRLSNWGNWPNVDRTGPQRETKLMNAQSNETKLN YCR081W MNNGSGRYLLTPPDDLHPYVPSSKPQEQVYPDFKPWEHTAAEDQ ILANFVAKGFYHTPMVNFESISARSSVHESLVTQSNILSQQFDKIIKIREDHINKIPS NSTTTLHGPGFQLPNRITLTDHRKETWLHELSSSHTSLVKIGKFIPHGLKRRQVIEQC YLKFIPLKRAIWLIKCCYFIEWKSNHKKKRSNAAGADDAISMHLLKDWTDTFVYILEK LIFDMTNHYNDSQQLRTWKRQISYFLKLLGNCYSLRLINKEIFHHWLVEFINKMENFE FLPLSLHILMIFWNDICQIDTNAPVAATITSSQKEPFFLVTKITDMLLHKYYIVSSSK SMINDENYIINDIKKNNKIKLNILKILSSLILKIFQEQSLEVFIFPTSNWEIYKPLLF EIVSNADTNQNSDMKKKLELISYRNESLKNNSSIRNVIMSASNANDFQLTIVTCKQFP KLSCIQLNCIDTQFTKLLDDNPTEFDWPTYVDQNPLTMHKIIQLILWSIHPSRQFDHY ESNQLVAKLLLLRINSTDEDLHEFQIEDAIWSLVFQLAKNFSAQKRVVSYMMPSLYRL LNILITYGIIKVPTYIRKLISSGLLYLQDSNDKFVHVQLLINLKISPLMKSQYNMVLR NVMEYDVKFYEIFNFDQLVEITEQIKMRILSNDITNLQLSKTPLSIKIMVAEWYLSHL CSGILSSVNRTVLLKIFKIFCIDLEVFHHFFKWIEFIVYHQLLSDIESLEALMDILLC YQKLFSQFINDHILFTKTFIFIYKKVLKEKDVPAYNVTSFMPFWKFFMKNFPFVLKVD NDLRIELQSVYNDEKLKTEKLKNDKSEVLKVYSMINNSNQAVGQTWNFPEVFQVNIRF LLHNSEIIDTNTSKQFQKARNNVMLLIATNLKEYNKFMSIFLKRKDFTNKNLIQLISL KLLTFEVTQNVLGLEYIIRLLPINLENNDGSYGLFLKYHKEQFIKSNFEKILLTCYEL EKKYHGNECEINYYEILLKILITYGSSPKLLATSTKIIMLLLNDSVENSSNILEDILY YSTCPSETDLNDIPLGSGQPDNDTVVTNDDKSDDDDHTVDEIDHVEYYVMMDFANLWV FQAFTCFCIKKIMENNEPAMAMEDLKNFIFQIIEITNSNDLCSQIFDQLKDMQTIEMI TQIVEKDFCTSCLQNNNQKIDDNYIVVVIEIITSLSMRFQRETSGMIVISMENYHLLI KIIRQLSELNEGNLSKREIQIDAVLKIFSFHQDSIFQRIIADLSADKPTSPFIDSICK LFDKISFNLRLKLFLYEILSSLKSFAIYSSTIDAPAFHTSGKVELPKKLLNLPPFQVS SFVKETKLHSGDYGEEEDADQEESFSLNLGIGIVEIAHENEQKWLIYDKKDHKYVCTF SMEPYHFISNYNTKYTDDMATGSNDTTAFNDSCVNLSLFDARFERKNPH YCR082W MITPKGTHDAVAKFQKTDLHQDLDYIVLQQRRTQLETLINERES FVKNLCSLFHKIQNTKNYQEFVDVLAENRDLLREIFTVENGFQKQKWISNDDIPQIDW DKFALDINAYIAENDQLLALYEDGLL YCR083W MLFYKPVMRMAVRPLKSIRFQSSYTSITKLTNLTEFRNLIKQND KLVIDFYATWCGPCKMMQPHLTKLIQAYPDVRFVKCDVDESPDIAKECEVTAMPTFVL GKDGQLIGKIIGANPTALEKGIKDL YCR084C MTASVSNTQNKLNELLDAIRQEFLQVSQEANTYRLQNQKDYDFK MNQQLAEMQQIRNTVYELELTHRKMKDAYEEEIKHLKLGLEQRDHQIASLTVQQQRQQ QQQQQVQQHLQQQQQQLAAASASVPVAQQPPATTSATATPAANTTTGSPSAFPVQASR PNLVGSQLPTTTLPVVSSNAQQQLPQQQLQQQQLQQQQPPPQVSVAPLSNTAINGSPT SKETTTLPSVKAPESTLKETEPENNNTSKINDTGSATTATTTTATETEIKPKEEDATP ASLHQDHYLVPYNQRANHSKPIPPFLLDLDSQSVPDALKKQTNDYYILYNPALPREID VELHKSLDHTSVVCCVKFSNDGEYLATGCNKTTQVYRVSDGSLVARLSDDSAANNHRN SITENNTTTSTDNNTMTTTTTTTITTTAMTSAAELAKDVENLNTSSSPSSDLYIRSVC FSPDGKFLATGAEDRLIRIWDIENRKIVMILQGHEQDIYSLDYFPSGDKLVSGSGDRT VRIWDLRTGQCSLTLSIEDGVTTVAVSPGDGKYIAAGSLDRAVRVWDSETGFLVERLD SENESGTGHKDSVYSVVFTRDGQSVVSGSLDRSVKLWNLQNANNKSDSKTPNSGTCEV TYIGHKDFVLSVATTQNDEYILSGSKDRGVLFWDKKSGNPLLMLQGHRNSVISVAVAN GSPLGPEYNVFATGSGDCKARIWKYKKIAPN YCR085W MLFLYTYVYVFLCTNNDVYNETSVMLSKTSAHCFIAEEVTTDNG LICGLAMLGKTKYQFYELFTVYSIQSLTQLASRVKKGGLIMARLILFTLCALPVLFHF ILFMLQYLVFVYIEK YCR086W MDPLTVYKNSVKQQIDSADLLVANLVNENFVLSEKLDTKATEIK QLQKQIDSLNAQVKELKTQTSQQAENSEVIKDLYEYLCNVRVHKSYEDDSGLWFDISQ GTHSGGSSDDYSIMDYKLGFVKGQAQVTEVIYAPVLKQRSTEELYSLQSKLPEYLFET LSFPLSSLNQFYNKIAKSLNKKREKKDETE YCR087C-A MVTFNCEVCNDTVPKKNTEKHYYRCPNAYYTCIDCSKTFEDGVS YKNHTSCISEDEKYQKALYKGNKKQKQKQQQKQQQKQHQHQPVATPAKKVEKPVIKKA EKVEKTSNGIELHKGKSLYKILKTMKDKGAKKTFLKSLVVDSEGQIRYAKE YCR088W MALEPIDYTTHSREIDAEYLKIVRGSDPDTTWLIISPNAKKEYE PESTGSSFHDFLQLFDETKVQYGLARVSPPGSDVEKIIIIGWCPDSAPLKTRASFAAN FAAVANNLFKGYHVQVTARDEDDLDENELLMKISNAAGARYSIQTSSKQQGKASTPPV KKSFTPSKSPAPVSKKEPVKTPSPAPAAKISSRVNDNNDDDDWNEPELKERDFDQAPL KPNQSSYKPIGKIDLQKVIAEEKAKEDPRLVQKPTAAGSKIDPSSDIANLKNESKLKR DSEFNSFLGTTKPPSMTESSLKNDDDKVIKGFRNEKSPAQLWAERKAKQNSGNAETKA EAPKPEVPEDEPEGEPDVKDLKSKFEGLAASEKEEEEMENKFAPPPKKSEPTIISPKP FSKPQEPVKAEEAEQPKTDYKKIGNPLPGMHIEADNEEEPEENDDDWDDDEDEAAQPP LPSRNVASGAPVQKEEPEQEEIAPSLPSRNSIPAPKQEEAPEQAPEEEIEEEAEEAAP QLPSRSSAAPPPPPRRATPEKKPKENPWATAEYDYDAAEDNELTFVENDKIINIEFVD DDWWLGELEKDGSKGLFPSNYVSLGN YCR089W MNSFASLGLIYSVVNLLTRVEAQIVFYQNSSTSLPVPTLVSTSI ADFHESSSTGEVQYSSSYSYVQPSIDSFTSSSFLTSFEAPTETSSSYAVSSSLITSDT FSSYSDIFDEETSSLISTSAASSEKASSTLSSTAQPHRTSHSSSSFELPVTAPSSSSL PSSTSLTFTSVNPSQSWTSFNSEKSSALSSTIDFTSSEISGSTSPKSLESFDTTGTIT SSYSPSPSSKNSNQTSLLSPLEPLSSSSGDLILSSTIQATTNDQTSKTIPTLVDATSS LPPTLRSSSMAPTSGSDSISHNFTSPPSKTSGNYDVLTSNSIDPSLFTTTSEYSSTQL SSLNRASKSETVNFTASIASTPFGTDSATSLIDPISSVGSTASSFVGISTANFSTQGN SNYVPESTASGSSQYQDWSSSSLPLSQTTWVVINTTNTQGSVTSTTSPAYVSTATKTV DGVITEYVTWCPLTQTKSQAIGVSSSISSVPQASSFSGSSILSSNSSTLAASNNVPES TASGSSQYQDWSSSSLPLSQTTWVVINTTNTQGSVTSTTSPAYVSTATKTVDGVITEY VTWCPLTQTKSQAIGISSSTISATQTSKPSSILTLGISTLQLSDATFKGTETINTHLM TESTSITEPTYFSGTSDSFYLCTSEVNLASSLSSYPNFSSSEGSTATITNSTVTFGST SKYPSTSVSNPTEASQHVSSSVNSLTDFTSNSTETIAVISNIHKTSSNKDYSLTTTQL KTSGMQTLVLSTVTTTVNGAATEYTTWCPASSIAYTTSISYKTLVLTTEVCSHSECTP TVITSVTATSSTIPLLSTSSSTVLSSTVSEGAKNPAASEVTINTQVSATSEATSTSTQ VSATSATATASESSTTSQVSTASETISTLGTQNFTTTGSLLFPALSTEMINTTVVSRK TLIISTEVCSHSKCVPTVITEVVTSKGTPSNGHSSQTLQTEAVEVTLSSHQTVTMSTE VCSNSICTPTVITSVQMRSTPFPYLTSSTSSSSLASTKKSSLEASSEMSTFSVSTQSL PLAFTSSEKRSTTSVSQWSNTVLTNTIMSSSSNVISTNEKPSSTTSPYNFSSGYSLPS SSTPSQYSLSTATTTINGIKTVYTTWCPLAEKSTVAASSQSSRSVDRFVSSSKPSSSL SQTSIQYTLSTATTTISGLKTVYTTWCPLTSKSTLGATTQTSSTAKVRITSASSATST SISLSTSTESESSSGYLSKGVCSGTECTQDVPTQSSSPASTLAYSPSVSTSSSSSFST TTASTLTSTHTSVPLLPSSSSISASSPSSTSLLSTSLPSPAFTSSTLPTATAVSSSTF IASSLPLSSKSSLSLSPVSSSILMSQFSSSSSSSSSLASLPSLSISPTVDTVSVLQPT TSIATLTCTDSQCQQEVSTICNGSNCDDVTSTATTPPSTVTDTMTCTGSECQKTTSSS CDGYSCKVSETYKSSATISACSGEGCQASATSELNSQYVTMTSVITPSAITTTSVEVH STESTISITTVKPVTYTSSDTNGELITITSSSQTVIPSVTTIITRTKVAITSAPKPTT TTYVEQRLSSSGIATSFVAAASSTWITTPIVSTYAGSASKFLCSKFFMIMVMVINFI YCR090C MPLFLVLKATLSENVTKVSIENTNESRAEFAFDLQCTSCRELHD SKVIINTFEEYAMPASKGTASFLMKCKFCSKELSVNLCAFEDEYLTDQSDDKWAKIKD VRKKHGLSKVKEDSFIPLSLDCRGCELIKFYPDTITFEVSLSSGKVMSCQLEDNEWYD YDDNLGEEVTMTDFSSSIIKGK YCR091W MTQQEYRSPSQRLSKGRSMSLPKIFARNLRSLQNNAPPGKNINV NCLNVNSCSLSASPSSQINMACNGNKQDLPIPFPLHVECNDSWSSSKLNKFKSMFNHN RSKSSGTTDASTSEKGTHKREPRSTIHTELLQSSIIGEPNVHSTTSSTLIPNEAICST PNEISGSSSPDAELFTFDMPTDPSSFHTPSSPSYIAKDSRNLSNGSLNDINENEELQN FHRKISENGSASPLANLSLSNSPIDSPRKNSETRKDQIPMNITPRLRRAASEPFNTAK DGLMREDYIALKQPPSLGDIVEPRRSRRLRTKSFGNKFQDITVEPQSFEKIRLLGQGD VGKVYLVRERDTNQIFALKVLNKHEMIKRKKIKRVLTEQEILATSDHPFIVTLYHSFQ TKDYLYLCMEYCMGGEFFRALQTRKSKCIAEEDAKFYASEVVAALEYLHLLGFIYRDL KPENILLHQSGHVMLSDFDLSIQATGSKKPTMKDSTYLDTKICSDGFRTNSFVGTEEY LAPEVIRGNGHTAAVDWWTLGILIYEMLFGCTPFKGDNSNETFSNILTKDVKFPHDKE VSKNCKDLIKKLLNKNEAKRLGSKSGAADIKRHPFFKKVQWSFLRNQDPPLIPALNDN GCELPFILSCNKHPKRNSVSEQETKMFCEKVANDDEIDEADPFHDFNSMSLTKKDHNI LTYSENYTYGKILYKATCTRPRHNSSHRSFFKDIIPEL YCR092C MAGQPTISRFFKKAVKSELTHKQEQEVAVGNGAGSESICLDTDE EDNLSSVASTTVTNDSFPLKGSVSSKNSKNSEKTSGTSTTFNDIDFAKKLDRIMKRRS DENVEAEDDEEEGEEDFVKKKARKSPTAKLTPLDKQVKDLKMHHRDKVLVIRVGYKYK CFAEDAVTVSRILHIKLVPGKLTIDESNPQDCNHRQFAYCSFPDVRLNVHLERLVHHN LKVAVVEQAETSAIKKHDPGASKSSVFERKISNVFTKATFGVNSTFVLRGKRILGDTN SIWALSRDVHQGKVAKYSLISVNLNNGEVVYDEFEEPNLADEKLQIRIKYLQPIEVLV NTDDLPLHVAKFFKDISCPLIHKQEYDLEDHVVQAIKVMNEKIQLSPSLIRLVSKLYS HMVEYNNEQVMLIPSIYSPFASKIHMLLDPNSLQSLDIFTHDGGKGSLFWLLDHTRTS FGLRMLREWILKPLVDVHQIEERLDAIECITSEINNSIFFESLNQMLNHTPDLLRTLN RIMYGTTSRKEVYFYLKQITSFVDHFKMHQSYLSEHFKSSDGRIGKQSPLLFRLFSEL NELLSTTQLPHFLTMINVSAVMEKNSDKQVMDFFNLNNYDCSEGIIKIQRESESVRSQ LKEELAEIRKYLKRPYLNFRDEVDYLIEVKNSQIKDLPDDWIKVNNTKMVSRFTTPRT QKLTQKLEYYKDLLIRESELQYKEFLNKITAEYTELRKITLNLAQYDCILSLAATSCN VNYVRPTFVNGQQAIIAKNARNPIIESLDVHYVPNDIMMSPENGKINIITGPNMGGKS SYIRQVALLTIMAQIGSFVPAEEIRLSIFENVLTRIGAHDDIINGDSTFKVEMLDILH ILKNCNKRSLLLLDEVGRGTGTHDGIAISYALIKYFSELSDCPLILFTTHFPMLGEIK SPLIRNYHMDYVEEQKTGEDWMSVIFLYKLKKGLTYNSYGMNVAKLARLDKDIINRAF SISEELRKESINEDALKLFSSLKRILKSDNITATDKLAKLLSLDIH YCR093W MLSATYRDLNTASNLETSKEKQAAQIVIAQISLLFTTLNNDNFE SVEREIRHILDRSSVDIYIKVWERLLTLSSRDILQAGKFLLQENLLHRLLLEFAKDLP KKSTDLIELLKERTFNNQEFQKQTGITLSLFIDLFDKSANKDIIESLDRSSQINDFKT IKMNHTNYLRNFFLQTTPETLESNLRDLLHSLEGESLNDLLALLLSEILSPGSQNLQN DPTRSWLTPPMVLDATNRGNVIARSISSLQANQINWNRVFNLMSTKYFLSAPLMPTTA SLSCLFAALHDGPVIDEFFSCDWKVIFKLDLAIQLHKWSVQNGCFDLLNAEGTRKVSE TIPNTKQSLLYLLSIASLNLELFLQREELSDGPMLAYFQECFFEDFNYAPEYLILALV KEMKRFVLLIENRTVIDEILITLLIQVHNKSPSSFKDVISTITDDSKIVDAAKIIINS DDAPIANFLKSLLDTGRLDTVINKLPFNEAFKILPCARQIGWEGFDTFLKTKVSPSNV DVVLESLEVQTKMTDTNTPFRSLKTFDLFAFHSLIEVLNKCPLDVLQLQRFESLEFSL LIAFPRLINFGFGHDEAILANGDIAGINNDIEKEMQNYLQKMYSGELAIKDVIELLRR LRDSDLPRDQEVFTCITHAVIAESTFFQDYPLDALATTSVLFGSMILFQLLRGFVLDV AFRIIMRFAKEPPESKMFKFAVQAIYAFRIRLAEYPQYCKDLLRDVPALKSQAQVYQS IVEAATLANAPKERSRPVQEMIPLKFFAVDEVSCQINQEGAPKDVVEKVLFVLNNVTL ANLNNKVDELKKSLTPNYFSWFSTYLVTQRAKTEPNYHDLYSKVIVAMGSGLLHQFMV NVTLRQLFVLLSTKDEQAIDKKHLKNLASWLGCITLALNKPIKHKNIAFREMLIEAYK ENRLEIVVPFVTKILQRASESKIFKPPNPWTVGILKLLIELNEKANWKLSLTFEVEVL LKSFNLTTKSLKPSNFINTPEVIETLSGALGSITLEQQQTEQQRQIILMQQHQQQMLI YQQRQQQQQQRQQQQQHHISANTIADQQAAFGGEGSISHDNPFNNLLGSTIFVTHPDL KRVFQMALAKSVREILLEVVEKSSGIAVVTTTKIILKDFATEVDESKLKTAAIIMVRH LAQSLARATSIEPLKEGIRSTMQSLAPNLMSLSSSPAEELDTAINENIGIALVLIEKA SMDKSTQDLADQLMQAIAIRRYHKERRADQPFITQNTNPYSLSLPEPLGLKNTGVTPQ QFRVYEEFGKNIPNLDVIPFAGLPAHAPPMTQNVGLTQPQQQQAQMPTQILTSEQIRA QQQQQQLQKSRLNQPSQSAQPPGVNVPNPQGGIAAVQSDLEQNQRVLVHLMDILVSQI KENATKNNLAELGDQNQIKTIIFQILTFIAKSAQKDQLALKVSQAVVNSLFATSESPL CREVLSLLLEKLCSLSLVARKDVVWWLVYALDSRKFNVPVIRSLLEVNLIDATELDNV LVTAMKNKMENSTEFAMKLIQNTVLSDDPILMRMDFIKTLEHLASSEDENVKKFIKEF EDTKIMPVRKGTKTTRTEKLYLVFTEWVKLLQRVENNDVITTVFIKQLVEKGVISDTD NLLTFVKSSLELSVSSFKESDPTDEVFIAIDALGSLIIKLLILQGFKDDTRRDYINAI FSVIVLVFAKDHSQEGTTFNERPYFRLFSNILYEWATIRTHNFVRISDSSTRQELIEF DSVFYNTFSGYLHALQPFAFPGFSFAWVTLLSHRMLLPIMLRLPNKIGWEKLMLLIID LFKFLDQYTSKHAVSDAVSVVYKGTLRVILGISNDMPSFLIENHYELMNNLPPTYFQL KNVILSAIPKNMTVPNPYDVDLNMEDIPACKELPEVFFDPVIDLHSLKKPVDNYLRIP SNSLLRTILSAIYKDTYDIKKGVGYDFLSVDSKLIRAIVLHVGIEAGIEYKRTSSNAV FNTKSSYYTLLFNLIQNGSIEMKYQIILSIVEQLRYPNIHTYWFSFVLMNMFKSDEWN DQKLEVQEIILRNFLKRIIVNKPHTWGVSVFFTQLINNNDINLLDLPFVQSVPEIKLI LQQLVKYSKKYTTSEQDDQSATINRRQTPLQSNA YCR094W MVSLFKRGKAPPLTKEGPTSKKPPNTAFRQQRLKAWQPILSPQS VLPLLIFVACIFTPIGIGLIVSATKVQDLTIDYSHCDTKASTTAFEDIPKKYIKYHFK SKVENKPQWRLTENENGEQSCELQFEIPNDIKKSIFIYYKITNFYQNHRRYVQSFDTK QILGEPIKKDDLDTSCSPIRSREDKIIYPCGLIANSMFNDTFSQVLSGIDDTEDYNLT NKHISWSIDRHRFKTTKYNASDIVPPPNWMKKYPDGYTDENLPDIHTWEEFQVWMRTA AFPKFYKLTLKNESASLPKGKYQMNIELNYPISLFGGTKSFVLTTNGAIGGRNMSLGV LYLIVAGLCALFGIIFLVKLIFQPRAMGDHTYLNFDDEENEDYEDVHAENTTLREIL YCR095C MLVPPANFGIAEEGIYRCSKVETLNLSFLETLNLKTAIFIGGQE PSKFFKDFFTRSSIKWIVLRMSDFSAAAVPVKSSSVSNANLYSNNNSTLSLQEEKKKS TANGSQNSTTGDPVIQEELAYHLTDNDDLMLIKSTCLKRTFKTLLNVDNYNVLLVDKT ALVIGILRKIQKWNIASIINEYRLFSGKNRNYFAETFLEIINIEIEQEKDNKTIVDNK AKKLPLENNRTHSIEYKANSGKLIRVNEDDLCREPEVPQRLLTLINQIETKVKNNKVL QVSGVLGDDLKKTSSDLGIFGHRYRLAFNKKENGDYGYYKARGKDNVKIRIPCDSELP DWFRFQRDLWEKENVPEEHHFYREHIFT YCR095W-A MTVLIKLGLRILHVYKGFFRKVILKYFFFSSEHTKVNKKSSMHA FLCKIYKR YCR096C MRSIENDRSNYQLTQKNKSADGLVFNVVTQDMINKSTKPYRGHR FTKENVRILESWFAKNIENPYLDTKGLENLMKNTSLSRIQIKNWVSNRRRKEKTITIA PELADLLSGEPLAKKKE YCR097W MDDICSMAENINRTLFNILGTEIDEINLNTNNLYNFIMESNLTK VEQHTLHKNISNNRLEIYHHIKKEKSPKGKSSISPQARAFLEQVFRRKQSLNSKEKEE VAKKCGITPLQVRVWFINKRMRSK YCR098C MEDKDITSVNEKEVNENTNPRIIKYDAERRATRTETSKKDKWKN IVTIIASGFALISDGYVNGSMSMLNKVFVMEYGKKNYSSKVSTRVSNAALVGIIFGQF FMGIAADYYSRKSCILVATAILVIGSALCAASHGTTVPGMFWMLTVMRGLVGIGVGAE YPTSTLSANESANEYTTTKRGGILVMVTNLPLAFGGPFATIIFLIVYKICSGTKHLEA IWRTVFAIGCFWPLSVFYFRWKTATTEVYEKGRIKRNIPYFLALKFYWKRLLGTCGTW FMYDFVTFPNGIFSSTIISSVIKDQNDLVKVAEWNLLLGVLAVLGVPIGAYLSDRIGR KYTLMFGFSGYIIFGLIIGCAYDQLKKITPLFIIFYAFMNMLGNAGPGDMLGVISSEA SATAVRGVFYGLSAVTGKIGSVVGVECFQPIRDNLGARWTFIIAAICGLIGIIITYFF VPHSLESDLMKQDVEFHNYLVSNGWTGKMGFDETDEESMVRTIEVEENGTNCSKKNAE IISVRQVDQS YCR099C MRSIFLLHFDYKTCEEEDFEDWNLADGKCLNGAKYMYKRRKQDA RCLVKRTFKDMILHEIPCDSCTESDYECSSEFVRDAKGDCIPDYDQIALSDICDKANG ETVSLEPLQLIKGDKCKKPMEIEAMNIPCEKILRESSNGKKIATIENKFDFEI YCR100C MSSTDIWISNDASTFQKAQLPTQLRHVKVIKIREDSIGRIILLI STEITNEENADPDLSEIFISDSQGLKFSPVEWTPNHQFGNFRLTFPDFLKGTIFGSFH PSIDYSNHQVNYTENIAGGETKISVDNGLTWSNLKVVDEENADSFGCDITRPERCSLQ GYFYNLKLSNPSAGIILMTGSVGDDNEFDRKDRKTFISRDGGLTWRVAHNSSGLYATG DLGNIIVYIPSPSYKDGDVQSKLYFSLDQGRTWNQYELVDALFYIHPLELINTTPDGS GSKFILSGHLITTASQEGNNTNISYIARSVLYAIDFSAAF YCR101C MILLHAIYTLWVIILLPLLNAEKFVPKVTEAPIETSFNLVSFDD SNTSIRLDGWGVVWISFDAGENWETVKEIEERIFRFTVDPFHGQERGFAFICESPKFY ITDDRGESWRALTIPSSEEYLDGDCFITTHPRNKELLIANCYSYMIDADVLYDPSEIY LSNDGNPFLKLNLPWKRKKTTI YCR102C MKAVVIEDGKAVVKEGVPIPELEEGFVLIKTLAVAGNPTDWAHI DYKVGPQGSILGCDAAGQIVKLGPAVDPKDFSIGDYIYGFIHGSSVRFPSNGAFAEYS AISTVVAYKSPNELKFLGEDVLPAGPVRSLEGAATIPVSLTTAGLVLTYNLGLNLKWE PSTPQRNGPILLWGGATAVGQSLIQLANKLNGFTKIIVVASRKHEKLLKEYGADQLFD YHDIDVVEQIKHKYNNISYLVDCVANQNTLQQVYKCAADKQDATVVELTNLTEENVKK ENRRQNVTIDRTRLYSIGGHEVPFGGITFPADPEARRAATEFVKFINPKISDGQIHHI PARVYKNGLYDVPRILEDIKIGKNSGEKLVAVLN YCR104W MVKLTSIAAGVAAIAAGIAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYLFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYS TRLRPAISSALSKDGIYTAIPK YCR105W MLYPEKFQGIGISNAKDWKHPKLVSFDPKPFGDHDVDVEIEACG ICGSDFHIAVGNWGPVPENQILGHEIIGRVVKVGSKCHTGVKIGDRVGVGAQALACFE CERCKSDNEQYCTNDHVLTMWTPYKDGYISQGGFASHVRLHEHFAIQIPENIPSPLAA PLLCGGITVFSPLLRNGCGPGKRVGIVGIGGIGHMGILLAKAMGAEVYAFSRGHSKRE DSMKLGADHYIAMLEDKGWTEQYSNALDLLVVCSSSLSKVNFDSIVKIMKIGGSIVSI AAPEVNEKLVLKPLGLMGVSISSSAIGSRKEIEQLLKLVSEKNVKIWVEKLPISEEGV SHAFTRMESGDVKYRFTLVDYDKKFHK YCR106W MDSITVKKPRLRLVCLQCKKIKRKCDKLRPACSRCQQNSLQCEY EERTDLSANVAANDSDGFNSSHKLNFEQQPVLERTGLRYSLQVPEGVVNATLSIWNAE DMLVIVGLVTFLDYPFAAHSLAQHDQYIRALCASLYGMALVDFSNYANGIPCEDTSRS ILGPLSFIEKAIFRRIEHSKQFRVQSAALGLLYNAFSMEEENFSTLLPSLIAEVEDVL MQKKDCEILLRCFYQNIYPFYPFMDISLFESDLTSLLLQDDNNRWKISTEVKNVRKKI ETLSLLTIVMAMALMHSKLDANLLSMVKENASESARKLSLLCHKLLCLLDVFRYPNEN TFTCLLYFYVSEHLDPESPDCVLSPTNLLTLHHLLNLSMTLGLQYEPSKYKRFKDPEV IRQRRILWLGVQSLLFQISLAEGDAGKSNSEYMEAYLTDFEEYIEASSEYEKSSASES NVQMNDIVWNKYKFHVILSKLMSDCTSVIQHPQLFHILGNIKRSEDFMAENFPTSSIY QPLHEKEPNAIKVGKSTVLDVMDIQKTEIFLTNIVGSMCFLNIFDVLSLHFEKKCVMH WEEYEKNYHFLTLKSFNAYLKLAGLISDYLENKFQGNILESRGYIIDKQICFMLVRIW MFQCRILLRFSYKQESQKKLASSSISTNDNEKEDEMIVILERLIKHIRNQMAHLVDLA KGKLQDSYFGAYQTVPMFRYVVYLIDVGGLVSVTNGFWDKISSDGEIPPKVQQAVRLK WGLDCNNSRRIKQKLISSQSLQSFNQVLLCQMEDAVLSSSFAIKANTAMSQNTAEEFF NISEEEALNQLLENNNFDAFWDLLGENLSDMPSL YCR107W MIGSASDSSSKLGRLRFLSETAAIKVSPLILGEVSYDGARSDFL KSMNKNRAFELLDTFYEAGGNFIDAANNCQNEQSEEWIGEWIQSRRLRDQIVIATKFI KSDKKYKAGESNTANYCGNHKRSLHVSVRDSLRKLQTDWIDILYVHWWDYMSSIEEFM DSLHILVQQGKVLYLGVSDTPAWVVSAANYYATSYGKTPFSIYQGKWNVLNRDFERDI IPMARHFGMALAPWDVMGGGRFQSKKAMEERRKNGEGIRSFVGASEQTDAEIKISEAL AKIAEEHGTESVTAIAIAYVRSKAKNFFPSVEGGKIEDLKENIKALSIDLTPDNIKYL ESIVPFDIGFPNNFIVLNSLTQKYGTNNV YCR108C MPYSPSLILMGHTHTDATVYTTLKLPYSHTPIHGPFSLNQYQMH PHHYARHLPQRSIPCAIYP YDL248W MKENEVKDEKSVDVLSFKQLESQKIVLPQDLFRSSFTWFCYEIY KSLAFRIWMLLWLPLSVWWKLSNNCIYPLIVSLLVLFLGPIFVLVICGLSRKRSLSKQ LIQFCKEITENTPSSDPHDWEVVVANLNSYLYENKAWNTKNFFFNATDCEKMFRTTVL EPFSLKKDKAAKVKSFKDSVPYIEEALQVYATGFDKQWKLFITEKSWSPVGLEDVQLP KDIHRSKLTWFLKRIFTIYSLPLWLAFLNCICVSQHFCLAFRILCPGLFFLMMVWLFQ NMRTTALLVKMEHKMQFLLTIINEQESGANGWDEIARKMNRYLFEKKAWKNEEFFFDG IDCEWFFSHFFYRLLSAKKSMWLLPLNVELWPYIKEAQLSRNEESLMKK YDL247W MKNLSFLINRRKENTSDSNVYPGKAKSHEPSWIEMDDQTKKDGL DIVHVEFSPDTRAPSDSNKVITEIFDATEDAKEADESERGMPLATALNTYPKAAAWSL LVSTTLIMEGYDTAILGAFYALPIFQRKFGSQNDKTGEWEISASWQIGLTLCYMAGEI VGLQLTGPSVDLVGNRYTLIIALFFLAAFTFILYFCNSLGMIAVGQALCGMPWGCFQC LTVSYASEICPLALRYYLTTYSNLCWLFGQLFAAGIMKNSQKKYADSELGYKLPFALQ WILPVPLALGIFFAPESPWWLVKKGRFDEARRSLRRTLSGKGPEKEILVTLEVDKIKV TIDKEKRLTSKEGSYSDCFEDKINRRRTRITCLCWAGQATCGSILIGYSTYFYEKAGV STEMSFTFSIIQYCLGICATFLSWWASKYFGRYDLYAFGLAFQTIVFFIIGGLGCSST HGSKMGSGSLLMAVAFFYNLGIAPVVFCLVSEMPSSRLRTKTIILARNTYNVVSIICS VLILYQLNSKKWNWGAKSGFFWGVLCFCTLIWAVVDLPETAGKTFVEINELFKLGVSA RKFKSTKVDPFVVKTPLKTSLITTPREISKLPLQRNSNVSHHL YDL246C MSQNSNPAVVLEKVGDIAIEQRPIPTIKDPHYVKLAIKATGICG SDIHYYRSGGIGKYILKAPMVLGHESSGQVVEVGDAVTRVKVGDRVAIEPGVPSRYSD ETKEGSYNLCPHMAFAATPPIDGTLVKYYLSPEDFLVKLPEGVSYEEGACVEPLSVGV HSNKLAGVRFGTKVVVFGAGPVGLLTGAVARAFGATDVIFVDVFDNKLQRAKDFGATN TFNSSQFSTDKAQDLADGVQKLLGGNHADVVFECSGADVCIDAAVKTTKVGGTMVQVG MGKNYTNFPIAEVSGKEMKLIGCFRYSFGDYRDAVNLVATGKVNVKPLITHKFKFEDA AKAYDYNIAHGGEVVKTIIFGPE YDL245C MASEQSSPEINADNLNSSAADVHVQPPGEKEWSDGFYDKEVING NTPDAPKRGFLGYLIIYLLCYPVSFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTG EYYLSNVRMGLLVAMFSVGCSIGGVAFARLADTLGRRLAIVIVVLVYMVGAIIQISSN HKWYQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCS VYGTRKYSNTAQWRIPVGLCFLWALIIIVGMLLVPESPRYLIECERHEEACVSIAKIN KVSPEDPWVLKQADEINAGVLAQRELGEASWKELFSVKTKVLQRLITGILVQTFLQLT GENYFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAS MMACMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAE SFPSKVKSKAMSISTAFNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFF LPETIGLSLEEIQLLYEEGIKPWKSASWVPPSRRGASSRETEAKKKSWKEVLKFPKSF N YDL244W MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDIAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLKAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRQEGTFK RLALPA YDL243C MGSMNKEQAFELLDAFYEAGGNCIDTANSYQNEESEIWIGEWMK SRKLRDQIVIATKFTGDYKKYEVGGGKSANYCGNHKHSLHVSVRDSLRKLQTDWIDIL YVHWWDYMSSIEEVMDSLHILVQQGKVLYLGVSDTPAWVVSAANYYATSHGKTPFSIY QGKWNVLNRDFERDIIPMARHFGMALAPWDVMGGGRFQSKKAMEERKKNGEGLRTVSG TSKQTDKEVKISEALAKVAEEHGTESVTAIAIAYVRSKAKNVFPLVGGRKIEHLKQNI EALSIKLTPEQIEYLESIIPFDVGFPTNFIGDDPAVTKKASLLTAMSAQISFD YDL242W MNLEESQSNRGTLNIKKILVIVCMTNKICKHLIQSLLLSKRRLV SVLFDNYQGDMKLRSSPSAIPLVPSTKILQAMEARNDIVDSLIINSHATESDRRTLGD HEVRQCEDTNFGFKI YDL241W MNVTENALLFKCGSKGYINQTYTPTEIYNCGVAEGKKTAKEKNP TYSIFYDTFLTGQPAESPETFTCGSHGFTNASYVASDFYACGFLQGKGTETNAGIHNT RPSHSLAKFTILFMLVLYTIV YDL240W MIQNSAGYRSLNTASPMTVQVKNQKKICARCNKLVIPDSQRTKT TLKALGKYYHESCFTCQDCQKPLKPKYFPYQVDKTSESILLCQYDYFRRHNLLCHVCD TPLRGLYYTAFGYRYDEEHFSCTICATPCGVKKCFMYGNQLYCKYHFLKYFSKRCKGC EFPISDQYIEFPKGEEIHCWHPECYGIHKYWHVNLAAETVGLQYLPKLEYNPNSGDKD INPTAYELDKQMQAFNFILSKTWSVLYRFEEEAASCISDMFQYLTSNDQLKGIESTGL LVLKIDCLFRGLDTLNLSTNKSMPVNSDQECIENNAMAASKYSKFPKNLSTKIMIYLQ LLRKLGTENKNETITISSFMSVITGLAHFLKLLTRFGLYTALENNKLTHSVNPLLRFL REVEKNELFENNPFQYIKTPVNATDSCAGCNKYIQEECIQFYEHRWHIACFTCSSCHK NINPRSLTDPTFNKEKKKILCSHCSIDDPASVPGFKFVTKLAQLIFLLKIALVKSRTV MLKSKASNKVGRNSLQSTMLKEQTYIRTLNDIKRLRSRRESVRVTHNKQQARKSVILE TAETDLNDPTKQGDSKNLVIQTDDPSSSQQVSTRENVFSNTKTLTLDDISRIVAAEQA RELRPNAFAHFKKLKETDDETSNVVPKKSGVYYSELSTMELSMIRAISLSLLAGKQLI SKTDPNYTSLVSMVFSNEKQVTGSFWNRMKIMMSMEPKKPITKTVFGAPLDVLCEKWG VDSDLGVGPVKIRIPIIIDELISSLRQMDMSVEGIFRKNGNIRRLRELTANIDSNPTE APDFSKENAIQLSALLKKFIRELPQPILSTDLYELWIKAAKIDLEDEKQRVILLIYSL LPTYNRNLLEALLSFLHWTSSFSYIENEMGSKMDIHNLSTVITPNILYLRHKEISNDN VPDEPESGLVDSFAQNKGENYFLAIEIVDYLITHNEEMAMVPKFLMNLLKDVQLQKLD NYESINHFISTVMQSKTIDYSECDIKTPVTVKDSTTTVIQGEINK YDL239C MNHWLAFLNKPESLKEQNSDCDQQGEMRHVTDGTLTKSPESKPF RERRSQTWIDSEVPTSTEKSNVQESISSDIISKLSNRRSRRNRSESWAGSEASSPSGN ISTLENATEKNTLKSPNKFLQRGGLPTVGIGSQALSPAGKPSTLGNVSPGKFTTYKVH NSIEVNRFSSTPTKLLTNPHKVAAISNDEHYVVSNESLEENIEVAHLENVFRSSKTPD EEQSEYMKLGEIRLSSSSYGGSISKENSLPKVLDELQSQNEEIKALRQKLEEKDDRIQ ELEELNSMNDAKLQRIEDLQKEFHNERKAASKRLNIVQDRFRKEIKKIREEKITDFQN KNASKKEKNEVTSAKTKCKAFSQRNILVSELYRKQKQILNLQQENDKFLKDINESNNS IVKLRSEVEILKSNLQLSQDENKKLHDNGSFYEKRLNDVYSYMQNLSLFEKDLGKFIL EEMKCGHSPSMFQNGFAKLYPDFQDIKNLENMEQYKQLKGKIELLEKNDRIRLEKIIS VFKLINERLHFMQQQHSHKIKYLQKEALTKEQQFRLEKRRWHDILNLKEENFQKLKSE LKEKLILSEKIQKNAEDKLNDYMNEHQEIVEKLQNQALIASRWSTQIQESENTHKKIT DELAGKQSEILKLEETILSLKEDVFQEKLNLKKLYGDPSTELNFETVGKSFPHITKEK YDSLGLDILTDLTYVQSQNLIKNLLIVLDIPLKTFLKIVPTIVIQLRCELTLLTKFAN DLNLKVFGKQLDFKSRRKVAMNEFLNNHDIAEVKHPLEYDLQALFKYFFS YDL238C MTKSDLLFDKFNDKHGKFLVFFGTFVDTPKLGELRIREKTSVGV LNGIIRFVNRNSLDPVKDCLDHDSSLSPEDVTVVDIIGKDKTRNNSFYFPGFVDTHNH VSQYPNVGVFGNSTLLDWLEKYTFPIEAALANENIAREVYNKVISKTLSHGTTTVAYY NTIDLKSTKLLAQLSSLLGQRVLVGKVCMDTNGPEYYIEDTKTSFESTVKVVKYIRET ICDPLVNPIVTPRFAPSCSRELMQQLSKLVKDENIHVQTHLSENKEEIQWVQDLFPEC ESYTDVYDKYGLLTEKTVLAHCIHLTDAEARVIKQRRCGISHCPISNSSLTSGECRVR WLLDQGIKVGLGTDVSAGHSCSILTTGRQAFAVSRHLAMRETDHAKLSVSECLFLATM GGAQVLRMDETLGTFDVGKQFDAQMIDTNAPGSNVDMFHWQLKEKDQMQEQEQEQGQD PYKNPPLLTNEDIIAKWFFNGDDRNTTKVWVAGQQVYQI YDL237W MLGLKGCLTILIGYVIAVCALFSSRGRNPSLTDWEKLKDQKISN IDNFGLTGQHLLEFFQENLPFLSFSEEKYRHKHVSLYYDVFKEYILRRASSKKCLPVD SAIAKLNKDVNPMPVHSHNDYWRKLPLFEGLAYGASSTEADVWNIDEKILAVGHNEAY LDPVELTLDKLYTGPLLEILDEVNCQDSDADRKNGVFFNSPETSLFFYIDFKSDDNEL TYKLLMEQYFKSLIDSGYLTYYDMKKDEIIWRPVTVILTGNYPTSLDILDNGNDNGYF ESSQRFAFLDAPLLSLEPKYSKLSVAATVSFSQLMKHCGSDHWKVSLRGRMDSNEISC AKSIIDGAHALKLKTRIWGAPTWPANLVETISRQIIHDLGSDLLNLDNLFMASSLI YDL236W MTAQQGVPIKITNKEIAQEFLDKYDTFLFDCDGVLWLGSQALPY TLEILNLLKQLGKQLIFVTNNSTKSRLAYTKKFASFGIDVKEEQIFTSGYASAVYIRD FLKLQPGKDKVWVFGESGIGEELKLMGYESLGGADSRLDTPFDAAKSPFLVNGLDKDV SCVIAGLDTKVNYHRLAVTLQYLQKDSVHFVGTNVDSTFPQKGYTFPGAGSMIESLAF SSNRRPSYCGKPNQNMLNSIISAFNLDRSKCCMVGDRLNTDMKFGVEGGLGGTLLVLS GIETEERALKISHDYPRPKFYIDKLGDIYTLTNNEL YDL235C MSTIPSEIINWTILNEIISMDDDDSDFSKGLIIQFIDQAQTTFA QMQRQLDGEKNLTELDNLGHFLKGSSAALGLQRIAWVCERIQNLGRKMEHFFPNKTEL VNTLSDKSIINGINIDEDDEEIKIQVDDKDENSIYLILIAKALNQSRLEFKLARIELS KYYNTNL YDL234C MSKILFCKSKVFLHPTSDARDNIAGFLLLTLEANKLSHQAILQY IPESGLSTLEISKLLKHEAKVGTCPTSTPFVIENSINFSNLVNTSLGQAFEISLSQIY CIQFRPPSPNGWYVGSLVIYPLTEQFTGFQPPVLFFHDQLCPSTTDKLKRLRKSMNPF DDSDELYWGGVDLRNKINELMELKKSNLEPEFWLVNPSLNDLRNFVSKDLLESYNNSK KDTTELATAGVKLNEKFQEWKWNVMSKIADVTTKSTNFIDSWLTNNSPIQKSQIDNEY LQKLLNNEKVKQIEQDYDSARVYLANWSLGVKQEAERYQKQNKLFDSYRNNIFNDLNL TDELSDTEINNALQRQFPLTEAKWNSLWDENDGRLRVTVNEVKDFIFHGGLENDSLRG KVWGFLLEIYPWDSSQDERVQIDQTLAAEYDQLKLTWSKDFLQFDDEDEEEYWNDQLF RISKDVRRCDRNLEIFQYNTIDGLPPPPQQLPANENNSTSPESANDESDDADDGVRNP HLIHLQNILITYNVYNTNLGYVQGMTDLLSPIYVIMKEEWKTFWCFTHFMDIMERNFL RDQSGIHEQMLTLVELVQLMLPELSEHLNKCDSGNLFFCFRMLLVWFKREFEMEDIMH IWENFWTFYYSSQFQLFFMLAILQKNSQAILQHLNQFDQILKFFNELNGKLDWNDLMV RAELLFKKFEKMMHVMERDLQNVSSSSSSSSTGVLPCQSERLTLLLSKKPIIRHEGQR SKNSVK YDL233W MYQGPPQPPPQAVPMPYIVNNNTPPYPNGNINFPPTAQQNIPPT VYPQQVPFPGQPQGGQFPQPSSEQQVFNQLPQVTQTFHNSAQNTNATGGPGSGSMPMF TPVASFPHPMATAATAAAPLPRSASQASLSMLRVPYHVRKYLSNLAMLKLYEIINEVN TAMGKIGLLSFWTELISDIFTPDAVIRYSKKSMTDYREFEFIIPVFPVICSTLGRFGI VRMEVKVLQLKTQVLSNSTIFFNCPRVTFVYYYPDGSYITHFSQMKGAFDLDLKINWL DVSMHSFVPDIEWNAVERLLSDDTKSTEIEQIFRKLKQEDVKEQGNSFAENNATNVPP NFEAITQLRSYFDVFRNVSVFGTQEGLMRVMQISTVMSTLKNLRKFQIEKNIDSPVTA LSAYIDADKKDSGSEPLHAKRRRNSGISPRTTTLGPNGNSNTSNEELPTSDVNDINKD MTKKKMKF YDL232W MISDEQLNSLAITFGIVMMTLIVIYHAVDSTMSPKN YDL231C MKRYERDRSPTPDPDIVKGSYSQTSLRSLHELNYKNPAGISGLS FAGSPQQSVASLSQMRLENLVKDKHWEEVEDFGLEELRDGFFDAAFTKPDSKARSPNS DIDDDNGAARKKLQSGFTKLSEYVWTAIYRPIIHFPRDIRKNGVSIFKFFIAYFIAIV ICVIRPSGRWIGHEFRYFLPIAVLIHHPVRNIGVQLEMTISSIIGASFGLGWSALAWY ISTATKPTANYQGGILFQSLTMALLFAIWLRSVYRRFFYFTTSFSISIIFTHTVRLAS SKFDLKWQIFWDFGISYLFGLLLSLLVCVCVSPHSGNAELMEHYNKCLQTTKTFLMAL VDTELIKSKEQIYLAQVKMVKTLNIDLSQGFRDFVNQLTISRFDLQSLKSLRNSLTAM ETSLRVLPIAPKIFNDDELKKMYEELEKYRSDSATLSKEASASPQSSGIPTRENTPSA FKPIGPGLLKNEIYINALKASFSKSIFNLILEMIFVLENLSRVLKKYESPNQKNNLDE CVKILSHSHSKLKRKIYKLDVCYRDFVNSSFFSQELLNDEESVDIFLFLRYLRNSARQ LVTVIHDCQVLGENIHWRIALPSYPLSRALTRLPKQCVLDEGAGNVLHYFEAKRDVDE IFERVYNTYTSRHKYNKGEEEALRLDSQGGDEKSQNRKNHTISIRAIDHNDFNFHTTQ NPWRFKLWKLSRILSGDECKWTLKITFCMIFLCLPTWLPESYHWYQEFHCWWAPLTFY LLAHRRYSGNWALVMRRLICGIVGIFWGWAANQSRHFGSPYVVCTFAGLIVVPFSINF LVYRNTKSSFTALMCFTIIALEPYSKPNRHYNLTTAGIWKSTWVTGLALIIGILVSIP INWIVWPFRARTELRDSMSSLLAHLGQSYQTVADRYLYRDADDAPTDLTFAFSHIREV RLTQSLEAIRELLKKARHEPIIISNFNPEKYASLINSCQFLLSKIIEARISGAFFEIW DQDFDIETTRALLSLRRDSVSSVIFVFYILSNCFRSKNKIPRYLPNPIMSRKKLYHFI KKFSEMKDQSHSNLNSGGNSMEKNLFKKIYQQKASSSGQQQLPLPSVANSSEIDSEKM HWTEVHGIAFARAFTDISEALFQVESCAKDILGEENF YDL230W MAAAPWYIRQRDTDLLGKFKFIQNQEDGRLREATNGTVNSRWSL GVSIEPRNDARNRYVNIMPYERNRVHLKTLSGNDYINASYVKVNVPGQSIEPGYYIAT QGPTRKTWDQFWQMCYHNCPLDNIVIVMVTPLVEYNREKCYQYWPRGGVDDTVRIASK WESPGGANDMTQFPSDLKIEFVNVHKVKDYYTVTDIKLTPTDPLVGPVKTVHHFYFDL WKDMNKPEEVVPIMELCAHSHSLNSRGNPIIVHCSAGVGRTGTFIALDHLMHDTLDFK NITERSRHSDRATEEYTRDLIEQIVLQLRSQRMKMVQTKDQFLFIYHAAKYLNSLSVN Q YDL229W MAEGVFQGAIGIDLGTTYSCVATYESSVEIIANEQGNRVTPSFV AFTPEERLIGDAAKNQAALNPRNTVFDAKRLIGRRFDDESVQKDMKTWPFKVIDVDGN PVIEVQYLEETKTFSPQEISAMVLTKMKEIAEAKIGKKVEKAVITVPAYFNDAQRQAT KDAGAISGLNVLRIINEPTAAAIAYGLGAGKSEKERHVLIFDLGGGTFDVSLLHIAGG VYTVKSTSGNTHLGGQDFDTNLLEHFKAEFKKKTGLDISDDARALRRLRTAAERAKRT LSSVTQTTVEVDSLFDGEDFESSLTRARFEDLNAALFKSTLEPVEQVLKDAKISKSQI DEVVLVGGSTRIPKVQKLLSDFFDGKQLEKSINPDEAVAYGAAVQGAILTGQSTSDET KDLLLLDVAPLSLGVGMQGDMFGIVVPRNTTVPTIKRRTFTTCADNQTTVQFPVYQGE RVNCKENTLLGEFDLKNIPMMPAGEPVLEAIFEVDANGILKVTAVEKSTGKSSNITIS NAVGRLSSEEIEKMVNQAEEFKAADEAFAKKHEARQRLESYVASIEQTVTDPVLSSKL KRGSKSKIEAALSDALAALQIEDPSADELRKAEVGLKRVVTKAMSSR YDL227C MLSENTTILMANGEIKDIANVTANSYVMCADGSAARVINVTQGY QKIYNIQQKTKHRAFEGEPGRLDPRRRTVYQRLALQCTAGHKLSVRVPTKPLLEKSGR NATKYKVRWRNLQQCQTLDGRIIIIPKNHHKTFPMTVEGEFAAKRFIEEMERSKGEYF NFDIEVRDLDYLDAQLRISSCIRFGPVLAGNGVLSKFLTGRSDLVTPAVKSMAWMLGL WLGDSTTKEPEISVDSLDPKLMESLRENAKIWGLYLTVCDDHVPLRAKHVRLHYGDGP DENRKTRNLRKNNPFWKAVTILKFKRDLDGEKQIPEFMYGEHIEVREAFLAGLIDSDG YVVKKGEGPESYKIAIQTVYSSIMDGIVHISRSLGMSATVTTRSAREEIIEGRKVQCQ FTYDCNVAGGTTSQNVLSYCRSGHKTREVPPIIKREPVYFSFTDDFQGESTVYGLTIE GHKNFLLGNKIEVKSCRGCCVGEQLKISQKKNLKHCVACPRKGIKYFYKDWSGKNRVC ARCYGRYKFSGHHCINCKYVPEAREVKKAKDKGEKLGITPEGLPVKGPECIKCGGILQ FDAVRGPHKSCGNNAGARIC YDL226C MSDWKVDPDTRRRLLQLQKIGANKKCMDCGAPNPQWATPKFGAF ICLECAGIHRGLGVHISFVRSITMDQFKPEELLRMEKGGNEPLTEWFKSHNIDLSLPQ KVKYDNPVAEDYKEKLTCLCEDRVFEEREHLDFDASKLSATSQTAASATPGVAQSREG TPLENRRSATPANSSNGANFQKEKNEAYFAELGKKNQSRPDHLPPSQGGKYQGFGSTP AKPPQERSAGSSNTLSLENFQADPLGTLSRGWGLFSSAVTKSFEDVNETVIKPHVQQW QSGELSEETKRAAAQFGQKFQETSSYGFQAFSNFTKNFNGNAEDSSTAGNTTHTEYQK IDNNDKKNEQDEDKWDDF YDL225W MSTASTPPINLFRRKKEHKRGITYTMLLCGPAGTGKTAFANNLL ETKIFPHKYQYGKSNASISSNPEVKVIAPTKVVSFNSKNGIPSYVSEFDPMRANLEPG ITITSTSLELGGNKDQGKPEMNEDDTVFFNLIMTHGIGENLDDSLCSEEVMSYLEQQF DIVLAEETRIKRNPRFEDTRVHVALYFIEPTGHGLREVDVELMKSISKYTNVLPIITR ADSFTKEELTQFRKNIMFDVERYNVPIYKFEVDPEDDDLESMEENQALASLQPFAIIT SDTRDSEGRYVREYPWGIISIDDDKISDLKVLKNVLFGSHLQEFKDTTQNLLYENYRS EKLSSVANAEEIGPNSTKRQSNAPSLSNFASLISTGQFNSSQTLANNLRADTPRNQVS GNFKENEYEDNGEHDSAENEQEMSPVRQLGREIKQENENLIRSIKTESSPKFLNSPDL PERTKLRNISETVPYVLRHERILARQQKLEELEAQSAKELQKRIQELERKAHELKLRE KLINQNKLNGSSSSINSLQQSTRSQIKKNDTYTDLASIASGRD YDL224C MSLVHNQTNLNESKFLIERAFSSSSETVPLSKEATYPMPTAYSF SAVRSNSETNIKRENPQGFAKEPIMTSMLHNLTMSTGKGNGNDVNSLAPHDVDVGPYC LLLRNLPKDITLRECYCIFSLATGVSSIELKRDDREPFNDNEKVVVVKFGSLSLVTHY ANILNSKSEIFGPSFPFRSHIDVVNEQTQLPVSFQEHVSSGTTNSSPKNYQLSSSAQN EIQNQSFNTISYGKTSSSPLGPSAAKPRPSLLSERSLRFSFNDPFGLETISQRKESVP FLRNSISQHDLSNVTTTPVPAGMPPQKDAGKSLLLLEKDEINESIWNGDELVNDVGNS SFGASLQEPPMSSTPVMEWNASSTANIPLFQLSSQENHQSNLLPPSHHSISQDVPHIQ SQPNLNNSGVIHSATSLPHYHLLNQINASTKTQSIQQSVSNVPSNLDLNLQTENGHPQ SSAPNGSSIFNNQKVNQGFLVSEQDTSTISRQKECSSTASASAFSKNNETNVAGSTTI SQADLSLLAKVPPPANPADQNPPCNTLYVGNLPPDATEQELRQLFSNQQGFRRLSFRN KMNSHGHGNGHGHGPICFVEFEDVSFATRALAELYGSQLPHPRPSLNNKGGIRLSFSK NPLGVRGSNSRSKSGYSFNGSYGKS YDL223C MNMNESISKDGQGEEEQNNFSFGGKPGSYDSNSDSAQRKKSFST TKPTEYNLPKEQPESTSKNLETKAKNILLPWRKKHNKDSETPHEDTEADANRRANVTS DVNPVSADTKSSSGPNATITTHGYSYVKTTTPAATSEQSKVKTSPPTSHEHSNIKASP TAHRHSKGDAGHPSIATTHNHSTSKAATSPVTHTHGHSSATTSPVTHTHGHASVKTTS PTNTHEHSKANTGPSATATTHGHINVKTTHPVSHGHSGSSTGPKSTAAAQDHSSTKTN PSVTHGHTSVKDNSSATKGYSNTDSNSDRDVIPGSFRGMTGTDVNPVDPSVYTSTGPK SNVSSGMNAVDPSVYTDTSSKSADRRKYSGNTATGPPQDTIKEIAQNVKMDESEQTGL KNDQVSGSDAIQQQTMEPEPKAAVGTSGFVSQQPSYHDSNKNIQHPEKNKVDNKNISE RAAEKFNIERDDILESADDYQQKNIKSKTDSNWGPIEYSSSAGKNKNLQDVVIPSSMK EKFDSGTSGSQNMPKAGTELGHMKYNDNGRDNLQYVAGSQAGSQNTNNNIDMSPRHEA EWSGLSNDATTRNNVVSPAMKDEDMNEDSTKPHQYGLDYLDDVEDYHENDIDDYSNAK KNDLYSKKAYQGKPSDYNYEQREKIPGTFEPDTLSKSVQKQDEDPLSPRQTTNRAGME TARDESLGNYEYSNTSGNKKLSDLSKNKSGPTPTRSNFIDQIEPRRAKTTQDIASDAK DFTNNPETGTTGNVDTTGRMGAKSKTFSSNPFDDSKNTDTHLENANVAAFDNSRSGDT TYSKSGDAETAAYDNIKNADPTYAKSQDITGMTHDQEPSSEQKASYGSGGNSQNQEYS SDDNIDVNKNAKVLEEDAPGYKREVDLKNKRRTDLGGADASNAYAAEVGNFPSLIDPH VPTYGFKDTNTSSSQKPSEGTYPETTSYSIHNETTSQGRKVSVGSMGSGKSKHHHNHH RHSRQNSSKGSDYDYNNSTHSAEHTPRHHQYGSDEGEQDYHDDEQGEEQAGKQSFMGR VRKSISGGTFGFRSEI YDL222C MIFKRFVNLLVFLFLLGAGLLTFFLILSGGRESGTLKNFYWLQA DTNGFNSAPSTTRWYNYNWCGYEDGQLANCSSRAPAKPFSPRDNFGNSVNLPSSFRNN RDTYYYLSRVGWAMLLISLFFIVLALVPGFLATFLPFKAVPVLYCVLSWLAFFFIILA ACLYTGCYVKARKTFRNSGRSARLGPKNFAFIWTSVFLMLVNAIWSTIFSATHKAHST YSDHDMYAQYESPSVDTGAQMEKSTYNSGATDGAGPITAAPVVGQPQPTTTTTPAGNG KFFQKLKTRKQVPSAELEPAGDGGLAGPVTVRD YDL220C MDTLEEPECPPHKNRIFVSSSKDFEGYPSKAIVPVQFVALLTSI HLTETKCLLGFSNFERRGDQSQEDQYLIKLKFKDRGSERLARITISLLCQYFDIELPD LDSDSGASPTVILRDIHLERLCFSSCKALYVSKHGNYTLFLEDIKPLDLVSVISTIST KSTNSSKHSSSELISECDLNNSLVDIFNNLIEMNRDEKNRFKFVKLIHYDIELKKFVQ DQQKVLSQKSKAAAINPFFVPNRLGIPYIESQNEFNSQLMTLNVDEPTTDISNMGEEM HDSADPIEDSDSSTTSSTGKYFSSKSYIQSQTPERKTSVPNNWHDDDSGSKRKRKLSF HSPNASSIRKAISYEQLSLASVGSVERLEGKIVGMNPPQFASINEFKYCTLKLYFTQL LPNVPDKVLVPGVNCIEIVIPTRERICELFGVLNCQSDKISDILLLEKPDRISVEVER ILWDNDKTASPGMAVWSLKNISTDTQAQAQVQVPAQSSASIDPSRTRMSKMARKDPTI EFCQLGLDTFETKYITMFGMLVSCSFDKPAFISFVFSDFTKNDIVQNYLYDRYLIDYE NKLELNEGFKAIMYKNQFETFDSKLRKIFNNGLRDLQNGRDENLSQYGIVCKMNIKVK MYNGKLNAIVRECEPVPHSQISSIASPSQCEHLRLFYQRAFKRIGESAISRYFEEYRR FFPIHRNGSHLAKLRFDEVKHEPKKSPTTPALAEHIPDLNADVSSFDVKFTDISSLLD SSARLPRPQQTHKSNTLYSCEGRIIAIEYHASDLCFHITNELPLLQTRGLAPERVLQL HIITSKNFAYFFNRSSAYLQRQPLEEKYTQLAQFLGHSFKFNITSSLTLFPDTTVALQ IWCPIECTFRELQQQLAHPKVAAAPDSGSLDCAINATVNPLRLLAAQNGVTVKKEEDN DDDAGAVPTS YDL219W MKIVLQKVSQASVVVDSKVISSIKHGYMLLVGISIDDSMAEIDK LSKKVLSLRIFEDESRNLWKKNIKEANGEILSVSQFTLMAKTKKGTKPDFHLAQKGHI AKELYEEFLKLLRSDLGEEKVKDGEFGAMMSCSLTNEGPVTIILDSDQ YDL218W MKRVTGVFLTLLRFSQFASSVLVMSLLAYAIHAYGNRGNKKTNF TLATGVISVFYLIALGILCLALPTLIYIGMYFCAELIVCMLWLAAFVVLAKAQGERSC SNTNADGLYYNPYSGQYTADSHRRACNSSQAAIAFSGLCFVLFLISVILLGINVLTPI RKRYQTQGMWRSGASMGTKLHRWSGLALSEPFEETAAYDNTNVRTGDVEAGAGDNAAY TSEPNGDARYATNDPNGQYHTTTTNTRYTTTTADPKTRYTTNDRNPGSANVANSAVDQ HAYSTDESGDRSYQEKVTEGAHSGAMSGSTAEPNRNVNQMP YDL217C MVYTGFGLEQISPAQKKPYNELTPEEQGERGAEMIMNFMTSCPG KSVVSGVTGFALGGVLGLFMASMAYDTPLHTPTPANTAATATAGNIGVGGISRTVQQI SDLPFRQQMKLQFTDMGKKSYSSAKNFGYIGMIYAGVECVIESLRAKNDIYNGVTAGF FTGAGLAYKAGPQAALMGGAGFAAFSAAIDLYMKSEDGRPPQNDFKE YDL216C MSLSNKTVKELRQLLKERYTVEDELTESIALSSMRFKPSQEPEF HALSQSSLLKTKLKQQSSTDIPSYTHVLISKLSCEKITHYAVRGGNIEIMGILMGFTL KDNIVVMDCFNLPVVGTETRVNAQLESYEYMVQYIDEMYNHNDGGDGRDYKGAKLNVV GWFHSHPGYDCWLSNIDIQTQDLNQRFQDPYVAIVVDPLKSLEDKILRMGAFRTIESK SDDNSATSYYELETIIFDSELNRALFETKLNLHCVIEDDESEQISLNRLIDSMKQYSY LMDSKNVRTRIKLATTSERVSNENKKNIDYQNRSTRSQFCLNTQRGDSTETSSFGSMF SGDNTSDVDMEDRNLTEFDSTDTSLCINGEPSIHVNRVERSSRSTDNFHNSKKRMNSN QERCHDEGNDMLQRNVLETDYARAKNRILASKIKQYERLRFYKDTFTL YDL215C MLFDNKNRGALNSLNTPDIASLSISSMSDYHVFDFPGKDLQREE VIDLLDQQGFIPDDLIEQEVDWFYNSLGIDDLFFSRESPQLISNIIHSLYASKLDFFA KSKFNGIQPRLFSIKNKIITNDNHAIFMESNTGVSISDSQQKNFKFASDAVGNDTLEH GKDTIKKNRIEMDDSCPPYELDSEIDDLFLDNKSQKNCRLVSFWAPESELKLTFVYES VYPNDDPAGVDISSQDLLKGDIESISDKTMYKVSSNENKKLYGLLLKLVKEREGPVIK TTRSVENKDEIRLLVAYKRFTTKRYYSALNSLFHYYKLKPSKFYLESFNVKDDDIIIF SVYLNENQQLEDVLLHDVEAALKQVEREASLLYAIPNNSFHEVYQRRQFSPKEAIYAH IGAIFINHFVNRLGSDYQNLLSQITIKRNDTTLLEIVENLKRKLRNETLTQQTIINIM SKHYTIISKLYKNFAQIHYYHNSTKDMEKTLSFQRLEKVEPFKNDQEFEAYLNKFIPN DSPDLLILKTLNIFNKSILKTNFFITRKVAISFRLDPSLVMTKFEYPETPYGIFFVVG NTFKGFHIRFRDIARGGIRIVCSRNQDIYDLNSKNVIDENYQLASTQQRKNKDIPEGG SKGVILLNPGLVEHDQTFVAFSQYVDAMIDILINDPLKENYVNLLPKEEILFFGPDEG TAGFVDWATNHARVRNCPWWKSFLTGKSPSLGGIPHDEYGMTSLGVRAYVNKIYETLN LTNSTVYKFQTGGPDGDLGSNEILLSSPNECYLAILDGSGVLCDPKGLDKDELCRLAH ERKMISDFDTSKLSNNGFFVSVDAMDIMLPNGTIVANGTTFRNTFHTQIFKFVDHVDI FVPCGGRPNSITLNNLHYFVDEKTGKCKIPYIVEGANLFITQPAKNALEEHGCILFKD ASANKGGVTSSSMEVLASLALNDNDFVHKFIGDVSGERSALYKSYVVEVQSRIQKNAE LEFGQLWNLNQLNGTHISEISNQLSFTINKLNDDLVASQELWLNDLKLRNYLLLDKII PKILIDVAGPQSVLENIPESYLKVLLSSYLSSTFVYQNGIDVNIGKFLEFIGGLKREA EASA YDL214C MSLSRILRYNQRNNKTTASLTAEHAYSDNWAYSVSLGDPTSVGV NMAAKTGEALNKSYDSVFSSLPVADSVPRTDFTASSRDDENTDVQKLTTSWMEKIDTK MPENISKIDSNIISSPMVSKVEARFIVPKGRLRKNSTDFTSSFSNSLSLPKSYGKLIF FTSKKNSSSTKKNLANDISDNKHNNNSSNTIGHNIPVTTATATCDEIACTSTEHEYNV YEEERMFTTRVYSLEDSVSSLSTNPLDDTYSEAVQVNTRHIEDTESTAHIRKHSYTTS LSSIKRLFKITSFSNNNSNSCDHQESTVADDCAISSSLKETTSSPVSTGSFSLMIENE DSDRDQIIQALYSNIEASTDLVSRKYRDLDVVLGEGSGGKVKLVQRVLDNKVFALKEY RSKKKRESERKYIKNIISEYCIASTLKNPNICETLEILYEKGKIFQILEYCEYDLFSL VMSEKMHYEEICCLFKQLINGVKYLHDIGLSHRDLKLDNCVVTRRGILKLIDFGASSV FHYPLSSQMIEANGIVGSDPYLSPEVFYFNEYDPRALDVWSVGIIFFCMITRRFPWKY PKVKDVQFKAFCSGRGVSSFKDLVTRPATDDSNNYDNDGYEEGVIDMGPNFILHRLPE ETHKIMRRILEVSPFRRITINGILQDGWIKEIETCQVVGAASPNEASLRIINKGNHIH TNIDQRYAHIGGLHQRT YDL213C MGSEEDKKLTKKQLKAQQFRKSKEEKDQEKDVKKEQAPEGKRPN SAAGNDGEEPVKKKRKTRRGRGGKGKNGKKGNRFIVFVGSLPRDITAVELQNHFKNSS PDQIRLRADKGIAFLEFDADKDRTGIQRRMDIALLQHGTLLKEKKINVELTVGGGGNS QERLEKLKNKNIKLDEERKERLTKMINDGNQKKIAKTTATAAQTSGTDNKPVPAGIHP DRAKLLK YDL212W MFSYSDFCSIGTAMILSATTFLMGVFFSNMPYDYHLLFNPNSTQ EHFDLALRHYQILHETPLPVIVTLCVVAGIGLVGGTIKVFKPNPELQMFEYCSLGLYV LAICVFLTNVKTGIDCSVSHNWGEVTENQGLAVIASSNIILLVMFAGVIILQIGLWYS NWDLQKRLKEFYAQEEREAANAGKKTEKVDNAKKNDNKSKGAQKRKNAKK YDL211C MLLNVTSSQYISTPQSRSLSEIDTSETASLSSATDHIFALSTEV VSSITTNLIEGLESSIQVPISTAYGTTSFRNNTSSPQYLVSNCTSSVQSNITIDRGLL STLKTFTTSQVPTIEPSTTKLTTPLSTTFTSTSTSEIYSVFTSENSVYIIYDQEYKFT ERSTTFNTHFPQTTVLQESNPPLTFTIPSNTITGDAKLYQYLSGALNTQDTSDANNRR TGVIVGSTVGVVIGVVIVIFIGFIIIRNRRNVKNHSKKGFSHDIGKRVSCDEVTETEA PSNPFLNVLNYKVTTNGEGKRDSFENGRDLHRASSSDGIYIAHPYYGMADHESGRFSY VSSYNESAESSIEETSSSASTITRPNIQQTNSFLREII YDL210W MSMSSKNENKISVEQRISTDIGQAYQLQGLGSNLRSIRSKTGAG EVNYIDAAKSVNDNQLLAEIGYKQELKRQFSTLQVFGIAFSIMGLLPSIASVMGGGLG GGPATLVWGWFVAAFFILLVGITMAEHASSIPTAGGLYYWTYYYAPEGYKEIISFIIG CSNSLALAAGVCSIDYGLAEEIAAAVTLTKDGNFEVTSGKLYGIFAGAVVVMCICTCV ASGAIARLQTLSIFANLFIIVLLFIALPIGTKHRMGGFNDGDFIFGKYENLSDWNNGW QFCLAGFMPAVWTIGSFDSCVHQSEEAKDAKKSVPIGIISSIAVCWILGWLIIICLMA CINPDIDSVLDSKYGFALAQIIYDSLGKKWAIAFMSLIAFCQFLMGASITTAVSRQVW AFSRDNGLPLSKYIKRVDSKYSVPFFAILAACVGSLILGLLCLIDDAATDALFSLAVA GNNLAWSTPTVFRLTSGRDLFRPGPFYLGKIWSPIVAWTGVAFQLFIIILVMFPSQQH GITKSTMNYACVIGPGIWILAGIYYKVYKKKYYHGPATNLSDDDYTEAVGADVIDTIM SKQEP YDL209C MTSWRDKSAKVQVKESELPSSIPAQTGLTFNIWYNKWSQGFAGN TRFVSPFALQPQLHSGKTRGDNDGQLFFCLFFAKGMCCLGPKCEYLHHIPDEEDIGKL ALRTEVLDCFGREKFADYREDMGGIGSFRKKNKTLYVGGIDGALNSKHLKPAQIESRI RFVFSRLGDIDRIRYVESKNCGFVKFKYQANAEFAKEAMSNQTLLLPSDKEWDDRREG TGLLVKWANEDPDPAAQKRLQEELKLESLNMMVHLINNNTNSAGTEVNNKNNERLDRT FPEASVDNVKKRLLPLDNGMESDDFIEKLKKVKKNISRENISSKPSVGKLGGPLLDYL SSDED YDL208W MGKDNKEHKESKESKTVDNYEARMPAVLPFAKPLASKKLNKKVL KTVKKASKAKNVKRGVKEVVKALRKGEKGLVVIAGDISPADVISHIPVLCEDHSVPYI FIPSKQDLGAAGATKRPTSVVFIVPGSNKKKDGKNKEEEYKESFNEVVKEVQAL YDL207W MRFVFDEVFNSDTDSPEFEETCSTTSSTSSQCPTPEPSPAIKLP SFTKVGTKKLVNESVVILDPALENALRDLNLQSKLIPINEPIVAASSIIVPHSTNMPL PRASHSSLLDNAKNSNATAPLLEAIEESFQRKMQNLVLANQKEIQSIRENKRRVEEQR KRKEEEERKRKEAEEKAKREQELLRQKKDEEERKRKEAEAKLAQQKQEEERKKIEEQN EKERQLKKEHEAKLLQQKDKLGKAVTNFDKISKMFWHYKDKIAQIKQDIVLPIKKADV NVRNLLSRHKRKINPKFGQLTNSNQQLFKIQNELTQLINDTKGDSLAYHWILNFIAKA VVHQAETEVRVKPESALPLGKLTLYLLVQFPELQELFMARLVKKCPFVIGFTCEIDTE KGRQNMGWKRNNENKWEDNTSYDERMGGILSLFAIITRLQLPQEFITTTSHPFPIALS WHILARICNTPLNLITNTHFVILGSWWDAAAVQFLQAYGNQASKLLILIGEELTSRMA EKKYVGAARLRILLEAWQNNNMESFPEMSP YDL206W MHKPLRWLITIAFYVSNVILIGYSLSSNGSISEFYLHSVVLIEC FSLLGVVTSDCLTPSLSYISSNIFHISDRVSGMTLLALGNALPDITSTYQSMKSGVTS LAIGELFGGIFFLLTVVIGLMGCVATIQFQHDKSIETYTEESFDQNLSYDRSNYILDV GIFTFMLLVSGTFLADGRLYFWECIVMVLTYCCCAVYLIKSYKYPCEINDALEREVEI KKTVLANNHITVPNRFTLTTTSDITSTDDGIRYVRPLGDTQIDEDNAISLDPTRLPSK SLDNISRFNQGIPERRDLIRRRIRGYLRSHYHGWVRMTLQDLLNIWEKQNLFNNTVKS LSLPSDDTHLFTKASLDEEGRPLIRKRMNSLQPKDFYKYLSLRNGENSNALDTAISAP QNEYQTYYNEPTSLFLTVPQKKTSKKSLSCDRIPNLVRSNNIILNDEATRTQESTNAL NSISDVIDNSLLQYERDDIILDRTLSLCSTKSRTAWHSFQLYNYLTDVSLEIGFFEFL SLLVTTPVSIILYLSIPSEISQTDHDLPLSYLQNIQLIASPIILNQLITNNFSFWLLI LSLVIAILLYFKTRTIPNKFNSDIIFTVAFLLSLACLSKAVHIIVVTLTHWINVFNIS ETILGLTIFTWGNSIGDLVSNITFVKIGVLEIAIGACFGSPLLYFLFGVGFDGIMIML GDKTGKIVSGRDSNILMHHIDFKVDKNLINTGVGILIAFLIFTVLIPLNDWKIDKKIS IALLTLYIVVTCISVFLEVHQV YDL205C MGPETLHIGGRKSKLAVIQSNHVLKLIEEKYPDYDCKVFTLQTL GDQIQFKPLYSFGGKALWTKELEDHLYHDDPSKKLDLIVHSLKDMPTLLPEGFELGGI TKRVDPTDCLVMPFYSAYKSLDDLPDGGIVGTSSVRRSAQLKRKYPHLKFESVRGNIQ TRLQKLDDPKSPYQCIILASAGLMRMGLENRITQRFHSDTMYHAVGQGALGIEIRKGD TKMMKILDEICDLNATICCLSERALMRTLEGGCSVPIGVESKYNEETKKLLLKAIVVD VEGTEAVEDEIEMLIENVKEDSMACGKILAERMIADGAKKILDEINLDRIK YDL204W MNRNTTTNKNANLNNSRNANAPGEAGHQNKTGLIYWTNPSKSGA SFAATLVSLLILRNVNVISVLLKIGYMVLFTSFAVELSTKVLFDKGVVSRFGMQESPD LVGVLKPHIDRELDRLPALEDRIRKLVFAHRTRNNFTIGVSLYFLHGLFAIFSMNTVL IMTTIFLYTVPLIYDRKQARIDRAIDRMKDLVIHRFHKNYNKVVEKTEPYIDKIIPPQ TDEGSYSTSISNENKSSTSQRNKSGLSSSEFDNMNDTSASKSGKDSYSTSQYNRAEYP VSQNENIGTLKSGKQEIPTEKDFNNRHENFSKPDVKTYDPRTVDIEEELAAHQRELEQ NLKDGDYNLVGSKEIPDPITVPAPTRHTTKPAESQSIPIKNNETLHKTTHGLKQKLQH A YDL203C MVNQGQPQPNLYDKHINMFPPARARESSHKLGNANSDRHGLPAQ NIVPAPYPVDDSIVELTPAIPFTSPSSSSSLSLPLSALNFTDGNADGGQLGTPVTINS NNGMDIFNSKPTGEIGYANNGTNSTGSRYELPFNFSSTKESLGSPAVQDASISSGNRI SESVRDNSAPPPYEESESRILQEKVYRTEEKAPIRPLNNNPVPPQKINQPPTGSAKTD DNGSSGGEDKLSSYSPEALAFYQVYKKTITDSSKFTPEIQMQWCETLLTYAFNEDFIS QYNINAEKLKRSLKPEEMLKNQKVILEHSFKVLTKLITLKWPPAMYLMGTLYSHQPYL PIKNKNIVIKNDEKALEYYCKAAKLNNSDACYRAGVCFEYQRGTSSLDPSPTKEQCIK KAFQYYQHGAEVCSNSACMYKLGMSHLYGLNMQKTDVLLAIKWFDKAAQKGDSPQTLY ELGKIYEFSVLPPEIQNLLFANGIRKDSQLAIKYYQQCAKDFEYPLAQWKLGNCYEFG DLGLPVVAKKSIYWYSKAAAAQPKGNPMAMLSLSGWYLTGAPNILKPNNKEAFNWALK SSKCSDGKLARTEFALGFYYEKGVGCEVDLDLAKQYYQRAARMGFRKAVDALRSLTN YDL202W MLQLRFMPGWVPRNGFFGLKETIGTVHKRFYALASEQPSRKTVK PLDSRKTFLIDTYKHLMENSSMIFFVHYNNLSKTEDHHFRFKIKQTGGKLTKVRNNLF EVYLRNSHLPDPCGFVKRKEQNWKHPLLPLLKGPTATITYEDTNPQQVAKLLKVLQSA QDKLMVIGAKVENEVLNVEKINTFKTLPTKPEMQSQLVSVLQMLSGLGLVRTLENSSN ALYLTLKSHNDNQKPKEDVESTTDAESKGSK YDL201W MKAKPLSQDPGSKRYAYRINKEENRKELKHVKINESSLVQEGQK IDLPKKRYYRQRAHSNPFSDHQLEYPVSPQDMDWSKLYPYYKNAENGQMTKKVTIADI GCGFGGLMIDLSPAFPEDLILGMEIRVQVTNYVEDRIIALRNNTASKHGFQNINVLRG NAMKFLPNFFEKGQLSKMFFCFPDPHFKQRKHKARIITNTLLSEYAYVLKEGGVVYTI TDVKDLHEWMVKHLEEHPLFERLSKEWEENDECVKIMRNATEEGKKVERKKGDKFVAC FTRLPTPAIL YDL200C MKELLYYTFIETEVTGAFLVFREKTQNLVFASLGNDKLFLLGKV EGFLKKHEKQDTMYDLQELKEAETYKKSIENYTICLENKMPLPSGAIPFEFLFGTDFQ RKVWNELLNVEHGHVVTYGDIAKRIGKPTAARSVGRACGSNNLALLVPCHRIVGSNRK LTGYKWSCKLKEQLLNNEKENSLSLSRL YDL199C MKPPLNMSRSNKPLTQEANSSAHIDRAHQLAQDFNSKQDDTALT SLPHKNPDIFRFENNITAHSSRRGSLYRDSDATVVLPLSEHTPRLSMDDPYRQLLQQA EISQLRSKKKRHSSRVLRTSFISFVVLVSSLSGLDQGLISGNVMTLSFQKYFHYPLTS PLGNIVSIVNLGAFMASLFVYSGILEPCSRKKMLQISTMIYSLGAIVQVLALNQWCLL LGRFLLGVGMGFAFSMVIIYQFEFPLPCIRKRTLISIQCVSSVIAYSFGIWINCAFRY LGFAWRYPLSTHVALGIILNLMSFYLILESPSWLLKQKNDVEALVLISNVFDDGNFEE NQTQLKFRVLKRDILLKSHLQKNSYPYAYILKDFSSIIKLLIGFQLLTRTNGVDAFLY YSPLILQQMGRGERKSIYLTGLNALIYSIVILAYVPLVLRKRKEKTNVLLGSIVMCAL LFTISFTDWFPKSTTRYISILFAVFLFTHFISWDSIGWVMTIELLPHLSQAPVILLVS NFYWIFKWFVSLITPILIDRLSWKFYLIPSLSSFISIIFVLKIFPIETRDERLDSDDD STGNGSGNHDDVFDDTGSEFSSSPSFSAYQINTLGSSIKQNNQAYSSIQNEQILPKNG NLSNQTHGSAQNVYFITSDSGPSRTGEFFSFHNRTDPNISDNIAANKPSSGGGQNSPG DMAVA YDL198C MPHTDKKQSGLARLLGSASAGIMEIAVFHPVDTISKRLMSNHTK ITSGQELNRVIFRDHFSEPLGKRLFTLFPGLGYAASYKVLQRVYKYGGQPFANEFLNK HYKKDFDNLFGEKTGKAMRSAAAGSLIGIGEIVLLPLDVLKIKRQTNPESFKGRGFIK ILRDEGLFNLYRGWGWTAARNAPGSFALFGGNAFAKEYILGLKDYSQATWSQNFISSI VGACSSLIVSAPLDVIKTRIQNRNFDNPESGLRIVKNTLKNEGVTAFFKGLTPKLLTT GPKLVFSFALAQSLIPRFDNLLSK YDL197C MPKNRGVLDAITRSVIDGSDQESSSSFNSDKEYAAVTKGLSSSR VQKKSSLRQMKSKVKELQSLVNHYRENEAALVSSAKLLSGEIIGYEIKMASLHGKMKS ILDENNALKETHKSSAEKRIELVRLPSSKEERNYDEYTLLVNLKKEICAKLQDYKNVQ NTVNTKLDEIHTFHEKYYEGLELSLDSKVFDAESSKELAKVRRELNNVRKNSEIKVNN LKMQLLQATKSLEHLKKQAKAKDDYLKCIPELVDKANLTMLSYKKSIANQRETIEALQ AELSQQSETKGQIETETQNQVQIPTNVTLVDPFEENNPEDLFAIQEQELQDLRLHKKM ADERSRTTHLHLERKNNTIKLLQSYVQSLIQRLPPAQRKHHLGIFQKLGSEKSCPLAP AVASTYAPLLLLSQHSNHQEIDNTPQRLLLAAPDGQSYSEKSTTLNLDYSSRKSYLSR LQPPHIANLKSLTLKTLPRVPTDSPQLPSKDKSQETAKKDDRPKLVANEPVTLDTSTP PVAQSLADSKHCSGLHK YDL195W MVKLAEFSRTATFAWSHDKIPLLVSGTVSGTVDANFSTDSSLEL WSLLAADSEKPIASLQVDSKFNDLDWSHNNKIIAGALDNGSLELYSTNEANNAINSMA RFSNHSSSVKTVKFNAKQDNVLASGGNNGEIFIWDMNKCTESPSNYTPLTPGQSMSSV DEVISLAWNQSLAHVFASAGSSNFASIWDLKAKKEVIHLSYTSPNSGIKQQLSVVEWH PKNSTRVATATGSDNDPSILIWDLRNANTPLQTLNQGHQKGILSLDWCHQDEHLLLSS GRDNTVLLWNPESAEQLSQFPARGNWCFKTKFAPEAPDLFACASFDNKIEVQTLQNLT NTLDEQETETKQQESETDFWNNVSREESKEKPTVFHLQAPTWYGEPSPAAHWAFGGKL VQITPDGKGVSITNPKISGLESNTTLSEALKTKDFKPLINQRLVKVIDDVNEEDWNLL EKLSMDGTEEFLKEALAFDNDESDAQDDANNEKEDDGEEFFQQIETNFQPEGDFSLSG NIEQTISKNLVSGNIKSAVKNSLENDLLMEAMVIALDSNNERLKESVKNAYFAKYGSK SSLSRILYSISKREVDDLVENLDVSQWKFISKAIQNLYPNDIAQRNEMLIKLGDRLKE NGHRQDSLTLYLAAGSLDKVASIWLSEFPDLEDKLKKDNKTIYEAHSECLTEFIERFT VFSNFINGSSTINNEQLIAKFLEFINLTTSTGNFELATEFLNSLPSDNEEVKTEKARV LIASGKSLPAQNPATATTSKAKYTNAKTNKNVPVLPTPGMPSTTSIPSMQAPFYGMTP GASANALPPKPYVPATTTSAPVHTEGKYAPPSQPSMASPFVNKTNSSTRLNSFAPPPN PYATATVPATNVSTTSIPQNTFAPIQPGMPIMGDYNAQSSSIPSQPPINAVSGQTPHL NRKANDGWNDLPLKVKEKPSRAKAVSVAPPNILSTPTPLNGIPANAASTMPPPPLSRA PSSVSMVSPPPLHKNSRVPSLVATSESPRASISNPYAPPQSSQQFPIGTISTANQTSN TAQVASSNPYAPPPQQRVATPLSGGVPPAPLPKASNPYAPTATTQPNGSSYPPTGPYT NNHTMTSPPPVFNKPPTGPPPISMKKRSNKLASIEQNPSQGATYPPTLSSSASPLQPS QPPTLASQVNTSAENVSHEIPADQQPIVDFLKEELARVTPLTPKEYSKQLKDCDKRLK ILFYHLEKQDLLTQPTIDCLHDLVALMKEKKYKEAMVIHANIATNHAQEGGNWLTGVK RLIGIAEATLN YDL194W MDPNSNSSSETLRQEKQGFLDKALQRVKGIALRRNNSNKDHTTD DTTGSIRTPTSLQRQNSDRQSNMTSVFTDDISTIDDNSILFSEPPQKQSMMMSICVGV FVAVGGFLFGYDTGLINSITSMNYVKSHVAPNHDSFTAQQMSILVSFLSLGTFFGALT APFISDSYGRKPTIIFSTIFIFSIGNSLQVGAGGITLLIVGRVISGIGIGAISAVVPL YQAEATHKSLRGAIISTYQWAITWGLLVSSAVSQGTHARNDASSYRIPIGLQYVWSSF LAIGMFFLPESPRYYVLKDKLDEAAKSLSFLRGVPVHDSGLLEELVEIKATYDYEASF GSSNFIDCFISSKSRPKQTLRMFTGIALQAFQQFSGINFIFYYGVNFFNKTGVSNSYL VSFITYAVNVVFNVPGLFFVEFFGRRKVLVVGGVIMTIANFIVAIVGCSLKTVAAAKV MIAFICLFIAAFSATWGGVVWVISAELYPLGVRSKCTAICAAANWLVNFICALITPYI VDTGSHTSSLGAKIFFIWGSLNAMGVIVVYLTVYETKGLTLEEIDELYIKSSTGVVSP KFNKDIRERALKFQYDPLQRLEDGKNTFVAKRNNFDDETPRNDFRNTISGEIDHSPNQ KEVHSIPERVDIPTSTEILESPNKSSGMTVPVSPSLQDVPIPQTTEPAEIRTKYVDLG NGLGLNTYNRGPPSLSSDSSEDYTEDEIGGPSSQGDQSNRSTMNDINDYMARLIHSTS TASNTTDKFSGNQSTLRYHTASSHSDTTEEDSNLMDLGNGLALNAYNRGPPSILMNSS DEEANGGETSDNLNTAQDLAGMKERMAQFAQSYIDKRGGLEPETQSNILSTSLSVMAD TNEHNNEILHSSEENATNQPVNENNDLK YDL193W MPTMIKKDDKAMEPPNEKPHRKIERDDVPESSNHIPPPESGVLK GGKVNSKTRALKAVTSIIADADENPQKKVNNETNGVQKQKTEDLSKRIGKFEYLFYKF LLVLLYICFGLFRYGQYQYNKMKLRIFSIIYNHAYTPQLIRQDVIPLKKIPKRLAAIL EVKPVGDVGGGVTGLLNDASEIVCWTVSAGIKHLMLYDYDGILQRNVPELRMEIHSNL AKYFGPAHVPNYAVKIPHSNKIFYNLDGIETETDVGNEIEANQEKDKIAIEISLLSNR DGRETIVDLTKTMAELCAVNELSVSDITMDLVDSELKQLVGPEPDLLLYFGPSLDLQG FPPWHIRLTEFYWEKDNNEVIYSVFIRGLRQYAGCKVNVGK YDL192W MGLFASKLFSNLFGNKEMRILMVGLDGAGKTTVLYKLKLGEVIT TIPTIGFNVETVQYKNISFTVWDVGGQDRIRSLWRHYYRNTEGVIFVVDSNDRSRIGE AREVMQRMLNEDELRNAAWLVFANKQDLPEAMSAAEITEKLGLHSIRNRPWFIQATCA TSGEGLYEGLEWLSNSLKNST YDL191W MAGVKAYELRTKSKEQLASQLVDLKKELAELKVQKLSRPSLPKI KTVRKSIACVLTVINEQQREAVRQLYKGKKYQPKDLRAKKTRALRRALTKFEASQVTE KQRKKQIAFPQRKYAIKA YDL190C MTAIEDILQITTDPSDTRGYSLLKSEEVPQGSTLGVDFIDTLLL YQLTENEKLDKPFEYLNDCFRRNQQQKRITKNKPNAESLHSTFQEIDRLVIGYGVVAL QIENFCMNGAFINYITGIVSNVNSYTDFLSQIIQRAILEGTALDLLNAVFPTLLEYCN KHVSHFDLNESVIYNNVLTIFELFVTFKPIAEIFTKIDGFFADYSCKPQDFERKTILG PILSLSPIEAAVAIRNYGDNLLRSKQQTAMIHESLQAEHKVVIDRLFFIVDKLVRGSL NSRTDMISYFAHIANKNHLRRADHPPFKELSSNGFMSNITLLLVRFSQPFLDISYKKI DKIDANYFNNPSLFIDLSGETRLNSDFKEADAFYDKNRKTADSKPNFISDCFFLTLTY LHYGLGGTLSFEEKMGSEIKALKEEIEKVKKIAANHDVFARFITAQLSKMEKALKTTE SLRFALQGFFAHRSLQLEVFDFICGASTFLIRVVDPEHEFPFKQIKLPLIPDQIGVEN VDNADFLRAHAPVPFKYYPEFVVEGPVNYSLYISKYQTSPIFRNPRLGSFVEFTTMVL RCPELVSNPHLKGKLVQLLSVGAMPLTDNSPGFMMDIFEHDELVNKNLLYALLDFYVI VEKTGSSSQFYDKFNSRYSISIILEELYYKIPSYKNQLIWQSQNNADFFVRFVARMLN DLTFLLDEGLSNLAEVHNIQNELDNRARGAPPTREEEDKELQTRLASASRQAKSSCGL ADKSMKLFEIYSKDIPAAFVTPEIVYRLASMLNYNLESLVGPKCGELKVKDPQSYSFN PKDLLKALTTVYINLSEQSEFISAVAKDERSFNRNLFVRAVDILGRKTGLASPEFIEK LLNFANKAEEQRKADEEEDLEYGDVPDEFLDPLMYTIMKDPVILPASKMNIDRSTIKA HLLSDSTDPFNRMPLKLEDVTPNEELRQKILCFKKQKKEEAKHKASE YDL189W MTALDSRNWGLTPAMETGLFQKPQDRIFIIELENSIVSFINSNT ESFQLRPMNSYYRLLSHQIAEYHNLNHVLARTQDSCVILFKGENFQKIEGKPLLQELQ LNKKPEECASSSESIEKSNNNRIFRILKRKEVGNECDYKIDGNTRTPNSNLTANSNKD QKIEIDDKSSTDLEQERIEKERLYEQRKQEIFDKLNKSEDDVKSTNSSGSSDSDNEWS DWLNGDDSNTQTSNGSVSSSSPFNSSVTTIQVNKPQQQFYDSRRGRGGRRRGTNNYKD AYRGQSRRNKENGGYQSGYSSPYLVYPPPQMGGNSLPTYPLMYNPAGPAPGPAPSPMV MGNNTVFMNPYMYNMNPQGSCSFGTPIPMYPPYQYQYQYQYNTQYHSGPYSNTPSYNS NNYTRSSANKYHHFQGKNSYSGAIPKRSDDSNSNKNEGIRRASVEGSPSSRDTDSVEM KFDKLNI YDL188C MDMEIDDPMHGSDEDQLSPTLDEDMNSDDGKNNTKARSNDEDTD EELEDFNFKPGSSGIADHKSSKPLKLTNTNINQLDQWIEHLSKCEPLSEDDVARLCKM AVDVLQFEENVKPINVPVTICGDVHGQFHDLLELFKIGGPCPDTNYLFMGDYVDRGYY SVETVSYLVAMKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGSANVWKMFTDLF DYFPVTALVDNKIFCLHGGLSPMIETIDQVRDLNRIQEVPHEGPMCDLLWSDPDDRGG WGISPRGAGFTFGQDISEQFNHTNDLSLIARAHQLVMEGYSWSHQQNVVTIFSAPNYC YRCGNQAAIMEVDENHNRQFLQYDPSVRPGEPTVTRKTPDYFL YDL186W MQCNTSIEDGLDGGDITFPSYSPEAEAQNRFLPRSEFPSVRQLV ISKQKSRRRREENVFVGKMEDVLVKWRPPASSHRGAIEAINGTHPYQLSTAQFNSRRS DPFGSTKRDESVRSIVESFTDWWKRNSKMFFRDEEEGVRTEHSASQDDQELQLFEEDL FSFHLSPNDMSTRNSEHQVQTPVLFPYEAPTRTKQNPAERKVIEIGDGEELSIYRDVY SNPIPVSYLDTLNLNHSMSVRQTQQQQQQRQQQQYVDSSSAFQCCTDSNWARIFFCHH D YDL185W MAGAIENARKEIKRISLEDHAESEYGAIYSVSGPVVIAENMIGC AMYELVKVGHDNLVGEVIRIDGDKATIQVYEETAGLTVGDPVLRTGKPLSVELGPGLM ETIYDGIQRPLKAIKEESQSIYIPRGIDTPALDRTIKWQFTPGKFQVGDHISGGDIYG SVFENSLISSHKILLPPRSRGTITWIAPAGEYTLDEKILEVEFDGKKSDFTLYHTWPV RVPRPVTEKLSADYPLLTGQRVLDALFPCVQGGTTCIPGAFGCGKTVISQSLSKYSNS DAIIYVGCFAKGTNVLMADGSIECIENIEVGNKVMGKDGRPREVIKLPRGRETMYSVV QKSQHRAHKSDSSREVPELLKFTCNATHELVVRTPRSVRRLSRTIKGVEYFEVITFEM GQKKAPDGRIVELVKEVSKSYPISEGPERANELVESYRKASNKAYFEWTIEARDLSLL GSHVRKATYQTYAPILYENDHFFDYMQKSKFHLTIEGPKVLAYLLGLWIGDGLSDRAT FSVDSRDTSLMERVTEYAEKLNLCAEYKDRKEPQVAKTVNLYSKVVRGNGIRNNLNTE NPLWDAIVGLGFLKDGVKNIPSFLSTDNIGTRETFLAGLIDSDGYVTDEHGIKATIKT IHTSVRDGLVSLARSLGLVVSVNAEPAKVDMNGTKHKISYAIYMSGGDVLLNVLSKCA GSKKFRPAPAAAFARECRGFYFELQELKEDDYYGITLSDDSDHQFLLANQVVVHNCGE RGNEMAEVLMEFPELYTEMSGTKEPIMKRTTLVANTSNMPVAAREASIYTGITLAEYF RDQGKNVSMIADSSSRWAEALREISGRLGEMPADQGFPAYLGAKLASFYERAGKAVAL GSPDRTGSVSIVAAVSPAGGDFSDPVTTATLGITQVFWGLDKKLAQRKHFPSINTSVS YSKYTNVLNKFYDSNYPEFPVLRDRMKEILSNAEELEQVVQLVGKSALSDSDKITLDV ATLIKEDFLQQNGYSTYDAFCPIWKTFDMMRAFISYHDEAQKAVANGANWSKLADSTG DVKHAVSSSKFFEPSRGEKEVHGEFEKLLSTMQERFAESTD YDL184C MRAKWRKKRTRRLKRKRRKVRARSK YDL183C MIRSIFIPPTSITATSRLFYGMRAYSTKLEKASLQKYLHDPVKV TVIPITDKESFIYYKHTDNLFNSQSRILKAEKWIVEKSAKLWRKLKKSPKSYNKKIVS MVQSLLNSTPWSENSLLTIPSESYILKRIKGEKDKTQEIRLTLKDYTVKAEQVDTQPL HVYYPPGISSPDECLRQMKKLYQEGLIYHKKWTLYCLLGLPLTIPLILIPLIPNVPGF YLSYRAYVNIKAYLGAKHLKSLLESSKQNLEFRELLGYTEVYKRGTSSRTQGNQKESK GAPELLLNKKTLPLILDFLEVHELESDLNKVILQESKSQEKNKI YDL182W MTAAKPNPYAAKPGDYLSNVNNFQLIDSTLREGEQFANAFFDTE KKIEIARALDDFGVDYIELTSPVASEQSRKDCEAICKLGLKAKILTHIRCHMDDAKVA VETGVDGVDVVIGTSKFLRQYSHGKDMNYIAKSAVEVIEFVKSKGIEIRFSSEDSFRS DLVDLLNIYKTVDKIGVNRVGIADTVGCANPRQVYELIRTLKSVVSCDIECHFHNDTG CAIANAYTALEGGARLIDVSVLGIGERNGITPLGGLMARMIVAAPDYVKSKYKLHKIR DIENLVADAVEVNIPFNNPITGFCAFTHKAGIHAKAILANPSTYEILDPHDFGMKRYI HFANRLTGWNAIKARVDQLNLNLTDDQIKEVTAKIKKLGDVRSLNIDDVDSIIKNFHA EVSTPQVLSAKKNKKNDSDVPELATIPAAKRTKPSA YDL181W MLPRSALARSLQLQRGVAARFYSEGSTGTPRGSGSEDSFVKRER ATEDFFVRQREKEQLRHLKEQLEKQRKKIDSLENKIDSMTK YDL180W MVRLNHAASYFMPIFCSTRPHIVILSALFSISLFSLFYASSELL LHQYDDPLMFKPNSQDYFRTFLLGLFSPFLYYFLKTFLFNINQRFLILNLIVDFPIND VFMLLILIGLAYPQVQDHEGGTIKHKECSWHIIPRQAYIFGISWALGEFTICIIGNLF NYQEIADPNINSGFTHQESANTYCNNNDMSHNDDCGCSTEYRPNVVDRSDITLSKCIE VRNDSSSISNNVYSSEYHPIKPLRSSSSTYGSIRQQPHENKKQLHVPDNSQDDTIIMM NPIDNSLKLTTLDTGDLSFPIDEEQPILKKSFGYTWAVPNENTQNTTKSFTPIKRFIA FSTAYQLVTGLLLMILVVGSNIMLTIGESLILSMYFVYVRGHEGLFTPVVNYFGSRTI SNFILCVIIPFISLNFLINTSIYLRRELDDWFNNSQGEFEDDDENTISKRVATNQEYQ HPLSANYISMDSPDVINSSPGHFGMNSGQLLGNTTLYYGSLNGDDDDMTNDSALLRFC KKLVKNWRALARNDSFVLGVMVSWSLLVFVTGILSTVYI YDL179W MISDYDALLQFNKKPVSQEMIQFLATSTASIIKIRENNNPIQGC RPPDLSIFIKNVVIQSNVQTPTLMATSVYLNKLKSVIPKNVYGINTTRHRIFLGCLIL AAKTLNDSSPWNKHWTTYTEGLLRIREVNTIERELLEYLNWDVRITTPDLIDSLSYFL GPIKEQLFLQRRQEMLLFNAPSPGQLKEYINHRRPVSHSRTSSAISVPSLTSMATVST TDSRSSLLAKYQPSLPLVESDNFNKKNHVPLRNNNDICNNFRAEENIHSVNHIDVTMG SSPVMSHKPTIHQRLNFTRRGWSSFFKQ YDL178W MLRNILVRSTGSNFKFAGRYMKSSALLGYYRRVNYYSTKIQTRL TSENYPDVHRDPRFKKLTSDDLNYFKSILSEQEILRASESEDLSFYNEDWMRKYKGQS KLVLRPKSVEKVSLILNYCNDEKIAVVPQGGNTGLVGGSVPIFDELILSLANLNKIRD FDPVSGILKCDAGVILENANNYVMEQNYMFPLDLGAKGSCHVGGVVATNAGGLRLLRY GSLHGSVLGLEVVMPNGQIVNSMHSMRKDNTGYDLKQLFIGSEGTIGIITGVSILTVP KPKAFNVSYLSVESFEDVQKVFVRARQELSEILSAFEFMDAKSQVLAKSQLKDAAFPL EDEHPFYILIETSGSNKDHDDSKLETFLENVMEEGIVTDGVVAQDETELQNLWKWREM IPEASQANGGVYKYDVSLPLKDLYSLVEATNARLSEAELVGDSPKPVVGAIGYGHVGD GNLHLNVAVREYNKNIEKTLEPFVYEFVSSKHGSVSAEHGLGFQKKNYIGYSKSPEEV KMMKDLKVHYDPNGILNPYKYI YDL177C MSKNVGKLVKIWNESEVLVDRKSKFQARCCPLQNQKDIPSILQE LTQNNKSVSKASHMHMYAWRTAEVSNNLHLQQEQKKKGNKANKSNNSHVNKSRNITVQ PKNIEQGCADCGEAGAGQRLLTLLERANIFNVLVIVTRWYGGTPLGSSRFRHISTCAV ETLKKGGFLP YDL176W MATGRIQFAVSTPCNTKGKPSGYRLFEFKNDRLALVPSERGCTK VDVNANIQAFCYLRPNGRDTSISPDATHILDSCDYMVLAKSNGFIEIISNYQYKIKNG LRLAPSYILRCTPEDFESNFFSDYMIAGLEYSQGLLYCCMCSGRIYVFVMNLPTDYIQ YKNMYNPMFPDCFFKVHHDNNTTHSSEEEKLFEGSTRYTGRSCSKHICYFLLPIEPSH LRSSPVVSSFCNMYQGLPIYRPSMYLHIERGISTFHINPLDRFCFMTVSPRSPLFIRK IILPLTYVTFLSTFISLKNSIQGDTCGEILSWDNVAQQNGFGSLFSWISNKFTFDTDI INSTIWDDIVKYSGTGMLDSGIVWKQRQGHAKDDIYELFHTQDMLGSSRRNSSFSTAS SEPRPLSRRRRESFQALTRDAFRERMDVPCSTKWELDSFIRGLRRNTFMVDFEIVEKI SHRNGNDGVNEDDNTTDESDETMTSFLTDNYKKMDIVCIDHFVTLSAFRPRYYDEPII KIDSLSNKNGSENGTNEEEWAESQMKVDGQVIDDETAQFKQALGNLCSFKKLFMLDDS LCFILDTHGVLLINRFEIKNTKNLLRNSKDTIRIIPHDFGLINDTIVIINDIDVGTDN VCALTFHLVVTSMAGEITVLKGEFFKNCRLGRIKLCDSLKLNRKDRFVDKLALIDYDG LNAQKRRLDYDEKDLYTFIVKKVKRD YDL175C MEKNTAPFVVDTAPTTPPDKLVAPSIEEVNSNPNELRALRGQGR YFGVSDDDKDAIKEAAPKCNNCSQRGHLKKDCPHIICSYCGATDDHYSRHCPKAIQCS KCDEVGHYRSQCPHKWKKVQCTLCKSKKHSKERCPSIWRAYILVDDNEKAKPKVLPFH TIYCYNCGGKGHFGDDCKEKRSSRVPNEDGSAFTGSNLSVELKQEYYRHMNRNSDENE DYQFSESIYDEDPLPRPSHKRHSQNDHSHSGRNKRRASNFHPPPYQKSNVIQPTIRGE TLSLNNNISKNSRYQNTKVNVSSISENMYGSRYNPSTYVDNNSISNSSNYRNYNSYQP YRSGTLGKRR YDL174C MLWKRTCTRLIKPIAQPRGRLVRRSCYRYASTGTGSTDSSSQWL KYSVIASSATLFGYLFAKNLYSRETKEDLIEKLEMVKKIDPVNSTLKLSSLDSPDYLH DPVKIDKVVEDLKQVLGNKPENYSDAKSDLDAHSDTYFNTHHPSPEQRPRIILFPHTT EEVSKILKICHDNNMPVVPFSGGTSLEGHFLPTRIGDTITVDLSKFMNNVVKFDKLDL DITVQAGLPWEDLNDYLSDHGLMFGCDPGPGAQIGGCIANSCSGTNAYRYGTMKENII NMTIVLPDGTIVKTKKRPRKSSAGYNLNGLFVGSEGTLGIVTEATVKCHVKPKAETVA VVSFDTIKDAAACASNLTQSGIHLNAMELLDENMMKLINASESTDRCDWVEKPTMFFK IGGRSPNIVNALVDEVKAVAQLNHCNSFQFAKDDDEKLELWEARKVALWSVLDADKSK DKSAKIWTTDVAVPVSQFDKVIHETKKDMQASKLINAIVGHAGDGNFHAFIVYRTPEE HETCSQLVDRMVKRALNAEGTCTGEHGVGIGKREYLLEELGEAPVDLMRKIKLAIDPK RIMNPDKIFKTDPNEPANDYR YDL173W MATFNPQNEMENQARVQEYKVSTGRGGAGNIHKSMSKPSPVLLP LKSNSKTVANNNNNGSNQEKVPRFAIGRGGAGNIFHDPHLTRSAQQLDSNDNINYNDV INDIDDYISPITSDMVDEGGSNPVTNTRSRISATRSHQSLHATTSSPNNNAPIVVGRG GAGNIFFNKKKVASNGGNEEDEIRGGNIEDEDTINANEDNLFVVTSNGNALAAIKSTS KKPKNKLKGKSAPEKFAIGRGGAGNIISPKSSRNTINHNLNDDDEDKFNLKDDNGKEK KKKKKKKSGFFSSLKTMFN YDL171C MPVLKSDNFDPLEEAYEGGTIQNYNDEHHLHKSWANVIPDKRGL YDPDYEHDACGVGFVANKHGEQSHKIVTDARYLLVNMTHRGAVSSDGNGDGAGILLGI PHEFMKREFKLDLDLDIPEMGKYAVGNVFFKKNEKNNKKNLIKCQKIFEDLAASFNLS VLGWRNVPVDSTILGDVALSREPTILQPLLVPLYDEKQPEFNETKFRTQLYLLRKEAS LQIGLENWFYVCSLNNTTIVYKGQLTPAQVYNYYPDLTNAHFKSHMALVHSRFSTNTF PSWDRAQPLRWLAHNGEINTLRGNKNWMRSREGVMNSATFKDELDKLYPIIEEGGSDS AALDNVLELLTINGTLSLPEAVMMMVPEAYHKDMDSDLKAWYDWAACLMEPWDGPALL TFTDGRYCGAILDRNGLRPCRYYITSDDRVICASEVGVIPIENSLVVQKGKLKPGDLF LVDTQLGEMVDTKKLKSQISKRQDFKSWLSKVIKLDDLLSKTANLVPKEFISQDSLSL KVQSDPRLLANGYTFEQVTFLLTPMALTGKEALGSMGNDAPLACLNENPVLLYDYFRQ LFAQVTNPPIDPIREANVMSLECYVGPQGNLLEMHSSQCDRLLLKSPILHWNEFQALK NIEAAYPSWSVAEIDITFDKSEGLLGYTDTIDKITKLASEAIDDGKKILIITDRKMGA NRVSISSLIAISCIHHHLIRNKQRSQVALILETGEAREIHHFCVLLGYGCDGVYPYLA METLVRMNREGLLRNVNNDNDTLEEGQILENYKHAIDAGILKVMSKMGISTLASYKGA QIFEALGLDNSIVDLCFTGTSSRIRGVTFEYLAQDAFSLHERGYPSRQTISKSVNLPE SGEYHFRDGGYKHVNEPTAIASLQDTVRNKNDVSWQLYVKKEMEAIRDCTLRGLLELD FENSVSIPLEQVEPWTEIARRFASGAMSYGSISMEAHSTLAIAMNRLGAKSNCGEGGE DAERSAVQENGDTMRSAIKQVASARFGVTSYYLSDADEIQIKIAQGAKPGEGGELPAH KVSKDIAKTRHSTPNVGLISPPPHHDIYSIEDLKQLIYDLKCANPRAGISVKLVSEVG VGIVASGVAKAKADHILVSGHDGGTGAARWTSVKYAGLPWELGLAETHQTLVLNDLRR NVVVQTDGQLRTGFDIAVAVLLGAESFTLATVPLIAMGCVMLRRCHLNSCAVGIATQD PYLRSKFKGQPEHVINFFYYLIQDLRQIMAKLGFRTIDEMVGHSEKLKKRDDVNAKAI NIDLSPILTPAHVIRPGVPTKFTKKQDHKLHTRLDNKLIDEAEVTLDRGLPVNIDASI INTDRALGSTLSYRVSKKFGEDGLPKDTVVVNIEGSAGQSFGAFLASGITFILNGDAN DYVGKGLSGGIIVIKPPKDSKFKSDENVIVGNTCFYGATSGTAFISGSAGERFGVRNS GATIVVERIKGNNAFEYMTGGRAIVLSQMESLNAFSGATGGIAYCLTSDYDDFVGKIN KDTVELESLCDPVEIAFVKNLIQEHWNYTQSDLAARILGNFNHYLKDFVKVIPTDYKK VLLKEKAEAAKAKAKATSEYLKKFRSNQEVDDEVNTLLIANQKAKEQEKKKSITISNK ATLKEPKVVDLEDAVPDSKQLEKNSERIEKTRGFMIHKRRHETHRDPRTRVNDWKEFT NPITKKDAKYQTARCMDCGTPFCLSDTGCPLSNIIPKFNELLFKNQWKLALDKLLETN NFPEFTGRVCPAPCEGACTLGIIEDPVGIKSVERIIIDNAFKEGWIKPCPPSTRTGFT VGVIGSGPAGLACADMLNRAGHTVTVYERSDRCGGLLMYGIPNMKLDKAIVQRRIDLL SAEGIDFVTNTEIGKTISMDELKNKHNAVVYAIGSTIPRDLPIKGRELKNIDFAMQLL ESNTKALLNKDLEIIREKIQGKKVIVVGGGDTGNDCLGTSVRHGAASVLNFELLPEPP VERAKDNPWPQWPRVMRVDYGHAEVKEHYGRDPREYCILSKEFIGNDEGEVTAIRTVR VEWKKSQSGVWQMVEIPNSEEIFEADIILLSMGFVGPELINGNDNEVKKTRRGTIATL DDSSYSIDGGKTFACGDCRRGQSLIVWAIQEGRKCAASVDKFLMDGTTYLPSNGGIVQ RDYKLLKELASQV YDL170W MNYGVEKLKLKYSKHGCITCKIRKKRCSEDKPVCRDCRRLSFPC IYISESVDKQSLKKIKADIQHQLISKKRKHAPDSAQKAAVATRTRRVGSDEQDNQVYL SKPLEDCISQKLDSMGLQLYNYYRSHLANIISIAPMNQNYYLNIFLPMAHENDGILFA ILAWSANHLSISSSNELRKDEIFVNLANKYTYMSLSHLKTNEGSSACAKLGFLYSLAQ ILILCGSEICQGDVKFWKILLNIGKNLIENHVGKDVSRILTTTTEEPSLEERIIFPNF NSVVKYWLIVNFIYHDILNFNTTSFPIEQYEKFFQRDQNSLPSSANFIESIDSPIEEI DPLIGINKPILLLLGQVTNLTRFLQTMEQEEMLEHGDKILSLQVEIYKLQPSLMALEH LDDEKKFYYLELFEIMKISTLMFFQLTLLKIDKDSLELQILRNKLDSKLDKVIGTFLE GSLCFPLFIYGVCIQVEDMEKKIDLEAKFDDILKRYKCYNFQNARLLIRKIWQNEADG ISEHDLVHMIDELDYNINFA YDL169C MEDKEKLIVYSNTSSVFTYSAEIRPNFKISVSQSQGFAWNQDLF ATQYQQSYKVVYDAHEDNFDELILKIKGKLKTKSNKRAKMKSKTKLTRTAKQRRESPV CERDESDEDNDSDHYQRIQVLDGHEFPRANRYKSVWAHDVHSNEDSTSDGESNHDIDM IGGTGTSYAGAAIMDRPRRKSERSISFVEDSKTGDYRYQTGQVDVVEVDSDTPENNHL KWLIK YDL168W MSAATVGKPIKCIAAVAYDAKKPLSVEEITVDAPKAHEVRIKIE YTAVCHTDAYTLSGSDPEGLFPCVLGHEGAGIVESVGDDVITVKPGDHVIALYTAECG KCKFCTSGKTNLCGAVRATQGKGVMPDGTTRFHNAKGEDIYHFMGCSTFSEYTVVADV SVVAIDPKAPLDAACLLGCGVTTGFGAALKTANVQKGDTVAVFGCGTVGLSVIQGAKL RGASKIIAIDINNKKKQYCSQFGATDFVNPKEDLAKDQTIVEKLIEMTDGGLDFTFDC TGNTKIMRDALEACHKGWGQSIIIGVAAAGEEISTRPFQLVTGRVWKGSAFGGIKGRS EMGGLIKDYQKGALKVEEFITHRRPFKEINQAFEDLHNGDCLRTVLKSDEIK YDL167C MHYVVLELQVAHLPDTPKDQCRIANIAFQIVNAETLVCHYGTNS LPSIEVNGTTKSLESAMVQLDKDIHDVIGNDDFVLVSLYSTWHIRVTLPRQARDDGFI LTSYLQHPKVFDLWKEFDRWCVNHPEILGQKKAISNNNCNTKSISINAAKNTKDLDEI VRILEVSIPTEEAGSVPEIYSLLKRTTDILIQLHKKCTSPEDMESVLTKPYDSHTDIR AFLQEKSKILYMNNLPPDTTQSELESWFTQYGVRPVGFWTVKNIVEDTSNVNNNWSLN NSPYVEDQDSISGFVVFQTHEEATEVLALNGRSILSNLANTKQPRVVEHVLELQPSST GVLDKAQEILSPFPQSKNKPRPGDWNCPSCGFSNFQRRTACFRCSFPAPSNSQIHTAN SNNNVNSSRNNLNNRVNSGSSSNISNTAANHPYGAPEFNMIANNTPAALTYNRAHFPA ITPLSRQNSLNMAPSNSGSPIIIADHFSGNNNIAPNYRYNNNINNNNNNINNMTNNRY NINNNINGNGNGNGNNSNNNNNHNNNHNNNHHNGSINSNSNTNNNNNNNNGNNSNNCN SNIGMGGCGSNMPFRAGDWKCSTCTYHNFAKNVVCLRCGGPKSISGDASETNHYIDSS TFGPASRTPSNNNISVNTNGGSNAGRTDGNDNKGRDISLMEFMSPPLSMATKSMKEGD GNGSSFNEFKSDKANVNFSNVGDNSAFGNGFNSSIRW YDL166C MEARRYGPNIIVTGTPGCGKSSTCEFLKNKLKDYKYYNISDFAK DNDCFEGYDEGRKSHIVDEDKLLDMLEPLLRQGNSIVDWHVNDVFPERLIDLVVVLRC DNSNLYSRLHARGYHDSKIEENLDAEIMGVVKQDAVESYEPHIVVELQSDTKEDMVSN VSRIVAWEKMWLEQHPDGVTNEYQGPRSDDEDDEDSE YDL165W MEKFGLKALVPLLKLEDKELSSTYDHSMTLGADLSSMLYSLGIP RDSQDHRVLDTFQSPWAETSRSEVEPRFFTPESFTNIPGVLQSTVTPPCFNSIQNDQQ RVALFQDETLFFLFYKHPGTVIQELTYLELRKRNWRYHKTLKAWLTKDPMMEPIVSAD GLSERGSYVFFDPQRWEKCQRDFLLFYNAIM YDL164C MRRLLTGCLLSSARPLKSRLPLLMSSSLPSSAGKKPKQATLARF FTSMKNKPTEGTPSPKKSSKHMLEDRMDNVSGEEEYATKKLKQTAVTHTVAAPSSMGS NFSSIPSSAPSSGVADSPQQSQRLVGEVEDALSSNNNDHYSSNIPYSEVCEVFNKIEA ISSRLEIIRICSDFFIKIMKQSSKNLIPTTYLFINRLGPDYEAGLELGLGENLLMKTI SETCGKSMSQIKLKYKDIGDLGEIAMGARNVQPTMFKPKPLTVGEVFKNLRAIAKTQG KDSQLKKMKLIKRMLTACKGIEAKFLIRSLESKLRIGLAEKTVLISLSKALLLHDENR EDSPDKDVPMDVLESAQQKIRDAFCQVPNYEIVINSCLEHGIMNLDKYCTLRPGIPLK PMLAKPTKAINEVLDRFQGETFTSEYKYDGERAQVHLLNDGTMRIYSRNGENMTERYP EINITDFIQDLDTTKNLILDCEAVAWDKDQGKILPFQVLSTRKRKDVELNDVKVKVCL FAFDILCYNDERLINKSLKERREYLTKVTKVVPGEFQYATQITTNNLDELQKFLDESV NHSCEGLMVKMLEGPESHYEPSKRSRNWLKLKKDYLEGVGDSLDLCVLGAYYGRGKRT GTYGGFLLGCYNQDTGEFETCCKIGTGFSDEMLQLLHDRLTPTIIDGPKATFVFDSSA EPDVWFEPTTLFEVLTADLSLSPIYKAGSATFDKGVSLRFPRFLRIREDKGVEDATSS DQIVELYENQSHMQN YDL161W MSKQFVRSAKNLVKGYSSTQVLVRNATSNDNHQVSKDSLIELAE KSYDSADFFEIMDMLDKRLNDKGKYWRHIAKALTVIDYLIRFGSENCVLWCRENLYII KTLKEFRHEDDEGIDQGQIVRVKAKELTALLSDDERLNEERNMNIKGRNRKGRRRRGT GRSDENDDDLQRAISASRLTAEEDERRRKQDEDYETALQLSKEEEELKRLQDLQRMQQ QQGQQQLQQPMYYDIFGNPITPEEYAQFQLQQQQQQQQQQLQQQPMYYDVFGNPITPE ELAQFQQQQQLQEQQYLASMQQQQQAMSNNPFAKSEQSSSSPKRNQLVAASSPQQLQQ QKQQEPLIQNRTGNQSMTDKYSKLNELLATGTGIDTFGNVGEARIPAQHTKTGTFINS QGTGYRQVSDDPNHNPFLNSQYTGLPSTSVVPTQTGYGFGNQSQQQSQNNGSNNRGYT LIDL YDL160C-A MLSKEALIKILSQNEGGNDMKIADEVVPMIQKYLDIFIDEAVLR SLQSHKDINGERGDKSPLELSHQDLERIVGLLLMDM YDL160C MGSINNNFNTNNNSNTDLDRDWKTALNIPKKDTRPQTDDVLNTK GNTFEDFYLKRELLMGIFEAGFEKPSPIQEEAIPVAITGRDILARAKNGTGKTAAFVI PTLEKVKPKLNKIQALIMVPTRELALQTSQVVRTLGKHCGISCMVTTGGTNLRDDILR LNETVHILVGTPGRVLDLASRKVADLSDCSLFIMDEADKMLSRDFKTIIEQILSFLPP THQSLLFSATFPLTVKEFMVKHLHKPYEINLMEELTLKGITQYYAFVEERQKLHCLNT LFSKLQINQAIIFCNSTNRVELLAKKITDLGYSCYYSHARMKQQERNKVFHEFRQGKV RTLVCSDLLTRGIDIQAVNVVINFDFPKTAETYLHRIGRSGRFGHLGLAINLINWNDR FNLYKIEQELGTEIAAIPATIDKSLYVAENDETVPVPFPIEQQSYHQQAIPQQQLPSQ QQFAIPPQQHHPQFMVPPSHQQQQAYPPPQMPSQQGYPPQQEHFMAMPPGQSQPQY YDL159W-A MYNQIINTFIDDCLFLQTPMLQSPISSKIVLSFFLRNFFPSLF YDL159W MFQRKTLQRRNLKGLNLNLHPDVGNNGQLQEKTETHQGQSRIEG HVMSNINAIQNNSNLFLRRGIKKKLTLDAFGDDQAISKPNTVVIQQPQNEPVLVLSSL SQSPCVSSSSSLSTPCIIDAYSNNFGLSPSSTNSTPSTIQGLSNIATPVENEHSISLP PLEESLSPAAADLKDTLSGTSNGNYIQLQDLVQLGKIGAGNSGTVVKALHVPDSKIVA KKTIPVEQNNSTIINQLVRELSIVKNVKPHENIITFYGAYYNQHINNEIIILMEYSDC GSLDKILSVYKRFVQRGTVSSKKTWFNELTISKIAYGVLNGLDHLYRQYKIIHRDIKP SNVLINSKGQIKLCDFGVSKKLINSIADTFVGTSTYMSPERIQGNVYSIKGDVWSLGL MIIELVTGEFPLGGHNDTPDGILDLLQRIVNEPSPRLPKDRIYSKEMTDFVNRCCIKN ERERSSIHELLHHDLIMKYVSPSKDDKFRHWCRKIKSKIKEDKRIKREALDRAKLEKK QSERSTH YDL157C MSNILAVFNPPPQRELEKEETMDCVPCQVMSTMFSVGFGSYLAS GKPFKYGKKEAKRGISLTEFEKRNPQWWKVTLRSFGGLLIAFGFVRGTEGWLWHKNKE YKNYKKLSNDGETQAN YDL156W MPELTEFQKKRLENIKRNNDLLKKLHLSGVASQIKHEAGVLEKS RAPAKKKQKTTNTRATKSASPTLPTRRSRRLRGESADDVKGIPNVNDNQLLKMGSPDG QDKNFIDAIKEKPVIGDVKLSDLIKDEDESALLEKFKRFNNGNFSSGDFFEEIKKRQG DVTGMDEFDLDLYDVFQPNEIKITYERISATYFHPAMEKKLIIAGDTSGTVGFWNVRD EPLADSEEDRMEEPDITRVKLFTKNVGRIDCFPADTSKILLTSYDGSIRSVHLNNLQS EEVLTLKNEYDDSLGISDCQFSYENPNVLFLTTLGGEFTTFDTRVKKSEYNLRRLADK KIGSMAINPMRPYEIATGSLDRTLKIWDTRNLVKKPEWSQYEDYPSHEIVSTYDSRLS VSAVSYSPTDGTLVCNGYDDTIRLFDVKSRDHLSAKLEPKLTIQHNCQTGRWTSILKA RFKPNKNVFAIANMKRAIDIYNSEGQQLAHLPTATVPAVISWHPLRNWIAGGNSSGKI FLFTDDSGTIKQEE YDL155W MHHNSQSLSSGHIRSPEDENVAPIGNLKHRTGSLSHISSAHPRV ALSDVTNIVATNSSNNSISKPKVAPIKERLDSAAIIEEERLDANSVAQRKEADHNDLL TDREQEEPVEDDGESEEDEEEDQEPLLLQHYASDTLVWEHAFRTYYRTTLDPNDDDVY DVVMVAELSNEIFEYMRKLEDLYKPNPYYMDKQPELRWSFRSTLIDWIVQVHEKFQLL PETLYLCINIIDRYLCKEVVPVNKFQLVGAASLFIAAKYEEINCPTIKDFVYMSENCY SRNDLLDAERTILNGLEFELGWPGPMSFLRRISKADDYEHDTRTLAKYLLESTIMDHR LVSAQPSWLAAGAYFLSKIILGQNQWSLAHVYYSNYTQEQILPLATIILENCRYASKR HNAIWRKYSSRRYLHSSQIVAKWIALAEHRVERSN YDL154W MSHEWLISASETMRSIGNGEGLRDKGAVVANNDGEFNEGDTNRE EDSSTIFSFDFDEEIVMCIDFSGGKLGCSILDYHTKTLKAFDQDYVVNKTTISSHDLI DDADMSSNDISLLLGLLIMEANPTVCLVPARLEDWIFDYIKTKCDEINCRLELQPIKR FKKWDLLQSLQLRGHDNQTILNDILSNSKFTTTVTLGTVGCILANHEQLGEYNDSTAS SNMVTGRLVQNAFEDVIHGIRYIDIRDRMVLDENTISALHIFPTAHKLGHDKMMRNGF FSVFELFNQVSSDYARRILKSWLINPLTNKKRIETRYSIIRTLLDKQNAIIFSDLSQS IKRCPDAFGFINQLRSGKSTLGTWSKVASFLEKGIAIFQLVSSLKLGSDEANILHDIK NKVDISALKECLRKVETVIDFDTSRDTKTLTINTGVDNRLDECRNIYNHLEGILLDVA RETQIFLLNTMPQEDCKTTKSLEKLVNAVYIPQLGYLVTISVLMEPLLDGIPNLQWEE IFRSSENIYFKNGRVLELDETYGDIYGAISDFEIEILFSLQEQILRRKTQLTAYNILL SELEILLSFAQVSAERNYAEPQLVEDECILEIINGRHALYETFLDNYIPNSTMIDGGL FSELSWCEQNKGRIIVVTGANASGKSVYLTQNGLIVYLAQIGCFVPAERARIGIADKI LTRIRTQETVYKTQSSFLLDSQQMAKSLSLATEKSLILIDEYGKGTDILDGPSLFGSI MLNMSKSEKCPRIIACTHFHELFNENVLTENIKGIKHYCTDILISQKYNLLETAHVGE DHESEGITFLFKVKEGISKQSFGIYCAKVCGLSRDIVERAEELSRMINRGDDVVQQCG NLTEKEMREFQKNQEIVKKFLSWDLDLETTTTSENLRLKLKNFLR YDL153C MVRKGSNRTKTSEVGDEINPYGLNEVDDFASKREKVLLGQSTFG DSNKDDDHSLLEDEDEEEVLAMDEDDESIDEREDEEEEEEEELDGAAAYKKIFGRNLE TDQLPEEDEENGMLDNENAWGSTKGEYYGADDLDDDEAAKEIEKEALRQQKKHLEELN MNDYLDEEEEEEWVKSAKEFDMGEFKNSTKQADTKTSITDILNMDDEARDNYLRTMFP EFAPLSKEFTELAPKFDELKKSEENEFNKLKLIALGSYLGTISCYYSILLHELHNNED FTSMKGHPVMEKILTTKEIWRQASELPSSFDVNEGDGSESEETANIEAFNEKKLNELQ NSEDSDAEDGGKQKQEIDEEERESDEEEEEEDVDIDDFEEYVAQSRLHSKPKTSSMPE ADDFIESEIADVDAQDKKARRRTLRFYTSKIDQQENKKTDRFKGDDDIPYKERLFERQ QRLLDEARKRGMHDNNGADLDDKDYGSEDEAVSRSINTQGENDYYQQVQRGKQDKKIS RKEAHKNAVIAAREGKLAELAENVSGDGKRAINYQILKNKGLTPKRNKDNRNSRVKKR KKYQKAQKKLKSVRAVYSGGQSGVYEGEKTGIKKGLTRSVKFKN YDL150W MSSNKGNGRLPSLKDSSSNGGGSAKPSLKFKPKAVARKSKEERE AAASKVKLEEESKRGNDKKHFNNKNKRVTGAGGQQRRMAKYLNNTHVISSGPLAAGNF VSEKGDLRRGFIKSEGSGSSLVQKGLETIDNGAESSENEAEDDDNEGVASKSKKKFNM GKEFEARNLIEDEDDGESEKSSDVDMDDEEWRSKRIEQLFPVRPVRVRHEDVETVKRE IQEALSEKPTREPTPSVKTEPVGTGLQSYLEERERQVNEKLADLGLEKEFQSVDGKEA AAELELLNADHQHILRKLKKMNNKPERFMVFQLPTRLPAFERPAVKEEKEDMETQASD PSKKKKNIKKKDTKDALSTRELAGKVGSIRVHKSGKLSVKIGNVVMDIGKGAETTFLQ DVIALSIADDASSAELLGRVDGKIVVTPQI YDL149W MERDEYQLPNSHGKNTFLSRIFGLQSDEVNPSLNSQEMSNFPLP DIERGSSLLHSTNDSREDVDENDLRVPESDQGTSTEEEDEVDEEQVQAYAPQISDGLD GDHQLNSVTSKENVLETEKSNLERLVEGSTDDSVPKVGQLSSEEEEDNEFINNDGFDD DTPLFQKSKIHEFSSKKSNTIEDGKRPLFFRHILQNNRPQRDTQKLFTSSNAIHHDKD KSANNGPRNINGNQKHGTKYFGSATQPRFTGSPLNNTNRFTKLFPLRKPNLLSNISVL NNTPEDRINTLSVKERALWKWANVENLDIFLQDVYNYYLGNGFYCIILEKILNICTLL FVVFVSTYMGHCVDYSKLPTSHRVSDIIIDKCYSNSITGFTKFFLWMFYFFVILKIVQ LYFDVQKLSELQNFYKYLLNISDDELQTLPWQNVIQQLMYLKDQNAMTANVVEVKAKN RIDAHDVANRIMRRENYLIALYNSDILNLSLPIPLFRTNVLTKTLEWNINLCVMGFVF NESGFIKQSILKPSQREFTREELQKRFMLAGFLNIILAPFLVTYFVLLYFFRYFNEYK TSPGSIGARQYTPIAEWKFREYNELYHIFKKRISLSTTLANKYVDQFPKEKTNLFLKF VSFICGSFVAILAFLTVFDPENFLNFEITSDRSVIFYITILGAIWSVSRNTITQEYHV FDPEETLKELYEYTHYLPKEWEGRYHKEEIKLEFCKLYNLRIVILLRELTSLMITPFV LWFSLPSSAGRIVDFFRENSEYVDGLGYVCKYAMFNMKNIDGEDTHSMDEDSLTKKIA VNGSHTLNSKRRSKFTAEDHSDKDLANNKMLQSYVYFMDDYSNSENLTGKYQLPAKKG YPNNEGDSFLNNKYSWRKQFQPGQKPELFRIGKHALGPGHNISPAIYSTRNPGKNWDN NNNGDDIKNGTNNATAKNDDNNGNNDHEYVLTESFLDSGAFPNHDVIDHNKMLNSNYN GNGILNKGGVLGLVKEYYKKSDVGR YDL148C MAGSQLKNLKAALKARGLTGQTNVKSKNKKNSKRQAKEYDREEK KKAIAEIREEFNPFEIKAARNKRRDGLPSKTADRIAVGKPGISKQIGEEQRKRAFEAR KMMKNKRGGVIDKRFGERDKLLTEEEKMLERFTRERQSQSKRNANLFNLEDDEDDGDM FGDGLTHLGQSLSLEDELANDEEDFLASKRFNEDDAELQQPQRKKTKAEVMKEVIAKS KFYKQERQKAQGIMEDQIDNLDDNFEDVMSELMMTQPKKNPMEPKTDLDKEYDIKVKE LQLDKRAAPSDRTKTEEEKNAEAEEKKRELEQQRLDRMNGMIELEEGEERGVEDLDDG FWENEEDYEDDNDGIADSDDDIKFEDQGRDEGFSQILKKKNISISCPRTHDALLDQVK KLDLDDHPKIVKNIIKAYQPKLAEGNKEKLGKFTAVLLRHIIFLSNQNYLKNVQSFKR TQNALISILKSLSEKYNRELSEECRDYINEMQARYKKNHFDALSNGDLVFFSIIGILF STSDQYHLVITPALILMSQFLEQIKFNSLKRIAFGAVLVRIVSQYQRISKRYIPEVVY FFQKILLTFIVEKENQEKPLDFENIRLDSYELGLPLDVDFTKKRSTIIPLHTLSTMDT EAHPVDQCVSVLLNVMESLDATISTVWKSLPAFNEIILPIQQLLSAYTSKYSDFEKPR NILNKVEKLTKFTEHIPLALQNHKPVSIPTHAPKYEENFNPDKKSYDPDRTRSEINKM KAQLKKERKFTMKEIRKDAKFEARQRIEEKNKESSDYHAKMAHIVNTINTEEGAEKNK YERERKLRGGKK YDL147W MSRDAPIKADKDYSQILKEEFPKIDSLAQNDCNSALDQLLVLEK KTRQASDLASSKEVLAKIVDLLASRNKWDDLNEQLTLLSKKHGQLKLSIQYMIQKVME YLKSSKSLDLNTRISVIETIRVVTENKIFVEVERARVTKDLVEIKKEEGKIDEAADIL CELQVETYGSMEMSEKIQFILEQMELSILKGDYSQATVLSRKILKKTFKNPKYESLKL EYYNLLVKISLHKREYLEVAQYLQEIYQTDAIKSDEAKWKPVLSHIVYFLVLSPYGNL QNDLIHKIQNDNNLKKLESQESLVKLFTTNELMRWPIVQKTYEPVLNEDDLAFGGEAN KHHWEDLQKRVIEHNLRVISEYYSRITLLRLNELLDLTESQTETYISDLVNQGIIYAK VNRPAKIVNFEKPKNSSQLLNEWSHNVDELLEHIETIGHLITKEEIMHGLQAK YDL146W MILDPLSPNIENHTQDEIIEFWEKTESIANIPKENLDESHVNSS LVAYLKFATDSYKVFINTDRDLYRMSLILLESSLFEFKKEFCLSKLQSLLNIDLLEMN MKFIIVYILLCEAKKNVYSLEIMLKFQGFTVFYNTLYTQFAYLSKYGKERTVASKHQY NSNNSSTGTSLDSLDRSLTDIDLGIIDEMKQISTVLMDLLFQIMKYCKCVIANLQIVD DFFVYYMMESMRSDTMDDMFNNAEFKLLLALNEQYMMFAKEYDIENKVYKYLINGSVS RCFTELLLLKFNRASDPPLQIMMCKIIYLILTPRGDYSPMNFFYTNDLRVLIDVLIRE LQNISEDEEVLRNTLLRVLIPLLKNTQLSKTHYRKDDLNKLLNYLSTLDNICVDSPAL HEHQVTVALSRKCLQQIPWLETPSTPSDGGSSVSSNNTSRNSSIVALGTPDNQNILAR KGHLYSNRELDVSAESLTKRKAKAPPPPPPPPPSRKCGTPK YDL145C MKMLTKFESKSTRAKGIAFHPSRPWVLVALFSSTIQLWDYRMGT LLHRFEDHEGPVRGLDFHPTQPIFVSAGDDYTIKVWSLDTNKCLYTLTGHLDYVRTVF FHRELPWIISASDDQTIRIWNWQNRKEIACLTGHNHFVMCAQFHPTDDLIVSASLDET IRIWDISGLRKRHSAPGTSSFEEQMSAQQNLLDGSLGDCVVKFILEGHTRGVNWASFH PTLPLIVSGSDDRQVKLWRMSATKAWEVDTCRGHTNNVDSVIFHPHQNLIISVGEDKT LRVWDLDKRTPVKQFKRENDRFWLIAAHPHINLFGAAHDSGIMVFKLDRERPCSFIHQ NQLFFVNAEKQIQSFNFQKRVASLPYASLKGIGQPWDAFRSISYNPSQHSVLVNEANG KFALVILPKQPVGAVEPTSVTQDTGNFATFVGRNRFVVYNKNTESVEVRSLENKVTRN IKVEETVRTIVAAGPGSVLVIHPREVILYDVQQGKKVSQLAVKNVKYVSWSLDGQYVA LMSKHTITLATKKLELINSMHETIRIKSAAWDETGVLIYSTLNHIRYSLLNGDRGIIK TLEKTLYITKVQGKLVYCLNREGEIEILTIDPTEYRFKKALVNKNFPEVLRLIKDSNL VGQNIISYLQKSGYPEIALQFVQDPHIRFDLALEYGNLDVALDEAKKLNDSSTWERLI QEALAQGNASLAEMIYQTQHSFDKLSFLYLVTGDVNKLSKMQNIAQTREDFGSMLLNT FYNNSTKERSSIFAEGGSLPLAYAVAKANGDEAAASAFLEQAEVDEQDVTLPDQMDAS NFVQRPVISKPLEKWPLKEAELSYFEKAVLGQIDDLTIDDETPAVNTTQEQEEPLGEE NFNDEDIGEDEGAWDLGDEDLDVGEELPEEVEQGEITSPAQEVETAIWIKNSKLPAVL VAAGAFDAAVQALSKQVGVVKLEPLKKYFTNIYEGCRTYIPSTPCELPAQLGYVRAYD DTVSEDQILPYVPGLDVVNEKMNEGYKNFKLNKPDIAIECFREAIYRITLLMVDDAED EKLAHKILETAREYILGLSIELERRSLKEGNTVRMLELAAYFTKAKLSPIHRTNALQV AMSQHFKHKNFLQASYFAGEFLKIISSGPRAEQARKIKNKADSMASDAIPIDFDPYAK FDICAATYKPIYEDTPSVSDPLTGSKYVITEKDKIDRIAMISKIGAPASGLRIRV YDL144C MSRILVIGAGGVGVITALSLWLKKESDVSLVVRSDYDRVLKHGY TIESCDYGRLEGWRPHHIYSSVEDAASAADNQGYNYIVVTTKNIIDGPVNSRVSNIIR PVLEKNKELHGPQLTTHILLVQNGIDIEKEIWAEFPREQYRYTVLSGIQLIGSTKIGS GHISQVGQDHLSCGAFDPQDAAAIQAANDFVRMYSNEGQNFVEFDPRVRYSRWKKLLY NAAINTSTALVGLDVPRCLEFGVNKKSTEIEVFHPAMREIIAIAASEGIIIEEEFITM FTEITRKKVFKPSMCVDCEKGQLMELEVILGNPIRIAKRNGVATPTLSILYNLLVLVQ AKLKERKGLLKFDEKTATLVDE YDL143W MSAKVPSNATFKNKEKPQEVRKANIIAARSVADAIRTSLGPKGM DKMIKTSRGEIIISNDGHTILKQMAILHPVARMLVEVSAAQDSEAGDGTTSVVILTGA LLGAAERLLNKGIHPTIIADSFQSAAKRSVDILLEMCHKVSLSDREQLVRAASTSLSS KIVSQYSSFLAPLAVDSVLKISDENSKNVDLNDIRLVKKVGGTIDDTEMIDGVVLTQT AIKSAGGPTRKEKAKIGLIQFQISPPKPDTENNIIVNDYRQMDKILKEERAYLLNICK KIKKAKCNVLLIQKSILRDAVNDLALHFLSKLNIMVVKDIEREEIEFLSKGLGCKPIA DIELFTEDRLGSADLVEEIDSDGSKIVRVTGIRNNNARPTVSVVIRGANNMIIDETER SLHDALCVIRCLVKERGLIAGGGAPEIEISRRLSKEARSMEGVQAFIWQEFASALEVI PTTLAENAGLNSIKVVTELRSKHENGELNDGISVRRSGTTNTYEEHILQPVLVSTSAI TLASECVKSILRIDDIAFSR YDL142C MIQMVPIYSCSALLRRTIPKRPFYHVLSGLTVRFKVNPQLNYNL FRDLTRREYATNPSKTPHIKSKLLNIPNILTLSRIGCTPFIGLFIITNNLTPALGLFA FSSITDFMDGYIARKYGLKTIAGTILDPLADKLLMITTTLALSVPSGPQIIPVSIAAI ILGRDVLLAISALFIRYSTLKLKYPGRVAWNSYWDIVRYPSAEVRPSQLSKWNTFFQM VYLGSGVLLLLYEKEEGCEKTEEDFEDRKQDFQKAFSYLGYVTATTTIMSGVSYALKR NAFKLLK YDL141W MNVLVYNGPGTTPGSVKHAVESLRDFLEPYYAVSTVNVKVLQTE PWMSKTSAVVFPGGADLPYVQACQPIISRLKHFVSKQGGVFIGFCAGGYFGTSRVEFA QGDPTMEVSGSRDLRFFPGTSRGPAYNGFQYNSEAGARAVKLNLPDGSQFSTYFNGGA VFVDADKFDNVEILATYAEHPDVPSSDSGKGQSENPAAVVLCTVGRGKVLLTGPHPEF NVRFMRKSTDKHFLETVVENLKAQEIMRLKFMRTVLTKTGLNCNNDFNYVRAPNLTPL FMASAPNKRNYLQEMENNLAHHGMHANNVELCSELNAETDSFQFYRGYRASYDAASSS LLHKEPDEVPKTVIFPGVDEDIPPFQYTPNFDMKEYFKYLNVQNTIGSLLLYGEVVTS TSTILNNNKSLLSSIPESTLLHVGTIQVSGRGRGGNTWINPKGVCASTAVVTMPLQSP VTNRNISVVFVQYLSMLAYCKAILSYAPGFSDIPVRIKWPNDLYALSPTYYKRKNLKL VNTGFEHTKLPLGDIEPAYLKISGLLVNTHFINNKYCLLLGCGINLTSDGPTTSLQTW IDILNEERQQLHLDLLPAIKAEKLQALYMNNLEVILKQFINYGAAEILPSYYELWLHS NQIVTLPDHGNTQAMITGITEDYGLLIAKELVSGSSTQFTGNVYNLQPDGNTFDIFKS LIAKKVQS YDL140C MVGQQYSSAPLRTVKEVQFGLFSPEEVRAISVAKIRFPETMDET QTRAKIGGLNDPRLGSIDRNLKCQTCQEGMNECPGHFGHIDLAKPVFHVGFIAKIKKV CECVCMHCGKLLLDEHNELMRQALAIKDSKKRFAAIWTLCKTKMVCETDVPSEDDPTQ LVSRGGCGNTQPTIRKDGLKLVGSWKKDRATGDADEPELRVLSTEEILNIFKHISVKD FTSLGFNEVFSRPEWMILTCLPVPPPPVRPSISFNESQRGEDDLTFKLADILKANISL ETLEHNGAPHHAIEEAESLLQFHVATYMDNDIAGQPQALQKSGRPVKSIRARLKGKEG RIRGNLMGKRVDFSARTVISGDPNLELDQVGVPKSIAKTLTYPEVVTPYNIDRLTQLV RNGPNEHPGAKYVIRDSGDRIDLRYSKRAGDIQLQYGWKVERHIMDNDPVLFNRQPSL HKMSMMAHRVKVIPYSTFRLNLSVTSPYNADFDGDEMNLHVPQSEETRAELSQLCAVP LQIVSPQSNKPCMGIVQDTLCGIRKLTLRDTFIELDQVLNMLYWVPDWDGVIPTPAII KPKPLWSGKQILSVAIPNGIHLQRFDEGTTLLSPKDNGMLIIDGQIIFGVVEKKTVGS SNGGLIHVVTREKGPQVCAKLFGNIQKVVNFWLLHNGFSTGIGDTIADGPTMREITET IAEAKKKVLDVTKEAQANLLTAKHGMTLRESFEDNVVRFLNEARDKAGRLAEVNLKDL NNVKQMVMAGSKGSFINIAQMSACVGQQSVEGKRIAFGFVDRTLPHFSKDDYSPESKG FVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTAETGYIQRRLVKALEDIMVHYDNTT RNSLGNVIQFIYGEDGMDAAHIEKQSLDTIGGSDAAFEKRYRVDLLNTDHTLDPSLLE SGSEILGDLKLQVLLDEEYKQLVKDRKFLREVFVDGEANWPLPVNIRRIIQNAQQTFH IDHTKPSDLTIKDIVLGVKDLQENLLVLRGKNEIIQNAQRDAVTLFCCLLRSRLATRR VLQEYRLTKQAFDWVLSNIEAQFLRSVVHPGEMVGVLAAQSIGEPATQMTLNTFHFAG VASKKVTSGVPRLKEILNVAKNMKTPSLTVYLEPGHAADQEQAKLIRSAIEHTTLKSV TIASEIYYDPDPRSTVIPEDEEIIQLHFSLLDEEAEQSFDQQSPWLLRLELDRAAMND KDLTMGQVGERIKQTFKNDLFVIWSEDNDEKLIIRCRVVRPKSLDAETEAEEDHMLKK IENTMLENITLRGVENIERVVMMKYDRKVPSPTGEYVKEPEWVLETDGVNLSEVMTVP GIDPTRIYTNSFIDIMEVLGIEAGRAALYKEVYNVIASDGSYVNYRHMALLVDVMTTQ GGLTSVTRHGFNRSNTGALMRCSFEETVEILFEAGASAELDDCRGVSENVILGQMAPI GTGAFDVMIDEESLVKYMPEQKITEIEDGQDGGVTPYSNESGLVNADLDVKDELMFSP LVDSGSNDAMAGGFTAYGGADYGEATSPFGAYGEAPTSPGFGVSSPGFSPTSPTYSPT SPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSP SYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPSY SPTSPSYSPTSPSYSPTSPSYSPTSPNYSPTSPSYSPTSPGYSPGSPAYSPKQDEQKH NENENSR YDL139C MKTNKKISKRRSLKNLHGALKGLLKESGKKSESKIRKHSDCNPV HRVYPPNIEKRKTKKDDGISRPIAERNGHVYIMSKENHIIPKLTDDEVMERHKLADEN MRKVWSNIISKYESIEEQGDLVDLKTGEIVEDNGHIKTLTANNSTKDKRTKYTSVLRD IIDISDEEDGDKNDEYTLWANDSEASDSEVDADNDTEEEKDEKLIDADFKKYEAKLSK RILRD YDL138W MNDSQNCLRQREENSHLNPGNDFGHHQGAECTINHNNMPHRNAY TESTNDTEAKSIVMCDDPNAYQISYTNNEPAGDGAIETTSILLSQPLPLRSNVMSVLV GIFVAVGGFLFGYDTGLINSITDMPYVKTYIAPNHSYFTTSQIAILVSFLSLGTFFGA LIAPYISDSYGRKPTIMFSTAVIFSIGNSLQVASGGLVLLIVGRVISGIGIGIISAVV PLYQAEAAQKNLRGAIISSYQWAITIGLLVSSAVSQGTHSKNGPSSYRIPIGLQYVWS SILAVGMIFLPESPRYYVLKDELNKAAKSLSFLRGLPIEDPRLLEELVEIKATYDYEA SFGPSTLLDCFKTSENRPKQILRIFTGIAIQAFQQASGINFIFYYGVNFFNNTGVDNS YLVSFISYAVNVAFSIPGMYLVDRIGRRPVLLAGGVIMAIANLVIAIVGVSEGKTVVA SKIMIAFICLFIAAFSATWGGVVWVVSAELYPLGVRSKCTAICAAANWLVNFTCALIT PYIVDVGSHTSSMGPKIFFIWGGLNVVAVIVVYFAVYETRGLTLEEIDELFRKAPNSV ISSKWNKKIRKRCLAFPISQQIEMKTNIKNAGKLDNNNSPIVQDDSHNIIDVDGFLEN QIQSNDHMIAADKGSGSLVNIIDTAPLTSTEFKPVEHPPVNYVDLGNGLGLNTYNRGP PSIISDSTDEFYEENDSSYYNNNTERNGANSVNTYMAQLINSSSTTSNDTSFSPSHNS NARTSSNWTSDLASKHSQYTSPQ YDL137W MGLYASKLFSNLFGNKEMRILMVGLDGAGKTTVLYKLKLGEVIT TIPTIGFNVETVQYKNISFTVWDVGGQDRIRSLWRHYYRNTEGVIFVIDSNDRSRIGE AREVMQRMLNEDELRNAVWLVFANKQDLPEAMSAAEITEKLGLHSIRNRPWFIQSTCA TSGEGLYEGLEWLSNNLKNQS YDL136W MAGVKAYELRTKSKEQLASQLVDLKKELAELKVQKLSRPSLPKI KTVRKSIACVLTVINEQQREAVRQLYKGKKYQPKDLRAKKTRALRRALTKFEASQVTE KQRKKQIAFPQRKYAIKA YDL135C MAEESTDFSQFEEERNNDQYKVSAKKTVDEYKNLDAEDESLAKW KESLGLSSDVLPLEFPGDKRKVVVQKIQLLVNTEPNPITFDLTNEKTIKELASKRYKI KENSIYKLKIVFKVQHEIITGLRYVQYIKKAGIAVDKIDDHLGSYAPNTKTKPFYEVE LPESEAPSGFLARGNYSAVSKFIDDDKTNHLTLNWGVEIVKK YDL134C MDTDLDVPMQDAVTEQLTPTVSEDMDLNNNSSDNNAEEFSVDDL KPGSSGIADHKSSKPLELNNTNINQLDQWIEHLSKCEPLSEDDVARLCKMAVDVLQFE ENVKPINVPVTICGDVHGQFHDLLELFKIGGPCPDTNYLFMGDYVDRGYYSVETVSYL VAMKVRYPHRITILRGNHESRQITQVYGFYDECLRKYGSANVWKMFTDLFDYFPITAL VDNKIFCLHGGLSPMIETIDQVRELNRIQEVPHEGPMCDLLWSDPDDRGGWGISPRGA GFTFGQDVSEQFNHTNDLSLIARAHQLVMEGYAWSHQQNVVTIFSAPNYCYRCGNQAA IMEVDENHNRQFLQYDPSVRPGEPSVSRKTPDYFL YDL133C-A MRAKWRKKRTRRLKRKRRKVRARSK YDL133W MGDSNSSQEAYSDTTSTNASRIADQNQLNLNVDLEKNQTVRKSG SLEALQNAKIHVPKHSDGSPLDYPKLNTYTFVPTTVPPYVLEAQFDKLRLQDKGTVDG NVTDDKNLPKEFKWGQFASTIGCHSAYTRDQNYNPSHKSYDGYSLSSSTSSKNAALRE ILGDMCSEWGGEERLEGVLHSEIGANLEFNTTEERKEWLQYIEKVKDFYYGDNKKNPE SPESVHNKVYKSDWVNELNKEREKWRRLKQRKLQQWRPPLTSLLLDNQYLILGLRIFT GILSCISLALAIKIFQNSRSNNTISESKIGQQPSTIMAICVNAVAIAYIIYIAHDEFA GKPVGLRNPLSKLKLILLDLLFIIFSSANLALAFNTRFDKEWVCTSIRRSNGSTYGYP KIPRICRKQEALSAFLFVALFMWVITFSISIVRVVEKVSSITNRN YDL132W MSETLPRSDDLEATWNFIEPGINQILGNEKNQASTSKRVYKILS PTMYMEVYTAIYNYCVNKSRSSGHFSTDSRTGQSTILVGSEIYEKLKNYLKNYILNFK QSNSETFLQFYVKRWKRFTIGAIFLNHAFDYMNRYWVQKERSDGKRHIFDVNTLCLMT WKEVMFDPSKDVLINELLDQVTLGREGQIIQRSNISTAIKSLVALGIDPQDLKKLNLN VYIQVFEKPFLKKTQEYYTQYTNDYLEKHSVTEYIFEAHEIIKREEKAMTIYWDDHTK KPLSMALNKVLITDHIEKLENEFVVLLDARDIEKITSLYALIRRDFTLIPRMASVFEN YVKKTGENEISSLLAMHKHNIMKNENANPKKLALMTAHSLSPKDYIKKLLEVHDIFSK IFNESFPDDIPLAKALDNACGAFININEFALPAGSPKSATSKTSEMLAKYSDILLKKA TKPEVASDMSDEDIITIFKYLTDKDAFETHYRRLFAKRLIHGTSTSAEDEENIIQRLQ AANSMEYTGKITKMFQDIRLSKILEDDFAVALKNEPDYSKAKYPDLQPFVLAENMWPF SYQEVEFKLPKELVPSHEKLKESYSQKHNGRILKWLWPLCRGELKADIGKPGRMPFNF TVTLFQMAILLLYNDADVLTLENIQEGTSLTIQHIAAAMVPFIKFKLIQQVPPGLDAL VKPETQFKLSRPYKALKTNINFASGVKNDILQSLSGGGHDNHGNKLGNKRLTEDERIE KELNTERQIFLEACIVRIMKAKRNLPHTTLVNECIAQSHQRFNAKVSMVKRAIDSLIQ KGYLQRGDDGESYAYLA YDL131W MSENNEFQSVTESTTAPTTSNPYGPNPADYLSNVKNFQLIDSTL REGEQFANAFFDTEKKIEIARALDDFGVDYIELTSPVASEQSRKDCEAICKLGLKAKI LTHIRCHMDDARVAVETGVDGVDVVIGTSKFLRQYSHGKDMNYIAKSAVEVIEFVKSK GIEIRFSSEDSFRSDLVDLLNIYKTVDKIGVNRVGIADTVGCANPRQVYELIRTLKSV VSCDIECHFHNDTGCAIANAYTALEGGARLIDVSVLGIGERNGITPLGGLMARMIVAA PDYVRSKYKLHKIRDIENLVADAVEVNIPFNNPITGFCAFTHKAGIHAKAILANPSTY EILDPHDFGMKRYIHFANRLTGWNAIKSRVDQLNLNLTDDQIKEVTAKIKKLGDVRPL NIDDVDSIIKDFHAELSTPLLKPVNKGTDDDNIDISNGHVSKKAKVTK YDL130W-A MLNRCISRNTRLPVNLRIASRFYSDGPLGGAGPGNPQDIFIKRE RAKEDYYARQQEREQLAHVKEQLKEHKKKLENLENKINNLSK YDL130W MSDSIISFAAFILADAGLEITSDNLLTITKAAGANVDNVWADVY AKALEGKDLKEILSGFHNAGPVAGAGAASGAAAAGGDAAAEEEKEEEAAEESDDDMGF GLFD YDL129W MELRSRRSAEAYLVTPEEPAKNKSERSIESNERVGTREAKSENT SVFSPAYSDTATTDSSKKVDDNEYYNFTSHFMPSLKNTRELENTILNLIQRIKEGDDE TLVSEKDLILSVLNRSLASTSHWKLQAQLSELRATSEGRYAVETNLLKKEVEFLKNKT PKTNESASSAELRPLLERPLKRKLSLPGLAQRPLSTGARLEGGYGGVSPNSWKTKVPK LPLPASRPSLNLSPQKVPTGTDKVEEDTKIDTLELVENNKPHPRMRRRSDNPATNEYV RVFHLEKKEPKSRKK YDL128W MDATTPLLTVANSHPARNPKHTAWRAAVYDLQYILKASPLNFLL VFVPLGLIWGHFQLSHTLTFLFNFLAIIPLAAILANATEELADKAGNTIGGLLNATFG NAVELIVSIIALKKGQVRIVQASMLGSLLSNLLLVLGLCFIFGGYNRVQQTFNQTAAQ TMSSLLAIACASLLIPAAFRATLPHGKEDHFIDGKILELSRGTSIVILIVYVLFLYFQ LGSHHALFEQQEEETDEVMSTISRNPHHSLSVKSSLVILLGTTVIISFCADFLVGTID NVVESTGLSKTFIGLIVIPIVGNAAEHVTSVLVAMKDKMDLALGVAIGSSLQVALFVT PFMVLVGWMIDVPMTLNFSTFETATLFIAVFLSNYLILDGESNWLEGVMSLAMYILIA MAFFYYPDEKTLDSIGNSL YDL127W MSNYEALLKFNRKAVSKEMVQYLASTTASIIKIKKTNSMIDIAL PAPPLTKFINRLIKHSNVQTPTLMATSVYLAKLRSIIPSNVYGIETTRHRIFLGCLIL AAKTLNDSSPLNKHWAEYTDGLLILREVNTIERELLEYFDWDVTISTDDLITCLSPFL KPIKEEQLYKSQRDCRTLKNFSAQEKDIVNKTSISHSRSSSNMSIPSLASTSTLSTLE SRRSNLSNYSNRIRTLPELHESNNISDKFSPRTYNIDSKHDNKENRPIPTIKPFNFSK ARPVILKTGLNKQIIKEDTKVKKSNWSNYFKS YDL126C MGEEHKPLLDASGVDPREEDKTATAILRRKKKDNMLLVDDAIND DNSVIAINSNTMDKLELFRGDTVLVKGKKRKDTVLIVLIDDELEDGACRINRVVRNNL RIRLGDLVTIHPCPDIKYATRISVLPIADTIEGITGNLFDVFLKPYFVEAYRPVRKGD HFVVRGGMRQVEFKVVDVEPEEYAVVAQDTIIHWEGEPINREDEENNMNEVGYDDIGG CRKQMAQIREMVELPLRHPQLFKAIGIKPPRGVLMYGPPGTGKTLMARAVANETGAFF FLINGPEVMSKMAGESESNLRKAFEEAEKNAPAIIFIDEIDSIAPKRDKTNGEVERRV VSQLLTLMDGMKARSNVVVIAATNRPNSIDPALRRFGRFDREVDIGIPDATGRLEVLR IHTKNMKLADDVDLEALAAETHGYVGADIASLCSEAAMQQIREKMDLIDLDEDEIDAE VLDSLGVTMDNFRFALGNSNPSALRETVVESVNVTWDDVGGLDEIKEELKETVEYPVL HPDQYTKFGLSPSKGVLFYGPPGTGKTLLAKAVATEVSANFISVKGPELLSMWYGESE SNIRDIFDKARAAAPTVVFLDELDSIAKARGGSLGDAGGASDRVVNQLLTEMDGMNAK KNVFVIGATNRPDQIDPAILRPGRLDQLIYVPLPDENARLSILNAQLRKTPLEPGLEL TAIAKATQGFSGADLLYIVQRAAKYAIKDSIEAHRQHEAEKEVKVEGEDVEMTDEGAK AEQEPEVDPVPYITKEHFAEAMKTAKRSVSDAELRRYEAYSQQMKASRGQFSNFNFND APLGTTATDNANSNNSAPSGAGAAFGSNAEEDDDLYS YDL125C MEPLISAPYLTTTKMSAPATLDAACIFCKIIKSEIPSFKLIETK YSYAFLDIQPTAEGHALIIPKYHGAKLHDIPDEFLTDAMPIAKRLAKAMKLDTYNVLQ NNGKIAHQEVDHVHFHLIPKRDEKSGLIVGWPAQETDFDKLGKLHKELLAKLEGSD YDL124W MSFHQQFFTLNNGNKIPAIAIIGTGTRWYKNEETDATFSNSLVE QIVYALKLPGIIHIDAAEIYRTYPEVGKALSLTEKPRNAIFLTDKYSPQIKMSDSPAD GLDLALKKMGTDYVDLYLLHSPFVSKEVNGLSLEEAWKDMEQLYKSGKAKNIGVSNFA VEDLQRILKVAEVKPQVNQIEFSPFLQNQTPGIYKFCQEHDILVEAYSPLGPLQKKTA QDDSQPFFEYVKELSEKYIKSEAQIILRWVTKRGVLPVTTSSKPQRISDAQNLFSFDL TAEEVDKITELGLEHEPLRLYWNKLYGKYNYAAQKV YDL123W MCCYCVCCTVSDFILYIVAFFFPPAAVLLRSGPCSSDFLLNVLL TLLGFLPGMLHAFYYITITSPLRNAEYVYYYQQGWVDSERNVPSNRPQNSQTPQNRPQ QGSSARNVYPSVETPLLQGAAPHDNKQSLVESPPPYVP YDL122W MDLFIESKINSLLQFLFGSRQDFLRNFKTWSNNNNNLSIYLLIF GIVVFFYKKPDHLNYIVESVSEMTTNFRNNNSLSRWLPRSKFTHLDEEILKRGGFIAG LVNDGNTCFMNSVLQSLASSRELMEFLDNNVIRTYEEIEQNEHNEEGNGQESAQDEAT HKKNTRKGGKVYGKHKKKLNRKSSSKEDEEKSQEPDITFSVALRDLLSALNAKYYRDK PYFKTNSLLKAMSKSPRKNILLGYDQEDAQEFFQNILAELESNVKSLNTEKLDTTPVA KSELPDDALVGQLNLGEVGTVYIPTEQIDPNSILHDKSIQNFTPFKLMTPLDGITAER IGCLQCGENGGIRYSVFSGLSLNLPNENIGSTLKLSQLLSDWSKPEIIEGVECNRCAL TAAHSHLFGQLKEFEKKPEGSIPEKLINAVKDRVHQIEEVLAKPVIDDEDYKKLHTAN MVRKCSKSKQILISRPPPLLSIHINRSVFDPRTYMIRKNNSKVLFKSRLNLAPWCCDI NEINLDARLPMSKKEKAAQQDSSEDENIGGEYYTKLHERFEQEFEDSEEEKEYDDAEG NYASHYNHTKDISNYDPLNGEVDGVTSDDEDEYIEETDALGNTIKKRIIEHSDVENEN VKDNEELQEIDNVSLDEPKINVEDQLETSSDEEDVIPAPPINYARSFSTVPATPLTYS LRSVIVHYGTHNYGHYIAFRKYRGCWWRISDETVYVVDEAEVLSTPGVFMLFYEYDFD EETGKMKDDLEAIQSNNEEDDEKEQEQKGVQEPKESQEQGEGEEQEEGQEQMKFERTE DHRDISGKDVN YDL121C MNLYGYFLLLIIVIAFIALLPLFSGIGTFKLTKPKSSATAQSAT GKLGKREYLKKKLDHTNVLKFDLKDTEESLGHDSASASSASRKFEIDSKTGLKRRVIG QYNKDPNDFDFDIDDLINEDELDERREEEKKLKKYNGKKNEAYEGFV YDL120W MIKRSLASLVRVSSVMGRRYMIAAAGGERARFCPAVTNKKNHTV NTFQKRFVESSTDGQVVPQEVLNLPLEKYHEEADDYLDHLLDSLEELSEAHPDCIPDV ELSHGVMTLEIPAFGTYVINKQPPNKQIWLASPLSGPNRFDLLNGEWVSLRNGTKLTD ILTEEVEKAISKSQ YDL119C MTEQATKPRNSSHLIGGFFGGLTSAVALQPLDLLKTRIQQDKKA TLWKNLKEIDSPLQLWRGTLPSALRTSIGSALYLSCLNLMRSSLAKRRNAVPSLTNDS NIVYNKSSSLPRLTMYENLLTGAFARGLVGYITMPITVIKVRYESTLYNYSSLKEAIT HIYTKEGLFGFFRGFGATCLRDAPYAGLYVLLYEKSKQLLPMVLPSRFIHYNPEGGFT TYTSTTVNTTSAVLSASLATTVTAPFDTIKTRMQLEPSKFTNSFNTFTSIVKNENVLK LFSGLSMRLARKAFSAGIAWGIYEELVKRFM YDL117W MATNLTSLKPPFKVKARYGWSGQTKGDLGFLEGDIMEVTRIAGS WFYGKLLRNKKCSGYFPHNFVILLEERLNSSTENGRQPSKIVESFEKSNKVVIPPVPS RYSDERPRPKKKLSSSMPNSPKKPVDSLTKARKAKSKEMVNEKNIYNTQSSRHHNNSA PNLPLASHSKPQVRNFEESMNNPLPPLPPLPDLDNMRKTDKRAPKKSYSANDLHMARS SREYNYYKDNQKFYDGFIPEKRYSLEEDSISSGLFSNSQYLNDSACSSENSFALMSDF SATSAGSFARHKYAQSFSDSLQRSQNANGCSTKINDSQEFGDSNASSRNGKMGDILRK IIIPKRNTNIYSSSVSSPKSPKAYPKLPDIQNLNLSATPDEARDWIAVKCHLNRARTL TKYDKHPRYMRALEENRDLILHPQDSIYNGLNTNEVKGNTKPGLVDVELAELNIEYID KMTWKRCIRDGTMTLDSWAQTTFSARYSTVLEKLRGIYIFCTEMFALTDDNGTSDFSA EPQNLEKILYRKHCTPYELTWLFKKLANSLGITCEIVIGFLKTPSAINWEFKYNHCWL RILVNKEWRFIDVILGNVTNPIHEFVNNRKIKKAENSYFLMAPLEMIYTHIPPREFEQ HIVPSIDQLSALYLPLVFPSFFKNELKLYKFSTALSFLEDSEIYECSLEIPNDVEVFA SVVIPTDNEEASSAYRNMELALTQIKKQKAESGRRIALIKAVLPPNVNKGSLYIHSGV RGTQTSIANIHPLSMMVPLTHKGSNMKYEFVIKIPSESIQKIELYIVEPQSRYLFVGN EYSFEVIQSPSDGIVYSSDEGPNQNRKQPMAIKSPSGRVHELVKSDPHFPYGTWKGSI KIKEPGVWSALVIADSGIGWSVFAEWLCV YDL116W MELSPTYQTERFTKFSDTLKEFKIEQNNEQNPIDPFNIIREFRS AAGQLALDLANSGDESNVISSKDWELEARFWHLVELLLVFRNADLDLDEMELHPYNSR GLFEKKLMQDNKQLYQIWIVMVWLKENTYVMERPKNVPTSKWLNSITSGGLKSCDLDF PLRENTNVLDVKDKEEDHIFFKYIYELILAGAIDEALEEAKLSDNISICMILCGIQEY LNPVIDTQIANEFNTQQGIKKHSLWRRTVYSLSQQAGLDPYERAIYSYLSGAIPNQEV LQYSDWESDLHIHLNQILQTEIENYLLENNQVGTDELILPLPSHALTVQEVLNRVASR HPSESEHPIRVLMASVILDSLPSVIHSSVEMLLDVVKGTEASNDIIDKPYLLRIVTHL AICLDIINPGSVEEVDKSKLITTYISLLKLQGLYENIPIYATFLNESDCLEACSFILS SLEDPQVRKKQIETINFLRLPASNILRRTTQRVFDETEQEYSPSNEISISFDVNNIDM HLIYGVEWLIEGKLYVDAVHSIIALSRRFLLNGRVKALEQFMERNNIGEICKNYELEK IADNISKDENEDQFLEEITQYEHLIKGIREYEEWQKSVSLLSSESNIPTLIEKLQGFS KDTFELIKTFLVDLTSSNFADSADYEILYEIRALYTPFLLMELHKKLVEAAKLLKIPK FISEALAFTSLVANENDKIYLLFQSSGKLKEYLDLVARTATLSN YDL115C MSTISTTTAPEFIRVKRRRDEDSVQALLIDEGKRVKKQKFIFKL SKTVSSESYQSEQESSTPLLKLAHEDHRHFVLEQRKKSRRDSDDEKSQQRLAAEGSTV DDDGLPPEINQMVNDYLKLNKGVEKTERKKPSRKYFTGDSAKIASLPSLDYVFDIYHL EKIHDDEVARYNNEKNIGFVKIIEHIDLALDEESDPNEARSDDEDSNDENYYQNDYPE DEDDDRSILFGSEGEDIAALGEEIVIGVNKSRFSSWNDDKIQGSNGYHDVEEEYGDLF NRLGGKSDVLKSINSSNFIDLDGQEGEIEISDNEDDSDEGDDIEYPRNEFFPTDVDDP LAHHRDRIFHQLQKKINRS YDL114W MVRKNKINRASGTTKHLKDFPSVILSLPSYNPSILSKNATALIT GGSSGLGFELAKELSRRINKVIVADIQSFPTFAQVEYNNIFYYQCDITSLDEIKNLKK AIERDHGNINIIINNAGVAHIKKLEHMTNKEVEQLIDINLIGAYRIISTFAEDMIDNR EGFIINIASVLGELTPARLTSYGASKGAMIGFHKCMSRHFRSLSTECNKTGIKTLLVC PGKIKTNMFIDVPTPSKLLAPDIIPSQLALAIISAMEHNHLQTLNAPYYVNLVPFFKT LSWPYRHLLKHFSGMDHVTSTSPRAINPKRSA YDL113C MSDLNDVQENAKLNSETRNTGKAEPPHGTTEYVAEAEISKNGVG SPKKSPKKGKVGKGDNNKVETELVHTALLEKDNPFMEEGPTGFTKSALLEIPGMRSHN LKNPNEDYEDDSEGLLPLNQESNAETCRTSLSGSINSMNGETSASEEPSVSNRKKSAR IHILEAKRVSEGQGRAYIAYVIQFENSTVQRRYSDFESLRSILIRLFPMTLIPPIPEK QSIKNYGKSITGSSSKYLLPSEGSGSVDLSLSVIHASVNNSDEKLIRHRIRMLTEFLN KLLTNEEITKTSIITDFLDPNNHNWHEFVNSSSTFSSLPKSILQCNPLDPTNTTRIHA MLPIPGSSSQLLLNKESNDKKMDKERSKSFTNIEQDYKQYENLLDNGIYKYNRRTTKT YHDLKSDYNEIGEVFAQFAHEQAQVGELAEQLSYLSNAFSGSSISLEKLVGRLYYNIN EPLNESVHMATSARELIKYRKLKYLQNEMIKKSLNSKRAQLEKLEAQNNEYKDVDKII DNEMSKSHTINLERPNNNTGSGGKSYGGKLFNGFNKLASMVKDSVKYQETDPHTASIN LKKEIEQLSESLEVTENDLEVISKVIKNDQLPKFSKEREVDLSEILKHYSRYMRNYAR QNLEIWKEVKRHQDFA YDL112W MVGGALICKYLPREEQLKLISDLIQNDSLEEVLELIETSPLDIT TDSNIETPIFEKITEQVIAYASIDGEAREMFRSSRAEMNKALRTSAQLLCCLPSVWHK FQVWMSYRLNDIISENYKHLFNDNFGKKIVQPFFDSFAEEQNANIKHENLHLDILSLL HYLEVVYLFDECKNGISSKCLDFIIVPLLGCNSEEIADSCSKLMRWHIKYLSKCCNTD SNFDKLIWTFIKQLYAEGSQQAWKQKNSLSFLLRFLLAAELSPELITYIKTDAYWRHI QTELDNDVHEHRKLALSILKLTIQKLSSHGITLQTTFYKCNDLANIEMLGSWKKFTTL YEMIALDTSLNQIQAAKQDIIKIFDNEHLHHSWGLILLSTGLKSSMESVRKYMMTLMF SITNMSAFSSNLPLLTKTLLPAAMSAHYFDVKGVSCPHGEKLSLFVNNLLSQTTEGIS DILFEILKLLVEKGTSFDPSRIYLSYGILVFFQNNKQKTINSDHLSLIRKLYDFAAEE EVLETTIQTIYLKFLLYIDPSVSASELLFTLVSHIKLKGGTYKYVEPLFEDYRDLAVS HFDDLQAKENLTTNIGKDTIFDLLASIIFDFKDIDITPDFLIEVAKSKQDIPVYTSKA VTFLTQLLSGEPSNGYTYENATALLSYPNFTISTWKSINVNNLFKSVMEKFSLDKFKF FAEIYQKTYECRFDTIELNFNDLLSLYEMVKKSANQCSRESFKVKDSAYSSYFELLNT FLKTYALNRDSSEGNDDELHILLRLVDENINKDNGNYLGNLAVCKLLYFIIDSYIHCS TSVSDDDIFIVKFIFEKFSFIWECINSERLVLKERDLHLMLIKGLFHPVILYFGSNQY IDTLTSKLEEHAQTIISLSYSRRSLLPLLGSQLRVFMKFYGKLLREDVNYWWLINIIV GVFKQPQMDVNLYKLKPVISSLFDHKLNNYYIKGDELYEKVYGPDEILARVSIIDSIL YANDQLKIRLIEKVTEKTNALYAIKRTDGAEALQRLLQWQLLLLSLLTTNEKKLSETS MIRILKSIEDESSPLVRVYKEWFISSKVVDYYKTGNPKFAEDYLFSLLEDHSKPVFVV SAEKICFMVLKDLRNDEKKYGFTQLLDRFICTLVPNAASNKPLVRHFSNSLIISLWPT FKAYLSDHTLRNIIENLYSNAKKTQIFGQYRAGDANIWDLKGDRKLTNMFGGVLKKVT DHDCPYISESVFEKYLQEKDIVPIGTDERSLWLDKRDTNTESVNNANISCDTSPLQTK SGAWETVLDLDNKKSNDVVTRSELIVVSSLVDKPPNLGGICRLCDVLGVGLLTVQDIK VKNHPQFKNVAVTADRWMPMEEVALDEIASFMKEKKKEGYTLIGLEQTDKSVKLDNNF QFPKKSLILLGTEAFGIPGTLLSELDLCLEIQQFGVIRSMNIQTATAVIVHSYTVQHM YDL111C MSLSVAEKSYLYDSLASTPSIRPDGRLPHQFRPIEIFTDFLPSS NGSSRIIASDGSECIVSIKSKVVDHHVENELLQVDVDIAGQRDDALVVETITSLLNKV LKSGSGVDSSKLQLTKKYSFKIFVDVLVISSHSHPVSLISFAIYSALNSTYLPKLISA FDDLEVEELPTFHDYDMVKLDINPPLVFILAVVGNNMLLDPAANESEVANNGLIISWS NGKITSPIRSVALNDSNVKSFKPHLLKQGLAMVEKYAPDVVRSLENL YDL110C MCSAGGIRRPIQIEEFKTAISGMSDMELAQIKTEIENSINHLQR SNARLGKYIAKLEGADDRLEADDSDDLENIDSGDLALYKDSVRENEIVLNNYNERVDA LEQETVYRKTGHGKSKHEVEAKDNTNKGPDVDMDNSNVDVVTPNSIFI YDL109C MEAGRSADEVLYHNQSSVKLGELERYVITYELYQGDSIPADITL DSLWVKIKNTTKLSYKPAYLLGPFILYCDVRAKDYESSYKIICSADKPVFQSNLQAQQ KFIAELSLHHIKPRYVWIVDIVSQILFNKETKVTFEIVVGNSKASLKRKIRCNDSLPD KACNILHTGLSVHRLTTADIWKVPRPIDMSQKSHLVILTHGFQSNVSADMEYLMEEIY KAQMNNPNERLVIKGYMKNACETEKGIKFLGVGLANYIIDELYDDSVGKISFIGHSLG GLTQTFAICYIKTKYPYFFKKVEPINFISLASPLLGIATSTPNYVKMSLSMGIIGTTG QELGLKDGNYGDKPLLYLLSEESLISVLARFKRRTLYANAVNDGIVPLYSSSLLFLDY SQLLQKLGGQTTAPCDPLFQPEVSPIGELPNHSDVANDDDGINASSWNTFWKSKENNC DKKSKRLMNASVIKSMKSVLLSPCPDAKFFSDPDARVATIIHDKIYTEKNLPPPSPTL YEGTAAKEGETRKTRKEMEEIIARRWHKGMHWRKVVVLLKPDAHNNIIVRRRFSNAYG WPVVDHLVTAHFQRDDPIASPMQDKQFAEEDINMATGGVEPNKFYSWLTKIEDPSVYH GGIVSTASQLASSWISKHSSVTD YDL108W MKVNMEYTKEKKVGEGTYAVVYLGCQHSTGRKIAIKEIKTSEFK DGLDMSAIREVKYLQEMQHPNVIELIDIFMAYDNLNLVLEFLPTDLEVVIKDKSILFT PADIKAWMLMTLRGVYHCHRNFILHRDLKPNNLLFSPDGQIKVADFGLARAIPAPHEI LTSNVVTRWYRAPELLFGAKHYTSAIDIWSVGVIFAELMLRIPYLPGQNDVDQMEVTF RALGTPTDRDWPEVSSFMTYNKLQIYPPPSRDELRKRFIAASEYALDFMCGMLTMNPQ KRWTAVQCLESDYFKELPPPSDPSSIKIRN YDL107W MQRFVSKFVSTPPVPKKFQEIFPKKRTVNKILFQLDTRLTYHEM YPIFLQVSQNTNEENIPWRKKYPYIRSSDIMQMRNVLITLRTQNKFVHKDLLAMEDKL LNIAAELGNNDAISILSFNVIHEYKKENVKSSYEKDIETANEFIKKLYARNHHLTVKL IGDLFFENKTYDKAEKYYQEFLKLENSTKLAGEVHGKLGEIQIKQVNGFLKAEKSWLS CIELLEIERSSRWYFLLARLYMSSEPMKAKALLENCASIGFKECFKTLGFLELNYFNN YERAKEWFKTGMEIMDLECFFGFFDCCVKEENFKGARDCLESVKKLGNDKDKKTMINV FLESRKDSIKLLDKARL YDL106C MMEEFSYDHDFNTHFATDLDYLQHDQQQQQQQQHDQQHNQQQQP QPQPIQTQNLEHDHDQHTNDMSASSNASDSGPQRPKRTRAKGEALDVLKRKFEINPTP SLVERKKISDLIGMPEKNVRIWFQNRRAKLRKKQHGSNKDTIPSSQSRDIANDYDRGS TDNNLVTTTSTSSIFHDEDLTFFDRIPLNSNNNYYFFDICSITVGSWNRMKSGALQRR NFQSIKELRNLSPIKINNIMSNATDLMVLISKKNSEINYFFSAMANNTKILFRIFFPL SSVTNCSLTLETDDDIINSNNTSDKNNSNTNNDDDNDDNSNEDNDNSSEDKRNAKDNF GELKLTVTRSPTFAVYFLNNAPDEDPNLNNQWSICDDFSEGRQVNDAFVGGSNIPHTL KGLQKSLRFMNSLILDYKSSNEILPTINTAIPTAAVPQQNIAPPFLNTNSSATDSNPN TNLEDSLFFDHDLLSSSITNTNNGQGSNNGRQASKDDTLNLLDTTVNSNNNHNANNEE NHLAQEHLSNDADIVANPNDHLLSLPTDSELPNTPDFLKNTNELTDEHRWI YDL105W MSSTVISRKRRNSTVTEPDSSGETRKQKKSRSDEKSSSSKDGDP QLEFKVLQGYRDLESEMHKGRAQVTRTGDIGVAMDNLNAVDSLFNKVIGIKNNGLFAH DARAMVSISELAQISVRNLKFDDSRSMVNLENIVNSLKRYMLKEHFKLNNIAENRNDL TLAADEQSAADQQEESDGDIDRTPDDNHTDKATSSFKATSMRHSYLQQFSHYNEFSQF NWFRIGALYNTISKNAPITDHLMGPLSIEKKPRVLTQRRRNNDQVGEKITAEKITQHS LNSTQQETTPEQVKKCFKKLSKKLGPEGSINLFKFIIDPNSFSRSIENLFYTSFLIKE GKLLMEHDEEGLPTIKIKQSISHTDSRSKEIERQRRRAAHQNHIIFQMDMPTWRKLIK KYNITSPFLD YDL104C MISIKGTGRFLLDNYRIWQRRAFNRPIQLRKGYKVLAIETSCDD TCVSVLDRFSKSAAPNVLANLKDTLDSIDEGGIIPTKAHIHHQARIGPLTERALIESN AREGIDLICVTRGPGMPGSLSGGLDFAKGLAVAWNKPLIGVHHMLGHLLIPRMGTNGK VPQFPFVSLLVSGGHTTFVLSRAIDDHEILCDTIDIAVGDSLDKCGRELGFKGTMIAR EMEKFINQDINDQDFALKLEMPSPLKNSASKRNMLSFSFSAFITALRTNLTKLGKTEI QELPEREIRSIAYQVQESVFDHIINKLKHVLKSQPEKFKNVREFVCSGGVSSNQRLRT KLETELGTLNSTSFFNFYYPPMDLCSDNSIMIGWAGIEIWESLRLVSDLDICPIRQWP LNDLLSVDGWRTDQL YDL103C MTDTKQLFIEAGQSQLFHNWESLSRKDQEELLSNLEQISSKRSP AKLLEDCQNAIKFSLANSSKDTGVEISPLPPTSYESLIGNSKKENEYWRLGLEAIGKG EVAVILMAGGQGTRLGSSQPKGCYDIGLPSKKSLFQIQAEKLIRLQDMVKDKKVEIPW YIMTSGPTRAATEAYFQEHNYFGLNKEQITFFNQGTLPAFDLTGKHFLMKDPVNLSQS PDGNGGLYRAIKENKLNEDFDRRGIKHVYMYCVDNVLSKIADPVFIGFAIKHGFELAT KAVRKRDAHESVGLIATKNEKPCVIEYSEISNELAEAKDKDGLLKLRAGNIVNHYYLV DLLKRDLDQWCENMPYHIAKKKIPAYDSVTGKYTKPTEPNGIKLEQFIFDVFDTVPLN KFGCLEVDRCKEFSPLKNGPGSKNDNPETSRLAYLKLGTSWLEDAGAIVKDGVLVEVS SKLSYAGENLSQFKGKVFDRSGIVLEK YDL102W MSEKRSLPMVDVKIDDEDTPQLEKKIKRQSIDHGVGSEPVSTIE IIPSDSFRKYNSQGFKAKDTDLMGTQLESTFEQELSQMEHDMADQEEHDLSSFERKKL PTDFDPSLYDISFQQIDAEQSVLNGIKDENTSTVVRFFGVTSEGHSVLCNVTGFKNYL YVPAPNSSDANDQEQINKFVHYLNETFDHAIDSIEVVSKQSIWGYSGDTKLPFWKIYV TYPHMVNKLRTAFERGHLSFNSWFSNGTTTYDNIAYTLRLMVDCGIVGMSWITLPKGK YSMIEPNNRVSSCQLEVSINYRNLIAHPAEGDWSHTAPLRIMSFDIECAGRIGVFPEP EYDPVIQIANVVSIAGAKKPFIRNVFTLNTCSPITGSMIFSHATEEEMLSNWRNFIIK VDPDVIIGYNTTNFDIPYLLNRAKALKVNDFPYFGRLKTVKQEIKESVFSSKAYGTRE TKNVNIDGRLQLDLLQFIQREYKLRSYTLNAVSAHFLGEQKEDVHYSIISDLQNGDSE TRRRLAVYCLKDAYLPLRLMEKLMALVNYTEMARVTGVPFSYLLARGQQIKVVSQLFR KCLEIDTVIPNMQSQASDDQYEGATVIEPIRGYYDVPIATLDFNSLYPSIMMAHNLCY TTLCNKATVERLNLKIDEDYVITPNGDYFVTTKRRRGILPIILDELISARKRAKKDLR DEKDPFKRDVLNGRQLALKISANSVYGFTGATVGKLPCLAISSSVTAYGRTMILKTKT AVQEKYCIKNGYKHDAVVVYGDTDSVMVKFGTTDLKEAMDLGTEAAKYVSTLFKHPIN LEFEKAYFPYLLINKKRYAGLFWTNPDKFDKLDQKGLASVRRDSCSLVSIVMNKVLKK ILIERNVDGALAFVRETINDILHNRVDISKLIISKTLAPNYTNPQPHAVLAERMKRRE GVGPNVGDRVDYVIIGGNDKLYNRAEDPLFVLENNIQVDSRYYLTNQLQNPIISIVAP IIGDKQANGMFVVKSIKINTGSQKGGLMSFIKKVEACKSCKGPLRKGEGPLCSNCLAR SGELYIKALYDVRDLEEKYSRLWTQCQRCAGNLHSEVLCSNKNCDIFYMRVKVKKELQ EKVEQLSKW YDL101C MSLSTKREHSGDVTDSSFKRQQRSNKPSSEYTCLGHLVNLIPGK EQKVEITNRNVTTIGRSRSCDVILSEPDISTFHAEFHLLQMDVDNFQRNLINVIDKSR NGTFINGNRLVKKDYILKNGDRIVFGKSCSFLFKYASSSSTDIENDDEKVSSESRSYK NDDEVFKKPQISATSSQNATTSAAIRKLNKTRPVSFFDKYLLGKELGAGHYALVKEAK NKKTGQQVAVKIFHAQQNDDQKKNKQFREETNILMRVQHPNIVNLLDSFVEPISKSQI QKYLVLEKIDDGELFERIVRKTCLRQDESKALFKQLLTGLKYLHEQNIIHRDIKPENI LLNITRRENPSQVQLGPWDEDEIDIQVKIADFGLAKFTGEMQFTNTLCGTPSYVAPEV LTKKGYTSKVDLWSAGVILYVCLCGFPPFSDQLGPPSLKEQILQAKYAFYSPYWDKID DSVLHLISNLLVLNPDERYNIDEALNHPWFNDIQQQSSVSLELQRLQITDNKIPKTYS ELSCL YDL100C MDLTVEPNLHSLITSTTHKWIFVGGKGGVGKTTSSCSIAIQMAL SQPNKQFLLISTDPAHNLSDAFGEKFGKDARKVTGMNNLSCMEIDPSAALKDMNDMAV SRANNNGSDGQGDDLGSLLQGGALADLTGSIPGIDEALSFMEVMKHIKRQEQGEGETF DTVIFDTAPTGHTLRFLQLPNTLSKLLEKFGEITNKLGPMLNSFMGAGNVDISGKLNE LKANVETIRQQFTDPDLTTFVCVCISEFLSLYETERLIQELISYDMDVNSIIVNQLLF AENDQEHNCKRCQARWKMQKKYLDQIDELYEDFHVVKMPLCAGEIRGLNNLTKFSQFL NKEYNPITDGKVIYELEDKE YDL099W MSEQESDEVKRMKQLEEARKRVEELKKKKNKKNKGKKNKNSSAT GSIGSETPDLEGTPGEESTQEETVKANSTKSENNDQNDVDEESEEKEIEQVKSDPSGT TEKDIEEINSTSSNVGKDDAENTKKEEVQEVIKNNNDEQTADAGKTIEPQEEKKIVQT QEGNEPSNTSEAADDLFANDGNEESDFLTTIKKQKEEDELTKLRAENEKLTQENKQLK FLNMENETTVDDLQDQLQEKEDIINGLQNDLQTARDELIAAVEKLKLAEAKAARNTTA TPIQFADFNTSSNNLTPSQSVTNSGTQVAHGNNMEVDRVMLNKWRQWNVDMTTWRSIG SGPIMEF YDL098C MSNFGRRTWDREEYAEQARSGYDDRSLKATLTPIELQALKSKYT NYDHLIKGSLKDLNKRKLTANTESLSSFKRGKKFGFYCDICNLTFKDTLQYIDHLNHK VHAIKFENLFDEPLIIDIRDNDDVPQEEFELCYHNLIKDFVEVRSMETQSKRKRLLDT DVEKAKKVATKPSIESESKVSQMMGFSNFATSKK YDL097C MSLPGSKLEEARRLVNEKQYNEAEQVYLSLLDKDSSQSSAAAGA SVDDKRRNEQETSILELGQLYVTMGAKDKLREFIPHSTEYMMQFAKSKTVKVLKTLIE KFEQVPDSLDDQIFVCEKSIEFAKREKRVFLKHSLSIKLATLHYQKKQYKDSLALIND LLREFKKLDDKPSLVDVHLLESKVYHKLRNLAKSKASLTAARTAANSIYCPTQTVAEL DLMSGILHCEDKDYKTAFSYFFESFESYHNLTTHNSYEKACQVLKYMLLSKIMLNLID DVKNILNAKYTKETYQSRGIDAMKAVAEAYNNRSLLDFNTALKQYEKELMGDELTRSH FNALYDTLLESNLCKIIEPFECVEISHISKIIGLDTQQVEGKLSQMILDKIFYGVLDQ GNGWLYVYETPNQDATYDSALELVGQLNKVVDQLFEKASVLY YDL095W MSEEKTYKRVEQDDPVPELDIKQGPVRPFIVTDPSAELASLRTM VTLKEKLLVACLAVFTAVIRLHGLAWPDSVVFDEVHFGGFASQYIRGTYFMDVHPPLA KMLYAGVASLGGFQGDFDFENIGDSFPSTTPYVLMRFFSASLGALTVILMYMTLRYSG VRMWVALMSAICFAVENSYVTISRYILLDAPLMFFIAAAVYSFKKYEMYPANSLNAYK SLLATGIALGMASSSKWVGLFTVTWVGLLCIWRLWFMIGDLTKSSKSIFKVAFAKLAF LLGVPFALYLVFFYIHFQSLTLDGDGASFFSPEFRSTLKNNKIPQNVVADVGIGSIIS LRHLSTMGGYLHSHSHNYPAGSEQQQSTLYPHMDANNDWLLELYNAPGESLTTFQNLT DGTKVRLFHTVTRCRLHSHDHKPPVSESSDWQKEVSCYGYSGFDGDANDDWVVEIDKK NSAPGVAQERVIALDTKFRLRHAMTGCYLFSHEVKLPAWGFEQQEVTCASSGRHDLTL WYVENNSNPLLPEDTKRISYKPASFISKFIESHKKMWHINKNLVEPHVYESQPTSWPF LLRGISYWGENNRNVYLLGNAIVWWAVTAFIGIFGLIVITELFSWQLGKPILKDSKVV NFHVQVIHYLLGFAVHYAPSFLMQRQMFLHHYLPAYYFGILALGHALDIIVSYVFRSK RQMGYAVVITFLAASVYFFKSFSPIIYGTPWTQELCQKSQWLSGWDYNCNTYFSSLEE YKNQTLTKRESQPAATSTVEEITIEGDGPSYEDLMNEDGKKIFKDTEGNELDPEVVKK MLEEEGANILKVEKRAVLE YDL093W MNKEHLLKVDPIPDVTIKRGPLRSFLITKPCDNLSSLRTVTSSK EKLLVGCLLIFTAIVRLHNISLPNSVVFGENEVGTFVSQYVNNIFFTDVHPPLVAMLY ATVSSVFGYKGLFNYGNIGTEYTANVPYVAMRFFSATLGIVSVLVLYLTLRVSGVKIA VAAICAVCFAIENSFVTLSRFTLIEGPFVFFMACAVYFFRRSELYLPNSCKANKSLLA ASIALGFAVSSKWAGLFTIAWAGIIVLWRVWFMIGDLSRPIGSSIKYMAFQFTCLLAI PAFIYFLIFSVHIKTLNVNGISSSFFPAEFRKTLKYNNVIKETVAEVAVGSAVSLNHV GTAGGYLHSHLHNYPAGSMQQQVTLYPHIDQNNKWIIELAEHPNENVTSFQNLTDGTI IKLRQLKNGCRLHSHDHKPPVSQNADWQKEVSCYGYEGFEGDINDDWIIEIDKKRSEP GPAQEHIRAIETKFRLKHYLTGCYLFSHPEKLPEWGFGQQEVTCAYFAREDLTSWYIE ENENEISLPNPEKVSYKKMSFWQKFVAIHKFMFYLNNYMDTSHAYSSEPKTWPLMLRG IDFWNENGREVYFLGNAVLWWSVTAFICTFIIGVAVELLAWKLGVNILRDKHIINFHY QVFQYLLGFAAHYFPYFFVGQKLFLYDYLPAYYFGILAFGHALDLISTYISNKRNNTG YIVVAIFMVVCFYFFSEHSPLIYATGWSSNLCKRSKWLGSWDFYCNSLLLSDSHYELN AES YDL092W MANTGCLSPGAFLSKVPEFFQTANEKHITVRLTAKRLIEHDPVE GNLEFDSTNHPDYDVSKKASEISVSSRSDREYPLLIRMSYGSHDKKTKCSTVVKASEL DQFWQEYSSVFKGGMQNLIKKKKKKSKNGTISKTGKKNKVAKKN YDL091C MDIFRHTFGNNDDSFIRIPGAFREEPPADLNGRTEDQNSNTNEP TQSRDGRLKSILHFLFQAPLIVLYYLLNFIVRSSRLLKPLLRLHGFYQRKHNRLLDHS SQLHRLLENLENEAQAVTCSEGNGNNDDGSNTDSTSNNESSGVQFSFGSLYNPENGTF SKSIMQNSYTELLDACSEQVKFGVIYLHDPLLDNHMDYVNKILCSEAFVNMIRKYQVL LWYGDVTTSEGLQVSNALKIRQYPLLGIISLKAEKKIELIARVEGSISNYKAQDLEAI FSKNYSRLIQLRQQRQNIEMQRLIRQQQDSRYQDSLRRDQQRESERLEQTQREQMERE HQRIENQWLLWRKSQLKPEPSSDKDASKVAIRLENGQRLVRKFDASLPTEEIYAFVEL QLHDMLNSENDTLPVYQPANYQHQYSFKLITPVPRRELDLSTKISDVSGIYPSGNIVM ERLDE YDL090C MRQRVGRSIARAKFINTALLGRKRPVMERVVDIAHVDSSKAIQP LMKELETDTTEARYKVLQSVLEIYDDEKNIEPALTKEFHKMYLDVAFEISLPPQMTAL DASQPWMLYWIANSLKVMDRDWLSDDTKRKIVDKLFTISPSGGPFGGGPGQLSHLAST YAAINALSLCDNIDGCWDRIDRKGIYQWLISLKEPNGGFKTCLEVGEVDTRGIYCALS IATLLNILTEELTEGVLNYLKNCQNYEGGFGSCPHVDEAHGGYTFCATASLAILRSMD QINVEKLLEWSSARQLQEERGFCGRSNKLVDGCYSFWVGGSAAILEAFGYGQCFNKHA LRDYILYCCQEKEQPGLRDKPGAHSDFYHTNYCLLGLAVAESSYSCTPNDSPHNIKCT PDRLIGSSKLTDVNPVYGLPIENVRKIIHYFKSNLSSPS YDL089W MGSNDLINEAYDDSEVVGEERESKSAWMKRWYQLLTSPLDLQLV INEKLEMINWDAYAKSLAKPLGNFLTILFFIIRLLQDNLIKPNYYKLNVKSGAFDLSK SNKLKEFDYLWEISSSFQNNNQFYAFQSWYFVTLRFLNNLFRFTIFILLSLNLYVSCK FMFGYFKTYNLFHLKKEFNSPNLTKHNLKDLSKEYYEDIYKQSLWSMLKHFFRGSRDD GPHVNQNEDEIFFQLRKWIPTNFMINLFVSFSPTAIVFLSFSDVSFTSAIAIVFHQYI LDYIITKRFQRSVDDDLILSSAALQEYEDKHIMARINQCSNIDTLSSAMGTRSKTPRI FTTHSLCGEEIREVYNYEKREFEALPKMTESVPGSRETRIKDYGGISQVSDHQSHPIG FHYSPRMSPYYRDKVLDNNLAQSSSNENLEKGGAYLPNQDQNRPSKSLSPLRKTPLSA RQKRFEGSEFNVLNKNDINSILRSPKKKKNYHKR YDL088C MFGIRSGNNNGGFTNLTSQAPQTTQMFQSQSQLQPQPQPQPQQQ QQHLQFNGSSDASSLRFGNSLSNTVNANNYSSNIGNNSINNNNIKNGTNNISQHGQGN NPSWVNNPKKRFTPHTVIRRKTTKQNSSSDINQNDDSSSMNATMRNFSKQNQDSKHNE RNKSAANNDINSLLSNFNDIPPSVTLQDWQREDEFGSIPSLTTQFVTDKYTAKKTNRS AYDSKNTPNVFDKDSYVRIANIEQNHLDNNYNTAETNNKVHETSSKSSSLSAIIVFGY PESISNELIEHFSHFGHIMEDFQVLRLGRGINPNTFRIFHNHDTGCDENDSTVNKSIT LKGRNNESNNKKYPIFTGESWVKLTYNSPSSALRALQENGTIFRGSLIGCIPYSKNAV EQLAGCKIDNVDDIGEFNVSMYQNSSTSSTSNTPSPPNVIITDGTLLREDDNTPAGHA GNPTNISSPIVANSPNKRLDVIDGKLPFMQNAGPNSNIPNLLRNLESKMRQQEAKYRN NEPAGFTHKLSNWLFGWNDL YDL087C MSTMSTPAAEQRKLVEQLMGRDFSFRHNRYSHQKRDLGLHDPKI CKSYLVGECPYDLFQGTKQSLGKCPQMHLTKHKIQYEREVKQGKTFPEFEREYLAILS RFVNECNGQISVALQNLKHTAEERMKIQQVTEELDVLDVRIGLMGQEIDSLIRADEVS MGMLQSVKLQELISKRKEVAKRVRNITENVGQSAQQKLQVCEVCGAYLSRLDTDRRLA DHFLGKIHLGYVKMREDYDRLMKNNRTTNASKTATTLPGRRFV YDL086W MLITETFHDVQTSYGTTLRIYVYSPKIAGYPQAKFPGVILYSEI YQVTGPVRRFGQRIASEGYVVVAPAIYHNFMGPEALPYDVQGTDIGNEYKIKKPLESY DEDNKLCCDLLFQLPQFDGKRIGSTGMCLGGHLAFRALLDKRVTCATCFFPTDIHSRT LGLGQNDNSLERVSKELGNNQEMVLIFGTADTHVDPQGRDLIRKTLRDHGVKFTFLEI LAAQHAFIRDEFSKGRFDSAITQSCLGFLFEQFNRKLRIDLGEFVDDNTPLEHVC YDL085C-A MARGNQRDLARQKNLKKQKDMAKNQKKSGDPKKRMESDAEILRQ KQAAADARREAEKLEKLKAEKTRR YDL085W MLPRLGFARTARSIHRFKMTQISKPFFHSTEVGKPGPQQKLSKS YTAVFKKWFVRGLKLTFYTTLAGTLYVSYELYKESNPPKQVPQSTAFANGLKKKELVI LGTGWGAISLLKKLDTSLYNVTVVSPRSFFLFTPLLPSTPVGTIEMKSIVEPVRSIAR RTPGEVHYIEAEALDVDPKAKKVMVQSVSEDEYFVSSLSYDYLVVSVGAKTTTFNIPG VYGNANFLKEIEDAQNIRMKLMKTIEQASSFPVNDPERKRLLTFVVVGGGPTGVEFAA ELQDYINQDLRKWMPDLSKEMKVILIEALPNILNMFDKTLIKYAEDLFARDEIDLQVN TAVKVVEPTYIRTLQNGQTNTDIEYGMLVWATGNEPIDFSKTLMSRIPEQTNRRGLLI NDKLELLGSENSIYAIGDCTAHTGFFPTAQVAHQEGEYLAKILDKKLQIEQLEWDMLN STDETEVSRLQKEVNLRKSKLDKFNYKHMGALAYIGSETAIADLHMGDSSYQLKGMFA FLFWKSAYLAMCLSIRNRILIAMDWTKVYFLGRDSSV YDL084W MSHEGEEDLLEYSDNEQEIQIDASKAAEAGETGAATSATEGDNN NNTAAGDKKGSYVGIHSTGFKDFLLKPELSRAIIDCGFEHPSEVQQHTIPQSIHGTDV LCQAKSGLGKTAVFVLSTLQQLDPVPGEVAVVVICNARELAYQIRNEYLRFSKYMPDV KTAVFYGGTPISKDAELLKNKDTAPHIVVATPGRLKALVREKYIDLSHVKNFVIDECD KVLEELDMRRDVQEIFRATPRDKQVMMFSATLSQEIRPICRRFLQNPLEIFVDDEAKL TLHGLQQYYIKLEEREKNRKLAQLLDDLEFNQVIIFVKSTTRANELTKLLNASNFPAI TVHGHMKQEERIARYKAFKDFEKRICVSTDVFGRGIDIERINLAINYDLTNEADQYLH RVGRAGRFGTKGLAISFVSSKEDEEVLAKIQERFDVKIAEFPEEGIDPSTYLNN YDL083C MSAVPSVQTFGKKKSATAVAHVKAGKGLIKVNGSPITLVEPEIL RFKVYEPLLLVGLDKFSNIDIRVRVTGGGHVSQVYAIRQAIAKGLVAYHQKYVDEQSK NELKKAFTSYDRTLLIADSRRPEPKKFGGKGARSRFQKSYR YDL082W MAISKNLPILKNHFRKHWQERVKVHFDQAGKKVSRRNARATRAA KIAPRPLDLLRPVVRAPTVKYNRKVRAGRGFTLAEVKAAGLTAAYARTIGIAVDHRRQ NRNQEIFDANVQRLKEYQSKIIVFPRNGKAPEAEQVLSAAATFPIAQPATDVEARAVQ DNGESAFRTLRLARSEKKFRGIREKRAREKAEAEAEKKK YDL081C MSTESALSYAALILADSEIEISSEKLLTLTNAANVPVENIWADI FAKALDGQNLKDLLVNFSAGAAAPAGVAGGVAGGEAGEAEAEKEEEEAKEESDDDMGF GLFD YDL080C MNSSYTQRYALPKCIAISDYLFHRLNQLNIHTIFGLSGEFSMPL LDKLYNIPNLRWAGNSNELNAAYAADGYSRLKGLGCLITTFGVGELSAINGVAGSYAE HVGILHIVGMPPTSAQTKQLLLHHTLGNGDFTVFHRIASDVACYTTLIIDSELCADEV DKCIKKAWIEQRPVYMGMPVNQVNLPIESARLNTPLDLQLHKNDPDVEKEVISRILSF IYKSQNPAIIVDACTSRQNLIEETKELCNRLKFPVFVTPMGKGTVNETDPQFGGVFTG SISAPEVREVVDFADFIIVIGCMLSEFSTSTFHFQYKTKNCALLYSTSVKLKNATYPD LSIKLLLQKILANLDESKLSYQPSEQPSMMVPRPYPAGNVLLRQEWVWNEISHWFQPG DIIITETGASAFGVNQTRFPVNTLGISQALWGSVGYTMGACLGAEFAVQEINKDKFPA TKHRVILFMGDGAFQLTVQELSTIVKWGLTPYIFVMNNQGYSVDRFLHHRSDASYYDI QPWNYLGLLRVFGCTNYETKKIITVGEFRSMISDPNFATNDKIRMIEIMLPPRDVPQA LLDRWVVEKEQSKQVQEENENSSAVNTPTPEFQPLLKKNQVGY YDL079C MTDVLRSLVRKISFNNSDNLQLKHKTSIQSNTALEKKKRKPDTI KKVSDVQVHHTVPNFNNSSEYINDIENLIISKLIDGGKEGIAVDHIEHANISDSKTDG KVANKHENISSKLSKEKVEKMINFDYRYIKTKERTIHKRVYKHDRKTDVDRKNHGGTI DISYPTTEVVGHGSFGVVVTTVIIETNQKVAIKKVLQDRRYKNRELETMKMLCHPNTV GLQYYFYEKDEEDEVYLNLVLDYMPQSLYQRLRHFVNLKMQMPRVEIKFYAYQLFKAL NYLHNVPRICHRDIKPQNLLVDPTTFSFKICDFGSAKCLKPDQPNVSYICSRYYRAPE LMFGATNYSNQVDVWSSACVIAELLLGKPLFSGESGIDQLVEIIKIMGIPTKDEISGM NPNYEDHVFPNIKPITLAEIFKAEDPDTLDLLTKTLKYHPCERLVPLQCLLSSYFDET KRCDTDTYVKAQNLRIFDFDVETELGHVPLVERPAIEERLKHFVSAPSSSL YDL078C MVKVAILGASGGVGQPLSLLLKLSPYVSELALYDIRAAEGIGKD LSHINTNSSCVGYDKDSIENTLSNAQVVLIPAGVPRKPGLTRDDLFKMNAGIVKSLVT AVGKFAPNARILVISNPVNSLVPIAVETLKKMGKFKPGNVMGVTNLDLVRAETFLVDY LMLKNPKIGQEQDKTTMHRKVTVIGGHSGETIIPIITDKSLVFQLDKQYEHFIHRVQF GGDEIVKAKQGAGSATLSMAFAGAKFAEEVLRSFHNEKPETESLSAFVYLPGLKNGKK AQQLVGDNSIEYFSLPIVLRNGSVVSIDTSVLEKLSPREEQLVNTAVKELRKNIEKGK SFILDSSKL YDL077C MLRAQKLHSLKSSDITAILPTEQSQKLVLAKKNGDVEVYSRDGN TLKLFQVYPDLLQNAKNDPLPPVIENFYFANELSTIFAQCKETLILLSTTNLHEYDRI IDRRGINHCWLFERSHKNKEEKNTYLIYSTINTAKMRVLIWEGRTYKNMMEASLSYRK ETIRSIYPGETGITLATDLGIYHWPYNKPSLIRIEKTVKNKFPKDMISALTELKEQAE KVIEKKPKKNSHFDAQSFSSMDRMSRKSSMSSLWYRTIRNERGNKIRYTFELDGNDAT PMIIDGATKKIFKVELMHNNEEPFLIATDHATFSESNSEFDHMQYLSSNLLMLYNSST IKFVDYENGFTFLQQKIPEGIKWVKNLSGTYFLVWTSNDEVQLFSYHVDDGSEDDDQE SICGDINDPDFYQLWRKVLFYKFFIDSPHSKELCVSDNPEESLDICAMKLRDLTVMWC LRIFDKFQNYMVQLERSRNSRMIRSKCEEMIIKSIFDLFIKFWAPPQLVILKVFPSAI SSLVLEITGQEHHCLLKEAEEVKETYDIPPHLLNRWCLPYLTDTRRHLQNLLSKENDD ESRITWCYRDREIKQSFDFFLISNHDDVDLNTMLTLIDTVLFKCYLYYNPPMVGPFIR VENHCDSHVIVTELKIRHMFKDLIDFYYKRGNHEEALKFLTDLVDELENDNTDQKQRQ KIDHGVKILVIYYLKKLSNPQLDVIFTYTDWLLNRHNDSIKEILSSIFFYDSQACSSR DHLKVYGYIKKFDKLLAIQYLEFAISTFRLEGNKLHTVLIKLYLENLDIPSTRIKLKS LLETTSVYEPRTILKLLNDAIESGSDQLPTNQLNFVKYLKIFPLSKLENHKEAVHILL DEIDDYKAATSYCNDVYQSDSTKGEELLLYLYSKLVSIYDSNRNSKLILNFLQDHGSK LNSAEIYKNLPQDISLYDIGRVVSQLLKKHTSKMDETRLEKALLQVELVATTYKLNER MSSYGVLSDSHKCPICKKVISNFGTDSISWFTREGRNIITHYNCGKVLQERFNAKNEK SSRIKQKTLGEVINELNNK YDL076C MSVSEQDPNRAYRETQSQIYKLQETLLNSARTKNKQEEGQESNT HSFPEQYMHYQNGRNSAYDLPNVSSQSVLAFTEKHYPNKLKNLGTLYYNRFKEGSFDE DSTSYSDRHSFPYNLYDNTLPPPFLPAIGIQNINNIATLKITYEDIQASFNNIESPRK RNNEIWGCDIYSDDSDPILVLRHCGFKIGAPSGGSFHKLRRTPVNVTNQDNVTGNLPL LEGTPFDLEVELLFLPTLQKYPSVKRFDITSREWGSEATVIHDGLSYGIYSIVIKQRL DRDKPHEPNGYIKNLKWT YDL075W MAGLKDVVTREYTINLHKRLHGVSFKKRAPRAVKEIKKFAKLHM GTDDVRLAPELNQAIWKRGVKGVEYRLRLRISRKRNEEEDAKNPLFSYVEPVLVASAK GLQTVVVEEDA YDL074C MTAEPATKKIKLELSDPSEPLTQSDVIAFQKEALFRCINRRRVD FEALRKQYELSRRECIDVSRKLANIMALIVTLARFIETFCTDANEKQLCREIAQGDET LIVQRSDSFMKLLTKYGKPNTTDSNTNSNASDHIQELTTELKNLRKSKEELFYENSQL TEEISALKEYYTNIIRKYDRDESFTIKRVFKEDKTDAVKELREDEKESNENNIKSGNK DSSAINGDNTSKKSEKGDELVQAEDERKEDAENEKLELDLKFSDLRAEINSLSSTIKD LENIRRENEEELIKTRSEVSNLKKQQIAAADQDPDFKSYDHESLLAKIQHLTEQNAEL SEINSSFLSKFQVLAKEKEIYTKKVREEFQKSLDSLVEMNSSLEKDVVRIRTARDDLL SKIAILEAEKSKTEVLSDLQHAIDILKEQWTKIDQRSNDTKSSSTQDALIKEIQDLEK GFRELSDLTHKKYSEIINHESVISKLTVEKTKADQKYFAAMRSKDSILIEIKTLSKSL SKSNELILQLKDSDRLLQQKIGNLHKQLDLSQNNERRLIDSSKTETLKIIDLNNTSTK LKRSLEKLQEESNKSIADMTHLETKLNDTEIELKHFKQKASHLESKCEKLHDTLFRGN NKNKGSSDEALVEELANFRTLVYCSLCSKNWKNMAIKTCGHVFCENCCKERLAARMRK CPTCNKAFSSNDLLTVHL YDL073W MGPAIHNQLYECGLKTTSQDGFLMDNYETQLFQSLNRFINFINN ANQSASNKEQNTKFCKSSSNFLRLKLLTVLRQWCDSSRSNCTLEVRDVLTQWWVTLLN FLNSDTSLQIDTALELSLSIELTSVCLECLSKIMTILIILPFHSSRDMEIYSHHLLLT IHCITNKLILISKNSKKLKRTNSDDKCSINDKKLQYLNKYSSLLRAFIGKLNAYAFFY LPEDFHFDTILLLTVSPQISSSIQTSLFSWKKRQYKFTDDQGQMIRTEAFENKDTKFF KIIVSYIKNDFVLMSFYWHYWYIILQFMKFSDSDVGIKKSTLSCIPGSEILLTHVTTR FLNSDLNKFTRIIKQTPNPRIANENVTESHPNFKSLNSSNALITSERINDYVFSNFKT IKLWECLRSLSGCILKENHPEYLENMLSLHESLLIDYVSTISAYDYIAANVIYNKVLQ FIIFQFESLPSLKFIQWRSWYNGLLSMLRTKNVNCQTVSLLCLFNIWKHVTIEDRDEI VKVLLSDFWESLIFENEFPLIRILFMKVLVFKIIPSVQNSSSLRFLPHDRIKQLYEEL LVNKEELFEMQKHDSNDIVAHRKNALVFNGNSRLMMIPKKPNTEDHLVYKINHDKNLT TERFPSVSSVANTRPNVILKNGKYAYDILDEMTSKAAFLLAEKKTRLNPKKNHKIMDG YEGGQENEDNDEDSEDSGSHKNKRKEGNSSLSATLNTWLSKFSSTSEDSQKKKEQANE LGNDFEYDEDVADFAEILPKQSSSNIEKIFKHGNNSGSMVSYNSSIKLNRRENILIGP PELRFSNEIKEHNSIATIFKLVFIQTNRRVVEKIDLANMKWGTIHGGSKYMKPLPVPK DLVASVAKNESETRNLATLCGNGLDFEIPVPDFNIFGKCMEDEQDVAKIGNQNVEDLK VTGGREVTIWKQIQDMKLRTRIQKICVLIETFNATVREYFEFSNRLEHDGIFIDFEVR KPSSNNSINIKV YDL072C MSLYYTLVFAILVVEIFMFSILALPIPSRYRRPLTLLLLKPFKS STVQVAIKCVLGFILLLFIDCINRVYSIDKELQLSSASQNNGAIIAQDRIEVLSRKFF AQRNMYLTGITLFLTFVVVRTFGLVIELLTMKDIYRASPPVASSDVKKNDSVTAEAAA QSGASKDDHGDEKNFELLKKIQDIDDEIARLKEKSESLQEEIN YDL070W MSRTNMDTRHAHSALLAAPQSATANSRSSNSSSESSSNKNNINV GVGDDSGNVSAVSIDDGPHFRDIFHYGHEENYKLASSGITNLNSSSHAHQTLSPISIS NASTPESFPEHPLGLERETEPALEAEMEAEELPPHQSKYLLSSIKATKRLKDARPFLK PVDPIALNIPHYFNYVQTPMDLSLIETKLQGNVYHSVEQVTSDFKTMVDNCLNFNGPE SSISSMAKRIQKYFEKKLSAMPPRVLPASALKKTSRNRKKNEDMDSPLVIRRSVSTTN DNIGESGNREGVSGGRPKRTIHPPKSKDLFDIYENSKPKSKTLQKKFRTCLKILKVLM SKKNSDINFPFLQPVDPIALNLPNYFDVVKNPMDLGTISNNLMNWKYKTIDQFVDDLN LVFYNCFQFNPEGNEVHSMGKKLKELFNFHWLENQDILNEIETDSDLEEDNYSSSYSS DDEYDDEDINENDITNPAIQYLEQKLKKMEVELQQLKRQELSKLSKERKRKHLGKTLL RRKAMKHSVDDLKKSITDKINELSDLEMNGMIRIIKNSLPADEILTSNEDEIEIDLDI LDEATIARIYERYFEKKNNNNSKRKLSGNYSTAPTNKKKKTLKFLEKDEIINNNNYSD SEEDSSDSSDSDSD YDL069C MLRTKVFATTVARISGIRRYIPIRTINTVTKKNISKIEKLCEVL EVNPDGYKGKERIPTKELTKLLYTTSRNMLVRVPMTGDLSTGNTFETRNETLQKLGEQ LIHLEINKMLTITFTNFNQFNIMNKNFNYIHNLDRARVVNMDSISWLIKNSLKINQLA HLRIPANLPKEMGLTSSSNDFQNLNDWKVILSFIGYLKLLEIKNDNKKFIESIIKTIC IPLINYHLRKS YDL067C MTIAPITGTIKRRVIMDIVLGFSLGGVMASYWWWGFHMDKINKR EKFYAELAERKKQEN YDL066W MSMLSRRLFSTSRLAAFSKIKVKQPVVELDGDEMTRIIWDKIKK KLILPYLDVDLKYYDLSVESRDATSDKITQDAAEAIKKYGVGIKCATITPDEARVKEF NLHKMWKSPNGTIRNILGGTVFREPIVIPRIPRLVPRWEKPIIIGRHAHGDQYKATDT LIPGPGSLELVYKPSDPTTAQPQTLKVYDYKGSGVAMAMYNTDESIEGFAHSSFKLAI DKKLNLFLSTKNTILKKYDGRFKDIFQEVYEAQYKSKFEQLGIHYEHRLIDDMVAQMI KSKGGFIMALKNYDGDVQSDIVAQGFGSLGLMTSILVTPDGKTFESEAAHGTVTRHYR KYQKGEETSTNSIASIFAWSRGLLKRGELDNTPALCKFANILESATLNTVQQDGIMTK DLALACGNNERSAYVTTEEFLDAVEKRLQKEIKSIE YDL065C MNENEYDNFDDLDDLLDEDPTKLDEAEPDDVQAKGSVYNDSENK EKNAESKDSDGVQVANESEEDPELKEMMVDLQNEFANLMKNNGNENNVKTEDFNKLIS ALEEAAKVPHQQMEQGCSSLKSNSTDKGTVNGSNPGFKNIVSNTLDRLKENGNKVDTS LAEETKESQRSGQNNNIDDILSQLLDQMVASGGKESAENQFDLKDGEMDDAITKILDQ MTSKEVLYEPMKEMRSEFGVWFQENGENEEHKEKIGTYKRQFNIVDEIVNIYELKDYD ELKHKDRVTELLDELEQLGDSPIRSANSPLKHGNEEEELMKMLEIDGNDPNLGNLDKE LTDGCKQQ YDL064W MSSLCLQRLQEERKKWRKDHPFGFYAKPVKKADGSMDLQKWEAG IPGKEGTNWAGGVYPITVEYPNEYPSKPPKVKFPAGFYHPNVYPSGTICLSILNEDQD WRPAITLKQIVLGVQDLLDSPNPNSPAQEPAWRSFSRNKAEYDKKVLLQAKQYSK YDL063C MGRSKKRSRASSSRLNPLRKAGSNDNNKDTNVVNKKLQPLLQNL SSVVPNDRSIALSSISVLCEDAHMRQLLLKEKLVPIILNKLLNDSNSDIVVESFGLLR NLSLEEGYDVSIYLWRSDIWTSITSNFGRIVESLSALQAAEQQPQLKPAGKSKIESKR LLFDFADNLLSLVVALSNGSDDILNEILTESKINEIFQVISQLLKYGVEKLPINLFNT TLDLIYDLSSESFEFIDHVSNNELLSQFLNGLSPALHPQANELTKVLIEGIHCQFLDM KITYDQCNKMIHSVCHSINNIDPVQLVNDINNPVEIGPATSKDESSKVITKIKDYNAK RNESMIKLQSIEIAIDLITAIIEIVASKYESPESQEVAIPEELINTLTNFLPHVFMIL KDTFTSRILIGWNNLIWLFVSLSLTELSGELLTTLWSYVTQLDSQDDLSIKIGRMGCI WALLKLIFPDGAFESENRALINVQMLNNSGFARGIIEEFQNNNDLELQQKCINVLSTY AMIQGQIDANKEIGQFFIQTLTQLNVRPEILIEMTNSLFQIYGDASYDYNEPIFVRGG FLSILKDQVVPNLRQQFKMVDKNKNPELKERCHDCFTTLDSFIHYKMNENSTNQ YDL061C MAHENVWFSHPRRFGKGSRQCRVCSSHTGLVRKYDLNICRQCFR EKANDIGFHKYR YDL060W MAGHSHRSSLKNGHKSYKSKHASKGALKRLYKGKVEKEPVGTGK PDKQVSKLQRKNKAKQLRAQRILDSIENRKLFEGKNGAAKIITIVPLVNDLDPLDILY KLLKCADDEGIMVQEVDSKRIFNVHIKKFKSNLKIIIPDMTNFLNILDCAKVADFVVF GLSGVQEVDEEFGEQIIRALELQGIASYIGVISNLSAVHEKEKFQLDVKQSLESYFKH FFPSEERVYNLEKNSDALNVLRTLCQRLPRSINWRDNRGYVVADFVDFVETSPDSGDL VIEGTVRGIGFNANRLVHIPDFGDFQLNKIEKISESSQKRKIIKEKATDSLSLELDLQ TVFESNMNRDTLDEYAPEGTEDWSDYDEDFEYDGLTTARYDDHGFLPGREQTSKKAAV PKGTSDYQAKWYLDDVIDANEEEEAEQTNGKDETMMEIDDEMMVEQDNEEVAGDEEYD IEDNEGFEELSPEEEERQLREFRDMEKEDREFPDEIELEPSESAIERLKRYRGLKNLY NCDWQVDEKDPSSPAEWKRLLRIGNYKNTKNRIIKETKNEAQAIAGDRIRMFIRFPKF LLEKIQDPKQLLFAVYGLLLHEHKNAVVNFSLQRWEQYDKPVPSQEPIVVQYGVRRYT IQPLFSQGSNSPNNVHKYERFLHPDTVSVATCIAPVDFTQSPAIFFKPSPTDAKNIEL IGHGTFLNADHSRILAKRAILTGHPFRFHKTVVTVRYMFFRPEDVEWFKSIPLFTKSG RSGFIKESLGTHGYFKATFDGKLSAQDVVAMSLYKRMWPMPSLPWNGM YDL059C MTIQAKPSSSISYDSTTYGTAPGLDIKEFQIIEDWNGRPASAWS VQRIGLLQSKIERYTYNIYHNNKYGKHNLSKLIPGHALIQFANETFGYDGWRMDVIDV EARECQPFTAVNNGENTNTSEVKYTVVAEAQVKVTLKDGTNTQCGGLGRITLSSRGEC YNRSKKEAVGDALKKALLSFEKIILDYETKITNNYYVDGLYGSKKIKNEANTNYNLLS ATNSKPTFIKLEDAKGTHIK YDL058W MDIIQGLIQQPKIQSVDETIPTLCDRVENSTLISDRRSAVLGLK AFSRQYRESVIASGLKPLLNTLKRDYMDEDSVKAILETILILFIRGDGHDDLTRGWIS QQSRLQNGKYPSPLVMKQEKEQVDQFSLWIADALTQSEDLIHLLVEFWEIDNFHIRLY TIQLLEAVMATRPLKARSALISLPTSISTMVSLLDDMHEPIRDEAILLLMAVVNDSPH VQKLVAFENIFERLFSIIEEEGGLRGSLVVNDCLSLINNILKYNTSNQTLFLETGNLP KLAHLLSEPISQDEVFFWNDQRIVNINTALDIVSLTVEPGNTVTTKHQNALLDSSVLM VVLRLAFFHNIPKKVRPVALLTAANMVRSNEHAQLEFSKIDVPYFDPSLPVNSTANGG PIKLIPVVSILINWMLYANSVHTFDTRVACSRLLKAYFMDNFDLQRDFLLKQVQLCNN STNNVGDNAKENGGSNKSDKESDSDKDTDGKDGTEYEGSFKANLFEVLLNYDAELNLN PFKLFFTTDIFMFFFQQDHKYSEELREITRNVTTGNDLEDEEPLKAIQTISELLTTSL TAADIRIPISYLTFLIYWLFGDFKATNDFLSDKSVIKSLLSFSYQIQDEDVTIKCLVT MLLGVAYEFSSKESPFPRKEYFEFITKTLGKDNYASRIKQFKKDSYFSKVDMNEDSIL TPELDETGLPKVYFSTYFIQLFNENIYRIRTALSHDPDEEPINKISFEEVEKLQRQCT KLKGEITSLQTETESTHENLTEKLIALTNEHKELDEKYQILNSSHSSLKENFSILETE LKNVRDSLDEMTQLRDVLETKDKENQTALLEYKSTIHKQEDSIKTLEKGLETILSQKK KAEDGINKMGKDLFALSREMQAVEENCKNLQKEKDKSNVNHQKETKSLKEDIAAKITE IKAINENLEEMKIQCNNLSKEKEHISKELVEYKSRFQSHDNLVAKLTEKLKSLANNYK DMQAENESLIKAVEESKNESSIQLSNLQNKIDSMSQEKENFQIERGSIEKNIEQLKKT ISDLEQTKEEIISKSDSSKDEYESQISLLKEKLETATTANDENVNKISELTKTREELE AELAAYKNLKNELETKLETSEKALKEVKENEEHLKEEKIQLEKEATETKQQLNSLRAN LESLEKEHEDLAAQLKKYEEQIANKERQYNEEISQLNDEITSTQQENESIKKKNDELE GEVKAMKSTSEEQSNLKKSEIDALNLQIKELKKKNETNEASLLESIKSVESETVKIKE LQDECNFKEKEVSELEDKLKASEDKNSKYLELQKESEKIKEELDAKTTELKIQLEKIT NLSKAKEKSESELSRLKKTSSEERKNAEEQLEKLKNEIQIKNQAFEKERKLLNEGSST ITQEYSEKINTLEDELIRLQNENELKAKEIDNTRSELEKVSLSNDELLEEKQNTIKSL QDEILSYKDKITRNDEKLLSIERDNKRDLESLKEQLRAAQESKAKVEEGLKKLEEESS KEKAELEKSKEMMKKLESTIESNETELKSSMETIRKSDEKLEQSKKSAEEDIKNLQHE KSDLISRINESEKDIEELKSKLRIEAKSGSELETVKQELNNAQEKIRINAEENTVLKS KLEDIERELKDKQAEIKSNQEEKELLTSRLKELEQELDSTQQKAQKSEEERRAEVRKF QVEKSQLDEKAMLLETKYNDLVNKEQAWKRDEDTVKKTTDSQRQEIEKLAKELDNLKA ENSKLKEANEDRSEIDDLMLLVTDLDEKNAKYRSKLKDLGVEISSDEEDDEEDDEEDE EEGQVA YDL057W MEKKHVTVQIQSAPPSYIKLEANEKFVYITSTMNGLSYQIAAIV SYPEKRNSSTANKEDGKLLCKENKLALLLHGSQSHKNAIYQTLLAKRLAEFGYWVLRI DFRGQGDSSDNCDPGLGRTLAQDLEDLSTVYQTVSDRSLRVQLYKTSTISLDVVVAHS RGSLAMFKFCLKLHAAESPLPSHLINCAGRYDGRGLIERCTRLHPHWQAEGGFWANGP RNGEYKDFWIPLSETYSIAGVCVPEFATIPQTCSVMSCYGMCDHIVPISAASNYARLF EGRHSLKLIENADHNYYGIEGDPNALGLPIRRGRVNYSPLVVDLIMEYLQDT YDL056W MSNQIYSARYSGVDVYEFIHSTGSIMKRKKDDWVNATHILKAAN FAKAKRTRILEKEVLKETHEKVQGGFGKYQGTWVPLNIAKQLAEKFSVYDQLKPLFDF TQTDGSASPPPAPKHHHASKVDRKKAIRSASTSAIMETKRNNKKAEENQFQSSKILGN PTAAPRKRGRPVGSTRGSRRKLGVNLQRSQSDMGFPRPAIPNSSISTTQLPSIRSTMG PQSPTLGILEEERHDSRQQQPQQNNSAQFKEIDLEDGLSSDVEPSQQLQQVFNQNTGF VPQQQSSLIQTQQTESMATSVSSSPSLPTSPGDFADSNPFEERFPGGGTSPIISMIPR YPVTSRPQTSDINDKVNKYLSKLVDYFISNEMKSNKSLPQVLLHPPPHSAPYIDAPID PELHTAFHWACSMGNLPIAEALYEAGTSIRSTNSQGQTPLMRSSLFHNSYTRRTFPRI FQLLHETVFDIDSQSQTVIHHIVKRKSTTPSAVYYLDVVLSKIKDFSPQYRIELLLNT QDKNGDTALHIASKNGDVVFFNTLVKMGALTTISNKEGLTANEIMNQQYEQMMIQNGT NQHVNSSNTDLNIHVNTNNIETKNDVNSMVIMSPVSPSDYITYPSQIATNISRNIPNV VNSMKQMASIYNDLHEQHDNEIKSLQKTLKSISKTKIQVSLKTLEVLKESSKDENGEA QTNDDFEILSRLQEQNTKKLRKRLIRYKRLIKQKLEYRQTVLLNKLIEDETQATTNNT VEKDNNTLERLELAQELTMLQLQRKNKLSSLVKKFEDNAKIHKYRRIIREGTEMNIEE VDSSLDVILQTLIANNNKNKGAEQIITISNANSHA YDL055C MKGLILVGGYGTRLRPLTLTVPKPLVEFGNRPMILHQIEALANA GVTDIVLAVNYRPEVMVETLKKYEKEYGVNITFSVETEPLGTAGPLKLAEDVLKKDNS PFFVLNSDVICEYPFKELADFHKAHGGKGTIVATKVDEPSKYGVIVHDIATPNLIDRF VEKPKEFVGNRINAGLYILNPEVIDLIEMKPTSIEKETFPILVEEKQLYSFDLEGFWM DVGQPKDFLSGTVLYLNSLAKRQPKKLATGANIVGNALIDPTAKISSTAKIGPDVVIG PNVTIGDGVRITRSVVLCNSTIKNHSLVKSTIVGWNSTVGQWCRLEGVTVLGDDVEVK DEIYINGGKVLPHKSISDNVPKEAIIM YDL054C MPLSKVEHYLSYHTRLLLPHVLSLQSSHRVAYIFSLLSAVSTGF ITLISLYSQPWQKHLNYSSWQINTIASMTNLGMYLTPPILGMIADSHGPITLSLLAII GFIPSYSYLAYVFNHPELSLGGNGDSSFNLSIICFVFIGISTSALYFSALLTCTKLYP HTKLLSISLPTTCYGISSVVGSQLLRIKWFWSSNASSSSSNSDLNLGRVFQTFALVYV VIGLLAWIATSVVSLLHFNEEQDNQKRLDDQTDVEQSPLLERSNHVQEKFTQTMLRIF SDPVTYILAVSILLSLGPLEMFIANMGSLTNLLVQLDAPTLSTKLLSTYALSSTFTRL LTGIVADFFAKKKISIKWILLTFLSLGVCAQLFLLKMTSSASPWGLVPTGSLVGIVYG GLFTVYPTLVLLVWGERSFGTVYGSLLIAPAIGSMIFCMLYAKFYDSRCMSGGGDLRN PSCISAVYKYSSIAFVVSAVLSAVVFWKLKSRKLRI YDL053C MTTTSTTSVDGRTSSTLKATLSASGPNSNGPTPAVLPQKPKLTG WAQAAAKALPRQQQQQQQARKDDSVAVQPANTKTKTIASTAPPANIKGSSTANGSSTN KKFKRANKQPYNREEVRSYMHKLFQSYTAGEKSHSMKTYKQVLSETASGRVSTATDWG TVSSSKNKNKKYGCLSDIAKVLRNQ YDL052C MSVIGRFLYYLRSVLVVLALAGCGFYGVIASILCTLIGKQHLAQ WITARCFYHVMKLMLGLDVKVVGEENLAKKPYIMIANHQSTLDIFMLGRIFPPGCTVT AKKSLKYVPFLGWFMALSGTYFLDRSKRQEAIDTLNKGLENVKKNKRALWVFPEGTRS YTSELTMLPFKKGAFHLAQQGKIPIVPVVVSNTSTLVSPKYGVFNRGCMIVRILKPIS TENLTKDKIGEFAEKVRDQMVDTLKEIGYSPAINDTTLPPQAIEYAALQHDKKVNKKI KNEPVPSVSISNDVNTHNEGSSVKKMH YDL051W MSEKPQQEEQEKPQSRRNSFAVIEFTPEVLDRCLKQVEFYFSEF NFPYDRFLRTTAEKNDGWVPISTIATFNRMKKYRPVDKVIEALRSSEILEVSADGENV KRRVPLDLTAARNARIEQNQRTLAVMNFPHEDVEASQIPELQENLEAFFKKLGEINQV RLRRDHRNKKFNGTVLVEFKTIPECEAFLKSYSNDDESNEILSYEGKKLSVLTKKQFD LQREASKSKNFSGRSRSFNGHKKKNLPKFPKNKKKNGKEESKEDSSAIADDDEEHKE YDL049C MLIVLFLTLFCSVVFRTAYCDVAIVAPEPNSVYDLSGTSQAVVK VKWMHTDNTPQEKDFVRYTFTLCSGTNAMIEAMATLQTLSASDLTDNEFNAIIENTVG TDGVYFIQVFAQTAIGYTIHYTNRFKLKGMIGTKAANPSMITIAPEAQTRITTGDVGA TIDSKSFTVPYNLQTGVVKYAPMQLQPATKVTAKTWKRKYATSEVTYYYTLRNSVDQH TTVTPGWSYIITADSNYATPAPMPADNGGWYNPRKRLSLTARKVNALRHR YDL048C MLVSSSFASSIDSVMSHETMSLRRNPPFIDTPEKMPNPTASPNG TIHHLIDPSLPLLSSTTSSSRSTLSSTLNSPPPPPLTTSYSSYNSSACQSITSSPTDN TALAHNSKCYFPHSLSPTPLSSNSSSHVILPPISSFTNLITVAEREFNGRSNSLHANF TSPVPRTVLDHHRHELTFCNPNNTTGFKTITPSPPTQHQSILPTAVDNVPRSKSVSSL PVSGFPPLIVKQQQQQQLNSSSSASALPSIHSPLTNEHTSRYSSSLKDSAKITKQRKK KECPICHNFYANLSTHKSTHLTPEDRPHKCPICQRGFARNNDLIRHKKRHWKDEFMQI YARESDNNSGADDQDDTARTSANNDSDDSNDKLAASSSSEETKLLKKNQLKSLYKIKG AFKCPYNSTLINLDMEVYPHKSRSLYFEPINCHQTGVFSRCDTFKNHLKALHFEYPPK TKKEDRGVVPGKCKHCGLQFPNVDVWLNKHVGKGCGYSYH YDL047W MVSRGPDEWLETIKKCQALTENEMKQLCEMVKELLMEESNIQPV QTPVTVCGDIHGQFHDLLELFRTAGGFPDDINYIFLGDYVDRGYYSLETFTLLMCLKV KYPAKITLVRGNHESRQITQVYGFYEECLNKYGSTTVWKYCCQVFDFLTLAAIIDGKI LCVHGGLSPEIRMLDQIRVLSRAQEVPHEGGFSDLLWSDPDNVEAWQVSPRGAGWLFG SKVAREFNHVNGLNLIARAHQLVMEGFKYHFPEKDVVTVWSAPNYCYRCGNVASVMKV DEDLEPTFKIFSAVPDDYIRESTANHNNQRAGYFL YDL046W MTHSLKALFALLFLYTAAVNAGVIGIFNALPPPNTKPINGESPL YQCDILDKQLVEIKEVNLDPNPPVRGENLTISANGEVFETIEEGAYIDVEVRLGYIRL LSQTFDLCETLEDNDIEGLSCPIEPGEYNIKKIVEIPGEVPPGKYVVVARAYTEKDDL ITCLTGEVIFPPR YDL045W-A MSGKPPVYRLPPLPRLKVKKPIIRQEANKCLVLMSNLLQCWSSY GHMSPKCAGLVTELKSCTSESALGKRNNVQKSNINYHAARLYDRINGKPHD YDL045C MQLSKAAEMCYEITNSYLHIDQKSQIIASTQEAIRLTRKYLLSE IFVRWSPLNGEISFSYNGGKDCQVLLLLYLSCLWEYFFIKAQNSQFDFEFQSFPMQRL PTVFIDQEETFPTLENFVLETSERYCLSLYESQRQSGASVNMADAFRDFIKIYPETEA IVIGIRHTDPFGEALKPIQRTDSNWPDFMRLQPLLHWDLTNIWSFLLYSNEPICGLYG KGFTSIGGINNSLPNPHLRKDSNNPALHFEWEIIHAFGKDAEGERSSAINTSPISVVD KERFSKYHDNYYPGWYLVDDTLERAGRIKN YDL044C MIRTSSILKNCNYRYIHCIHRCLLNEANLKDRKTHNVERVSNEK TFEQALEEERKVFGELFEAGARVENMRHTNASKIIDKYYNGLQDNSEGTSVKKEKIVF NHSQRAQRKLPNKDHEFLKETAGNDYVYERAEPSAISTKTISEQTRTLLEKIFDEDNS INKSNRELLNLNLRKGSGMEALRQPVAHSNVKFSEEVMQEIGNKIRYQTTLDQVLEPH IDYLREAVKSDYDLLRYLKQSLDIYKKRNKDLELKMNAESSNIFEDIRSACINKPAEL PKPLAMTLPYIIVKSLRLGDFDFPADRKYTLISYVYNECKNNMDASLYLTICNVDFYN LLVQLLWENFQEIRYLRRVVTEMSVNGVIGNIETVDILDKIVKEMRSLNEDVFLEAGE QLSADEEVSSSANKIVNVGVLWNKDTNNDLLIVENYLKSLKKNLTRDR YDL043C MNYLEGVGSKKGGGGIASESQFNLQRRKEVESLLSKGENVPYTF QDEKDDQVRSNPYIYKNHSGKLVCKLCNTMHMSWSSVERHLGGKKHGLNVLRRGISIE KSSLGREGQTTHDFRQQQKIIEAKQSLKNNGTIPVCKIATVKNPKNGSVGLAIQVNYS SEVKENSVDSDDKAKVPPLIRIVSGLELSDTKQKGKKFLVIAYEPFENIAIELPPNEI LFSENNDMDNNNDGVDELNKKCTFWDAISKLYYVQFFFKQAEQEQADV YDL042C MTIPHMKYAVSKTSENKVSNTVSPTQDKDAIRKQPDDIINNDEP SHKKIKVAQPDSLRETNTTDPLGHTKAALGEVASMELKPTNDMDPLAVSAASVVSMSN DVLKPETPKGPIIISKNPSNGIFYGPSFTKRESLNARMFLKYYGAHKFLDTYLPEDLN SLYIYYLIKLLGFEVKDQALIGTINSIVHINSQERVQDLGSAISVTNVEDPLAKKQTV RLIKDLQRAINKVLCTRLRLSNFFTIDHFIQKLHTARKILVLTGAGVSTSLGIPDFRS SEGFYSKIKHLGLDDPQDVFNYNIFMHDPSVFYNIANMVLPPEKIYSPLHSFIKMLQM KGKLLRNYTQNIDNLESYAGISTDKLVQCHGSFATATCVTCHWNLPGERIFNKIRNLE LPLCPYCYKKRREYFPEGYNNKVGVAASQGSMSERPPYILNSYGVLKPDITFFGEALP NKFHKSIREDILECDLLICIGTSLKVAPVSEIVNMVPSHVPQVLINRDPVKHAEFDLS LLGYCDDIAAMVAQKCGWTIPHKKWNDLKNKNFKCQEKDKGVYVVTSDEHPKTL YDL040C MSRKRSTKPKPAAKIALKKENDQFLEALKLYEGKQYKKSLKLLD AILKKDGSHVDSLALKGLDLYSVGEKDDAASYVANAIRKIEGASASPICCHVLGIYMR NTKEYKESIKWFTAALNNGSTNKQIYRDLATLQSQIGDFKNALVSRKKYWEAFLGYRA NWTSLAVAQDVNGERQQAINTLSQFEKLAEGKISDSEKYEHSECLMYKNDIMYKAASD NQDKLQNVLKHLNDIEPCVFDKFGLLERKATIYMKLGQLKDASIVYRTLIKRNPDNFK YYKLLEVSLGIQGDNKLKKALYGKLEQFYPRCEPPKFIPLTFLQDKEELSKKLREYVL PQLERGVPATFSNVKPLYQRRKSKVSPLLEKIVLDYLSGLDPTQDPIPFIWTNYYLSQ HFLFLKDFPKAQEYIDAALDHTPTLVEFYILKARILKHLGLMDTAAGILEEGRQLDLQ DRFINCKTVKYFLRANNIDKAVEVASLFTKNDDSVNGIKDLHLVEASWFIVEQAEAYY RLYLDRKKKLDDLASLKKEVESDKSEQIANDIKENQWLVRKYKGLALKRFNAIPKFYK QFEDDQLDFHSYCMRKGTPRAYLEMLEWGKALYTKPMYVRAMKEASKLYFQMHDDRLK RKSDSLDENSDEIQNNGQNSSSQKKKAKKEAAAMNKRKETEAKSVAAYPSDQDNDVFG EKLIETSTPMEDFATEFYNNYSMQVREDERDYILDFEFNYRIGKLALCFASLNKFAKR FGTTSGLFGSMAIVLLHATRNDTPFDPILKKVVTKSLEKEYSENFPLNEISNNSFDWL NFYQEKFGKNDINGLLFLYRYRDDVPIGSSNLKEMIISSLSPLEPHSQNEILQYYL YDL039C MYRTRSSDEVTTSTDLTSSSDVATSVDPTTSVISSTSADPTTSA DSTTSTVQTTSAGPSNNIGNSTLANSTTFAVSSTSIDPTSSSDVITSTVQTTSIEPTT SLVSSNDITTSTSLNISVVISTSTDSTSLIESTTTVGPHASSSVAGMYRTRSSDEVTT STDPTSSSDVATSADPTSSSAVTTLVDPTTSVVISTSVDQTSSSDVATSVDPTTSVIS STSADPTTSADSTTSTVQTTSVDPTSSVVSSAPVDPASSVVSLTSSYPTSSSTVTISA NSNGSATLAAQTTSIDPVSSIVSSSGATTIISSASIDPASSVVSSTSSEPTSFIVSST SVYSTRPSGPTTSTDLATFSDTIILRVSTTSTSQDTQTVSSSLTDMVSSTGSADLSVS SIQRSQVDPSTFAVSNSPVYPTASTGSTSTGIPIASESLSLSRQQGISATSSSSIVTL TPVDSASSSRSSATSIIKPNMPVSSNDSKTQSSVSVVDAFQSTKSSYPSITSADPTTL ASENGLVGSSSSAHPITLDRTYASAHASVTDIVSRVTDSTRHTTLVTSNINIQSEVGN PNYSGPKDTTITKQSAFMTSPASTSTISNVQSTASVMNHSIEDNISAAASLESVSGTS TKDYSSQSSAIHYTNSFTTTTTNAFITSKHSIAAVSTGAITSSASISLIMEGSANIEA VGKLVWLAAALPLAFI YDL037C MSQQNILHYDMDVTSVSWVKDNTYQITIHVKAVKDIPLKYLWSL KIIGVNGPSSTVQLYGKNEKTYLISDPTDFTSTFQVYAYPSSDGCTVWMPNFQIQFEY LQGDAAQYWQTWQWGTTTFDLSTGCNNYDNQGHSQTDFPGFYWTYQCKGNNDGTCTKA SSSSITTSSITTSSTTTSSTTTSSTTTSSSTTSSSTTSSSTTSSSTTSSSTTSSSTTS SSTTSSSTTSSSTTSSSTTSSSTTSSSTKTSTTTSSTVKSSSTTSIDFTTSVDSHTSS SVADIYRSRTSTDVTTLAASTSPFSSFTSSDSSSSSDVTSSTIQTTSVDPTT YDL036C MQRNNRLRNLFTVPVIMARQLKRNALSAGLAFAGNATSNEFDEH LQNEVEREREIQKKKKIKRTQSKKSPDLINKSTFQSRTIGSKKEKHRQLDPEYEIVID GPLRKIKPYHFTYRTFCKERWRDKKLVDVFISEFRDRESEYYKRTIENGDVHINDETA DLSTVIRNGDLITHQVHRHEPPVTSRPIKVIFEDDNIMVIDKPSGIPVHPTGRYRFNT ITKMLQNNLGFVVNPCNRLDRLTSGLMFLAKTPKGADNIGDQLKAREVTKEYVAKVVG EFPETEVIVEKPLKLIEPRLALNAVCQMDEKGAKHAKTVFNRISYDGKTSIVKCKPLT GRSHQIRVHLQYLGHPIANDPIYSNDEVWGNNLGKGGQADFDIVITKLDEIGKRKPAK SWFHSNGGYGEVLRQEKCSICESDLYTDPGPNDLDLWLHAYLYESTETEEGTEKKKWC YKTEYPEWALRR YDL035C MITEGFPPNLNALKGSSLLEKRVDSLRQLNTTTVNQLLGLPGMT STFTAPQLLQLRIIAITASAVSLIAGCLGMFFLSKMDKRRKVFRHDLIAFLIICDFLK AFILMIYPMIILINNSVYATPAFFNTLGWFTAFAIEGADMAIMIFAIHFAILIFKPNW KWRNKRSGNMEGGLYKKRSYIWPITALVPAILASLAFINYNKLNDDSDTTIILDNNNY NFPDSPRQGGYKPWSAWCYLPPKPYWYKIVLSWGPRYFIIIFIFAVYLSIYIFITSES KRIKAQIGDFNHNVLEEEKEKKKLFGLGHWGKAKWYFRSYFKLPLLHLLRNLKNFFTI SFIDPNEETDDSGSSNGTFNFGESSNEIPTLFRKTNTGSDENVSASGGVRLLDYNSAK PLDMSKYAMSEQPDLERNNPFDCENDITLNPSELVSKQKEHKVTFSVENEGLDTRKSS MLGHQTFSCQNSLESPLAMYDNKNDNSDITSNIKEKGGIINNNSNNDDDDNNNNNDND NDNNNSNNNNNNNNNNNNNNNNNNNNNNNNNNNNNNSNNIKNNVDNNNTNPADNIPTL SNEAFTPSQQFSQERVNNNADRCENSSFTNVQQHFQAQTYKQMKKRRAQIQKNLRAIF IYPLSYIGIWLFPIIADALQYNHEIKHGPTMWVTYIDTCVRPLSCLVDVIVYLFKEKP WNYSWAKTESKYLIEKYILKGELGEKEILKFCHSNWGKRGWYYRGKWKKRKCWKYSTN PLKRILWFVERFFKQLFELKLHFSFYDNCDDFEYWENYYSAKDSNDNKRTESDETKTN SSDRSLPSNSLELQAMLNNITAEEVEVPLFWRIIHHIPMLGGIDLDELNRLLKIRYNN DHFSLPGLKFALNQNKSHDKHQDVSTNSMVKSSFFSSNIVTNDDENSIEEDKNLRYSD ASASENYLVKPTIPGTTPDPIIEAQNDNDSSDSSGIDLIAFLRNGPL YDL033C MLARYLNLIGRRSASPYRPQRLPAKFDNVIVAMSSGVDSSVAAA LFAGEFPNTRGVYMQNWSESQSLDDPGKEPCYERDWRDVNRVAKHLNIRVDKVNFEQD YWIDVFEPMLRGYSEGSTPNPDIGCNKFVKFGKLREWLDEKYGTGNYWLVTGHYARVM QEMNGKGLFHLLRSIYRPKDQSYYLSQINSTVLSSLLLPIGHLTKPEVRDLAKYAGLP TAEKPDSQGICFVNNSQHGKFKNFLKHYLPSSPGDIITVDPQSGAKTTWGRHDGLWSY TIGQKVGISMPQADPNYQGTWFVSEKLRDTNEILIVRGRDNPALYSDTMRIENFSSLG PREDTINAFQNTGALTLQFRSLQVPVQIKSCKLNRSADNLDITIHLASKQRAITPGQS CCLYIDDRVLGSGPISHVNNNDTHA YDL031W MAGVQKRKRDLEDQDDNGSEEDDIAFDIANEIALNDSESDANDS DSEVEADYGPNDVQDVIEYSSDEEEGVNNKKKAENKDIKKKKNSKKEIAAFPMLEMSD DENNASGKTQTGDDEDDVNEYFSTNNLEKTKHKKGSFPSFGLSKIVLNNIKRKGFRQP TPIQRKTIPLILQSRDIVGMARTGSGKTAAFILPMVEKLKSHSGKIGARAVILSPSRE LAMQTFNVFKDFARGTELRSVLLTGGDSLEEQFGMMMTNPDVIIATPGRFLHLKVEMN LDLKSVEYVVFDEADRLFEMGFQEQLNELLASLPTTRQTLLFSATLPNSLVDFVKAGL VNPVLVRLDAETKVSENLEMLFLSSKNADREANLLYILQEIIKIPLATSEQLQKLQNS NNEADSDSDDENDRQKKRRNFKKEKFRKQKMPAANELPSEKATILFVPTRHHVEYISQ LLRDCGYLISYIYGTLDQHARKRQLYNFRAGLTSILVVTDVAARGVDIPMLANVINYT LPGSSKIFVHRVGRTARAGNKGWAYSIVAENELPYLLDLELFLGKKILLTPMYDSLVD VMKKRWIDEGKPEYQFQPPKLSYTKRLVLGSCPRLDVEGLGDLYKNLMSSNFDLQLAK KTAMKAEKLYYRTRTSASPESLKRSKEIISSGWDAQNAFFGKNEEKEKLDFLAKLQNR RNKETVFEFTRNPDDEMAVFMKRRRKQLAPIQRKATERRELLEKERMAGLSHSIEDEI LKGDDGETGYTVSEDALKEFEDADQLLEAQENENKKKKKPKSFKDPTFFLSHYAPAGD IQDKQLQITNGFANDAAQAAYDLNSDDKVQVHKQTATVKWDKKRKKYVNTQGIDNKKY IIGESGQKIAASFRSGRFDDWSKARNLKPLKVGSRETSIPSNLLEDPSQGPAANGRTV RGKFKHKQMKAPKMPDKHRDNYYSQKKKVEKALQSGISVKGYNNAPGLRSELKSTEQI RKDRIIAEKKRAKNARPSKKRKF YDL030W MNLLETRRSLLEEMEIIENAIAERIQRNPELYYHYIQESSKVFP DTKLPRSSLIAENKIYKFKKVKRKRKQIILQQHEINIFLRDYQEKQQTFNKINRPEET QEDDKDLPNFERKLQQLEKELKNEDENFELDINSKKDKYALFSSSSDPSRRTNILSDR ARDLDLNEIFTRDEQYGEYMELEQFHSLWLNVIKRGDCSLLQFLDILELFLDDEKYLL TPPMDRKNDRYMAFLLKLSKYVETFFFKSYALLDAAAVENLIKSDFEHSYCRGSLRSE AKGIYCPFCSRWFKTSSVFESHLVGKIHKKNESKRRNFVYSEYKLHRYLKYLNDEFSR TRSFVERKLAFTANERMAEMDILTQKYEAPAYDSTEKEGAEQVDGEQRDGQLQEEHLS GKSFDMPLGPDGLPMPYWLYKLHGLDREYRCEICSNKVYNGRRTFERHFNEERHIYHL RCLGIEPSSVFKGITKIKEAQELWKNMQGQSQLTSIAAVPPKPNPSQLKVPTELELEE EDEEGNVMSKKVYDELKKQGLV YDL029W MDPHNPIVLDQGTGFVKIGRAGENFPDYTFPSIVGRPILRAEER ASVATPLKDIMIGDEASEVRSYLQISYPMENGIIKNWTDMELLWDYAFFEQMKLPSTS NGKILLTEPPMNPLKNREKMCEVMFEKYDFGGVYVAIQAVLALYAQGLSSGVVVDSGD GVTHIVPVYESVVLSHLTRRLDVAGRDVTRHLIDLLSRRGYAFNRTADFETVRQIKEK LCYVSYDLDLDTKLARETTALVESYELPDGRTIKVGQERFEAPECLFQPGLVDVEQPG VGELLFNTVQSADVDIRSSLYKAIVLSGGSSMYPGLPSRLEKELKQLWFSRVLHNDPS RLDKFKVRIEDPPRRKHMVFIGGAVLASIMADKDHMWLSKQEWQESGPSAMTKFGPR YDL028C MSTNSFHDYVDLKSRTNTRQFSDDEEFTTPPKLSNFGSALLSHT EKTSASEILSSHNNDKIANRLEEMDRSSSRSHPPPSMGNLTSGHTSTSSHSTLFGRYL RNNHQTSMTTMNTSDIEINVGNSLDKSFERIRNLRQNMKEDITAKYAERRSKRFLISN RTTKLGPAKRAMTLTNIFDEDVPNSPNQPINARETVELPLEDSHQTNFKETKRNTDYD SIDFGDLNPIQYIKKHNLPTSDLPLISQIYFDKQREENRQAALRKHSSRELLYKSRSS SSSLSSNNLLANKDNSITSNNGSQPRRKVSTGSSSSKSSIEIRRALKENIDTSNNSNF NSPIHKIYKGISRNKDSDSEKREVLRNISINANHADNLLQQENKRLKRSLDDAITNEN INSKNLEVFYHRPAPKPPVTKKVEIVEPAKSASLSNNRNIITVNDSQYEKIELLGRGG SSRVYKVKGSGNRVYALKRVSFDAFDDSSIDGFKGEIELLEKLKDQKRVIQLLDYEMG DGLLYLIMECGDHDLSQILNQRSGMPLDFNFVRFYTKEMLLCIKVVHDAGIVHSDLKP ANFVLVKGILKIIDFGIANAVPEHTVNIYRETQIGTPNYMAPEALVAMNYTQNSENQH EGNKWKVGRPSDMWSCGCIIYQMIYGKPPYGSFQGQNRLLAIMNPDVKIPFPEHTSNN EKIPKSAIELMKACLYRNPDKRWTVDKVLSSTFLQPFMISGSIMEDLIRNAVRYGSEK PHISQDDLNDVVDTVLRKFADYKI YDL027C MFKVPVGLASRTRELMNSVTLNSLNNGKGFNMYLPGILRAFPKP VPSAITSPAIPKYRGESFQFRKLSCISSNYCSTTHQFLSSLKSSTSRLVGKRAFHSSR RAEIKFIFSSKSPKNGNKPFVKVYKVSPFFIIFATASIFTFILTSTIVVIPLIFHFFF PLLIMFFFFKQFKKWQKNIFYKDVLTSLPKTKLKITLPTMRSLQLQPMVQSWKEISSR MGIPNEFAKGLNVDLVKQEETRKQFLSFLQKRVLESFTKNELGIRSYFLGDSVEKWIK ESYDLELDIDNCRSELRKFQTFIFSSVRYKLYLDSMKNLPLNPSKKLEGKKHIADVYV IILDESFPAIMFNGGAYSKADFFKILQESETSNSSKTLNTIIAIKSVNTLLSKHFVIT TNGDSGEFFSKYNISKINDKNTEYTLKE YDL025C MVKETPLHSSSSTSLSSLFRPTKLKNLSAKIFNGGGNQSYSKTD DVSRSSSRSSKKNTDSDQEDQIKYNKPNDRRSTIGKSPQGNGALSKESHVVASSTLTG ISPTSAKKAPIDYSPSRPLPNNHNPVRTGHTVPHLPHSIHNPINYIHQGSKDAFHHPH PVRSTAHSNISTVSSAKSDTPSSNLSYQAHMHPVEILQKQIEDKHFMDSQASTPGSVE LQHNSSSGSDDTSSRKKKSLRLTRFFKKIHNDYHDNHHHHHHHNRGSTPTKPKLNLNT NENIVESNGKALYETDNPVELLEKYGIPGRKLGEGASGSVSVVERTDGKLFACKMFRK PHLNNEGTNQSQLANYSKKVTTEFCIGSTLHHENIVETLDMLTEGDTYLLVMEYAPYD FFNLVMSNLMTQDEVNCYFKQLCHGVNYLHSMGLAHRDLKLDNCVVTKDGILKLIDFG SAVVFQYPYEDTIVKSHGIVGSDPYLAPELLKQTSYDPRVADVWSIAIIFYCMVLKRF PWKAPKKSFNSFRLFTEEPEDEDDIVRGPNKILRLLPRHSRTIIGRMLALEPKQRVLM NDVVKDDWLVSVPSCEVDPTSGDLVEKPKNHKHHLVTEEELNELTKQHGNKDSN YDL024C MVKPVIFAICLGVLLSKALSIPLRSFADIELIGSQKSLFPFLGG SAPYFSFPANYGIPTDIPEGCRLTQVQMIGRHGERYPTRSEAKDIFEVWYKISNYTGK YEGSLSFLNNGYEFFIPDESLLEMETTLQNSIDVLNPYTGEMNAKRHAREFLAKYGKL MENCTNFPIFTTNSKRIYDTAQYFAEALGDGFNISLQTLSENSSSGANTLAAKSSCPN WNSNANNDILMSYSRDYLENISDRLNDENKGLNLSRKDAAALFSWCAFELNAKGYSNI CDIFSAAELIHYSYETDLTSFYQNGPGYKLIKSIGANLFNATVKLIRQSAHLDQKVWL SFTHDTDILNYLTTAGLIDDTRNLTTNHVPFRDHSYHRSWYIPQGARVYTEKFQCSND SYVRYVVNDAVVPIESCSSGPGFSCEEGTFYEYAKDRLRGVSFYEDCDVSKVSKEKEL TFYWDWNTTRYNASLVNQ YDL022C-A MYYLVPKTTYGNLQCSSLAMTFHERGESGDVLSCACRLYLYIMP LFFNTFLRQKYFQLCSNTPYRNNGEARYFCHLFRCSII YDL022W MSAAADRLNLTSGHLNAGRKRSSSSVSLKAAEKPFKVTVIGSGN WGTTIAKVVAENCKGYPEVFAPIVQMWVFEEEINGEKLTEIINTRHQNVKYLPGITLP DNLVANPDLIDSVKDVDIIVFNIPHQFLPRICSQLKGHVDSHVRAISCLKGFEVGAKG VQLLSSYITEELGIQCGALSGANIATEVAQEHWSETTVAYHIPKDFRGEGKDVDHKVL KALFHRPYFHVSVIEDVAGISICGALKNVVALGCGFVEGLGWGNNASAAIQRVGLGEI IRFGQMFFPESREETYYQESAGVADLITTCAGGRNVKVARLMATSGKDAWECEKELLN GQSAQGLITCKEVHEWLETCGSVEDFPLFEAVYQIVYNNYPMKNLPDMIEELDLHED YDL021W MTASTPSNVMTLFLLRHGQSELNHENIFCGWIDAKLTEKGKEQA RHSAELIEQYCKANNLRLPQIGYTSRLIRTQQTIETMCEEFKLKPQLQVVYDFNKIKL GDEFGSDDKDNMKIPILQTWRLNERHYGSWQGQRKPNVLKEYGKDKYMFIRRDYEGKP PPVDLDREMIQQENEKGSSTGYEFKEPNRQIKYELECSNHDIVLPDSESLREVVYRLN PFLQNVILKLANQYDESSCLIVGHGSSVRSLLKILEGISDDDIKNVDIPNGIPLVVEL DKNNGLKFIRKFYLDPESAKINAEKVRNEGFIKNP YDL020C MASTELSLKRTLTDILEDELYHTNPGHSQFTSHYQNYHPNASIT PYKLVNKNKENNTFTWNHSLQHQNESSAASIPPQQTYHFPIFNKYADPTLTTTTSFTT SEATANDRQINNVHLIPNEIKGASETPLQKTVNLKNIMKVSDPYVPTRNTFNYDVKIS NDFFDNGDNLYGNDEEVLFYEDNYNPKMQWSLQDNSAAINNEDARAIFNNEFDSDDDD ISDDEEDEIEENCLQQEQHQEEPLLSLDVTPISMFGSDQKTGRAKSSSHLFNEYSYVD SNMDSISSVVSEDLLDERGHEKIEDEDEDNDLDEDDIYDISLLKNRRKQSFVLNKNTI DFERFPSPSTSANVPSTATTGKRKPAKSSSNRSCVSNSNENGTLERIKKPTSAVVSSN ASRRKLINYTKKHLSSHSSTNSNSKPSTASPSAHTSSSDGNNEIFTCQIMNLITNEPC GAQFSRSYDLTRHQNTIHAKRKIVFRCSECIKILGSEGYQKTFSRLDALTRHIKSKHE DLSLEQRQEVTKFAKANIGYVMG YDL019C MSREDLSIAEDLNQVSKPLLKVKLLEVLGQGDFKHLKALVDNEF QPKDDPSVQQVLNLILHYAVQVAPILLIKEIVAHWVDQVGDEKSSSKSDDGIHLDLNY QDENGNTPLHLAAAQSRSDVISFLLSQKSINDCVKNKAHQQPLDMCKDLNVAQMIQLK RDDYFLETVHSLRAAMNKRDFSKLDSIWKNPRNLNLLDINGIDPETGTTLLYEYSQKK DIEMCQWLLKHGAEATVKDGKGRSPLDLVKNIKLPAKPSNNVTPEIKLKNLLEKNLRE QAIVHEDVASSKPPTYKGFLKKWTNFAHGYKLRWFILSGDGNLSYYKDQSHVDRPRGT LKVSTCRLHIDSSEKLNFELLGGITGTTRWRLKGNHPIETTRWVNAIQSAIRFAKDKE ILNKKKAVPPSLALKNKSPALISHSKTQGSLPEASQYYQHTLHKEVIQPSSVSLYRRP SNNLSVVSSEIQLNDNLTESGKRFVSKMIENRLDGSKTPVGVHTGSALQRVRSSNTLK SNRSMQSGSGVASPIDKVPNGANLSQSNTTTGSTASLSDNNYIDNFEGDEANSDDEEE DLGINFDRDEEYIKAQYGPYKEKLDMYEQAISIELSSLIELIEQEEPSPEVWLTIKKS LINTSTIFGKLKDLTYKRDKRLVDMVSKQGDVNNVWVQSVKELEMELSNKTERLASID KERRGLKKILHKKLLESHATAGNKESLENDKEQESDTTASTLGQIAKFISATKEEDEA SDADEFYDAAELVDEVTELTEAHPEISTAAAPKHAPPPVPNETDNDSQYVQDEKSKIE SNVEKTSQKFEKQNNLVTEDEPKTDQSLKNFKAEDKESQVKEKTKEIASSVIGEKTIV AVTTVQKRKEEYLLKEGSYLGYEDGIRKRLSMDKDDRPKISLWAVLKSMVGKDMTRMT LPVTFNEPTSLLQRVAEDLEYSELLDQAATFEDSTLRTLYVAAFTASSYASTTKRVAK PFNPLLGETFEYSRPDKQYRFFTEQVSHHPPISATWTESPRWDFWGESFVDTKFNGRS FNVKHLGLWHIKLRPNDNEKEELYTWKKPNNTVIGILIGNPQVDNHGEVNVVNHTTGD HCKLYFKARGWRSSGAYEITGEVYNKKKQKVWILGGHWNEAIFAKKVVKDGDLSLEKT RTAASAGNGPTDDGTKFLIWKANDRPEEPFNLTPFAITLNAPQPHLLPWLPPTDTRLR PDQRAMEDGRYDEAGDEKFRVEEKQRAARRKREENNLEYHPQWFVRDTHPITKAKYWR YTGKYWVKRRDHDLKDCGDIF YDL018C MSNLCVLFFQFFFLAQFFAEASPLTFELNKGRKECLYTLTPEID CTISYYFAVQQGESNDFDVNYEIFAPDDKNKPIIERSGERQGEWSFIGQHKGEYAICF YGGKAHDKIVDLDFKYNCERQDDIRNERRKARKAQRNLRDSKTDPLQDSVENSIDTIE RQLHVLERNIQYYKSRNTRNHHTVCSTEHRIVMFSIYGILLIIGMSCAQIAILEFIFR ESRKHNV YDL017W MTSKTKNIDDIPPEIKEEMIQLYHDLPGIENEYKLIDKIGEGTF SSVYKAKDITGKITKKFASHFWNYGSNYVALKKIYVTSSPQRIYNELNLLYIMTGSSR VAPLCDAKRVRDQVIAVLPYYPHEEFRTFYRDLPIKGIKKYIWELLRALKFVHSKGII HRDIKPTNFLFNLELGRGVLVDFGLAEAQMDYKSMISSQNDYDNYANTNHDGGYSMRN HEQFCPCIMRNQYSPNSHNQTPPMVTIQNGKVVHLNNVNGVDLTKGYPKNETRRIKRA NRAGTRGFRAPEVLMKCGAQSTKIDIWSVGVILLSLLGRRFPMFQSLDDADSLLELCT IFGWKELRKCAALHGLGFEASGLIWDKPNGYSNGLKEFVYDLLNKECTIGTFPEYSVA FETFGFLQQELHDRMSIEPQLPDPKTNMDAVDAYELKKYQEEIWSDHYWCFQVLEQCF EMDPQKRSSAEDLLKTPFFNELNENTYLLDGESTDEDDVVSSSEADLLDKDVLLISE YDL015C MPITIKSRSKGLRDTEIDLSKKPTLDDVLKKISANNHNISKYRI RLTYKKESKQVPVISESFFQEEADDSMEFFIKDLGPQISWRLVFFCEYLGPVLVHSLF YYLSTIPTVVDRWHSASSDYNPFLNRVAYFLILGHYGKRLFETLFVHQFSLATMPIFN LFKNCFHYWVLSGLISFGYFGYGFPFGNAKLFKYYSYLKLDDLSTLIGLFVLSELWNF YCHIKLRLWGDYQKKHGNAKIRVPLNQGIFNLFVAPNYTFEVWSWIWFTFVFKFNLFA VLFLTVSTAQMYAWAQKKNKKYHTRRAFLIPFVF YDL014W MSFRPGSRGGSRGGSRGGFGGRGGSRGGARGGSRGGFGGRGGSR GGARGGSRGGFGGRGGSRGGARGGSRGGRGGAAGGARGGAKVVIEPHRHAGVYIARGK EDLLVTKNMAPGESVYGEKRISVEEPSKEDGVPPTKVEYRVWNPFRSKLAAGIMGGLD ELFIAPGKKVLYLGAASGTSVSHVSDVVGPEGVVYAVEFSHRPGRELISMAKKRPNII PIIEDARHPQKYRMLIGMVDCVFADVAQPDQARIIALNSHMFLKDQGGVVISIKANCI DSTVDAETVFAREVQKLREERIKPLEQLTLEPYERDHCIVVGRYMRSGLKK YDL013W MHSDTNGRTKSNNSPSDNNPNETVILIDSDKEEDASIREANLPV RLYPDRRVGRRRDALNRFVRSDSRSRNSQRTHITASSERPDFQANNDDITIIREVGRF FGDDGPIDPSAHYVDLDQEPGSETLETPRTIQVDNTNGYLNDNGNNNESDDGLTIVEE RTTRPRVTLNLPGGERLEVTATTTDIPIRRSFEFQEDLGASRRQLLRRSATRARNLFV DRSDENDEDWTDDTHNLPEAIQRARRESRMRMSRRIAERQRRVQQQRVSSDENISTSI RLQSIRERIQSYTPDIRSAFHRAESLHEFRSILQNVAPITLQECEEELMALFTEFRNQ LLQNWAIDRVRNTQEEALRLHREALERQERTAGRVFHRGTLRESITNYLNFNGEDGFL SRLWSGPALSDADEERHTQNIIDMIQEREERERDVVMKNLMNKTRAQQEEFEARAASL PEGYSASFDTTPKMKLDITKNGKEETIIVTDDDLAKTLEDIPVCCLCGAELGVGIPDD FTGISQKDRGVSFEGLVSKYKFHCPYQTLARPSMLDRDLSKRTFIASCGHAFCGRCFA RIDNAKKKSKMPKKKLAQLKGSAHPDNYGPKLCPADSCKKLIRSRGRLKEVYF YDL012C MSAQDYYGNSASKQSYSRPSAPPPGYETASRGYAPSQSQQNYYP PQQQQQQYQQQPQYYQQQQPQYYQQHPQQPIYVQQQPASSGNEDCLAGCLAGLCLCCT LDMLF YDL010W MIPSNKRNARILSITTLLLLLVFFVAQNANFLTVEIKEETSKAF STNMDNMAGGSSREYAAMPTSTTNKGSSEVDEEINEIKQKVGLQQPIASVDDSLSAIK NDKGSRITKAFNVQKEYSLILDLSPIIIFSKSTCSYSKGMKELLENEYQFIPNYYIIE LDKHGHGEELQEYIKLVTGRGTVPNLLVNGVSRGGNEEIKKLHTQGKLLESLQVWSDG KFSVEQREKPSNN YDL009C MKALSVLCFHNPFKRCLGQKSFFVGDSFFIPTPKRLVLGKLRLS NYTAFHDLIIHDLFIYIFILNFFFFPFCNNFNYWKVFHVAQPRIYHHSRLVMILKVSL ECAVS YDL008W MKVKINEVHSVFAWSWHIPSTSDEDAANNDPIGNDEDEDVCGIC RASYNGTCPSCKFPGDQCPLVIGLCHHNFHDHCIYRWLDTPTSKGLCPMCRQTFQLQK GLAINDAHVQKFVEIVSRRREEMIEEGVAEEFVDFDEPIRQNTDNPIGRQQVDTILDE DFLLR YDL007C-A MFLPIIFHTILTLLNFGKYYCLPIQEDDDKSGKEIEQILDNNIE SLGLLLNSMSLSSNFTTGDPELDSLFQDDLIPELYSVLDGF YDL007W MGQGVSSGQDKKKKKGSNQKPKYEPPVQSKFGRKKRKGGPATAE KLPNIYPSTRCKLKLLRMERIKDHLLLEEEFVSNSEILKPFEKKQEEEKKQLEEIRGN PLSIGTLEEIIDDDHAIVTSPTMPDYYVSILSFVDKELLEPGCSVLLHHKTMSIVGVL QDDADPMVSVMKMDKSPTESYSDIGGLESQIQEIKESVELPLTHPELYEEMGIKPPKG VILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQKYLGDGPRLCRQIFKVAGENAP SIVFIDEIDAIGTKRYDSNSGGEREIQRTMLELLNQLDGFDDRGDVKVIMATNKIETL DPALIRPGRIDRKILFENPDLSTKKKILGIHTSKMNLSEDVNLETLVTTKDDLSGADI QAMCTEAGLLALRERRMQVTAEDFKQAKERVMKNKVEENLEGLYL YDL006W MSNHSEILERPETPYDITYRVGVAENKNSKFRRTMEDVHTYVKN FASRLDWGYFAVFDGHAGIQASKWCGKHLHTIIEQNILADETRDVRDVLNDSFLAIDE EINTKLVGNSGCTAAVCVLRWELPDSVSDDSMDLAQHQRKLYTANVGDSRIVLFRNGN SIRLTYDHKASDTLEMQRVEQAGGLIMKSRVNGMLAVTRSLGDKFFDSLVVGSPFTTS VEITSEDKFLILACDGLWDVIDDQDACELIKDITEPNEAAKVLVRYALENGTTDNVTV MVVFL YDL005C MVVQNSPVSSVHTANFSERGSNTRTMTYKNKLTVCFDDILKVGA EMMMQQQLKNVQLDSYLVNGFSQSQQKLLKEKVKLFHGILDDLETSLSQSSSYLETLT ALGKEKEKEREEAEKKRAEQENMRKVREQEELKKRQELEEASQQQQLQQNSKEKNGLG LNFSTTAPANTTDANGSKENYQELGSLQSSSQTQLENANAANNGAAFSPLTTTRIQSQ QAQPSDVMFNDLNSMDISMFSGLDSTGFDSTAFNATVDETKGFDDNDSGNNYNDINIS SIENNINNNINSTKNGKDNNNESNKNNNGDEKNKNNNEDNENNNNSSEKNNNNNNNNN NNNDDNGNNNNNNSGNDNNNTTNNDSNNKNNSITTGNDNENIVNNDLPTTVVSNPGDN PPPADNGEEYLTLNDFNDLNIDWSTTGDNGELDLSGFNI YDL004W MLRSIIGKSASRSLNFVAKRSYAEAAAASSGLKLQFALPHETLY SGSEVTQVNLPAKSGRIGVLANHVPTVEQLLPGVVEVMEGSNSKKFFISGGFATVQPD SQLCVTAIEAFPLESFSQENIKNLLAEAKKNVSSSDAREAAEAAIQVEVLENLQSVLK YDL003W MVTENPQRLTVLRLATNKGPLAQIWLASNMSNIPRGSVIQTHIA ESAKEIAKASGCDDESGDNEYITLRTSGELLQGIVRVYSKQATFLLTDIKDTLTKISM LFKTSQKMTSTVNRLNTVTRVHQLMLEDAVTEREVLVTPGLEFLDDTTIPVGLMAQEN SMERKVQGAAPWDTSLEVGRRFSPDEDFEHNNLSSMNLDFDIEEGPITSKSWEEGTRQ SSRNFDTHENYIQDDDFPLDDAGTIGWDLGITEKNDQNNDDDDNSVEQGRRLGESIMS EEPTDFGFDLDIEKEAPAGNIDTITDAMTESQPKQTGTRRNSKLLNTKSIQIDEETEN SESIASSNTYKEERSNNLLTPQPTNFTTKRLWSEITESMSYLPDPILKNFLSYESLKK RKIHNGREGSIEEPELNVSLNLTDDVISNAGTNDNSFNELTDNMSDFVPIDAGLNEAP FPEENIIDAKTRNEQTTIQTEKVRPTPGEVASKAIVQMAKILRKELSEEKEVIFTDVL KSQANTEPENITKREASRGFFDILSLATEGCIGLSQTEAFGNIKIDAKPALFERFINA YDL002C MSVEEKKRRLEELKDQNVVLGLAIQRSRLSVKRLKLEYGVLLER LESRIELDPELNCEDPLPTLASFKQELLTKPFRKSKTKRHKVKERDPNMPKRPTNAYL LYCEMNKERIRQNGSLDVTRDLAEGWKNLNEQDRKPYYKLYSEDRERYQMEMEIYNKK ISNIDADDDKEENEQKIKNNEEGSSTKVADSKGGEDGSLVSSN YDL001W MVDSNDDQPERHALLHNDIQTSNNSRPSLVQKKYLPTPQDTRKS RASYTGSAMINPTSKQSRTGSGAQRTSRTAQKLKLLPEEPFQRDSERLTDLKNQEVYS QVNRIKDKPARRDAEKLGKAHRHLLPRSTAYCTASSYNMKELVRWLKDCRKLHHTHPK LFDECLYTPFIYNDWRGDKRFEDEDVIRLDDEGGEIIVSDKHPDLFIFEYGVVVMWGF TEREEKAFLNDIEKFEKEKLAEEDIQVEEFNYYVTKSYQPRIYNDFITLRDGSNYMVK LSISHAIAQSVKISLFEELVDNTIEDTQDIPQEIAYSGKVSMSKEDIMKSIGELFILR ININLHGSVLDSPEIMWSEPQLEPIYQATRGYLEINQRVSLLNQRLEVISDLLQMLKE QLGHSHEEYLEFIVILLVGVEVLISVINIVVDMLASQH YDR001C MSQVNTSQGPVAQGRQRRLSSLSEFNDPFSNAEVYYGPPTDPRK QKQAKPAKINRTRTMSVFDNVSPFKKTGFGKLQQTRRGSEDDTYSSSQGNRRFFIEDV DKTLNELLAAEDTDKNYQITIEDTGPKVLKVGTANSYGYKHINIRGTYMLSNLLQELT IAKSFGRHQIFLDEARINENPVNRLSRLINTQFWNSLTRRVDLNNVGEIAKDTKIDTP GAKNPRIYVPYDCPEQYEFYVQASQMHPSLKLEVEYLPKKITAEYVKSVNDTPGLLAL AMEEHFNPSTGEKTLIGYPYAVPGGRFNELYGWDSYMMALGLLEANKTDVARGMVEHF IFEINHYGKILNANRSYYLCRSQPPFLTEMALVVFKKLGGRSNPDAVDLLKRAFQASI KEYKTVWTASPRLDPETGLSRYHPNGLGIPPETESDHFDTVLLPYASKHGVTLDEFKQ LYNDGKIKEPKLDEFFLHDRGVRESGHDTTYRFEGVCAYLATIDLNSLLYKYEIDIAD FIKEFCDDKYEDPLDHSITTSAMWKEMAKIRQEKITKYMWDDESGFFFDYNTKIKHRT SYESATTFWALWAGLATKEQAQKMVEKALPKLEMLGGLAACTERSRGPISISRPIRQW DYPFGWAPHQILAWEGLRSYGYLTVTNRLAYRWLFMMTKAFVDYNGIVVEKYDVTRGT DPHRVEAEYGNQGADFKGAATEGFGWVNASYILGLKYMNSHARRALGACIPPISFFSS LRPQERNLYGL YDR002W MSSEDKKPVVDKKEEAAPKPPSSAVFSMFGGKKAEKPETKKDEE DTKEETKKEGDDAPESPDIHFEPVVHLEKVDVKTMEEDEEVLYKVRAKLFRFDADAKE WKERGTGDCKFLKNKKTNKVRILMRRDKTLKICANHIIAPEYTLKPNVGSDRSWVYAC TADIAEGEAEAFTFAIRFGSKENADKFKEEFEKAQEINKKA YDR003W MILREQIDFLIHKRQDDNNNNGEAITDDDPFSSSSWRWGRWIFF IFFIVALLILLFSTAKVNRRRRIMGQAPIRGTAWLTPPTYRQSERDYNGTQRCVEDYV PEYTETANENDLGFYDERGEFHPNGKTEYLAPPPLSEEQASSTDKDLQRPVAAVVRIP SESEFDFNLLRPTMNNFVNGQSNRNEQHSPTVESSSFDVNNAPARAKVSK YDR003W-A MTCGIENSYKSAEKKKKYRSFRFFESRDYSELCIIVGTYY YDR004W MPRALSIKFDNTYMDLYDELPESKLLYDEEFSYLLDAVRQNGVC VVDFLTLTPKELARLIQRSINEVFRFQQLLVHEYNEKYLEICEKNSISPDNGPECFTT ADVAMDELLGGGIFTHGITEIFGESSTGKSQLLMQLALSVQLSEPAGGLGGKCVYITT EGDLPTQRLESMLSSRPAYEKLGITQSNIFTVSCNDLINQEHIINVQLPILLERSKGS IKLVIIDSISHHLRVELQNKSFRESQENKNYLDRMAEKLQILAHDYSLSVVVANQVGD KPLANSPVAHRTYVTDYDYQLGWLVGWKNSTILYRQMNSLLGASSNNDEILSDDEDYM LIERVMSTVNDRNYDFFSKKKPPIIENKTVERNSSSPISRQSKKRKFDYRVPNLGLTW SNHVSTRILLQKSFKASTIIQRGEAHLYKGGDSASFWQVKRTMKVVYSTFAKPGQIAY QITKRGIETA YDR005C MKFIDELDIERVNQTLNFETNDCKIVGSCDIFTTKAVASDRKLY KTIDQHLDTILQENENYNATLQQQLAAPETNQSPCSSPFYSNRRDSNSFWEQKRRISF SEYNSNNNTNNSNGNSSNNNNYSGPNGSSPATFPKSAKLNDQNLKELVSNYDSGSMSS SSLDSSSKNDERIRRRSSSSISSFKSGKSSNNNYSSGTATNNVNKRRKSSINERPSNL SLGPFGPINEPSSRKIFAYLIAILNASYPDHDFSSVEPTDFVKTSLKTFISKFENTLY SLGRQPEEWVWEVINSHMTLSDCVLFQYSPSNSFLEDEPGYLWNLIGFLYNRKRKRVA YLYLICSRLNSSTGEVEDALAKKPQGKLIIDDGSNEYEGEYDFTYDENVIDDKSDQEE SLQ YDR006C MDQPRTHSGPTTASNPAPSSTNSSSAPSATNSKQERSSSSLSKP SSVVPSKDSPDGDAIAKTQAAALKNDMKSGDTSTLDGSSQNIIPNRASMQKYIDQSSD LLSRSSGVITPSMSLNASTNATNNDSSGNSANSSDLKIPIDRDNTIFKTFDTKTGQFL KNDDNEEEIRRNNKVDSIPPKNIYTNINNPSPSPPPSSKQPPSASAPQLPPATEPHKE QAAQQQPPGNASNFLRIFSNKKMRSHSVPTILHSSLRKLSSHNQYYRNQNILLNHPTP SGISKKKFSRNHHQPYLHSNNPLSSNPLSLKRAIFLNQQISGNASTNANNDNINNSTA TSMTNQSFLSSSNFDLTLEDRINYIKATPTPVPFPPINLQGLKEIDLQEILKNPQLRH DIIFDPLLQFRPNLDGERGNKKRQLANIYWNDVQNEIYVYSKRPEIFQYNRSRLVPLF DTLRDVLLTIVPQKESPMINNVLDTELNIQELLKGSLIMSNLSGWLADLFKHHCAPMR DPWVDKMSNKFKEAERDSSLTRLIEGLRLVFQILETMKLDIANHQIRILRPALLSNAV EFEKQYFNTLIASKRVNLNTSLLWFDKKFNENVTAGLVRNPSSITIPDVYNICIRSII NLLSCRKMVREYPTPLSFDHARLILLRADIRQIVCILVCRLLFQQLVANDPSMDKATK EYVIHTYSTKRLKNEIISIITDEHGNCRWTKNTMSIAVHLCKVIDDLHREYDNNGSCE QARRPQLPSLDNSKITFAKSWLSKQTQPLSEVYGVLENRVFKSLEDAIFNRSECTIDG RVKQDFVYLYNTNNGNVGSTNTLSTTTDTASVKISPSLMSPSKTSTTTPTGNAIASRG LFAATELEEFENVYRHLYALINLHWSVFGPHYIEMLGDKVNKKGI YDR007W MSVINFTGSSGPLVKVCGLQSTEAAECALDSDADLLGIICVPNR KRTIDPVIARKISSLVKAYKNSSGTPKYLVGVFRNQPKEDVLALVNDYGIDIVQLHGD ESWQEYQEFLGLPVIKRLVFPKDCNILLSAASQKPHSFIPLFDSEAGGTGELLDWNSI SDWVGRQESPESLHFMLAGGLTPENVGDALRLNGVIGVDVSGGVETNGVKDSNKIANF VKNAKK YDR009W MNTNVPIFSSPVRDLPRSFEQKHLAVVDAFFQTYHVKPDFIARS PGRVNLIGEHIDYCDFSVLPLAIDVDMLCAVKILDEKNPSITLTNADPKFAQRKFDLP LDGSYMAIDPSVSEWSNYFKCGLHVAHSYLKKIAPERFNNTPLVGAQIFCQSDIPTGG GLSSAFTCAAALATIRANMGKNFDISKKDLTRITAVAEHYVGVNNGGMDQATSVYGEE DHALYVEFRPKLKATPFKFPQLKNHEISFVIANTLVKSNKFETAPTNYNLRVIEVTVA ANALATRYSVALPSHKDNSNSERGNLRDFMDAYYARYENQAQPWNGDIGTGIERLLKM LQLVEESFSRKKSGFTVHEASTALNCSREEFTRDYLTTFPVRFQVLKLYQRAKHVYSE SLRVLKALKMMTSATFHTDEDFFTDFGRLMNESQASCDKLYECSCIETNQICSIALAN GSFGSRLTGAGWGGCTIHLVPSGANGNVEQVRKALIEKFYNVRYPDLTDEELKDAIIV SKPALGTCLYEQ YDR010C MCAEPDDWERIERHPRKLKIAPRMLDFYESYIVWREGNFFLCLR SFPNGVIRGGRCFFRATSLPWKNVYGYHGHITSVCGATLTRINRAMVASDAGMGSIGL TNLFLYRV YDR011W MSNIKSTQDSSHNAVARSSSASFAASEESFTGITHDKDEQSDTP ADKLTKMLTGPARDTASQISATVSEMAPDVVSKVESFADALSRHTTRSGAFNMDSDSD DGFDAHAIFESFVRDADEQGIHIRKAGVTIEDVSAKGVDASALEGATFGNILCLPLTI FKGIKAKRHQKMRQIISNVNALAEAGEMILVLGRPGAGCSSFLKVTAGEIDQFAGGVS GEVAYDGIPQEEMMKRYKADVIYNGELDVHFPYLTVKQTLDFAIACKTPALRVNNVSK KEYIASRRDLYATIFGLRHTYNTKVGNDFVRGVSGGERKRVSIAEALAAKGSIYCWDN ATRGLDASTALEYAKAIRIMTNLLKSTAFVTIYQASENIYETFDKVTVLYSGKQIYFG LIHEAKPYFAKMGYLCPPRQATAEFLTALTDPNGFHLIKPGYENKVPRTAEEFETYWL NSPEFAQMKKDIAAYKEKVNTEKTKEVYDESMAQEKSKYTRKKSYYTVSYWEQVKLCT QRGFQRIYGNKSYTVINVCSAIIQSFITGSLFYNTPSSTSGAFSRGGVLYFALLYYSL MGLANISFEHRPILQKHKGYSLYHPSAEAIGSTLASFPFRMIGLTCFFIILFFLSGLH RTAGSFFTIYLFLTMCSEAINGLFEMVSSVCDTLSQANSISGILMMSISMYSTYMIQL PSMHPWFKWISYVLPIRYAFESMLNAEFHGRHMDCANTLVPSGGDYDNLSDDYKVCAF VGSKPGQSYVLGDDYLKNQFQYVYKHTWRNFGILWCFLLGYVVLKVIFTEYKRPVKGG GDALIFKKGSKRFIAHADEESPDNVNDIDAKEQFSSESSGANDEVFDDLEAKGVFIWK DVCFTIPYEGGKRMLLDNVSGYCIPGTMTALMGESGAGKTTLLNTLAQRNVGIITGDM LVNGRPIDASFERRTGYVQQQDIHIAELTVRESLQFSARMRRPQHLPDSEKMDYVEKI IRVLGMEEYAEALVGEVGCGLNVEQRKKLSIGVELVAKPDLLLFLDEPTSGLDSQSSW AIIQLLRKLSKAGQSILCTIHQPSATLFEEFDRLLLLRKGGQTVYFGDIGKNSATILN YFERNGARKCDSSENPAEYILEAIGAGATASVKEDWHEKWLNSVEFEQTKEKVQDLIN DLSKQETKSEVGDKPSKYATSYAYQFRYVLIRTSTSFWRSLNYIMSKMMLMLVGGLYI GFTFFNVGKSYVGLQNAMFAAFISIILSAPAMNQIQGRAIASRELFEVRESQSNMFHW SLVLITQYLSELPYHLFFSTIFFVSSYFPLRIFFEASRSAVYFLNYCIMFQLYYVGLG LMILYMSPNLPSANVILGLCLSFMLSFCGVTQPVSLMPGFWTFMWKASPYTYFVQNLV GIMLHKKPVVCKKKELNYFNPPNGSTCGEYMKPFLEKATGYIENPDATSDCAYCIYEV GDNYLTHISSKYSYLWRNFGIFWIYIFFNIIAMVCVYYLFHVRQSSFLSPVSILNKIK NIRKKKQ YDR012W MSRPQVTVHSLTGEATANALPLPAVFSAPIRPDIVHTVFTSVNK NKRQAYAVSEKAGHQTSAESWGTGRAVARIPRVGGGGTGRSGQGAFGNMCRGGRMFAP TKTWRKWNVKVNHNEKRYATASAIAATAVASLVLARGHRVEKIPEIPLVVSTDLESIQ KTKEAVAALKAVGAHSDLLKVLKSKKLRAGKGKYRNRRWTQRRGPLVVYAEDNGIVKA LRNVPGVETANVASLNLLQLAPGAHLGRFVIWTEAAFTKLDQVWGSETVASSKVGYTL PSHIISTSDVTRIINSSEIQSAIRPAGQATQKRTHVLKKNPLKNKQVLLRLNPYAKVF AAEKLGSKKAEKTGTKPAAVFAETLKHD YDR013W MYGDLGNKLVLEAKRTKQLYARSNQDVNLPMYHEDIIRNILKEV SNLRKNTEYLKEQQQLGMLDDKVAKCQYFVTLLCMERNKRCLLAYQRLRTDILDSMAW NNNGLDLMSSITFSQQDTNNLSHQEQEYLKEYCDLITDLKSGDLVDIDLSGSLVPPSD VFIDVRVLKDAGEIQTEYGVFNLIKDSQFFVRQSDVERLIQQGYLQKI YDR014W MRAYGKRGPVLRTPFRSNKGLPSSSDVEFSDDDVNSVIPDVSST ISSSIADHPIEGLLDEPRKAQDSSSSFDGANEKPSSQLDSKRNDQNVKIITSSDTSMA FMKDEKLSAFNFLDGSKASKRKRRRTYQKHDANITSSIEPDVQDEDSITMHNEFESIR KIYNDINEFILKLPRADDDILNKMLENEMKMDDSIENNSIRTSKDKKYGKFRTILINK NKENEIMGEEVDQKANTLSLNNADNSNAEKEGLTSTNHYNELKNMGDTIKYQDDIEFL LSNSKSNDNTTVPINEYFKKLLNLSLMIINDEEFFQYAKRYFKKEIIKLSFAQFRSDF PELILLQGYLLHKVSESQSDFPPSFDNFSIELSKDDGKIRTKKNKHIKKLSHLNFEDF LRKTQFKTGLYYSLSLWEMHGNLSLDIIKRISILASNKDLFSRHVKTFIPLLEKLITA SEFCHMYIEQPEMFDSLISNLNNQFKDMLDDDSLIKILILLTNMEVHNYTLWKEADMI FQSSMNTILESIHPLTDAKVDNILLHLGLCLNICSRENSRLKLDGKLWYDMKTIFVKM IRDGSDTENRLVQGLFYLNFSFLIKQRKENSNLDPGELNLLLVELEAFKSETSQFNEG ISNKIEIALNYLKSIYTSERITI YDR014W-A MQQRSNRRSCSYIPLGVHNNAEKSLCTEVAPARKNKRSITTSPI VNINVVERRLFNLELEKQQLRAKNLSENTGGGSPNGGAYLDAKKGVREQDQYQGGPSK ELDRLQPPPSMKKSPPRKKKSLKDLIYETNKTFYQVDSNKVKYKVGLSKKQLLPSKTV DN YDR016C MMASTSNDEEKLISTTDKYFIEQRNIVLQEINETMNSILNGLNG LNISLESSIAVGREFQSVSDLWKTLYDGLESLSDEAPIDEQPTLSQSKTK YDR017C MDTSHEIHDKIPDTLREQQQHLRQKESEGCITTLKDLNVPETKK LSSVLHGRKASTYLRIFRDDECLADNNNGVDSNNGGSVTCADKITRSEATPKSVPEGL QVSEKKNNPDTLSSSLSSFILSNHEEPAIKPNKHVAHRNNITETGQGSGEDIAKQQSH QPQVLHHQTSLKPIQNVDEGCISPKSTYQESLHGISEDLTLKPVSSATYYPHKSKADS GYEEKDKMENDIDTIQPATINCASGIATLPSSYNRHTFKVKTYSTLSQSLRQENVNNR SNEKKPQQFVPHSESIKEKPNTFEQDKEGEQADEEEDEGDNEHREYPLAVELKPFTNR VGGHTAIFRFSKRAVCKALVNRENRWYENIELCHKELLQFMPRYIGVLNVRQHFQSKD DFLSDLDQENNGKNDTSNENKDIEVNHNNNDDIALNTEPTGTPLTHIHSFPLEHSSRQ VLEKEHPEIESVHPHVKRSLSSSNQPSLLPEVVLNDNRHIIPESLWYKYSDSPNSAPN DSYFSSSSSHNSCSFGERGNTNKLKRRDSGSTMINTELKNLVIREVFAPKCFRRKRNS NTTTMGNHNARLGSSPSFLTQKSRASSHDASNTSMKTLGDSSSQASLQMDDSKVNPNL QDPFLKKSLHEKISNALDGSHSVMDLKQFHKNEQIKHKNSFCNSLSPILTATNSRDDG EFATSPNYISNAQDGVFDMDEDTGNETINMDNHGCHLDSGKNMIIKSLAYNVSNDYSH HDIESITFEETSHTIVSKFILLEDLTRNMNKPCALDLKMGTRQYGVDAKRAKQLSQRA KCLKTTSRRLGVRICGLKVWNKDYYITRDKYFGRRVKVGWQFARVLARFLYDGKTIES LIRQIPRLIKQLDTLYSEIFNLKGYRLYGASLLLMYDGDANKSNSKRKKAANVKVNLI DFARCVTKEDAMECMDKFRIPPKSPNIEDKGFLRGVKSLRFYLLLIWNYLTSDMPLIF DEVEMNDMISEEADSNSFTSATGSKINFNSKWDWLDEFDKEDEEMYNDPNSKLRQKWR KYELIFDAEPRYNDDAQVSD YDR018C MKHSQKYRRYGIYEKTGNPFIKGLQRLLIACLFISGSLSIVVFQ ICLQVLLPWSKIRFQNGINQSKKAFIVLLCMILNMVAPSSLNVTFETSRPLKNSSNAK PCFRFKDRAIIIANHQMYADWIYLWWLSFVSNLGGNVYIILKKALQYIPLLGFGMRNF KFIFLSRNWQKDEKALTNSLVSMDLNARCKGPLTNYKSCYSKTNESIAAYNLIMFPEG TNLSLKTREKSEAFCQRAHLDHVQLRHLLLPHSKGLKFAVEKLAPSLDAIYDVTIGYS PALRTEYVGTKFTLKKIFLMGVYPEKVDFYIREFRVNEIPLQDDEVFFNWLLGVWKEK DQLLEDYYNTGQFKSNAKNDNQSIVVTTQTTGFQHETLTPRILSYYGFFAFLILVFVM KKNH YDR019C MSIIKKIVFKRFNSTLKKTALHDLHVSLGGTMVPYAGYSMPVLY KGQTHIESHNWTRTNAGLFDVSHMLQSKLSGPHSVKFLQRVTPTDFNALPVGSGTLSV LLNPQGGVVDDTIITKENDDNEFYIVTNAGCAERDTEFFHDELQNGSTLDCQWKIIEG RSLLALQGPKAKDVLEPLLSKTAPGKDLKELFFGQRHEFALKDGSLVQIARGGYTGED GFEISIANEKAVEFAEQLLANPVMKPIGLAARDSLRLEAGMCLYGHELDESITPVEAA LNWVISKSRRDLVDQKYWFNGYAKIMDQLNNKTYSKVRVGFKYLKKGPAARNGVKIFL PDAETEVGLVTSGSASPTLNNINIGQAYVQKGYHKKGTKLLVQVRNKFYPIELAKMPL VPTHYYKQ YDR020C MDRKAVEEKRIVISIGGGHATGVGAIALDLQNTFKSLYNSINIR VINLDNMIEGNIKSYNNNDYDFDNILNLVYEKHAVTSQNDMIQHDYEDPIDLIIVCGC YALYDKRINEISQLKVFLDSDADKRLISLIKKKNVGSNEQLAQLITEYMDHLRPEMQQ YIEPTRTFADLIIPSTNENLGRAVLVDGIVKAIEDTKSQIEGNNTNNKIRPRLWDFEA ETMDLEKDRYYDLS YDR021W MSFDREEDQKLKFKTSKKLKVSSTFESMNLKDDLLRGIYSYGFE APSSIQSRAITQIISGKDVIAQAQSGTGKTATFTIGLLQAIDLRKKDLQALILSPTRE LASQIGQVVKNLGDYMNVNAFAITGGKTLKDDLKKMQKHGCQAVSGTPGRVLDMIKKQ MLQTRNVQMLVLDEADELLSETLGFKQQIYDIFAKLPKNCQVVVVSATMNKDILEVTR KFMNDPVKILVKRDEISLEGIKQYVVNVDKEEWKFDTLCDIYDSLTITQCVIFCNTKK KVDWLSQRLIQSNFAVVSMHGDMKQEERDKVMNDFRTGHSRVLISTDVWARGIDVQQV SLVINYDLPEIIENYIHRIGRSGRFGRKGVAINFITKADLAKLREIEKFYSIKINPMP ANFAELS YDR022C MNVTVTVYDKNVKYRLEENIKNNKGPSNDDQPAYNNESKSTDGS DYAMFPTNIKYIFEDNNDELVDSSDAALTAGIDKVGDELENVIIVQLDESGSLEDITL ISDQYELLSHRTNSLSLEENQMRTLSSHGDDKSNDEEEELSVDSDRFRVDSDIELDVI SQFCDLSPFLRDLSLNDLIKLYVTQNEQLQMLSNSV YDR023W MLDINQFIEDKGGNPELIRQSQKARNASVEIVDEIISDYKDWVK TRFELDELNKKFNKLQKDIGLKFKNKEDASGLLAEKEKLTQQKKELTEKEQQEDKDLK KKVFQVGNIVHPSVVVSNDEENNELVRTWKPEDLEAVGPIASVTGKPASLSHHEILLR LDGYDPDRGVKICGHRGYFFRNYGVFLNQALINYGLQFLAAKGYIPLQAPVMMNKELM SKTAQLSEFDEELYKVIDGEDEKYLIATSEQPISAYHSGEWFEKPQEQLPIHYVGYSS CFRREAGSHGKDAWGVFRVHAFEKIEQFVITEPEKSWEEFEKMISYSEEFYKSLKLPY RIVGIVSGELNNAAAKKYDLEAWFPYQKEYKELVSCSNCTDYQSRNLEIRCGIKKMGD REKKYVHCLNSTLAATQRALCCILENYQTEDGLVVPEVLRKYIPGEPEFLPFVNELPK NSTSSKDKKKKN YDR025W MSTELTVQSERAFQKQPHIFNNPKVKTSKRTKRWYKNAGLGFKT PKTAIEGSYIDKKCPFTGLVSIRGKILTGTVVSTKMHRTIVIRRAYLHYIPKYNRYEK RHKNVPVHVSPAFRVQVGDIVTVGQCRPISKTVRFNVVKVSAAAGKANKQFAKF YDR026C MDSVSNLKSTNFQNNNDPKESVEEAVLRYVGVDLKNHIKKTKKK LKKQKKRKHGSKMSHEDEDTDMDWYLKTSGSKDLRKVDDIEPNSVAVAAVAAAYNSSM REKDKRSCHKKSSNSRSERKKHRKRKSSKERKAKIKMVLDPQLTTLDDGITTTAFLPD DLIAETAFDKYVDTEKAYLAKHPSKSLEVNEDDKENNFNNNSSTLVRIYTDLEGIPND GSYIKRTPKIPEKDVKSDDLILAPEENNGDTALLRSDIVKASVIDGAITKSIGKKFTP SEENALDQFIEEYMKIRGLDRRQMCERIWSTDGVIRDGFWANISKVLPYRTRSSIYKH IRRKYHIFEQRGKWTPEEDQELARLCLEKEGHWTEVGKLLGRMPEDCRDRWRNYMKCG SKRGSKRWSKEEEELLTTVVNEMIEEAHQYQRMKALEAANKNDRYNQMYSRGPKGKRI SDNPTFKDMINWTVVSERMSGTRSRIQCRYKWNKLVTDEAARSMLSIPVSERKWLLER LKQLPKTSYSNIDWNSIATYKPGYPRTGLELRLCYEQMREKIHDFKGRSTAEIIDSLL EQIN YDR027C MSISETPHNKSQGLQKAAGRPKIVVPEGSPSRNSDSGSFTIEGD TSLNDDLLSISGSVTPRARRSSRLSLDSITPRRSFDSRTLSVANSRSFGFENETHSGS MDFSPLGNNSIYEIVMNTRRKNWLNYPTVADIPQVSLSKNDLDDHWKTHVIEYVKNIK SDYQIFQSTNNIRNMNQMEQLKELREGENMHEESFEANLRQGDAELINSIPDFYFSDK FQLDNPRTFHKVLDAIDLFLTKLDMKRQAERDEAFSELRDRLNDFLDIVETLLVTEIS KSSHKFFHALSEVDNIQKRALDTMSELKELAQNIKTIDAENIRKKISHLEMIFKRKNV EKLEQGLLQAKLVLNKTDECKSMYEENKLDNCLELIKSIDYLIKGDDSINEDVQSWTR CWPYKLSNLRTIPALSATREFLTNMKIEIGGKFSLQLSILLIDDLRSFCKSIKPKETL HRIQTGSNDKKQTIFTDNFSSKITELIVRLNRCEELTSAFDLYREKSITELKSIIKIY LPTENAHADNNHDEKHLNNGSTSGSKLSRLIKEQTPAEFQSMLVNIFTHALEALRRLY GHQKLLLDISLNELASVKSPNENQHNMITQLDIRTGINEIIRIIQLRTGKIIAVRREL NLSLRYDYFLKFYAICVIFIQECEVLSGEFLTKYLSNVLASQIKHYANAQSSKNYRNI KKKIDAEEWIPYIVDSSIQSDVNDIVSSIDIDPLSWTTILDMVGGSHDCENGRSEDKE KDEGNETYQGHRKSVVVGDKTFVASSSLLATIEVIKELMVLSINLPSIYLSNFEKLCY DALQYYNSSAMASVTQPGNSLLKTGRNLSIMGESLDCLAEFVIIVQRFYQRLSNSNRD FEPFDASHYTTLLGQFQASSNKIYMANAPPPPV YDR028C MSTNLANYFAGKKDIENEHVNRNASHESNSKSDVKISGNDNDND EDMGPSVSMAVQAKNDDDFHKSTFNLKRTRSMGLLDEYIDPTKKLLGRSDDLYDNDNE YYDNSSNNSSSNSSDDDYDDGYQEHSTSVSPPPADNDSYLIPQDDNDVVVEPERHVDY LSHEWKESEISNSWKYIILKKKKRDVDLVNAARLENASWRTWAKARNNLKTVSPEVVN WSKDSDVTWLYGPIVRDSEGNAQSEEEHDLERGYGSDDENSKRISMPTKNSKSIAAAP KPILKKRTVTEIIEDNALWKLNEARKHMTEMKHASVIMDPNGNKNVHDDFDALAAQVN AQYYHYPKESNSSVSLKSQHSDKKDNSTIPNPVGENSNGGGDKGEEDLHLKSALHVQN NRSTAQSNKSILENSTNDRKANLDQNLNSPDNNRFPSSTSSSNRDNENNSMGLSSILT SNPSEKSNKPTKNRHIHFNDRVEQCMALRYPASQSEDDESDDENKQYVDVNNNANVTT INNNRTPLLAIQHKSIPINSATEHLNKNTSDDDTSSQSSSSSHSDDEEHGGLYINARF SRRSDSGVHSPITDNSSVASSTTSRAHVRPIIKLLPDTTLNYGSDEESDNGEFNGYGN AVSHNVNTSRGYDYIYDYNSVYTGDTSSFLPVDSCDIVDVPEGMDLQTAIADDNASNY EFNNAVESKEKHVPQLHKASANNTTRQHGSHMLLYDDDNYSSSSDSEQQFIEDSQYNS SDDEEEEDDDDQEVDDNHDEGLSLRRTLSLGKSGSTNSLYDLAQPSLSSATPQQKNPT NFTGGKTDVDKDAQLAVRPYPLKRNSSSGNFIFNSDSEEESSSEEEQRPLPANSQLVN RSVLKGSVTPANISSQKKKALPKQPKASDSSQSFRIVNNTPSPAEVGASDVAIEGYFS PRNESIKSVVSGGNMMDHQDHSEMDTLAKGFENCHINNASKLKDKKVDSVQTTRKEAS LTDSSNESLHKVVQNARGMASKYLHSWKKSDVKPQENGNDSS YDR029W MSKYYILIELMNDKKNTNSSCDIFSFYLNFSDNPFSSFRLKSRL LYGIFSCFLLFYSLKDLIGVFKQKYLYLSILLLWLLVLLFCLAKGLSHNLRADSFLQY PL YDR030C MDPFLEFRVGNISLNEFYRRTIQSEFERILEDPLSNMKNYRFSK QSNYSTKEKTPLSIGVNCLDIDDTGQVLLGGGDDGSLSIWGLDESLHRNDEGEQELIN KRLNYIKRQPHQSDDEPAQIMGYKNKRTRINDNNTMRLVHSFQTQRNKYRMYRQSSAA VPVQRSHISNKTDSPIGFSETLSETDSEASISHHKYGITTLKWYKADNGMFFTGSNDK TVKIWDTNRFEAVQDINLGYKINQIDNNVVDDSSLLVVASEDYYPRLIDLRTMNSGVT ALGMGNQTRMQSEILCCKFNPVREQIIACGDMEGGVKLWDLRMRNRLYSELKRNKNRF KTINNDDNDDQSDVYFSSNQSKAHLRCCSDIVWNSEGSELCSVGMDGKLNVWRPFTEI LQPEGLASYSQLGTQDLSRIKYKKRVSRRLLWFDKFLLCITDNGEVEIYNTEEKKLWN KLEYPMVNQVKKNQASHCQFSSMIVQTNIMNSVGLKLFFGTNNNTVSDGGSIFECS YDR031W MSDILDEIVIEDVVANCPQEFLQYHKCIRDNEENPGKCKDGRMI LSTCIREKVPSVKSIMSECSEPMKKYDQCIRDNMGTRTINENCLGFLQDLRKCAELQV KNKNIKPSINGVNLELIKD YDR032C MPRVAIIIYTLYGHVAATAEAEKKGIEAAGGSADIYQVEETLSP EVVKALGGAPKPDYPIATQDTLTEYDAFLFGIPTRFGNFPAQWKAFWDRTGGLWAKGA LHGKVAGCFVSTGTGGGNEATIMNSLSTLAHHGIIFVPLGYKNVFAELTNMDEVHGGS PWGAGTIAGSDGSRSPSALELQVHEIQGKTFYETVAKF YDR033W MSTFETLIKRGGNEAIKINPPTGADFHITSRGSDWFWTCFCCYL LFGLILTFLMFRKPVNDRFFYLTGIAPNFFMCIAYFTMASNLGWIPVKAKYNHVQTST QKEHPGYRQIFYSRFVGWFLALPWPIIQICMLAGTPFWQMAFNVCITEFFTVCWLIAA CVHSTYKWGYYTIGLGAAIVVSISVMTTSYNLVKQRDNDIRLTFLVFFSIIMFLWIIA YPTCFGITDGGNVLQPDSAGIFYGIIDLILMCFIPTLLVPIANHFGADKLGYHFGPSD AEAVMAPKAPVASPRPAATPNLSKDKKKKSKKSKKSKKSKKSEE YDR034C MFESVNLDENSPEDRELAKVLSPPGSYLSPASLDSGSSFTNSGT STSCFEPKNNLPSLSFLNARAGSLGGIFNHKQMTSPSNSNIGGENVESTTSSNDGSNE NAGHPTTSEQDQNADHPTISQADDNGHSSLTPNPAVTSTVTDKKGNTVKRKYSRNGCS ECKRRRMKCDETKPTCWQCARLNRQCVYVLNPKNKKRRTSNAQRVKEFRKHSTSLDND HNNARKRQHSSCKAEKKKKVRQNLSEDTTDPKPITDNGKNVPLDEIESLEIPNLDLTT TMNGYDVNLLMQNLNDMVNMKLHDSYLLNEELKGLDLPDLDIPELLPASNVNSSVPIS FLVNNVITFNTKLSSFKLGGIHDKYLKIFYYDCLDSIAPFFQNQGNPLRDILLSFAKN EAYLLSSILATGASIAYRKSNNLEDERNYCAYLSHCLSLLGEQFKNESNVLNRIEPII LTVIMLAWDCIYSMNSQWRSHLKGVTDLFKKINAGNSSKVLNVAKCWFKVMETFASIS TVFGGSLIDNNDLDAIFDPYDYQYVDSLKFLNIMTPLNEFNLLRGHKEDFDLVIKEVF KSLNTIRSTEKNYFSKEEGLFTKKLDYLLLSSQTSSEKSKDQISYFNTQKILVEIDKQ LDYEFIDKSGIIPSDNQSHPRISNIHDNAIDMVTLKNGEEVAISWYDISHQTQVLSFL LIVLLKLLGMPKESSTIQQVVKKIMSFFKFLDSDSPPQNSRTCYSNFAVLIAGLNAMD EETRAIVKRYYKINGGKFQKLTEHNLNRLEKVWYGKNQNYRLEEQDVLTW YDR034C-D MESQQLSQNSPNLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDLKNQHSEIPQAKTK VGNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYQRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTHTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDKQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEKNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSINPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAACDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTNKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNEDNKEK DRYIEAYHKEINQLLRMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGEGDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YDR034C-C MESQQLSQNSPNLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDLKNQHSEIPQAKTK VGNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYQRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YDR034C-A MESIFLHANITIIPHSVLYVSLSYYIINPCVSVSTNLDDYFSSF VSSSNTVYDNILVT YDR034W-B MRHHQNMHYAPQQQPVYVQQPPPRRESGGCCRTCCHFLCCLCLI NLCCDVF YDR035W MFIKNDHAGDRKRLEDWRIKGYDPLTPPDLLQHEFPISAKGEEN IIKARDSVCDILNGKDDRLVIVIGPCSLHDPKAAYDYADRLAKISEKLSKDLLIIMRA YLEKPRTTVGWKGLINDPDMNNSFQINKGLRISREMFIKLVEKLPIAGEMLDTISPQF LSDCFSLGAIGARTTESQLHRELASGLSFPIGFKNGTDGGLQVAIDAMRAAAHEHYFL SVTKPGVTAIVGTEGNKDTFLILRGGKNGTNFDKESVQNTKKQLEKAGLTDDSQKRIM IDCSHGNSNKDFKNQPKVAKCIYDQLTEGENSLCGVMIESNINEGRQDIPKEGGREGL KYGCSVTDACIGWESTEQVLELLAEGVRNRRKALKK YDR036C MLRNTLKCAQLSSKYGFKTTTRTFMTTQPQLNVTDAPPVLFTVQ DTARVITLNRPKKLNALNAEMSESMFKTLNEYAKSDTTNLVILKSSNRPRSFCAGGDV ATVAIFNFNKEFAKSIKFFTDEYSLNFQIATYLKPIVTFMDGITMGGGVGLSIHTPFR IATENTKWAMPEMDIGFFPDVGSTFALPRIVTLANSNSQMALYLCLTGEVVTGADAYM LGLASHYVSSENLDALQKRLGEISPPFNNDPQSAYFFGMVNESIDEFVSPLPKDYVFK YSNEKLNVIEACFNLSKNGTIEDIMNNLRQYEGSAEGKAFAQEIKTKLLTKSPSSLQI ALRLVQENSRDHIESAIKRDLYTAANMCMNQDSLVEFSEATKHKLIDKQRVPYPWTKK EQLFVSQLTSITSPKPSLPMSLLRNTSNVTWTQYPYHSKYQLPTEQEIAAYIEKRTND DTGAKVTEREVLNHFANVIPSRRGKLGIQSLCKIVCERKCEEVNDGLRWK YDR037W MSQQDNVKAAAEGVANLHLDEATGEMVSKSELKKRIKQRQVEAK KAAKKAAAQPKPASKKKTDLFADLDPSQYFETRSRQIQELRKTHEPNPYPHKFHVSIS NPEFLAKYAHLKKGETLPEEKVSIAGRIHAKRESGSKLKFYVLHGDGVEVQLMSQLQD YCDPDSYEKDHDLLKRGDIVGVEGYVGRTQPKKGGEGEVSVFVSRVQLLTPCLHMLPA DHFGFKDQETRYRKRYLDLIMNKDARNRFITRSEIIRYIRRFLDQRKFIEVETPMMNV IAGGATAKPFITHHNDLDMDMYMRIAPELFLKQLVVGGLDRVYEIGRQFRNEGIDMTH NPEFTTCEFYQAYADVYDLMDMTELMFSEMVKEITGSYIIKYHPDPADPAKELELNFS RPWKRINMIEELEKVFNVKFPSGDQLHTAETGEFLKKILVDNKLECPPPLTNARMLDK LVGELEDTCINPTFIFGHPQMMSPLAKYSRDQPGLCERFEVFVATKEICNAYTELNDP FDQRARFEEQARQKDQGDDEAQLVDETFCNALEYGLPPTGGWGCGIDRLAMFLTDSNT IREVLLFPTLKPDVLREEVKKEEEN YDR038C MSEGTVKENNNEEFNAYHTLTTEEAAEFIGTSLTEGLTQDESLR RLKAVGENTLGDDTKIDYKAMVLHQVCNAMIMVLVISMAISFAVRDWITGGVISFVIA VNVLIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTI PADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSAVVKG RAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVG TPLHRKLSKLAVLLFWIAVLFAIIVMASQKFDVDKRVAIYAICVALSMIPSSLVVVLT ITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTI TISNSDDPFNPNEGNVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDL FQKWLETATLANIATVFKDDATDCWKAHGDPTEIAIQVFATKMDLPHNALTGEKSTNQ SNENDQSSLSQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFES IISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQ LKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKA IAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVR MIEALHRRKKFCAMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASI LNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWII VVTSCFPAMGLGLEKAAPDLMDRPPNDSEVGIFTWEVIIDTFAYGIIMTGSCMASFTG SLYGINSGRLGHDCDGTYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMH PDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAI AFTIAFWIGAELYKCGKRRYFKTQRAHNSENDLERSSKHDPFEAYSTSTTLQSEINIS VKH YDR039C MSEGTVKENNNEEFNAYHTLTTEEAAEFIGTSLTEGLTQDESLR RLKAVGENTLGDDTKIDYKAMVLHQVCNAMIMVLVISMAISFAVRDWITGGVISFVIA VNVLIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTI PADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSAVVKG RAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVG TPLHRKLSKLAVLLFWIAVLFAIIVMASQKFDVDKRVAIYAICVALSMIPSSLVVVLT ITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTI TISNSDDPFNPNEGNVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDL FQKWLETATLANIATVFKDDATDCWKAHGDPTEIAIQVFATKMDLPHNALTGEKSTNQ SNENDQSSLSQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFES IISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQ LKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKA IAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVR MIEALHRRKKFCAMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASI LNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWII VVTSCFPAMGLGLEKAAPDLMDRPPHDSEVGIFTWEVIIDTFAYGIIMTGSCMASFTG SLYGINSGRLGHDCDGTYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMH PDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAI AFTIAFWIGAELYKCGKRRYFKTQRAHNPENDLESNNKRDPFEAYSTSTTIHTEVNIG IKQ YDR040C MGEGTTKENNNAEFNAYHTLTAEEAAEFIGTSLTEGLTQDEFVH RLKTVGENTLGDDTKIDYKAMVLHQVCNAMIMVLLISMIISFAMHDWITGGVISFVIA VNVLIGLVQEYKATKTMNSLKNLSSPNAHVIRNGKSETINSKDVVPGDICLVKVGDTI PADLRLIETKNFDTDESLLTGESLPVSKDANLVFGKEEETSVGDRLNLAFSSSAVVKG RAKGIVIKTALNSEIGKIAKSLQGDSGLISRDPSKSWLQNTWISTKKVTGAFLGTNVG TPLHRKLSKLAVLLFWIAVLFAIIVMASQKFDVDKRVAIYAICVALSMIPSSLVVVLT ITMSVGAAVMVSRNVIVRKLDSLEALGAVNDICSDKTGTLTQGKMLARQIWIPRFGTI TISNSDDPFNPNEGNVSLIPRFSPYEYSHNEDGDVGILQNFKDRLYEKDLPEDIDMDL FQKWLETATLANIATVFKDDATDCWKAHGDPTEIAIQVFATKMDLPHNALTGEKSTNQ SNENDQSSLSQHNEKPGSAQFEHIAEFPFDSTVKRMSSVYYNNHNETYNIYGKGAFES IISCCSSWYGKDGVKITPLTDCDVETIRKNVYSLSNEGLRVLGFASKSFTKDQVNDDQ LKNITSNRATAESDLVFLGLIGIYDPPRNETAGAVKKFHQAGINVHMLTGDFVGTAKA IAQEVGILPTNLYHYSQEIVDSMVMTGSQFDGLSEEEVDDLPVLPLVIARCSPQTKVR MIEALHRRKKFCTMTGDGVNDSPSLKMANVGIAMGINGSDVSKEASDIVLSDDNFASI LNAVEEGRRMTDNIQKFVLQLLAENVAQALYLIIGLVFRDENGKSVFPLSPVEVLWII VVTSCFPAMGLGLEKAAPDLMDRPPHDSEVGIFTWEVIIDTFAYGIIMTGSCMASFTG SLYGINSGRLGHDCDGTYNSSCRDVYRSRSAAFATMTWCALILAWEVVDMRRSFFRMH PDTDSPVKEFFRSIWGNQFLFWSIIFGFVSAFPVVYIPVINDKVFLHKPIGAEWGLAI AFTIAFWIGAELYKCGKRRYFKTQRAHNPENDLESNNKRDPFEAYSTSTTIHTEVNIG IKQ YDR041W MLRNTIALRSFIRTQSTRPYPVNVEAVYYAPLKLPIKYGDLVAD IQLRSYDNENLDFYSDFILRTGYYLGIPLTGPKPLPTRRERWTVIKSPFVHAKSKENF ERHTHKRLIRAWDTNPEVLQMLIAYITKHSMAGVGMKCNFFQRSEISLDLGSDANGLE KSLSNIDELYSLRNDDKAQTSAVGQKVLELLDSPDFKKHLEKK YDR042C MEQILYNQSLKISTLSTFQGLKFLKVLIFSIFQQLFYNPVIQLF GTKASIMESDSTTLLESSWSTERNFLNMNSDSISATKNVFILFFTIFRLAEYIVYKLS DQKYRLHTSLNVQHFRWNSKKNTNRKRTLSFSKAYLPRTNILPIFVADGLKNRFSGPL PGKSLESFQKLNLLSDNNIKRYAVPGNKAVNTLLWQEQYS YDR043C MFYPYNYSNLNVSTMPALPGISAFDGMQDEENVEISPERKYQTL LPVLTNSHVVENELKHKLNKTAFDFRYQTKSENGSEKWEPKYLITPNLQTRSVSFDNS SVQYNSDSSEKSSLSQLTCNSSIIQQPENGIVSNDAYNKMANSRYSLKTRKQRTDPRN TLSDEEDLEQRRKYICKICARGFTTSGHLARHNRIHTGEKNHCCPYKGCTQRFSRHDN CLQHYRTHLKKGQ YDR044W MPAPQDPRNLPIRQQMEALIRRKQAEITQGLESIDTVKFHADTW TRGNDGGGGTSMVIQDGTTFEKGGVNVSVVYGQLSPAAVSAMKADHKNLRLPEDPKTG LPVTDGVKFFACGLSMVIHPVNPHAPTTHLNYRYFETWNQDGTPQTWWFGGGADLTPS YLYEEDGQLFHQLHKDALDKHDTALYPRFKKWCDEYFYITHRKETRGIGGIFFDDYDE RDPQEILKMVEDCFDAFLPSYLTIVKRRKDMPYTKEEQQWQAIRRGRYVEFNLIYDRG TQFGLRTPGSRVESILMSLPEHASWLYNHHPAPGSREAKLLEVTTKPREWVK YDR045C MLSFCPSCNNMLLITSGDSGVYTLACRSCPYEFPIEGIEIYDRK KLPRKEVDDVLGGGWDNVDQTKTQCPNYDTCGGESAYFFQLQIRSADEPMTTFYKCVN CGHRWKEN YDR046C MSDPIVTSSKMEKSAEFEVTDSALYNNFNTSTTASLTPEIKEHS EESRNGLVHRFVDSFRRAESQRLEEDNDLEDGTKSMKSNNHLKKSMKSRHVVMMSLGT GIGTGLLVANAKGLSLAGPGSLVIGYVMVSFVTYFMVQAAGEMGVTYPTLPGNFNAYN SIFISKSFGFATTWLFCIQWLTVLPLELITSSMTVKYWNDTINADVFIVIFYVFLLFI HFFGVKAYGETEFIFNSCKILMVAGFIILSVVINCGGAGVDGYIGGKYWRDPGSFAEG SGATRFKGICYILVSAYFSFGGIELFVLSINEQSNPRKSTPVAAKRSVYRILIIYLLT MILIGFNVPHNNDQLMGSGGSATHASPYVLAASIHKVRVIPHIINAVILISVISVANS ALYAAPRLMCSLAQQGYAPKFLNYIDREGRPLRALVVCSLVGVVGFVACSPQEEQAFT WLAAIAGLSELFTWSGIMLSHIRFRKAMKVQGRSLDEVGYKANTGIWGSYYGVFFNML VFMAQFWVALSPIGNGGKCDAQAFFESYLAAPLWIFMYVGYMVYKRDFTFLNPLDKID LDFHRRVYDPEIMRQEDEENKERLKNSSIFVRVYKFWC YDR047W MGNFPAPKNDLILRAAKGEKVERPPCWIMRQAGRYLPEYHEVKN NRDFFQTCRDAEIASEITIQPVRRYRGLIDAAIIFSDILVIPQAMGMRVEMLEGKGPH FPEPLRNPEDLQTVLDYKVDVLKELDWAFKAITMTRIKLDGEVPLFGFCGGPWTLMVY MTEGGGSRLFRFAKQWINMYPELSHKLLQKITDVAVEFLSQQVVAGAQILQVFESWGG ELSSVDFDEFSLPYLRQIAERVPKRLQELGIMEQIPMIVFAKGSWYALDKLCCSGFDV VSLDWSWDPREAVKINKNRVTLQGNLDPGVMYGSKEVITKKVKQMIEAFGGGKSRYIV NFGHGTHPFMDPDVIKFFLEECHRIGSK YDR049W MNSQKASKMTGSLKKNDLYIFDLSEQLLNSLKLMSFDSTLREVE VEKTSDNDRNKESGDLQIARKKVTSNVMRCSVCQMSFDSRNEQKAHYQTDYHLMNVKR NLRGLDILSVEEFDALISKEHGIKSEDENSGGEQTSSDHEESEEASDRDPDLQTNNYM ETIIENDLQKLGFQKDESDAISHINTQSPYIYFKSKYLQKNEVLAIYKSLFNKRSLSN PNEALTFWNSQENPMAISALFMVGGGHFAGAIVSHQRLNVKGNAHKKDETLIEQAVNF LEHKTFHRYTTRRKQGGSQSAMDNAKGKANSAGSALRRYNESALKTDIQGVLKDWEPY LSKCDNIFIRARNVSDKKIFTDNTVLNKGDERIKSFPFTTNRPTVLELKKAWCELSYL KILPKPEPLAVKETVQKLEVSNKKDEFKEKQEPLLEEIQTEEIISLLKKGRAPLLISF LKKNKLDGNFRLKPESKYSLTPTMLHYASQQGMKQMALILLSNIKCDPTIKNRLGRTA WDLNRNDDVRHAFQIARYNLGESFTNWDETHIGQPLSREQVDEINEKKKAIENEKAEK LIKLELEAAKEKQRFAKDAERGPGKKLTNIPSIQQQNLNSLTDEQRRRLMREQRARAA EERMKKKY YDR050C MARTFFVGGNFKLNGSKQSIKEIVERLNTASIPENVEVVICPPA TYLDYSVSLVKKPQVTVGAQNAYLKASGAFTGENSVDQIKDVGAKWVILGHSERRSYF HEDDKFIADKTKFALGQGVGVILCIGETLEEKKAGKTLDVVERQLNAVLEEVKDWTNV VVAYEPVWAIGTGLAATPEDAQDIHASIRKFLASKLGDKAASELRILYGGSANGSNAV TFKDKADVDGFLVGGASLKPEFVDIINSRN YDR051C MCEENVHVSEDVAGSHGSFTNARPRLIVLIRHGESESNKNKEVN GYIPNHLISLTKTGQIQARQAGIDLLRVLNVDDHNLVEDLAKKYIKDESSRRTLPLKD YTRLSREKDTNIVFYTSPYRRARETLKGILDVIDEYNELNSGVRICEDMRYDPHGKQK HAFWPRGLNNTGGVYENNEDNICEGKPGKCYLQYRVKDEPRIREQDFGNFQKINSMQD VMKKRSTYGHFFFRFPHGESAADVYDRVASFQETLFRHFHDRQERRPRDVVVLVTHGI YSRVFLMKWFRWTYEEFESFTNVPNGSVMVMELDESINRYVLRTVLPKWTDCEGDLTT YDR052C MVSPTKMIIRSPLKETDTNLKHNNGIAASTTAAGHLNVFSNDNN CNNNNTTESFPKKRSLERLELQQQQHLHEKKRARIERARSIEGAVQVSKGTGLKNVEP RVTPKELLEWQTNWKKIMKRDSRIYFDITDDVEMNTYNKSKMDKRRDLLKRGFLTLGA QITQFFDTTVTIVITRRSVENIYLLKDTDILSRAKKNYMKVWSYEKAARFLKNLDVDL DHLSKTKSASLAAPTLSNLLHNEKLYGPTDRDPRTKRDDIHYFKYPHVYLYDLWQTWA PIITLEWKPQELTNLDELPYPILKIGSFGRCPFIGDRNYDESSYKRVVKRYSRDKANK KYALQLRALFQYHADTLLNTSSVNDQTKNLIFIPHTCNDSTKSFKKWMQEKAKNFEKT ELKKTDDSAVQDVRNEHADQTDEKNSILLNETETKEPPLKEEKENKQSIAEESNKYPQ RKELAATPKLNHPVLATFARQETEEVPDDLCTLKTKSRQAFEIKASGAHQSNDVATSF GNGLGPTRASVMSKNMKSLSRLMVDRKLGVKQTNGNNKNYTATIATTAETSKENRHRL DFNALKKDEAPSKETGKDSAVHLETNRKPQNFPKVATKSVSADSKVHNDIKITTTESP TASKKSTSTNVTLHFNAQTAQTAQPVKKETVKNSGYCENCRVKYESLEQHIVSEKHLS FAENDLNFEAIDSLIENLRFQI YDR054C MSSRKSTASSLLLRQYRELTDPKKAIPSFHIELEDDSNIFTWNI GVMVLNEDSIYHGGFFKAQMRFPEDFPFSPPQFRFTPAIYHPNVYRDGRLCISILHQS GDPMTDEPDAETWSPVQTVESVLISIVSLLEDPNINSPANVDAAVDYRKNPEQYKQRV KMEVERSKQDIPKGFIMPTSESAYISQSKLDEPESNKDMADNFWYDSDLDDDENGSVI LQDDDYDDGNNHIPFEDDDVYNYNDNDDDDERIEFEDDDDDDDDSIDNDSVMDRKQPH KAEDESEDVEDVERVSKKI YDR055W MQLHSLIASTALLITSALAATSSSSSIPSSCTISSHATATAQSD LDKYSRCDTLVGNLTIGGGLKTGALANVKEINGSLTIFNATNLTSFAADSLESITDSL NLQSLTILTSASFGSLQSVDSIKLITLPAISSFTSNIKSANNIYISDTSLQSVDGFSA LKKVNVFNVNNNKKLTSIKSPVETVSDSLQFSFNGNQTKITFDDLVWANNISLTDVHS VSFANLQKINSSLGFINNSISSLNFTKLNTIGQTFSIVSNDYLKNLSFSNLSTIGGAL VVANNTGLQKIGGLDNLTTIGGTLEVVGNFTSLNLDSLKSVKGGADVESKSSNFSCNA LKALQKKGGIKGESFVCKNGASSTSVKLSSTSKSQSSQTTAKVSKSSSKAEEKKFTSG DIKAAASASSVSSSGASSSSSKSSKGNAAIMAPIGQTTPLVGLLTAIIMSIM YDR056C MLVRLLRVILLASMVFCADILQLSYSDDAKDAIPLGTFEIDSTS DGNVTVTTVNIQDVEVSGEYCLNAQIEGKLDMPCFSYMKLRTPLKYDLIVDVDEDNEV KQVSLSYDETNDAITATVRYPEAGPTAPVTKLKKKTKTYADKKASKNKDGSTAQFEED EEVKEVSWFQKNWKMLLLGLLIYNFVAGSAKKQQQGGAGADQKTE YDR057W MQAKIIYALSAISALIPLGSSLLAPIEDPIVSNKYLISYIDEDD WSDRILQNQSVMNSGYIVNMGDDLECFIQNASTQLNDVLEDSNEHSNSEKTALLTKTL NQGVKTIFDKLNERCIFYQAGFWIYEYCPGIEFVQFHGRVNTKTGEIVNRDESLVYRL GKPKANVEEREFELLYDDVGYYISEIIGSGDICDVTGAERMVEIQYVCGGSNSGPSTI QWVRETKICVYEAQVTIPELCNLELLAKNEDQKNASPILCRMPAKSKIGSNSIDLITK YEPIFLGSGIYFLRPFNTDERDKLMVTDNAMSNWDEITETYYQKFGNAINKMLSLRLV SLPNGHILQPGDSCVWLAEVVDMKDRFQTTLSLNILNSQRAEIFFNKTFTFNEDNGNF LSYKIGDHGESTELGQITHSNKADINTAEIRSDEYLINTDNELFLRISKEIAEVKELL NEIVSPHEMEVIFENMRNQPNNDFELALMNKLKSSLNDDNKVEQINNARMDDDESTSH TTRDIGEAGSQTTGNTESEVTNVAAGVFIEHDEL YDR058C MKNDNKANDIIIDSVKVPDSYKPPKNPIVFCHGLSGFDKLILIP SVFHLTNLISNSIVHNMAENFMQDDEDKSDNKYTNLLEIEYWIGVKKFLQSKGCTVIT TKVPGFGSIEERAMALDAQLQKEVKKIESKDKRHSLNLIAHSMGGLDCRYLICNIKNR NYDILSLTTISTPHRGSEMADYVVDLFENLNALRVSQKILPICFYQLTTAYMKYFNLV TPNSPKVSYFSYGCSFVPKWYNVFCTPWKIVYERSKGCPNDGLVTINSSKWGEYRGTL KDMDHLDVINWKNKLQDDWSKFFRTTTVGEKVDILNFYLKITDDLARKGF YDR059C MSSSKRIAKELSDLGRDPPASCSAGPVGDDLYHWQASIMGPSDS PYAGGVFFLSIHFPTDYPFKPPKVNFTTKIYHPNINSSGNICLDILKDQWSPALTLSK VLLSICSLLTDANPDDPLVPEIAQIYKTDKAKYEATAKEWTKKYAV YDR060W MSENNGNPLDLSSLRNKISSKLRDNNSKKAKKTHKGKDVKASSN SKKVNEDIRREALALGASEEDLKLIQGLSDDDDAKSEQEFDAVADEDADDKGFKNDLQ NFMKNVGFDQHKLEDVDDDDIEEESTSSKESKIPAQEKEHAQSNIASSTIEKTSQESI DNGSEQEENTVEEANLSSDQEPESESAEKEKKEEKDGGLITQTTIISSDKLIIPYDKP WYEIPLDPQVGQNDDVEELSKEQIEKLFERGKQTLEADNQTYYEEFTKDSSQAKFMSQ ILSDGTLNDKISAVTLLIQDSPLHNTKSLETLVSYCGKKSRNSALQSLNALKDLFLNG LLPNRKLRYFKNQPGLSMMLNKKTLAIFYFEDYLKKLFFRVLEVLEVLSHDPIIHVRL QILNHVFDLLTNQPEQEFNLLRLGVNKIGDIDSKVSSKASYLLLKLEQAHPNMKSIVI DAIVDIALRPNADYHTTYYSVITLNQTILKRSEDSVANKLVKTYFTLFEKFLINTDKD NTNGVVKSNSKSYEEKRKKNFKKGKHGGKSVKIEKTENEVLDEKNSKLFSALLTGINR AFPFAQIPASVYEVHMETLFKITHSSNFNTSIQALVLINQVTVKAKLNSDRYYRTLYE SLFDPRLVNSSKQGIYLNLLYKSLKQDALNVERVEAFVKRILQVCSHWLNVGTITGFF FLLIQLAKTVPQIKNLLTNTPVDYEYESDAEEEQGDKDIKRKEYDGRKRDPKFANAEK SSLWEINNFINHFHPTVKTYANAYVTGETEQIAKPDLGLFTLSHFLDRFVYRSAKQTN TARGTSIMQPLFSGSRVNDSVLVKASDIMHDQGPVNTEDWLTKKVEDIKPEDKFFYQY FTTKKTADGKGKKSNKASNFDSDDEMDENEIWSALVKSRPDVEDDSDDSELDFAEDDF SDSTSDDEPKLDAIDDEDAKSEGSQESDQEEGLDEDIFYSFDGEQDNSDKKRSFAESS EEDESSEEEKEEEENKEVSAKRAKKKQRKNMLKSLPVFASADDYAQYLDQDSD YDR061W MSTNKFVVRITNALFKSSLASNSPPVYPKRIRHFEILPNEKWVI WGPGKGKFLDVLNNKYICEPPLSLRFGFLKESSNILPRIEQVAFKGVMPTAHLSARYE YFKDDYDQTCKQFIFDKASGSNAVSYKVETNNRQINMELYNALVENLNLSSLQDRWVM GLSNGQMRRARLARSILKEPDLLLIDDPFLGLDPAAIATISQFLAKYDSIEVSGGCPI VIGLRYQDTIPAWCTHICCVDEKNGILFEGPIEKLQSKMDETRSRALKELEQLKKASN SKEDISINDLICIHPMYGKKEHEIIKMPHLIELDGLSVSYKGEAVLENLHWKVQPGSK WHIRGDNGSGKSTLLSLLTAEHPQSWNSRVIDNGVPRRTGKTNYFDLNSKIGMSSPEL HAIFLKNAGGRLNIRESVATGYHEASSNNYLPIWKRLDKNSQEIVNMYLKYFGLDKDA DSVLFEQLSVSDQKLVLFVRSLIKMPQILILDEAFSGMEVEPMMRCHEFLEEWPGTVL VVAHVAEETPKCAHYLRLISPGEYEIGDMEN YDR062W MSTPANYTRVPLCEPEELPDDIQKENEYGTLDSPGHLYQVKSRH GKPLPEPVVDTPPYYISLLTYLNYLILIILGHVHDFLGMTFQKNKHLDLLEHDGLAPW FSNFESFYVRRIKMRIDDCFSRPTTGVPGRFIRCIDRISHNINEYFTYSGAVYPCMNL SSYNYLGFAQSKGQCTDAALESVDKYSIQSGGPRAQIGTTDLHIKAEKLVARFIGKED ALVFSMGYGTNANLFNAFLDKKCLVISDELNHTSIRTGVRLSGAAVRTFKHGDMVGLE KLIREQIVLGQPKTNRPWKKILICAEGLFSMEGTLCNLPKLVELKKKYKCYLFIDEAH SIGAMGPTGRGVCEIFGVDPKDVDILMGTFTKSFGAAGGYIAADQWIIDRLRLDLTTV SYSESMPAPVLAQTISSLQTISGEICPGQGTERLQRIAFNSRYLRLALQRLGFIVYGV ADSPVIPLLLYCPSKMPAFSRMMLQRRIAVVVVAYPATPLIESRVRFCMSASLTKEDI DYLLRHVSEVGDKLNLKSNSGKSSYDGKRQRWDIEEVIRRTPEDCKDDKYFVN YDR063W MSNLYKIGTETRNKIKKFRTSTARTDSIKALSIKIEPKPSYEII VDEDEQEELDEIEDLSELAEILPDNSPRFVLTAYPTTTKDGFKQTPLVLVYWKPMTVV SQEWKMLYAGALEMIREECGTFKLIEVSSGLEDDSDVEELREQLENC YDR064W MGRMHSAGKGISSSAIPYSRNAPAWFKLSSESVIEQIVKYARKG LTPSQIGVLLRDAHGVTQARVITGNKIMRILKSNGLAPEIPEDLYYLIKKAVSVRKHL ERNRKDKDAKFRLILIESRIHRLARYYRTVAVLPPNWKYESATASALVN YDR065W MAQNFGKIPSHKSYVLSLYRTVLRNIPKCCHSYAFQYEIKKTLS IQLFKHKHDKSSWSVYTLLNEFSLLNNCLLEGKLQEIKNLMKPLKKMKKQLKTTKILN SLTSLGDVKTNDPEEVRRFHVLSAYIKRKQDLGLLPAYIPKTYQHKLLLPLALNEHAC LKLFHIQQKLKNGPPSAGLSYTKEGRNQIWFVRSPINKGRQQSKKLGILIRKERKDSQ KNIDNLNFCEINAAWALHEAIWEEYLESKKIIKVNLPKYLEYAANIPKSTKCNPSSQY QKVKEWVDPVREIMFELHSKSFQRVEYFNKYKEKLLKNGGQLAYFDKKSKEMYAKRLT LFRKMSKETLPYVTLFIEGRDLPSVLAKYGF YDR066C MQIITTTFIQKVILGSHQLHEQLSIVEARMIESAIVSMLTESFC ENEQTLKYLARLLSPMSYMDVINARRGKKICGYPLCYKSAAENSSDGFFIHSMYCNNY HSKCSLYLMRQLSQTPLHERRGVHLTSYINLEFDDMYSVSLLEELVGSEVPIDTVKSL ITSFKDLEFDDTYKNEPLPLDVYFGQLTTDEETCIE YDR067C MTLVTPLQFSTVQPNLYRGSYPREINLPFLRTLRLKYILSLTPE PLSTDPLMVKFCEENNIKTIHIKCQSERKADKTKPKIKRKKKTVPIEYDVVVRCVKFL IDKGHYPCYMHCTNGELIISLVVACMRKFSYWSTVSILNEFLVYNSSINIHERNFIEN FNSEIEVDDLDIKDKVPWITVRYIARTATESKDELRVDDANASEKVARVSSVSNSLPK LKFHSM YDR068W MEFFYEEQVACIEDDKISNSHTKETGSTENTENNELQSRDDKTN EAFQKLEEEVNKRYEKTTSAFKKLVIEKDDGIEINLPISNETTETAQKYLKKLDENIH SVESLAQSYWSKMKTKNFWSGFSSFDNAAENDSNDKDENSKENEIAVGGNRTEAELRT LSKDKSVYLDNKMDLQLDPFDVDEKTEEICSILQGDKDISKLMNDIVPHKISYKDFWH IYFLQRNKILDKESKRKEILSKKEKETEEKEVEWDDEEEEEDDDKVEAVADNKSKGET KVAVSQEGLKDVSDHVGLANKDESKDDDDDDDWE YDR069C MEQNIISTIRDECIRHRSKYLTIAQLTAIAEAKINEFIITGKAK DQDLSSLLDKCIDILSIYKKNSKDIKNIISCKNKGAMISSNSVMIIQLNYVYYKVIHI IVTTNIPHLSEFAKIKLHKSTSDEGNGNNNNNEFQLMNIYNTLLETLLKDENIAKIKS FIKSSIKQTKLNHEQEECNLMRTGSYITSNQLNSLISSSANSASSQMEILLIDIRSRL EFNKSHIDTKNIICLEPISFKMSYSDHDLEKKSLITSPNSEIKMFQSRNLFKFIILYT DANEYNVKQQSVLLDILVNHSFEKPISDDFTKIFILESGFPGWLKSNYGRQVSSSFPS NNNIKDDSVYINGNTSGLSLQHLPKMSPSIRHSMDDSMKEMLVAPTPLNHLQQQQQQQ SDNDHVLKRSSSFKKLFSNYTSPNPKNSNSNLYSISSLSISSSPSPLPLHSPDPVKGN SLPINYPETPHLWKNSETDFMTNQREQLNHNSFAHIAPINTKAITSPSRTATPKLQRF PQTISMNLNMNSNGHSSATSTIQPSCLSLSNNDSLDHTDVTPTSSHNYDLDFAVGLEN LGNSCYMNCIIQCILGTHELTQIFLDDSYAKHININSKLGSKGILAKYFARLVHMMYK EQVDGSKKISISPIKFKLACGSVNSLFKTASQQDCQEFCQFLLDGLHEDLNQCGSNPP LKELSQEAEARREKLSLRIASSIEWERFLTTDFSVIVDLFQGQYASRLKCKVCSHTST TYQPFTVLSIPIPKKNSRNNITIEDCFREFTKCENLEVDEQWLCPHCEKRQPSTKQLT ITRLPRNLIVHLKRFDNLLNKNNDFVIYPFLLDLTPFWANDFDGVFPPGVNDDELPIR GQIPPFKYELYGVACHFGTLYGGHYTAYVKKGLKKGWLYFDDTKYKPVKNKADAINSN AYVLFYHRVYGV YDR070C MLRTTFLRTPRQLMRKSPRASFSIVTRAAFPHLKNNQDEAEKKE QGLFDSNKKRLDTLEHGKNPDYKQPGMEDLKKKGDDARIEQNRPDDGVY YDR071C MASSSSTLPLHMYIRPLIIEDLKQILNLESQGFPPNERASEEII SFRLINCPELCSGLFIREIEGKEVKKETLIGHIMGTKIPHEYITIESMGKLQVESSNH IGIHSVVIKPEYQKKNLATLLLTDYIQKLSNQEIGNKIVLIAHEPLIPFYERVGFKII AENTNVAKDKNFAEQKWIDMERELIKEEYDN YDR072C MNVIFSLASFVKNMYNASLNQRNLISLPFNFMLNFAPVFIWLSI FKRAGLIPIRLRPDIHSKFAFFADQFLFGDYWHELTVQLPDNTSKLFFWSFISSSAFL LVFLICIPFAIWYYIYYIKHVNYNLLEWFANIFHYPCKRKQRPIQKRFRTIFIPFALP LFTFVILNIDHFFAYQSDANFTKTKDLLAWFSYVILHLTAPILTAVYLYVFQPPGTLK CFSFALGLQNIAGVLTHLLVPMASPWFTHLYGIDDTEHVNYTQEGFAAGLIRVDSHLG THLNTKGFHMSPIVFGAVPSLHSAIAFQCFLFLVSRSTSLKHRFSNAGGFTMHNNDSS TFKLSEEDSEDEGDNSIPPTIGPNDLEMEPLGTVEPVDISNERSSSPSSSFTVSSNER STGGGDGSIINSNGNKKPLQFVHLYDEDTNFTNKWIFKIVNDGFIPKFWAILYIILQW WATMYLDHHYRFDLFVGVLYAMTSFIIINWFVLQPKVLKKWIHIRLGDKVDTRNEART FGMRVFCGTKMEWFFDPLA YDR073W MSSEIAYSNTNTNTENENRNTGAGVDVNTNANANANATANATAN ATANATAELNLPTVDEQRQYKVQLLLHINSILLARVIQMNNSLQNNLQNNINNSNNNN IIRIQQLISQFLKRVHANLQCISQINQGVPSAKPLILTPPQLANQQQPPQDILSKLYL LLARVFEIW YDR074W MTTTAQDNSPKKRQRIINCVTQLPYKIQLGESNDDWKISATTGN SALFSSLEYLQFDSTEYEQHVVGWTGEITRTERNLFTREAKEKPQDLDDDPLYLTKEQ INGLTTTLQDHMKSDKEAKTDTTQTAPVTNNVHPVWLLRKNQSRWRNYAEKVIWPTFH YILNPSNEGEQEKNWWYDYVKFNEAYAQKIGEVYRKGDIIWIHDYYLLLLPQLLRMKF NDESIIIGYFHHAPWPSNEYFRCLPRRKQILDGLVGANRICFQNESFSRHFVSSCKRL LDATAKKSKNSSNSDQYQVSVYGGDVLVDSLPIGVNTTQILKDAFTKDIDSKVLSIKQ AYQNKKIIIGRDRLDSVRGVVQKLRAFETFLAMYPEWRDQVVLIQVSSPTANRNSPQT IRLEQQVNELVNSINSEYGNLNFSPVQHYYMRIPKDVYLSLLRVADLCLITSVRDGMN TTALEYVTVKSHMSNFLCYGNPLILSEFSGSSNVLKDAIVVNPWDSVAVAKSINMALK LDKEEKSNLESKLWKEVPTIQDWTNKFLSSLKEQASSNDDMERKMTPALNRPVLLENY KQAKRRLFLFDYDGTLTPIVKDPAAAIPSARLYTILQKLCADPHNQIWIISGRDQKFL NKWLGGKLPQLGLSAEHGCFMKDVSCQDWVNLTEKVDMSWQVRVNEVMEEFTTRTPGS FIERKKVALTWHYRRTVPELGEFHAKELKEKLLSFTDDFDLEVMDGKANIEVRPRFVN KGEIVKRLVWHQHGKPQDMLKGISEKLPKDEMPDFVLCLGDDFTDEDMFRQLNTIETC WKEKYPDQKNQWGNYGFYPVTVGSASKKTVAKAHLTDPQQVLETLGLLVGDVSLFQSA GTVDLDSRGHVKNSESSLKSKLASKAYVMKRSASYTGAKV YDR075W MMDLDKIIASLRDGKHIPEETVFRLCLNSQELLMNEGNVTQVDT PVTICGDIHGQLHDLLTLFEKSGGVEKTRYIFLGDFVDRGFYSLESFLLLLCYKLRYP DRITLIRGNHETRQITKVYGFYDEVVRKYGNSNVWRYCCEVFDYLSLGAIINNSIFCV HGGLSPDMTTVDEIRTIDRKQEVPHEGAMCDLLWSDPEDVDTWSLSPRGAGFLFGKRE VDQFLEKNNVELIARAHQLVMEGYKEMFDGGLVTVWSAPNYCYRCGNVAAVLKIDDDL NREYTIFEAVQAQNEVGNAIIPTKKSQMDYFL YDR076W MSLGIPLSQLIVESPKPLSSGITGLDEILNLGFQARSIYEIFGP PGIGKTNFGIQLVCNSLEGIQQSEINDDKILWIETFQEMPINILRERFQKFKIVEENV KRVRITKFGQLLYFFQNLFKLSQSVRYKLVIIDGFSQLVCDHLCTLSKRGGGMIDKTI HELKCRHLILIFTVMTKYTHSTGSTIIVLNDCMNTAFQSNEFESLEEYYEILDDGSNF FVNSNNERRKNNVHILKSALVANIAMGSKDSTWEVFLRDRIGLFRDWNEQVDETVFVK SKRVKASSSQSNEGCTTIKEMRINKRNFENLRIAIVFNLHGEDRKREGRNLKRSRSSD DRNYIVKFDFDKATGQLRDIIDLKPDTANIASFPTLSTSSSSCSQVFNNIDSNDNPLP NAEGKEEIIYDSEG YDR077W MKLSTVLLSAGLASTTLAQFSNSTSASSTDVTSSSSISTSSGSV TITSSEAPESDNGTSTAAPTETSTEAPTTAIPTNGTSTEAPTTAIPTNGTSTEAPTDT TTEAPTTALPTNGTSTEAPTDTTTEAPTTGLPTNGTTSAFPPTTSLPPSNTTTTPPYN PSTDYTTDYTVVTEYTTYCPEPTTFTTNGKTYTVTEPTTLTITDCPCTIEKPTTTSTT EYTVVTEYTTYCPEPTTFTTNGKTYTVTEPTTLTITDCPCTIEKSEAPESSVPVTESK GTTTKETGVTTKQTTANPSLTVSTVVPVSSSASSHSVVINSNGANVVVPGALGLAGVA MLFL YDR078C MSKDVIEYSKLFAKLVNTNDDTKLDDTIASFLYYMFPRELFIRA ISLLESSDMFIYILDRVHNKEGNEHTSLIDVLVDEFYKGSSNSLLEYRLIVKDTNDGA PPILVDIAHWFCSCEEFCKYFHEALEKTDEKEELHDVLINEVDDHLQFSDDRFAQLDP HSLSKQWYFKFDKVCCSHLLAFSILLRSSINVLKFFTVNSNKVFVIAIDNIDEWLNLH INIVE YDR079W MGLFNNFKFKYTRAQLEIFRFSFCLLAPVAVMYYIGTDTDKKLN VPGFWPDPATLNQIPKEPYEIKAELARMKKERLEKRLRLEKKIQEEFGLDLEEEKEKI KRDLALKKG YDR079C-A MARARKGALVQCDPSIKALILQIDAKMSDIVLEELDDTHLLVNP SKVEFVKHELNRLLSKNIYNPMDEEENQ YDR080W MTTDNHQNDSVLDQQSGERTIDESNSISDENNVDNKREDVNVTS PTKSVSCISQAENGVASRTDESTITGSATDAETGDDDDDDDDDDDEDEDDEDEPPLLK YTRISQLPKNFFQRDSISSCLFGDTFFAFGTHSGILHLTTCAFEPIKTIKCHRSSILC INTDGKYFATGSIDGTVIIGSMDDPQNITQYDFKRPINSVALHSNFQASRMFVSGGMA GDVVLSQRNWLGNRIDIVLNKKKKKKTRKDDLSSDMKGPIMGIYTMGDLILWMDDDGI TFCDVPTRSQLLNIPFPSRIFNVQDVRPDLFRPHVHFLESDRVVIGWGSNIWLFKVSF TKDSNSIKSGDSNSQSNNMSHFNPTTNIGSLLSSAASSFRGTPDKKVELECHFTVSML ITGLASFKDDQLLCLGFDIDIEEEATIDEDMKEGKNFSKRPENLLAKGNAPELKIVDL FNGDEIYNDEVIMKNYEKLSINDYHLGKHIDKTTPEYYLISSNDAIRVQELSLKDHFD WFMERKQYYKAWKIGKYVIGSEERFSIGLKFLNSLVTKKDWGTLVDHLNIIFEETLNS LDSNSYDVTQNVLKEWADIIEILITSGNIVEIAPLIPKKPALRKSVYDDVLHYFLAND MINKFHEYITKWDLKLFSVEDFEEELETRIEAASEPTASSKEEGSNITYRTELVHLYL KENKYTKAIPHLLKAKDLRALTIIKIQNLLPQYLDQIVDIILLPYKGEISHISKLSIF EIQTIFNKPIDLLFENRHTISVARIYEIFEHDCPKSFKKILFCYLIKFLDTDDSFMIS PYENQLIELYSEYDRQSLLPFLQKHNNYNVESAIEVCSSKLGLYNELIYLWGKIGETK KALSLIIDELKNPQLAIDFVKNWGDSELWEFMINYSLDKPNFTKAILTCSDETSEIYL KVIRGMSDDLQIDNLQDIIKHIVQENSLSLEVRDNILVIINDETKKFANEFLKIRSQG KLFQVDESDIEINDDLNGVL YDR081C MLSIQQRYNICLMAERHPKWTQLELAKWAYETFQLPKIPSQGTI SRLLARKSTYMNCKEHEKDANRLRKPNNLLVRKILQEWISQSLWNGIPITSPIIQDTA QAVWHRIPAEHREGNGSFSYKWISNFLSKMDVNISVLDEELPKTPKVWTFEERDVLKA YFSKIPPKDLFTLDEAFLSYNLPLDYAQYEASSIQRRIEVATVMLCSNLDGSEKLKPV VVGKYDSYKSFRNYFPNEPNDPVSQSMLGTKMAKKFDISYHSNRKAWLTSNLFHNWLV RWDKRLVAVNRKIWIVLDDSCCHRIINLRLQNIKLVYTSSNSKFLPFNWGVWDEFKTR YRIQQYQALIDLQNRISKNIQNKNKSERNECIPNGKKCLISFEQSQLTMSNAFKFIKK AWDDIPVDAIKANWKSSGLLPPEMIHLNENVSMAFKKNEVLESVLNRLCDEYYCVKKW EYEMLLDLNIENKNTNFLSTEELVESAIVEPCEPDFDTAPKGNEVHDDNFDVSVFANE DDNNQNHLSMSQASHNPDYNSNHSNNAIENTNNRGSNNNNNNNGSSNNINDNDSSVKY LQQNTVDNSTKTGNPGQPNISSMESQRNSSTTDLVVDGNYDVNFNGLLNDPYNTMKQP GPLDYNVSTLIDKPNLFLSPDLDLSTVGVDMQLPSSEYFSEVFSSAIRNNEKAASDQN KSTDELPSSTAMANSNSITTALLESRNQAQPFDVPHMNGLLSDTSKSGHSVNSSNAIS QNSLNNFQHNSASVAEASSPSITPSPVAINSTGAPARSIISAPIDSNSSASSPSALEH LEGAVSGMSPSSTTILSNLQTNINIAKSLSTIMKHAESNEISLTKETINELNFNYLTL LKRIKKTRKQLNSESIKINSKNAQDHLETLLSGAAAAAATSANNLDLPTGGSNLPDSN NLHLPGNTGFF YDR082W MDKYGHIAHQEGDVCYYIPRLFKYNSYYSGTEDVRIFVGDLKYR MRVSLQICEKYYDRRLSMLFWKNHPLQQIHLIGCIIGLQFKWIGKQEYIFFQLDDCTS DSSLVGYTSDMRFLTCKVKKDSILSWGLNITDLIGLTLHVYGQASLNYQELQVEYLRL CYSLTEEIDHWKITMNMREQLDTPWSLSDFVIGELFTQEQEWTPETSQIEVVNPDFVG IGYKTPESKRNETTFIEQLQEERLKDELEIISPYNSTDTSNSVHSLSFRFVSSLKDFP ETHFLNSGDQIDNGNDEQLKKLEYQSANLPVMIPNRTSAKSNLMLILLGLQMKEISNS DLYKLKEVRSVVTSLASFLFQQQNVGVMKSFDSLEKEAFRDLVNRLVSQGLIGLKDKT SETFDLLPLKNLFEYAEKRISVLMKLQCYTGTVQLSHVQEKLHLPYITTNGIVDVFKE CLKRTKKQYPEVLKNWWIDLDPKNGMEDQNSGILLHLEYAAAYS YDR083W MALFNVEGWSIKTKTVAFDNKTNKSSKDKKKNNRKNGKLTREQK LKEETEAELKEQVEDIPSEGSVAKDIPKKNQEKSDQNETSKKRKHDEEAPLMQVKENI EKPTKKQLTPLQQKMMAKLTGSRFRWINEQLYTISSDEALKLIKEQPQLFDEYHDGFR SQVQAWPENPVDVFVDQIRYRCMKPVNAPGGLPGLKDSKEIVIADMGCGEAQLALEIN NFFKNYNKKAKKYLKRRHKVHSFDLKKANERITVADIRNVPLPDESCTIVVFCLALMG TNFLDFIKEAYRILAPRGELWIAEIKSRFSDGKGNEFVDALKLMGFFHKKTFDENKMF TRFEFFKPPAEIIEERRQKLERRQKFIEVETEKEELEKKRRKIAEGKWLLKPCIYKRR YDR084C MDQARNFYNTILKSSHPLLLSFHLAGKAVPIVFYIIGSMFLNFT PQFITVVLLLSFDFYLTKNITGRKLVQLRWWYDSTDVNKDSNFTFESYKQYAPGPPIN AIDSKLFWWSMYVTPVIWGVFAVLCLLRLKIFYLILVIVAMCLTAWNTYGFRCCDRWE PNSGQSDGQDTNNWFALPSVPGFENLSRLANIQSFFQRQ YDR085C MEGSYLSAQENQPIPERLIPRSNSTSNLFALSSTFSKLNVRNDA DYNYSNPNKKRHIYSGEIDCRSVTAARKFPVRSCSMTAAQQRKRTALFTVRERNSYHE GFNNDQDYVSQYQKPQYTFGVYKELTPYQLQRSKMKRSFQFPNGEIYKPKLDGKCTHS LKKPELNSRDSSLFKFSEKKGRNLSKDFVGPHNGTSVIHIPPNDTGYGVNSLELNTSV PSTIKSSVSSTSPISAVNTLTSLPESQTDDDDGYENKTVTISYCFENTVNEKHGSHIE KLDLSTKEKTKPTTNSGLFDRKKKTILGTEKYRCIKSQSKLKLGSVLKKLWRTSGNSN TKHGKKDTKRRRIPIDDMVTHSDGNSEAENDIELMDANLDGIEFDDDETLMDTDSIFD DLLSKENDKYDLRRRQLEIRQKLHETSHNDDGKVSFRDTEKHNVNEGLIDKTIIEEFS KLGEYIIDTRNQPPPRSSKRPSLDDNESARYFYNISTDLRQSLSGPISLPMHVGNDMV NRLRNDWEYIRFEDRRNSLPDSSFDKVETPPKPIKKDVRFAKEVCLASTWSSNAYERA NPEFIMNRHRLLWMMKVHPSMNSAMNEIKLELNSYKKNEMVVHENSKCFTHYLI YDR086C MARASEKGEEKKQSNNQVEKLVEAPVEFVREGTQFLAKCKKPDL KEYTKIVKAVGIGFIAVGIIGYAIKLIHIPIRYVIV YDR087C METSNFVKQLSSNNRKTRVNALEALKKYLTAKQFKENKQIEFNK LWKGLYYAMWFSDRPRPQQRLANELGELHGLYFDPKDNSTADELTTNDKAFIKFSRGF WKVMCFEWFNIDRYRLDKYLLLIRRVLFSQLKYLQSRNWDKKLVDEYIKKVLRWLPLS GSPKVYTGIPIHIVDILLDEWERLLKDGDEDDEDEENKEEEMRKIAESAKKTPLADVI AIFQDIVADYNNSKVLREKIKEDLFSDTRLVSWDILEGETQHNDSSNESEEEEEEEWK GF YDR088C MNNNSRNNENRSTINRNKRQLQQAKEKNENIHIPRYIRNQPWYY KDTPKEQEGKKPGNDDTSTAEGGEKSDYLVHHRQKAKGGALDIDNNSEPKIGMGIKDE FKLIRPQKMSVRDSHSLSFCRNCGEAGHKEKDCMEKPRKMQKLVPDLNSQKNNGTVLV RATDDDWDSRKDRWYGYSGKEYNELISKWERDKRNKIKGKDKSQTDETLWDTDEEIEL MKLELYKDSVGSLKKDDADNSQLYRTSTRLREDKAAYLNDINSTESNYDPKSRLYKTE TLGAVDEKSKMFRRHLTGEGLKLNELNQFARSHAKEMGIRDEIEDKEKVQHVLVANPT KYEYLKKKREQEETKQPKIVSIGDLEARKVDGTKQSEEQRNHLKDLYG YDR089W MKFEDRILNKSIPEWKFYNINYEKLKVAIKKVTAYDYDNPNDSG MEKLLNQCSVAFDQEFQNVNLFVSLKIKEISTRILSVESSIIDFSKGLNKTSRNRFNL RKLKIINAHVDDCNFELQLLSRFLIIQRIALRKLFKKLLNEFPQDSENPLTASEYVTS IRNSESLRNGHEGISFMKLDLDPYLLEVSLIVDVLHDLENKLEDATEPAVEQRSLNRS DESAHTSSSPEANNSSLPASPRSIPLLSNKKTSKMIDSSLEFDTALIDKAENLGRFLL SSEDIEGLKFMLLNIGFRIIDDSIISTSKEILDTTDNINSAGNKSIRSAKSFNDLQHT LSLSKQKNILPSAVQSNEKYVSISILDTVGNEGSPLLLTDDNINQHPNMIVSSTAEDT CIVMCHVGGLRNHVVTNDLLLRDVKNILSAMRSGNDTKNISALINSLDPSPISKIALE WIQSHRLKTIEPKLDFKRTRFISADNGDIYLIALDESITIGNVSTLPFPILEIKKLSR SSGLSQTAINEDNKFKQLMKSVVTNEFQCSLIPPDLTTWKICLELVHSNELQNDLFQL LLRDQYKLNSDDSLSPDEFFQLGKDRLEEEFDLTGPINNSQGSVDSGRRVRIHKKSKQ SDNETKKKPIRYWNEFDEQEEDNLDNAFYIDTNGSRSTTDNEESLLLRNSPPDYGFIL FSRNFINRTYDFCEKLRNLIRHDKKTSPDLFQNSKHPHCSSTNYGSVASFGSQSTSAS YDDVQRYLQYQQQDIEDSQSIYEYRHDEVVTFLYLSALLTSCIMASVCLGIVLSLFRG QSNNEIDLEIQNILIAIIIISLLVSLILICACLLLLFSRFTLAPIWHYVGCFTMFFSV TGTVCYGMIEIFF YDR090C MISEKAATALATIATVCWCVQLIPQIIYNWKKKDCTGLPPLMMF LWVVSGIPFAIYFCVSKGNVILQVQPHLFMFFCSISFVQSCYYPPISMARSKIVMIVA AIIAADVGMEVGFILWLRPLYEKGVKWPDLIFGISASVLLAVGLLPPYFELAKRKGRV IGINFAFLFIDSLGAWLSIISVILGNMDIMGIILYSIVAGMELGIFASHFIWWCRFRF LAKGNTFDEESGQAQKEEPDEKIEQDISKSDRNVTNYNLDNCSIPDDASSFADDFNIY DSTDGGTLSRAQTLHAVHGVVVRTDPDRYSRLSV YDR091C MSDKNSRIAIVSADKCKPKKCRQECKRSCPVVKTGKLCIEVTPT SKIAFISEILCIGCGICVKKCPFDAIQIINLPTNLEAHVTHRYSANSFKLHRLPTPRP GQVLGLVGTNGIGKSTALKILAGKQKPNLGRFDDPPEWQEIIKYFRGSELQNYFTKML EDDIKAIIKPQYVDNIPRAIKGPVQKVGELLKLRMEKSPEDVKRYIKILQLENVLKRD IEKLSGGELQRFAIGMSCVQEADVYMFDEPSSYLDVKQRLNAAQIIRSLLAPTKYVIC VEHDLSVLDYLSDFVCIIYGVPSVYGVVTLPASVREGINIFLDGHIPAENLRFRTEAL QFRIADATEDLQNDSASRAFSYPSLKKTQGDFVLNVEEGEFSDSEILVMMGENGTGKT TLIKLLAGALKPDEGQDIPKLNVSMKPQKIAPKFPGTVRQLFFKKIRGQFLNPQFQTD VVKPLRIDDIIDQEVQHLSGGELQRVAIVLALGIPADIYLIDEPSAYLDSEQRIICSK VIRRFILHNKKTAFIVEHDFIMATYLADKVIVFEGIPSKNAHARAPESLLTGCNRFLK NLNVTFRRDPNSFRPRINKLDSQMDKEQKSSGNYFFLDNTGI YDR092W MASLPKRIIKETEKLVSDPVPGITAEPHDDNLRYFQVTIEGPEQ SPYEDGIFELELYLPDDYPMEAPKVRFLTKIYHPNIDRLGRICLDVLKTNWSPALQIR TVLLSIQALLASPNPNDPLANDVAEDWIKNEQGAKAKAREWTKLYAKKKPE YDR093W MSSPSKPTSPFVDDIEHESGSASNGLSSMSPFDDSFQFEKPSSA HGNIEVAKTGGSVLKRQSKPMKDISTPDLSKVTFDGIDDYSNDNDINDDDELNGKKTE IHEHENEVDDDLHSFQATPMPNTGGFEDVELDNNEGSNNDSQADHKLKRVRFGTRRNK SGRIDINRSKTLKWAKKNFHNAIDEFSTKEDSLENSALQNRSDELRTVYYNLPLPEDM LDEDGLPLAVYPRNKIRTTKYTPLTFFPKNILFQFHNFANIYFLILLILGAFQIFGVT NPGFASVPLIVIVIITAIKDGIEDSRRTVLDLEVNNTRTHILSGVKNENVAVDNVSLW RRFKKANTRALIKIFEYFSENLTAAGREKKLQKKREELRRKRNSRSFGPRGSLDSIGS YRMSADFGRPSLDYENLNQTMSQANRYNDGENLVDRTLQPNPECRFAKDYWKNVKVGD IVRVHNNDEIPADMILLSTSDVDGACYVETKNLDGETNLKVRQSLKCSKIIKSSRDIT RTKFWVESEGPHANLYSYQGNFKWQDTQNGNIRNEPVNINNLLLRGCTLRNTKWAMGM VIFTGDDTKIMINAGVTPTKKSRISRELNFSVILNFVLLFILCFTAGIVNGVYYKQKP RSRDYFEFGTIGGSASTNGFVSFWVAVILYQSLVPISLYISVEIIKTAQAIFIYTDVL LYNAKLDYPCTPKSWNISDDLGQIEYIFSDKTGTLTQNVMEFKKCTINGVSYGRAYTE ALAGLRKRQGVDVESEGRREKEEIAKDRETMIDELRSMSDNTQFCPEDLTFVSKEIVE DLKGSSGDHQQKCCEHFLLALALCHSVLVEPNKDDPKKLDIKAQSPDESALVSTARQL GYSFVGSSKSGLIVEIQGVQKEFQVLNVLEFNSSRKRMSCIIKIPGSTPKDEPKALLI CKGADSVIYSRLDRTQNDATLLEKTALHLEEYATEGLRTLCLAQRELTWSEYERWVKT YDVAAASVTNREEELDKVTDVIERELILLGGTAIEDRLQDGVPDSIALLAEAGIKLWV LTGDKVETAINIGFSCNVLNNDMELLVVKASGEDVEEFGSDPIQVVNNLVTKYLREKF GMSGSEEELKEAKREHGLPQGNFAVIIDGDALKVALNGEEMRRKFLLLCKNCKAVLCC RVSPAQKAAVVKLVKKTLDVMTLAIGDGSNDVAMIQSADVGVGIAGEEGRQAVMCSDY AIGQFRYVTRLVLVHGKWCYKRLAEMIPQFFYKNVIFTLSLFWYGIYNNFDGSYLFEY TYLTFYNLAFTSVPVILLAVLDQDVSDTVSMLVPQLYRVGILRKEWNQTKFLWYMLDG VYQSVICFFFPYLAYHKNMVVTENGLGLDHRYFVGVFVTAIAVTSCNFYVFMEQYRWD WFCGLFICLSLAVFYGWTGIWTSSSSSNEFYKGAARVFAQPAYWAVLFVGVLFCLLPR FTIDCIRKIFYPKDIEIVREMWLRGDFDLYPQGYDPTDPSRPRINEIRPLTDFKEPIS LDTHFDGVSHSQETIVTEEIPMSILNGEQGSRKGYRVSTTLERRDQLSPVTTTNNLPR RSMASARGNKLRTSLDRTREEMLANHQLDTRYSVERARASLDLPGINHAETLLSQRSR DR YDR096W MEIKPVEVIDGVPVFKPSMMEFANFQYFIDEITKFGIENGIVKV IPPKEWLELLEGSPPAESLKTIQLDSPIQQQAKRWDKHENGVFSIENEYDNKSYNLTQ WKNLAESLDSRISQGDFNDKTLKENCRVDSQQDCYDLAQLQILESDFWKTIAFSKPFY AVDENSSIFPYDLTLWNLNNLPDSINSSNRRLLTGQSKCIFPWHLDEQNKCSINYLHF GAPKQWYSIPSANTDQFLKILSKEPSSNKENCPAFIRHQNIITSPDFLRKNNIKFNRV VQFQHEFIITFPYCMYSGFNYGYNFGESIEFILDQQAVVRKQPLKCGCGNKKEERKSG PFSNLSYDSNESEQRGSITDNDNDLFQKVRSFDELLNHSSQELQNLEDNKNPLFSNIN MNRPQSSSLRSTTPNGVNQFLNMNQTTISRISSPLLSRMMDLSNIVEPTLDDPGSKFK RKVLTPQLPQMNIPSNSSNFGTPSLTNTNSLLSNITATSTNPSTTTNGSQNHNNVNAN GINTSAAASINNNISSTNNSANNSSSNNNVSTVPSSMMHSSTLNGTSGLGGDNDDNML ALSLATLANSATASPRLTLPPLSSPMNPNGHTSYNGNMMNNNSGNGSNGSNSYSNGVT TAAATTTSAPHNLSIVSPNPTYSPNPLSLYLTNSKNPLNSGLAPLSPSTSNIPFLKRN NVVTLNISREASKSPISSFVNDYRSPLGVSNPLMYSSTINDYSNGTGIRQNSNNINPL DAGPSFSPLHKKPKILNGNDNSNLDSNNFDYSFTGNKQESNPSILNNNTNNNDNYRTS SMNNNGNNYQAHSSKFGENEVIMSDHGKIYICRECNRQFSSGHHLTRHKKSVHSGEKP HSCPRCGKRFKRRDHVLQHLNKKIPCTQEMENTKLAES YDR097C MAPATPKTSKTAHFENGSTSSQKKMKQSSLLSFFSKQVPSGTPS KKVQKPTPATLENTATDKITKNPQGGKTGKLFVDVDEDNDLTIAEETVSTVRSDIMHS QEPQSDTMLNSNTTEPKSTTTDEDLSSSQSRRNHKRRVNYAESDDDDSDTTFTAKRKK GKVVDSESDEDEYLPDKNDGDEDDDIADDKEDIKGELAEDSGDDDDLISLAETTSKKK FSYNTSHSSSPFTRNISRDNSKKKSRPNQAPSRSYNPSHSQPSATSKSSKFNKQNEER YQWLVDERDAQRRPKSDPEYDPRTLYIPSSAWNKFTPFEKQYWEIKSKMWDCIVFFKK GKFFELYEKDALLANALFDLKIAGGGRANMQLAGIPEMSFEYWAAQFIQMGYKVAKVD QRESMLAKEMREGSKGIVKRELQCILTSGTLTDGDMLHSDLATFCLAIREEPGNFYNE TQLDSSTIVQKLNTKIFGAAFIDTATGELQMLEFEDDSECTKLDTLMSQVRPMEVVME RNNLSTLANKIVKFNSAPNAIFNEVKAGEEFYDCDKTYAEIISSEYFSTEEDWPEVLK SYYDTGKKVGFSAFGGLLYYLKWLKLDKNLISMKNIKEYDFVKSQHSMVLDGITLQNL EIFSNSFDGSDKGTLFKLFNRAITPMGKRMMKKWLMHPLLRKNDIESRLDSVDSLLQD ITLREQLEITFSKLPDLERMLARIHSRTIKVKDFEKVITAFETIIELQDSLKNNDLKG DVSKYISSFPEGLVEAVKSWTNAFERQKAINENIIVPQRGFDIEFDKSMDRIQELEDE LMEILMTYRKQFKCSNIQYKDSGKEIYTIEIPISATKNVPSNWVQMAANKTYKRYYSD EVRALARSMAEAKEIHKTLEEDLKNRLCQKFDAHYNTIWMPTIQAISNIDCLLAITRT SEYLGAPSCRPTIVDEVDSKTNTQLNGFLKFKSLRHPCFNLGATTAKDFIPNDIELGK EQPRLGLLTGANAAGKSTILRMACIAVIMAQMGCYVPCESAVLTPIDRIMTRLGANDN IMQGKSTFFVELAETKKILDMATNRSLLVVDELGRGGSSSDGFAIAESVLHHVATHIQ SLGFFATHYGTLASSFKHHPQVRPLKMSILVDEATRNVTFLYKMLEGQSEGSFGMHVA SMCGISKEIIDNAQIAADNLEHTSRLVKERDLAANNLNGEVVSVPGGLQSDFVRIAYG DGLKNTKLGSGEGVLNYDWNIKRNVLKSLFSIIDDLQS YDR098C MPVIEINDQEQFTYLTTTAAGDKLIVLYFHTSWAEPCKALKQVF EAISNEPSNSNVSFLSIDADENSEISELFEISAVPYFIIIHKGTILKELSGADPKEYV SLLEDCKNSVNSGSSQTHTMENANVNEGSHNDEDDDDEEEEEETEEQINARLTKLVNA APVMLFMKGSPSEPKCGFSRQLVGILREHQVRFGFFDILRDESVRQNLKKFSEWPTFP QLYINGEFQGGLDIIKESLEEDPDFLQHALQS YDR098C-B MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPGINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGEGDNEIQYDILGLEIKYQRSKYMKLGMEKSLTEKLP KLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTKPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSH LVQELNKKPIIKGSLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YDR098C-A MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YDR099W MSQTREDSVYLAKLAEQAERYEEMVENMKAVASSGQELSVEERN LLSVAYKNVIGARRASWRIVSSIEQKEESKEKSEHQVELIRSYRSKIETELTKISDDI LSVLDSHLIPSATTGESKVFYYKMKGDYHRYLAEFSSGDAREKATNSSLEAYKTASEI ATTELPPTHPIRLGLALNFSVFYYEIQNSPDKACHLAKQAFDDAIAELDTLSEESYKD STLIMQLLRDNLTLWTSDISESGQEDQQQQQQQQQQQQQQQQQAPAEQTQGEPTK YDR100W MSVIPPKFFKIANISIGCIDIIAALSQLTYIFTNLNVFLLAVYG LALSVPIVYLEFKVPSNLYRYASFYFSFLGRGLSYILLSLIISFGGIYNILAGMFTFI LGVAFIVFHFSQFVEEPANFRAPGSSLSIGDDDIDDDDDMI YDR101C MALAISHEDTQILLKDKNILQESVLNKYRTAGQIAQTALKYVTS LINDSYHSKTTQRQLTVPELCLLTDSFILTRLEQYYKNKVNERGIAIPTTIDIDQISG GWCPEIDDTQNLLNWNKGKDSTFASSVTGTLRPGDLVKITLGVHIDGYTSEVSHTMVI YPVDETKPILQPTGPLLGGKADAVAAAHIAMETVVALLACALTPEKLPASLGGTSSGI TGQLIRTIVDTIARSYNCGVVPGSRVRRIRRFLAGQNEGIVAEREYKGVVWTESHQEA DLLSNTDAKDLTVVDRGQSTPFTNVSAIPSDDFVVQSGEVYLIDLKMASLEHCTKKGL VTLETVDSYTGKSHKAGELIARPGAYVRDFAQTHILKLKTSRQLLTKIDKQGVYPFKL SHLSSNFPFVHENEEELQSLKKDLKSFRLGMSEISNNYLCVESPIQIARWVPWDHILK ATNPNGNLSYDATSTLTLPGHELPLPKLGVSAIKLKSLMNSTKESISLPVARECNTIV LCDSSVSTTDRPELLRLTGGSKTCQPSWIHSQHELNPQDSIVQGIFQLATLAKDKRFG LLLKETQPMKQKSVETSNGGVEETMKM YDR102C MTLLLQKCIRVELRKDPIFNASSSLEIFLSVLESVIYPFKGNLI LLDFHLVDVQTTCYQRNSSMRNQLMSVFCQSLREFTLTALSCNSYCIIIVATRWQKID NALKMTGR YDR103W MMETPTDNIVSPFHNFGSSTQYSGTLSRTPNQIIELEKPSTLSP LSRGKKWTEKLARFQRSSAKKKRFSPSPISSSTFSFSPKSRVTSSNSSGNEDGNLMNT PSTVSTDYLPQHPHRTSSLPRPNSNLFHASNSNLSRANEPPRAENLSDNIPPKVAPFG YPIQRTSIKKSFLNASCTLCDEPISNRRKGEKIIELACGHLSHQECLIISFGTTSKAD VRALFPFCTKCKKDTNKAVQCIPENDELKDILISDFLIHKIPDSELSITPQSRFPPYS PLLPPFGLSYTPVERQTIYSQAPSLNPNLILAAPPKERNQIPQKKSNYTFLHSPLGHR RIPSGANSILADTSVALSANDSISAVSNSVRAKDDETKTTLPLLRSYFIQILLNNFQE ELQDWRIDGDYGLLRLVDKLMISKDGQRYIQCWCFLFEDAFVIAEVDNDVDVLEIRLK NLEVFTPIANLRMTTLEASVLKCTLNKQHCADLSDLYIVQNINSDESTTVQKWISGIL NQDFVFNEDNITSTLPILPIIKNFSKDVGNGRHETSTFLGLINPNKVVEVGNVHDNDT VIIRRGFTLNSGECSRQSTVDSIQSVLTTISSILSLKREKPDNLAIILQIDFTKLKEE DSLIVVYNSLKALTIKFARLQFCFVDRNNYVLDYGSVLHKIDSLDSISNLKSKSSSTQ FSPIWLKNTLYPENIHEHLGIVAVSNSNMEAKKSILFQDYRCFTSFGRRRPNELKIKV GYLNVDYSDKIDELVEASSWTFVLETLCYSFGLSFDEHDDDDEEDNDDSTDNELDNSS GSLSDAESTTTIHIDSPFDNENATANMVNDRNLLTEGEHSNIENLETVASSVQPALIP NIRFSLHSEEEGTNENENENDMPVLLLSDMDKGIDGITRRSSFSSLIESGNNNCPLHM DYI YDR104C MDSIVNVVEDDVKYAQRVTSFSSPQNANVKVFTIPRHSFTAFRL SYVSPTELSACSQVTLLGGIPKQWYADQNNQVWKLLTKISLRKVRKQSDMLRRYGYGT IYKKRVGKIPTALYLRKHFTWSYEDNTSIHNGHRLKEAEMEMKRTRSSPVQKSEYKLS LPRRCRSSSDQNFMRQELLKEKKSELSRNNSLPLIDTAQAVDIHPVLHEEDQENTNKR NKSLLSNLKRKDLGESKSISRKDYSHFDRIPSPSSARSVGETDFNYNREPSEDTLRYP DSIIEVTNRTSPAPNSILSRSGQFVNSNDLSDGFSTSNTINNVGLNANEKIFFNALQS MEKENLMLWKTSQKYGTYLDERRKSADAFQKRERGSCVDIGKLHSSHLPFINILPPWP TELTEEERIIHDRLASKHSHHIRKHVHNARNKTSCKIKDSVGTFLGMTNSLTNKATVK KRTGQILKKEKMLVMVKEAIQNKVPLPNFSENECFDTRVSERWKEYIVIARSTGRFDP PILLQFYRHRHIPEIEDISSIATKYHRNPLDFFLSRNCIVKFYSSLDKTISIQKPDKR LGGFIDESIEKKDELKHYSPIKIFILRCSSIRSSGRWYKFLLESLDRQLFTPAINLKI PLTEISIKINLNEIIFQKLIDLGKQEKDRLKICFLQRGYKIFQHPILRYFTVAILEKL KLAHYDYLIRKWDTENPVLGCALKRYDRLEWIPCDEDSLVTGIFAFCQSHLIQYRPIA NRLRETKSLEGKCLKEPTPIEGFLIRLTDKYGSARTNFGKYSISTAYFFTCENLLFSM KAYRANPPLPIDSMIDDTSTEIEKEEIWKQWKKIPEVYEQQPYPLDTNDHIEWMNCQT TQSEYDSRDFYAFHCFHRRIDQILKTDNVIDLTEVKDIYQGTRTDYEADKIKYGVYKE ASEIFWHRNYEIDDVSRSVINIETSNGLLLKLLATSATVAEEWVIKLKQMISYWKNKQ REDTERLLKIRRSNAGLLMLNGEEETKIGENTLRWIVEHGRADEQTFNANGISLSRPL IQKGPLYQKPHKHSVFSKYYVVLISGFIVLFHCFHRSTTGFAKEVLEYAHYVTIPIDD CYLYSGTTTELDLLQRDRTFDEINYGSHALPRVYGDGWRSVEDESSRCFTLWFGTRRA LSSNRLQKKGNEKQYTQDYGRQDNNIDPPSAPEADLNNSNVPSNTDKIHFTKKLGVSG KSMVFMARSRQERDLWVMSIYYELERLRRTASTSNSRNQTM YDR105C MGAVISLPVSMAGSFVASCFGGCCSNLVTKTASSLGSSSLGTRL LYAVWLLLNSLISWVSYSANKSILWPGKTCTGTGECGFFTVHRLNFALGCLHLILALV LTGVKSTNDVRAALQNSWWSLKFILYLCLIVLSFVIPNDFYIFFSKWVSVPSGAIFIL VGLILLVDFAHEWAETCISHVESEDEDSSFWQRFLVLGTTSMYTASIIMTVVMYVMFC HQQCNMNQTAVTVNLILTVITLVLSVNPKIQEANPKSGLAQSSMVSVYCTYLTMSAMS SEPDDKMCNPLVRSSGTRKFSIILGSLFTFIAIAYTTTRAAANSAFQGTNTNGAIYLG NDIEYEGLGGQTRNQLRYEAIKQAVEEGSLPESALYDTAWLGTSSPTGAMDNQNDDER TGTKYNYTLFHVIFFLATQWIAILLTINVTQDDVGDFIPVGRTYFYSWVKIVSAWICY ALYGWTVVAPAIMPDRFDYENYY YDR106W MSNTIVIVYLGANRIEIGRSADACPQEIIAWKTGSINEKNREEL KKIFEHYFQICNILGNREVQVLILEDIFISVVEKRIICSILFKEFDCAHVSFVPRAIV HCLSCNTRNAIVIDIGTNYTTCVPIFDLRPLQQFIKYSKRGKRQVESRIPLPGSLYMP IFFDEEYNSKNCEVDETPVINLVKNIVESLPIDLRRPLRENIIIVNIEEAYETVIRNL FKLKMDTSKIQFPKNYWQAGSACAKILLHYKGSNIVGIERDEFYNNPHIAPDWFDYYF RTGVKRLQ YDR107C MKRGVWLLIYCYATLTKGFSLPGLSPTTYHSGDEIPLLVNRLTP SIYFQHQDEEGNDVSGDKEHFLYSYDYYNKRFHFCRPEHVEKQPESLGSVIFGDRIYN SPFQLNMLEEKECVALCKSTIPGKDAKFINTLIKSGFFQNWLVDGLPAARKAYDSRTK TNYYGTGFELGFTDVKQTVDGKAVPSTMEELTSEASNEDVILDARLPKNVKPNLVKTV ELPYFVNHFDIEVEFHDRGNDNYRVVGVIVNPVSIERSSPGACSTTGKPLILDEDKDN EVYFTYSVKFVASDTVWATRWDKYLHIYDPQIQWFSLINFSVIVILLSSVVMHSLLRA LKSDLARYNELNLDNEFHEDSGWKLGHGDVFRTPSKSMLLSILVGSGMQLFLMVMCSI FFAAVGLVSPVSRGSLPTVMFVLYALFGFVGSYASMGVYKFFRGPYWKANMILTPILL PGAIFLLIVIMNFFLLFAHSSGVIPARSLFFIILLWFLVSVPLSFAGSIVAHKQCNWD EHPTKTNQIARQIPYQPWYLRTAQATLIAGIFSFGSIAVELYFIYSSLWFNKIFYMFG FLLFSFLLLTLTTSLVTILITYYSLCLENWLWQWRSFIIGGLGCSIYTFIHSILFTKF KLGGVITVVLYLGYSLIISALCCVVTGAIGFFSSMFFIRKIYSAIKVE YDR108W MVFSYEHYMNLLFHLDNSKETVPPEIAKRIISNAIAPVITVTST PLFDKHIQETYKVDSLYMLLRFFGGCVSDRDQANEAKVGQHEHEVCDASDSTDSIPKN KNLEVPNLSKKGSRSRSNSLFQRDSTQSQYIRFTRPLGDLIETRDANDMLFNYHSLEV FLDNYLKLVAANTDEMVPHNLLKKSIYHSFFSLAISSTNNLSPYETFNHPILSLIALD ISNGEVYEDARDLLVNFKNLNHNTENFPIFMNTNEMLPVFLLCYNDDSQEEFEKCQAL AKKLKKQLFVESILLALWKDSFIYDENSVIQLHQPVMSSLEEILFFLQAPTQTTLSLA LINSIYDMLDYLVYDLMIPFMKRKVSFWEETILQPRKSLFNGAKFFKKFMNKNPVNGN HQHNSLTRDSQGNEYFASSSSEFLMRKLADWSMMLSDFKTAYSTYESLMDDLDAFPKY LASCIEWCAVSLLMGAQSIVTVKMIKNDINPLIERALATYENCSRIQRGKGKESNSLD VTEPVRSYETRCMILASELFLSLSNTWTSTPYAIQYLETILDECKLGPCSQIMVWERL SDCYNLRVDPRIKHRVGAMKKDAKDTEDLRGEHKYSTDHFTDEDILSEGLTRRRKAAF FRLIAAKKWAEQKQWRQVSWCLKDIESTYSEIKFLHGNGLILSKLKNQLNLKDVDSAP RPSEKNLTRTSVSFIG YDR109C MKSRKRQNNMQNETREPAVLSSQETSISRISPQDPEAKFYVGVD VGTGSARACVIDQSGNMLSLAEKPIKREQLISNFITQSSREIWNAVCYCVRTVVEESG VDPERVRGIGFDATCSLVVVSATNFEEIAVGPDFTNNDQNIILWMDHRAMKETEEINS SGDKCLKYVGGQMSVEMEIPKIKWLKNNLEAGIFQDCKFFDLPDYLTFKATGKENRSF CSAVCKQGFLPVGVEGSDIGWSKEFLNSIGLSELTKNDFERLGGSLREKKNFLTAGEC ISPLDKKAACQLGLTEHCVVSSGIIDAYAGWVGTVAAKPESAVKGLAETENYKKDFNG AIGRLAAVAGTSTCHILLSKNPIFVHGVWGPYRDVLARGFWAAEGGQSCTGVLLDHLI TTHPAFTELSHMANLAGVSKFEYLNKILETLVEKRKVRSVISLAKHLFFYGDYHGNRS PIADPNMRACIIGQSMDNSIEDLAVMYLSACEFISQQTRQIIEVMLKSGHEINAIFMS GGQCRNSLLMRLLADCTGLPIVIPRYVDAAVVFGSALLGAAASEDFDYTREKRTLKGQ KSSQTKTERFNDSYSSIQKLSMEDRNSTNGFVSPHNLQLSTPSAPAKINNYSLPICTQ QPLDKTSEESSKDASLTVGQESLGEGRYNGTSFLWKVMQELTGNARIVNPNEKTHPDR ILLDTKYQIFLDMIETQRKYRRMVDKVEGSFSR YDR110W MTKPRYNDVLFDDDDSVPSESVTRKSQRRKATSPGESRESSKDR LLILPSMGESYTEYVDSYLNLELLERGERETPIFLESLTRQLTQKIYELIKTKSLTAD TLQQISDKYDGVVAENKLLFLQRQYYVDDEGNVRDGRNNDKIYCEPKHVYDMVMATHL MNKHLRGKTLHSFLFSHFANISHAIIDWVQQFCSKCNKKGKIKPLKEYKRPDMYDKLL PMERIHIEVFEPFNGEAIEGKYSYVLLCRDYRSSFMWLLPLKSTKFKHLIPVVSSLFL TFARVPIFVTSSTLDKDDLYDICEEIASKYGLRIGLGLKSSARFHTGGILCIQYALNS YKKECLADWGKCLRYGPYRFNRRRNKRTKRKPVQVLLSEVPGHNAKFETKRERVIENT YSRNMFKMAGGKGLIYLEDVNTFALANEADNSCNNNGILHNNNIGNDNFEEEVQKQFD LTEKNYIDEYDDLAHDSSEGEFEPNTLTPEEKPPHNVDEDRIESTGVAAPMQGTEEPE KGDQKESDGASQVDQSVEITRPETSYYQTLESPSTKRQKLDQQGNGDQTRDFGTSMEL YDR111C MTMTHQQDLKGVFTAKDLDFKPAGKITKKDLNTGVTKAEYAVRG AIPTRADELKEELKKNPEVLPFDDIINANIGNPQQLDQKPLTFTRQVLAILEYPEILR VGHNELASLNLFSRDALERAERLLNDIGGSIGAYSHSQGVPGIRQTVADFITRRDGGE PATPEDIYLTTGASSAATSLLSLLCKDSQTGLLIPIPQYPLYTASASLFNAQVLPYYL DEESNWSTNSDEIEKVVQDALKKQIRPSVLIVINPGNPTGAVLSEETIARICLIAAKY GITIISDEVYQENIFNDVKFHSMKKVLRKLQHLYPGKFDNVQLASLHSISKGFMDECG QRGGYMEIIGFSQEIRDALFKLMSISICSVVTGQAVVDLMVKPPQPGDESYEQDHDER LKIFHEMRTRANLLYETFKELEGIECQKPQGAMYLFPRLVLPKKALCESERLGIEPDE FYCTSLLESTGICTVPGSGFGQRPGTYHVRTTFLAPGTKWIQDWKEFHQDFFSKYRN YDR113C MMPANEDKENNIVYTGNESSGINFPQTPAHLLKRSHSNILKPPV RLDQLKRDANSNNGNTLKYIQGGKEVSPTKRLHTHAQQQGRLPLAAKDNNRSKSFIFP ETSNQSKDADLPQLQNTLSIRKNDQLRKLSQISRSRSRANHNDLLSNSRKLQKYGSVL GYNALPKMKSLVLKDLADSGKNEESSDDDEGNEDSESKLGKKLQSALLKQDSSDGENE LNGGLGLFNEQGGLQQLIKNSTKNEQKTKNDKSDKTDDYDIEIAPQRQEPLPYVPEGY SPFQQDDIEKLKTFNSPYKLDLEDEDDTPDKVDLLPLEQIDEEGEKDETECITRNQEE GAALPLLSKNFKEVAAVPTMELVYSEEGLDPEELEDLVT YDR114C MKTFFLIEAGRALQIVAFRPAITTVLFQRFSVSFSCSYFTCCTS QLLRENWLFKFLTAFDHVIRASNDLSTMRFMIMYIYVYIYIYTVLRKRLSCYMLIL YDR115W MPLFARLCQPQSRRMFSSISSFSALSVLRPQTGMLLNSSPLKTP SFTPLGFGLIGQRRWKSRGNTYQPSTLKRKRTFGFLARAKSKQGSKILKRRKLKGRWF LSH YDR116C MLSVVAIPKICVTGPARRCFFHTAKKLYADDYKPAAMSSNAPSL TKDQAKKRELKRLVQRKAEAKRPATASPLYMPVTKALRYLRAAEVGRPQSQQTINLTT LVVGERGTAPLSGSVTFPKPLRYIKIAAFTNDESKLEELREKYPNHLIGGADLVAKIK SGEISVDFDKAFATPDIVPALQSQVARILGPRGVLPSVKKGTVSDDISSLLQESLGSM PFRQRGNSISIGVGKCYFTDREILQNIISARAAFKTAVDNQKSKKPNILSKTTLSSTH GPGIVIDFA YDR117C MFKKEPHIKALSNLKNSERKKLLQTFQKQTNNEEYSFRTSTIKQ TNFNGQKSVGTVYTDENNTPILFKEKHKEQLFPTVYSCWEYPALLPIVLTHGFVIEEH LFNGANLMISGSIPPFDPRCKIGTLCGIASKQAPETVLAIGIVELDLPSFDKVIGETG VAVKIIHHFNDGLSKVFKMKLEPPFVLSTQSKDNNISSKQIESSEQIKAVEKEQEDVK EASVDVEEIAEVLDHFTVSDVDYFITRALYYTLTQDKGLELPISASNFISNHIMRNLP PIDHNEVNVKKTSWKKSAKFLKHFEKEGFLKLKGKGDDLTIVGKNTDKDELKNFVPYK LGCSKSATESRESTTSKEKTSGMMYSLTLYKPFNLAKDLLKEVNLASHTYYTSQDIRS AVSQYISVKNLADTKDKGKVIMDDLLFDMVNKKKKVLNASRIIARGEILHPLLTNNFT EFYQIFKSDDTLLFKAPMKGSLPHIKIITEMKIGRKVITRVSNFEVFQVDPESLAADL RKICSGSTTISESQTFKCAEVQVQGPHGQSIIDHLNKLGIPSKWIDFENKLKKKKRK YDR118W MSSPINDYFIDYNPLFPIFATRIAKGLAIYRVSDHARLAVIPIR NINLVANYDWDTTTGKFLSIFFKDGTIRIHDIFKDGRLVSFLRIPSTKISKGIWDRIP LRYEPNNRDFACNIIDDLPKLIRFVKDSKRINIVPYTQPNSLWRGPDEDDLDSNEKLD VHVVFNEGNDKITVFFNGDYAVFLSVDNIENENSLKSIIKVQDGFYQCFYEDGTVQTL NLGPLLQSKSSVNLLNYIMVIKELIGYMLTHLEFINRELATPYLDFVKRLCDEAYGYG KLKSELEALFLLGEISCDLEDWLCNSVGEKNFKRWKYLGCEAYQKTVQILTLIFVPAC ERIIIYVEKLRAILQAFSIQNKLSYTSDLTAVEVLLKSSQKLLTMTLNSIIGLGRDET LFEKFFIWFNDRLHEALDEDYKLKFQFEDDLYFGYDLLSYFDRILSKKGTEPSSIIDV KLYRDLINSMSDMEKDIAQSNVNSHIQQHILVDLKTDVFAQKYPSSQINLLDAIKLPK HNYIVYLIQVTKHNSAQEPFSEENKKKLYIGTLKDENLGIISKESSVKIPALFKSYRL SSTRFVPNRVHSLLRDIGLSDSNYHSSHVTDYRGENYENEEDDGTIAIPAYIRENREN DDFIACTAKVSVDGRSASLVFPKEKQNV YDR119W MGKKDRQRKKLREFAKLKNRQRNLRKSVQTLKNEVQREAKVPRT SNQIALGNDKIEEINENSPLLSAPSKQEEVSIPKAVDIDTIDAQPLHEGPKIDDSPQD EVNSIKGKPADKANEDDLKPPSQHEACGNSALQSSITDFSDRSVSPLQSITSCNTPMS EHELPVSSSNSFERADDMPVVQADNQTSSSKSLHIVAPSPEVPVSGDEITSYGYGSIP QSIGDVENGLNPPYVENTSSDELVHDLTRRRIFSSCMCTYLFFIAMDSSIILVIASKI ASEFHELWRLSLVISAYLLSNAIGQLVFLKLSLISSVKLLLCIAQFSFILGGYLSWSS AHFWTFIFARCVTGFGGGSLIALKSTIMNRFSQKNDSRYSLSASMITFAMGVVIGPFM MNLFDSSHGSGWRNAFLIPVPFCLVNASIMLADMYSVKSTLYGRPTPTLWKRFKNTLL SPDLYEILTLTLFLLCFVQVTSLDLTGLKNNTMIQALLFSVIIVCGILFFLIETSDTY MNSVISMSLQGDKRLIWTMIGISFCFAALMCIIPFGTTYFIIVLNLSTLQLAERLSPF FFSIVLGYFSVSYFWKSKGQNFLLKFVLSGATLLLYVALMGVSLNLPVWKQYICLSLP FLGSSMILTLLSNLYHEYHEQRKSPISGSIVYCFGAVGGTVGISLGGYVFHKTLIKLM HEKVMPFSKQGYLKKDLLKIIKHATESSDWVHESAPKFVFQTLIECYLQACRNVFKLS TLFFTITVVAIFIFNRIHCRSQNCLSLS YDR119W-A MFFSQVLRSSARAAPIKRYTGGRIGESWVITEGRRLIPEIFQWS AVLSVCLGWPGAVYFFSKARKA YDR120C MEGFFRIPLKRANLHGMLKAAISKIKANFTAYGAPRINIEDFNI VKEGKAEILFPKKETVFYNPIQQFNRDLSVTCIKAWDNLYGEECGQKRNNKKSKKKRC AETNDDSSKRQKMGNGSPKEAVGNSNRNEPYINILEALSATGLRAIRYAHEIPHVREV IANDLLPEAVESIKRNVEYNSVENIVKPNLDDANVLMYRNKATNNKFHVIDLDPYGTV TPFVDAAIQSIEEGGLMLVTCTDLSVLAGNGYPEKCFALYGGANMVSHESTHESALRL VLNLLKQTAAKYKKTVEPLLSLSIDFYVRVFVKVKTSPIEVKNVMSSTMTTYHCSRCG SYHNQPLGRISQREGRNNKTFTKYSVAQGPPVDTKCKFCEGTYHLAGPMYAGPLHNKE FIEEVLRINKEEHRDQDDTYGTRKRIEGMLSLAKNELSDSPFYFSPNHIASVIKLQVP PLKKVVAGLGSLGFECSLTHAQPSSLKTNAPWDAIWYVMQKCDDEKKDLSKMNPNTTG YKILSAMPGWLSGTVKSEYDSKLSFAPNEQSGNIEKLRKLKIVRYQENPTKNWGPKAR PNTS YDR121W MPPKGWRKDAQGNYPTTSYIKEQENITIQDLLFPKSTIVNLARE VPQQSGKKLLINKDASLALQRGATVFVNHLLLFAREIAKSQDKKSCSVDDVLSALDHI GHSALKGPVRDKLDEYQAAVEQRKKEKLDSGEVDADGDIDMGEDKENVPVEKVKEHDE IEEQGDALQDVEESSEKKQKTESQDVETRVQNLEQT YDR122W MDDYHVNTAFSMGRGNQQDDGNSESNSMHTQPSTMAPATLRMMG KSPQQQQQQNTPLMPPADIKYANNGNSHQAEQKERQVELEGKSRENAPKPNTTSQSRV SSSQGMPKQFHRKSLGDWEFVETVGAGSMGKVKLAKHRYTNEVCAVKIVNRATKAFLH KEQMLPPPKNEQDVLERQKKLEKEISRDKRTIREASLGQILYHPHICRLFEMCTLSNH FYMLFEYVSGGQLLDYIIQHGSIREHQARKFARGIASALIYLHANNIVHRDLKIENIM ISDSSEIKIIDFGLSNIYDSRKQLHTFCGSLYFAAPELLKANPYTGPEVDVWSFGVVL FVLVCGKVPFDDENSSVLHEKIKQGKVEYPQHLSIEVISLLSKMLVVDPKRRATLKQV VEHHWMVRGFNGPPPSYLPKRVPLTIEMLDINVLKEMYRLEFIDDVEETRSVLVSIIT DPTYVLLSRQYWTLAAKMNAESSDNGNAPNITESFEDPTRAYHPMISIYYLTSEMLDR KHAKIRNQQQRQSHENIEKLSEIPESVKQRDVEVNTTAMKSEPEATLATKDTSVPFTP KNSDGTEPPLHVLIPPRLAMPEQAHTSPTSRKSSDNQRREMEYALSPTPQGNDYQQFR VPSTTGDPSEKAKFGNIFRKLSQRRKKTIEQTSVNSNNSINKPVQKTHSRAVSDFVPG FAKPSYDSNYTMNEPVKTNDSRGGNKGDFPALPADAENMVEKQREKQIEEDIMKLHDI NKQNNEVAKGSGREAYAAQKFEGSDDDENHPLPPLNVAKGRKLHPSARAKSVGHARRE SLKYMRPPMPSSAYPQQELIDTGFLESSDDNKSDSLGNVTSQTNDSVSVHSVNAHINS PSVEKELTDEEILQEASRAPAGSMPSIDFPRSLFLKGFFSVQTTSSKPLPIVRYKIMF VLRKMNIEFKEVKGGFVCMQRFSSNNVAAKREGTPRSIMPLSHHESIRRQGSNKYSPS SPLTTNSIHQRKTSITETYGDDKHSGTSLENIHQQGDGSEGMTTTEKEPIKFEIHIVK VRIVGLAGVHFKKISGNTWLYKELASSILKELKL YDR123C MQQATGNELLGILDLDNDIDFETAYQMLSSNFDDQMSAHIHENT FSATSPPLLTHELGIIPNVATVQPSHVETIPADNQTHHAPLHTHAHYLNHNPHQPSMG FDQALGLKLSPSSSGLLSTNESNAIEQFLDNLISQDMMSSNASMNSESHLHIRSPKKQ HRYTELNQRYPETHPHSNTGELPTNTADVPTEFTTREGPHQPIGNDHYNPPPFSVPEI RIPDSDIPANIEDDPVKVRKWKHVQMEKIRRINTKEAFERLIKSVRTPPKENGKRIPK HILLTCVMNDIKSIRSANEALQHILDDS YDR124W MRIGMEELRRALALLNGQGYEFMVFIKEKDHLISESIPKNLFSE SFISSSIEKNPPLTFDPLVNRKRITVYEENIQPAIMLPLDQPLLIQNYLYTAFKLLRQ VPCKAIAKLWIKIIEPRKKTRFPYIKGNAGRPEWWPKDVEHKEPDHLQKADRLKLMCT IIMNVLPQSPFSLEILDELTGVTAAMTIFKKETVKKVIMKNVFEIAKCLCNKDFKQKT ISLDDLNDLAQKQKKSYHSRRFDVNKMVKVEKDLLQQSSGSDSSPSMILPSKESDTEY FPREYPKDPVSEDYSNSISRLENLVEFDPLFLTKLDELTSSDDCKDFI YDR125C MLLIKRYLMDPESLRRQIMNVYKCYMWKRAFHSNRSLLEVKRRE KSLQRKILERILRPKEENAVKKSGFKLWSSHLNNPHKTYMRLEELQRRIMEEVHVEGI KKNDKLFNEINQWHFQNENTSTVRTPTLLIHGYAASSMSFFRNYPGLSKHIRNLYSID MPASGLSSVPSLEINTTTPLPLDIKFIGENKFKVPYTINANHNKFVIQMYEDFYLDRI EQWRIDNKLGKMNVVGHSFGGYLSFKYAVKYPNSVNKLCLVSPLGVERNIWSVNNNFH SNTLYTIDFKNPNSKFYSKRNMIPKYLFEQQFHILRMMGPLGAKLCWNYIMAAYSRVP SLAYKEYIFELFYGKGGIPEVTTDIFKALFSRCILAKDPLMDSLQYLNVKKLLIVYGQ YDWMNKKAGMFMVKELNNLKNCLEGASYLEIPSSGHNLFLDNPESFNQSIVSFLSDET KSP YDR126W MSWNLLFVLLIGFVVLILLSPVFKSTWPFSTFYRNVFQPFLVDD QKYRWKLHLVPLFYTSIYLYLVYTYHMRVESTIKNELFLLERILIVPIIILPPVALGI LAMVSRAEDSKDHKSGSTEEYPYDYLLYYPAIKCSTCRIVKPARSKHCSICNRCVLVA DHHCIWINNCIGKGNYLQFYLFLISNIFSMCYAFLRLWYISLNSTSTLPRAVLTLTIL CGCFTIICAIFTYLQLAIVKEGMTTNEQDKWYTIQEYMREGKLVRSLDDDCPSWFFKC TEQKDDAAEPLQDQHVTFYSTNAYDHKHYNLTHYITIKDASEIPNIYDKGTFLANLTD LI YDR127W MVQLAKVPILGNDIIHVGYNIHDHLVETIIKHCPSSTYVICNDT NLSKVPYYQQLVLEFKASLPEGSRLLTYVVKPGETSKSRETKAQLEDYLLVEGCTRDT VMVAIGGGVIGDMIGFVASTFMRGVRVVQVPTSLLAMVDSSIGGKTAIDTPLGKNFIG AFWQPKFVLVDIKWLETLAKREFINGMAEVIKTACIWNADEFTRLESNASLFLNVVNG AKNVKVTNQLTNEIDEISNTDIEAMLDHTYKLVLESIKVKAEVVSSDERESSLRNLLN FGHSIGHAYEAILTPQALHGECVSIGMVKEAELSRYFGILSPTQVARLSKILVAYGLP VSPDEKWFKELTLHKKTPLDILLKKMSIDKKNEGSKKKVVILESIGKCYGDSAQFVSD EDLRFILTDETLVYPFKDIPADQQKVVIPPGSKSISNRALILAALGEGQCKIKNLLHS DDTKHMLTAVHELKGATISWEDNGETVVVEGHGGSTLSACADPLYLGNAGTASRFLTS LAALVNSTSSQKYIVLTGNARMQQRPIAPLVDSLRANGTKIEYLNNEGSLPIKVYTDS VFKGGRIELAATVSSQYVSSILMCAPYAEEPVTLALVGGKPISKLYVDMTIKMMEKFG INVETSTTEPYTYYIPKGHYINPSEYVIESDASSATYPLAFAAMTGTTVTVPNIGFES LQGDARFARDVLKPMGCKITQTATSTTVSGPPVGTLKPLKHVDMEPMTDAFLTACVVA AISHDSDPNSANTTTIEGIANQRVKECNRILAMATELAKFGVKTTELPDGIQVHGLNS IKDLKVPSDSSGPVGVCTYDDHRVAMSFSLLAGMVNSQNERDEVANPVRILERHCTGK TWPGWWDVLHSELGAKLDGAEPLECTSKKNSKKSVVIIGMRAAGKTTISKWCASALGY KLVDLDELFEQQHNNQSVKQFVVENGWEKFREEETRIFKEVIQNYGDDGYVFSTGGGI VESAESRKALKDFASSGGYVLHLHRDIEETIVFLQSDPSRPAYVEEIREVWNRREGWY KECSNFSFFAPHCSAEAEFQALRRSFSKYIATITGVREIEIPSGRSAFVCLTFDDLTE QTENLTPICYGCEAVEVRVDHLANYSADFVSKQLSILRKATDSIPIIFTVRTMKQGGN FPDEEFKTLRELYDIALKNGVEFLDLELTLPTDIQYEVINKRGNTKIIGSHHDFQGLY SWDDAEWENRFNQALTLDVDVVKFVGTAVNFEDNLRLEHFRDTHKNKPLIAVNMTSKG SISRVLNNVLTPVTSDLLPNSAAPGQLTVAQINKMYTSMGGIEPKELFVVGKPIGHSR SPILHNTGYEILGLPHKFDKFETESAQLVKEKLLDGNKNFGGAAVTIPLKLDIMQYMD ELTDAAKVIGAVNTVIPLGNKKFKGDNTDWLGIRNALINNGVPEYVGHTAGLVIGAGG TSRAALYALHSLGCKKIFIINRTTSKLKPLIESLPSEFNIIGIESTKSIEEIKEHVGV AVSCVPADKPLDDELLSKLERFLVKGAHAAFVPTLLEAAYKPSVTPVMTISQDKYQWH VVPGSQMLVHQGVAQFEKWTGFKGPFKAIFDAVTKE YDR128W MCSSINEGPYNSPTFGKSLSLKVDGGFNAVSINPSGRDIVLASR QGLYIIDLDDPFTPPRWLHHITPWQVADVQWSPHPAKPYWIVSTSNQKAIIWNLAKSS SNAIEFVLHGHSRAITDINFNPQHPDVLATCSVDTYVHAWDMRSPHRPFYSTSSWRSA ASQVKWNYKDPNVLASSHGNDIFVWDLRKGSTPLCSLKGHVSSVNSIDFNRFKYSEIM SSSNDGTVKFWDYSKSTTESKRTVTTNFPIWRGRYLPFGEGYCIMPMVGGNNAVYLIN LCDDDDSEQNKKTKLQPIYAFKGHSDRVIDFLWRSRHTCDGDYDDREFQLVTWSKDCD LKLWPISDSIYGKVNFDRGKRLEEKLPDYDYCSYNKEPENRENVQKNEFRRLRENFVT TSGLKKNKTNHITWLSGIRMNSATSQEDLFNETKIQNLGEEVSAIGHKFPKVVFEKIS VSTRELCLTLNGPWSEENPDDYIFLRISINFPLNYPNKGDPPKFTIEENSNLTMSKRQ EILSNLATIGQKYTDSNLYCLEPCIRFVLGEKVSLEDIEEGQEPLLNFDIADHIDFEE LSSLDSSYSDSQNPENLSSQSDIESYKEALVFPDTSNQGLDFGRNLALDTTPVPNGCG SCWTATGELFCFFANEKKPEKKQNAIIKLSQKEAGVEKHPFKIEPQVLYDKEVDSSVI TAADELKARPKRYVDTLGLGGGTNGDSRTYFDDETSSDDSFDSVADDWDDILRNDIIV RTKIPILRGNFKAFSSVHSESGKTVESTKKNKNLVISKNFSSLLSDRKELALEYLFMD ATPEGFARNNALVAEKFDLDEISHCWQILSDMLIDQSDYDPYTTIWNNHPMGIKWFIK EAIVYFERQQNLQMLAMLCCVILSARRKKIPARYYGQELENMEGTIVFNDNESQNTSF WKGSDAFSTRSRSSTVTPNFYGNHLRGKNIHGGDNSSIRSDDHHARLRTHNTLNGSSK FTEPAQKQGSRAISSSPFHSRMPDIKVELLHDDIIEAYEQEDLLHLEVSDIPKFQTYI YQYSKLLFRWGLPLERVKILKVSTDFRSSYSSQGIPPNNNKKSPYNGVLTHWIENNEF GEEKFLARNCNYCDLRVTRSSFICGNCQHVLHSSCARIWWEIGDECPSGCGCNCPEMF DA YDR129C MNIVKLQRKFPILTQEDLFSTIEKFRAIDLDDKGWVEKQQALEA VSKDGDATYDEARETLKHVGVDASGRVELDDYVGLVAKLRESKTGAAPQTTFNVAPNS TPIVSTAATGLQHKGKGTQAKIIVAGSQTGTTHTINEEERREFTKHINSVLAGDQDIG DLLPFPTDTFQLFDECRDGLVLSKLINDSVPDTIDTRVLNWPKKGKELNNFQASENAN IVINSAKAIGCVVVNVHSEDIIEGREHLILGLIWQIIRRGLLSKIDIKLHPELYRLLE DDETLEQFLRLPPEQILLRWFNYHLKQANWNRRVTNFSKDVSDGENYTILLNQLDPAL CSKAPLQTTDLMERAEQVLQNAEKLDCRKYLTPSSLVAGNPKLNLAFVAHLFNTHPGL EPIQEEEKPEIEEFDAEGEREARVFTLWLNSLDVDPPVISLFDDLKDGLILLQAYEKV MPGAVDFKHVNKRPASGAEISRFKALENTNYAVDLGRAKGFSLVGIEGSDIVDGNKLL TLGLVWQLMRRNISITMKTLSSSGRDMSDSQILKWAQDQVTKGGKNSTIRSFKDQALS NAHFLLDVLNGIAPGYVDYDLVTPGNTEEERYANARLAISIARKLGALIWLVPEDINE VRARLIITFIASLMTLNK YDR130C MSNKSNRRSLRDIGNTIGRNNIPSDKDNVFVRLSMSPLRTTSQK EFLKPPMRISPNKTDGMKHSIQVTPRRIMSPECLKGYVSKETQSLDRPQFKNSNKNVK IQNSDHITNIIFPTSPTKLTFSNENKIGGDGSLTRIRARFKNGLMSPERIQQQQQQHI LPSDAKSNTDLCSNTELKDAPFENDLPRAKLKGKNLLVELKKEEEDVGNGIESLTKSN TKLNSMLANEGKIHKASFQKSVKFKLPDNIVTEETVELKEIKDLLLQMLRRQREIESR LSNIELQLTEIPKHK YDR131C MFDKLPYEIFKQIAWRIPQEDKISLTYVCKRSYESIIPFIYQNL FLNETYHINGDYDNSFGTCYWSVLNFHYIDEDDSNTKNDMSNRRLAKVKFSYFERTLA ESPKRLCPLINRIRCTWHLNEDVMTNVLKLLSEYGSNLKFVDQFVRSSVNKGLEPLSK QLKTLTLTPPTLMPTHNSVSGSYLNKIDRLLLKCDLSRLEKLSIHINALKYFKNTGSP MKIKALVLNLRPDTLNLAEYDASDDFLKELEYIDIFDASTLRQLEILSWYSRDDFPSG EEGGFDRLYVKWGLEGFWKFPNIEKLSLASLVYSEFFLMNCLAVFHNLKILKLDYMGK FDFDVSLINFLSKQVCGKKLQRFDIHCQLNHRLFFPMTDNPLTRLNFDGFCPCSTCKN TIHEVILKKIFPETRSKLLKNPNKFQAHNFFYQMFFENKIMPYTNIIDNESPAMGWDS VPIETFVRKFNENLQSTIENTENITVNKITREDAISLYHLYLHYLKDVFKVFEQSLPN LEYLTINGIPTKIIQVDELQRCAVPLFYNNGYKSNSVYELVDAEALFS YDR132C MSNSPTVATLSQEYFDPNIPQILPHEKMYKIQVGKSLFKISGAS LSSDGPSFFTEYFSKKRSPSNNDDSNNDTMESNKNEVLFIDRSAEVFEWIYQHLQGYI IEIKDEVQYTMLFADAMYYNLPRLRSLLKETDYYFTNIGGQSFKIAKNLFRREGDSPN YFEIYAATVYIDVEELIISKKLLRPPSHSAPYIPRSSEYFKDLLTLLGGASIDLDDNK RNALIKECRYYRLLNLEQRLIKSHISYNPITRKEEICLLLKDLSKKGITFPASSAFST SPYFEDDFCSINECDSLSKTREQPANKKIKLDMTEKYNDSWNMLCYKRPFLDKHPREL IFQINSTDCTIILNKESQSIHVDITGESAYKFEALFGSHLPNTPSGAPKLKNYQYRFP SDSTQTKIETHYLLPACIYLCDLDINGIKISQVQTLLTDKNKFNDRVIDVSDPLDLRF CSGLKLYLRKSLWKLAVKDGNIMLIAIKAIAFNGTKEYYKGYEYL YDR135C MAGNLVSWACKLCRSPEGFGPISFYGDFTQCFIDGVILNLSAIF MITFGIRDLVNLCKKKHSGIKYRRNWIIVSRMALVLLEIAFVSLASLNISKEEAENFT IVSQYASTMLSLFVALALHWIEYDRSVVANTVLLFYWLFETFGNFAKLINILIRHTYE GIWYSGQTGFILTLFQVITCASILLLEALPKKPLMPHQHIHQTLTRRKPNPYDSANIF SRITFSWMSGLMKTGYEKYLVEADLYKLPRNFSSEELSQKLEKNWENELKQKSNPSLS WAICRTFGSKMLLAAFFKAIHDVLAFTQPQLLRILIKFVTDYNSERQDDHSSLQGFEN NHPQKLPIVRGFLIAFAMFLVGFTQTSVLHQYFLNVFNTGMYIKSALTALIYQKSLVL SNEASGLSSTGDIVNLMSVDVQKLQDLTQWLNLIWSGPFQIIICLYSLYKLLGNSMWV GVIILVIMMPLNSFLMRIQKKLQKSQMKYKDERTRVISEILNNIKSLKLYAWEKPYRE KLEEVRNNKELKNLTKLGCYMAVTSFQFNIVPFLVSCCTFAVFVYTEDRALTTDLVFP ALTLFNLLSFPLMIIPMVLNSFIEASVSIGRLFTFFTNEELQPDSVQRLPKVKNIGDV AINIGDDATFLWQRKPEYKVALKNINFQAKKGNLTCIVGKVGSGKTALLSCMLGDLFR VKGFATVHGSVAYVSQVPWIMNGTVKENILFGHRYDAEFYEKTIKACALTIDLAILMD GDKTLVGEKGISLSGGQKARLSLARAVYARADTYLLDDPLAAVDEHVARHLIEHVLGP NGLLHTKTKVLATNKVSALSIADSIALLDNGEITQQGTYDEITKDADSPLWKLLNNYG KKNNGKSNEFGDSSESSVRESSIPVEGELEQLQKLNDLDFGNSDAISLRRASDATLGS IDFGDDENIAKREHREQGKVKWNIYLEYAKACNPKSVCVFILFIVISMFLSVMGNVWL KHWSEVNSRYGSNPNAARYLAIYFALGIGSALATLIQTIVLWVFCTIHASKYLHNLMT NSVLRAPMTFFETTPIGRILNRFSNDIYKVDALLGRTFSQFFVNAVKVTFTITVICAT TWQFIFIIIPLSVFYIYYQQYYLRTSRELRRLDSITRSPIYSHFQETLGGLATVRGYS QQKRFSHINQCRIDNNMSAFYPSINANRWLAYRLELIGSIIILGAATLSVFRLKQGTL TAGMVGLSLSYALQITQTLNWIVRMTVEVETNIVSVERIKEYADLKSEAPLIVEGHRP PKEWPSQGDIKFNNYSTRYRPELDLVLKHINIHIKPNEKVGIVGRTGAGKSSLTLALF RMIEASEGNIVIDNIAINEIGLYDLRHKLSIIPQDSQVFEGTVRENIDPINQYTDEAI WRALELSHLKEHVLSMSNDGLDAQLTEGGGNLSVGQRQLLCLARAMLVPSKILVLDEA TAAVDVETDKVVQETIRTAFKDRTILTIAHRLNTIMDSDRIIVLDNGKVAEFDSPGQL LSDNKSLFYSLCMEAGLVNEN YDR137W MRAHRIDTFLIRENIKLEIIHESNSYFGGEHISIAFRFKHLGSQ HELFNYKEKLLTVDKAVEEKLEQQAKVQDDGEGTMENQTWSLKSLLGAFKRTGEPEES VDVDNMKMLNESKMLREKIQKQMYFHQPVTLISGYVQISGVFQYDSEVISESKFKQDE VKMVGLDIVPGHTTNSVLALEDGEHFKGKRNLTNYLNSDYTNVTNGLLFSESGSRGRT GTYNERTLMISNDTSIKTLPLLLIPQTLLFSEISLEPGEVRTFYFKSTKLPKDICPSY SSSKVASINYTLEVGADVLSDDNIEKFSNRVPITIAPYISSNAEQYTSRLDKPAIILK TGNIKELKPRLFTRKVSTASAVSFGRRKSSIIDIDSPLEDNEFVKRVKKNFIELVESN QNVSRDIDELIDLQMGVQFGKDEDSSDPEPNDSHFSNEMVTSAESSLRSDAVTKRRKS YSVRDNISNLEQKMWNDCSLVKSDENSNLLPQLINLQNAYQINRNNETMAKVSLSAPF YKTTDDINLVIELDPITTPLLKVTSLTVSLESFEIINPKYKTEGKGIGSKPKGNSVYE KHFICFDECKSVSVKLLPPRSPTNQITGQFKTDVFQHKWMIGLKFVIIAKTESITLDQ FYEDKKGILFHSKENLEGEEFTCYVPIPILCTSEDFMGW YDR138W MSNTEELIQNSIGFLQKTFKALPVSFDSIRHEPLPSSMLHASVL NFEWEPLEKNISAIHDRDSLIDIILKRFIIDSMTNAIEDEEENNLEKGLLNSCIGLDF VYNSRFNRSNPASWGNTFFELFSTIIDLLNSPSTFLKFWPYAESRIEWFKMNTSVEPV SLGESNLISYKQPLYEKLRHWNDILAKLENNDILNTVKHYNMKYKLENFLSELLPINE ESNFNRSASISALQESDNEWNRSARERESNRSSDVIFAADYNFVFYHLIICPIEFAFS DLEYKNDVDRSLSPLLDAILEIEENFYSKIKMNNRTRYSLEEALNTEYYANYDVMTPK LPVYMKHSNAMKMDRNEFWANLQNIKESDDYTLRPTIMDISLSNTTCLYKQLTQEDDD YYRKQFILQLCFTTNLIRNLISSDETRNFYKSCYLRENPLSDIDFENLDEVNKKRGLN LCSYICDNRVLKFYKIKDPDFYRVIRKLMSSDEKFTTAKIDGFKEFQNFRISKEKIPP PAFDETFKKFTFIKMGNKLINNVWKIPTGLDKIEQEVKKPEGVYEAAQAKWESKISSE TSGGEAKDEIIRQWQTLRFLRSRYLFDFDKVNEKTGVDGLFEEPRKVEALDDSFKEKL LYKINQEHRKKLQDAREYKIGKERKKRALEEEASFPEREQKIKSQRINSASQTEGDEL KSEQTQPKGEISEENTKIKSSEVSSQDPDSGVAGEFAPQNTTAQLENPKTEDNNAATS NISNGSSTQDMK YDR139C MIVKVKTLTGKEISVELKESDLVYHIKELLEEKEGIPPSQQRLI FQGKQIDDKLTVTDAHLVEGMQLHLVLTLRGGN YDR140W MLPTPYVKCDYDKVYEPAEDSFLILDCLEKEHDFLKQKFGNRLA IVCEIGSGSGIVTTFLMQNKIIPQENSIHLAVDINPWALEATLDTAKLNSCKSSFLEV IQADLNSSIRNNQVDVLIFNPPYVPAECVPDVPGSREEADQWLDLALLGGKDGMAITD KLLRQLEQILSPDGVAYILFCARNKPKEVIKRFVDTYKWNVKLIETRKAGWEVLSVYS FTR YDR141C MSLPLKPLTIDSNNKQLDSKQKKFRANVERALERFDSVTEWADY IASLGTLLKALQSWSPKFQNVRYYVPSPYQVSRRLTSSLSPALPAGVHQKTLEVYTYI FEHIGLETLATECNIWIPGILPLMTYASMSVRSHLIELYDNYILLLPQTTLRLLIRPL ISSLLPGIDDESNDFLPLTLKLIETLQENLDDDSLFWQTLFLVMTANKGRRLGGLTWL TRKFPSLNAVPHLVNKIKMEAEENPSETETNDSHLDRKKRKEEAFKVLLPAAKDLVTP EPGLLIRCLVGCLEDENDILIKRSVLDLLLQRLRLDSPVLNVLITSEDKKLLIMSCCR TTLSKDMSLNRRIWNWLLGPTAGGMLNNNGGNSMEYTTSVKSANEESNVYFTKYGLSA LLEGLSDLLSEEESVLTAFRISMAVMDRWEIGSLVIPELFIPLLYSSEKFKQNEQIMK TARTFFDNTETNIIWGKLFQELEDIKNLKILDFVLTNFNIGNDEEIIVRHLPLILLTL LALPSNDKDFDNIYKLQKFSLYNKLLNYIPERALLPLSHSKLKHDDEVSCEELLAKIR GFYTNVSNPSSILEKENIAERLPPFTTEDLTFLIADLIQKKLLSSLWDLENINESSKL FIAIFEKIPESEELKGRSHISWSDKKITQSIFEAIPRLCESNNDAKSEEIVGIVEIFG NYLYSRMEFIESMKLLKVVMMAVWKSLKDPRHQILGVKNLKTLNRFIPSKFIESALVY TFVEEEDISERLSVLDLLWTQLDSDSNLIRRPLELILGELFDDQNPFYLTVSKWILSI LNSGSASRLFYILTDNILKVNRLEKERLDERDDLDMLTYEFQMLAYVLKTNNGRTRKV FSTELTSIKSSTIWKNEDVSTYKSLLLVTLMRFLNIKSNTHAKSIRSALILLDILLDG TEQNFKDIVIFLLQMSSKYIAEEGIEPELIAVSLLDIVSKVLRLSHDNGIKLDIFDDN AAHLKYIDFLVTSVSNMKSPLIVTAYVKLLSESIVYFENSIFRMILPLSASLVQCVQR LFLLEKREGGYYQPIALLLGGLEELLEISHGYLVTEEREGYFSGSNLKGDFIQSVVSN VFSSDSSNEESKIQGERDVILQSFRQVISCCLDIWYWAHNISCKSNDDSSLDATNHNS YKFKFRSKKLLETLFLLEPLELLENLISIRSDNTTVTLVHVLDGNKPAITIPHLLYGV IIRYNRTASVKFSNRDGSRSSTTKLTKGEPSMLKRLSGESIIAFLFNYVDSVENSAME EFYGDFLLFFREVATNYNLYSDVSLSILKLVALISGKVSKTQFGEQKRVRREISDVFF KYLPNAFINFTNLYRGHPDSFKDLEFVVWRVQYIVNDQIGGDKFNTTLATIVNQCLTP YIKPKSEKTIPGYVLELAAVVSHLGSKVKSWRLLIAELFQNDKKLSVIGSDQTWEKII YEWSIYPENKSKILNDLLLEIGSKRSSVTPTLITFNLGSDSEVEYKCQNLLKISYLLM VSPNDAYLLHFSSLISCIFHYLVSKDIKLKGSCWILLRVLLLRFSESHFNDYWSMISY CLQTNLQEFYESLQIQSEVDPQTILQVCKTLDLLLLLNMEGFTSTNEWIFVIDTINCV YKTNSFVALVDEIAEFKDYEITKTDDLELPTTLKDGLPLLRGIHKIERHTQLRSFFQN LSYLHYEKVYGLGSVDLYGCGEDLKKDILS YDR142C MLRYHMQGFSGYGVQYSPFFDNRLAVAAGSNFGLVGNGKLFILE IDRSGRIVEVNSFLTQDCLFDLAWNESHENQVLVAQGDGTLRLFDTTFKEFPIAIFKE HEREVFSCNWNLVNRQNFLSSSWDGSIKIWSPLRKQSLMTLTPRPLEITKMVDPLNAI ILKKKSFTGISKNRNCVYQAQFSPHDQNLVLSCSGNSYASLFDIRLPSGKNQNNFLVH SGLEALTCDFNKYRPYVVATGGVDNAIRIWDIRMLNKNESATIKRTVPGQLHNSSCIN EIPNAHGLAIRKVTWSPHHSNILMSASYDMTCRIWRDLSNDGAKETYKTNSTDATKGS IFNFTQHSEFVFGADWSLWGKPGYVASTAWDGNLFVWNGLG YDR143C MSESGQEQNRGTNTSPNNAENNNNSNAASGPLNGGAEQTRNITV SIQYSYFTPERLAHLSNISNNDNNENNSAASGSTIANGTGPSFGIGNGGHQPDGALVL SFRDVPASTPQDRLNSFISVAAQLAMERFNRLLNRPKGISKDEFDKLPVLQVSDLPKA EGPLCSICYDEYEDEVDSTKAKRKRDSENEEESEGTKKRKDNEGAPLRTTADNDSNPS ITNATVVEPPSIPLTEQQRTLNDEETNPSYKHSPIKLPCGHIFGRECIYKWSRLENSC PLCRQKISESVGVQRAAQQDTDEVAANEAAFERIRRVLYDPTAVNSTNENSSAPSENT SNTTVPTIGNASSGEQMLSRTGFFLVPQNGQPLHNPVRLPPNDSDRNGVNGPSSTTQN PPSNSGGSNNNQSPRWVPIPLTLFQFHSPNPNPSASDSSASPSAANGPNSNNTSSDAT DPHHNRLRAVLDHIFNVAQRGTSDTSATTAPGAQTVHNQGRNDSSSSDTTQGSSFLEN ISRLTGHFTNGSRDNNNDNNHSNDQQRGGSTGENNRNNLFSSGVASYRNQNGDVTTVE LRNNNSAAFPPTDENPSQGQGSSSSDTTIHNDVPNDNNEQRSSQ YDR144C MKLSVLTFVVDALLVCSSIVDAGVTDFPSLPSNEVYVKMNFQKK YGSSFENALDDTKGRTRLMTRDDDYELVELTNQNSFYSVELDIGTPPQKVTVLVDTGS SDLWVTGSDNPYCSTKKKDTTGSSFKQVNKDALASVVESVFTEISYDTTIVTSEATAT FDSTASTSQLIDCATYGTFNTSKSSTFNSNNTEFSIAYGDTTFASGTWGHDQLSLNDL NITGLSFAVANETNSTVGVLGIGLPGLESTYSGVSLSSVQKSYTYNNFPMVLKNSGVI KSTAYSLFANDSDSKHGTILFGAVDHGKYAGDLYTIPIINTLQHRGYKDPIQFQVTLQ GLGTSKGDKEDNLTTLTTTKIPVLLDSGTTISYMPTELVKMLADQVGATYSSAYGYYI MDCIKEMEEESSIIFDFGGFYLSNWLSDFQLVTDSRSNICILGIAPQSDPTIILGDNF LANTYVVYDLDNMEISMAQANFSDDGEYIEIIESAVPSALKAPGYSSTWSTYESIVSG GNMFSTAANSSISYFASTSHSATSSSSSKGQKTQTSTTALSISKSTSSTSSTGMLSPT SSSSTRKENGGHNLNPPFFARFITAIFHHI YDR145W MSSNPENSGVNANNNTGTGNADAITGAQQNMVLQPRQLQEMAAK FRTLLTEARNVGETTPRGKELMFQAAKIKQVYDALTLNRRRQQAAQAYNNTSNSNSSN PASIPTENVPNSSQQQQQQQQQTRNNSNKFSNMIKQVLTPEENQEYEKLWQNFQVRHT SIKEKETYLKQNIDRLEQEINKQTDEGPKQQLQEKKIELLNDWKVLKIEYTKLFNNYQ NSKKTFYVECARHNPALHKFLQESTQQQRVQQQRVQQQQQQQQQQQQQQQQQQQQQQQ RQGQNQRKISSSNSTEIPSVTGPDALKSQQQQQNTITATNNPRGNVNTSQTEQSKAKV TNVNATASMLNNISSSKSAIFKQTEPAIPISENISTKTPAPVAYRSNRPTITGGSAMN ASALNTPATTKLPPYEMDTQRVMSKRKLRELVKTVGIDEGDGETVIDGDVEELLLDLA DDFVTNVTAFSCRLAKHRKSDNLEARDIQLHLERNWNIRIPGYSADEIRSTRKWNPSQ NYNQKLQSITSDKVAAAKNNGNNVASLNTKK YDR146C MDTSNSWFDASKVQSLNFDLQTNSYYSNARGSDPSSYAIEGEYK TLATDDLGNILNLNYGETNEVIMNEINDLNLPLGPLSDEKSVKVSTFSELIGNDWQSM NFDLENNSREVTLNATSLLNENRLNQDSGMTVYQKTMSDKPHDEKKISMADNLLSTIN KSEINKGFDRNLGELLLQQQQELREQLRAQQEANKKLELELKQTQYKQQQLQATLENS DGPQFLSPKRKISPASENVEDVYANSLSPMISPPMSNTSFTGSPSRRNNRQKYCLQRK NSSGTVGPLCFQELNEGFNDSLISPKKIRSNPNENLSSKTKFITPFTPKSRVSSATSN SANITPNNLRLDFKINVEDQESEYSEKPLGLGIELLGKPGPSPTKSVSLKSASVDIMP TIPGSVNNTPSVNKVSLSSSYIDQYTPRGKQLHFSSISENALGINAATPHLKPPSQQA RHREGVFNDLDPNVLTKNTDNEGDDNEENEPESRFVISETPSPVLKSQSKYEGRSPQF GTHIKEINTYTTNSPSKITRKLTTLPRGSIDKYVKEMPDKTFECLFPGCTKTFKRRYN IRSHIQTHLEDRPYSCDHPGCDKAFVRNHDLIRHKKSHQEKAYACPCGKKFNREDALV VHRSRMICSGGKKYENVVIKRSPRKRGRPRKDGTSSVSSSPIKENINKDHNGQLMFKL EDQLRRERSYDGNGTGIMVSPMKTNQR YDR147W MYTNYSLTSSDAMPRTYLVGTASPEMSKKKRQSANCDKPTRRVI HIIDTNEHSEVDLKNELPITCTNEDGEMTSSSWTSQTANDFLKLAYVNAKLDPSLPSQ YFKQDIINVLQSLEIPGWSVPGSKESSLNKNLLTLTQIKGALTNVIYKIHYPNLPPLL MRIFGDSIDSVIDREYELKVIARLSFYDLGPKLEGFFENGRFEKYIEGSRTSTQADFI DRDTSIKIAKKLKELHCTVPLTHKEITDQPSCWTTFDQWIKLIDSHKEWVSNNVNISE NLRCSSWNFFLKSFKNYKRWLYNDSAFTSKLLREDDKDSMINSGLKMVFCHNDLQHGN LLFKSKGKDDISVGDLTIIDFEYAGPNPVVFDLSNHLNEWMQDYNDVQSFKSHIDKYP KEEDILVFAQSYINHMNENHVKIASQEVRILYNLIIEWRPCTQLFWCLWALLQSGRLP QRPLIEGEKLMSEKAGLGDETHLMEHKNKENGKYDCSEDDSFNYLGFCKEKMSVFWGD LITLGVIDKDCPDIGKTDYLDTKLIF YDR148C MLSRATRTAAAKSLVKSKVARNVMAASFVKRHASTSLFKQANKV ESLGSIYLSGKKISVAANPFSITSNRFKSTSIEVPPMAESLTEGSLKEYTKNVGDFIK EDELLATIETDKIDIEVNSPVSGTVTKLNFKPEDTVTVGEELAQVEPGEAPAEGSGES KPEPTEQAEPSQGVAARENSSEETASKKEAAPKKEAAPKKEVTEPKKADQPKKTVSKA QEPPVASNSFTPFPRTETRVKMNRMRLRIAERLKESQNTAASLTTFNEVDMSALMEMR KLYKDEIIKKTGTKFGFMGLFSKACTLAAKDIPAVNGAIEGDQIVYRDYTDISVAVAT PKGLVTPVVRNAESLSVLDIENEIVRLSHKARDGKLTLEDMTGGTFTISNGGVFGSLY GTPIINSPQTAVLGLHGVKERPVTVNGQIVSRPMMYLALTYDHRLLDGREAVTFLKTV KELIEDPRKMLLW YDR150W MSHNNRHKKNNDKDSSAGQYANSIDNSLSQESVSTNGVTRMANL KADECGSGDEGDKTKRFSISSILSKRETKDVLPEFAGSSSHNGVLTANSSKDMNFTLE LSENLLVECRKLQSSNEAKNEQIKSLKQIKESLSDKIEELTNQKKSFMKELDSTKDLN WDLESKLTNLSMECRQLKELKKKTEKSWNDEKESLKLLKTDLEILTLTKNGMENDLSS QKLHYDKEISELKERILDLNNENDRLLISVSDLTSEINSLQSNRTERIKIQKQLDDAK ASISSLKRKVQKKYYQKQHTSDTTVTSDPDSEGTTSEEDIFDIVIEIDHMIETGPSVE DISEDLVKKYSEKNNMILLSNDSYKNLLQKSESASKPKDDELMTKEVAENLNMIALPN DDNYSKKEFSLESHIKYLEASGYKVLPLEEFENLNESLSNPSYNYLKEKLQALKKIPI DQSTFNLLKEPTIDFLLPLTSKIDCLIIPTKDYNDLFESVKNPSIEQMKKCLEAKNDL QSNICKWLEERNGCKWLSNDLYFSMVNKIETPSKQYLSDKAKEYDQVLIDTKALEGLK NPTIDFLREKASASDYLLLKKEDYVSPSLEYLVEHAKATNHHLLSDSAYEDLVKCKEN PDMEFLKEKSAKLGHTVVSNEAYSELEKKLEQPSLEYLVEHAKATNHHLLSDSAYEDL VKCKENPDMEFLKEKSAKLGHTVVSNEAYSELQRKYSELEKEVEQPSLAYLVEHAKAT DHHLLSDSAYEDLVKCKENPDVEFLKEKSAKLGHTVVSSEEYSELQRKYSELEKEVEQ PSLAYLVEHAKATDHHLLSDSAYEELVKCKENPDMEFLKEKSAKLGHTVVSNEAYSEL EKKLEQPSLAYLVEHAKATDHHLLSDSAYEDLVKCKENSDVEFLKEKSAKLGHTVVSN EAYSELEKKLEQPSLAYLVEHAKATDHHLLSDSAYEDLVKCKENPDMEFLKEKSAKLG HTVVSNEAYSELEKKLEQPSLEYLVEHAKATNHHLLSDSAYEDLVKCKENPDMEFLKE KSAKLGHTVVSNEAYSELEKKLEQPSLEYLVEHAKATNHHLLSDSAYEELVKCKENPD VEFLKEKSAKLGHTVVSNEAYSELEKKLEQPSLEYLVEHAKATNHHLLSDSAYEELVK CKENPDVEFLKEKSAKLGHTVVSNEAYSELEKKLEQPSLAYLVEHAKATDHHLLSDSA YEDLVKCKENPDVEFLKEKSAKLGHTVVSNEAYSELEKKLEQPSLAYLVEHAKATDHH LLSDSAYEDLVKCKENPDMEFLKEKSAKLGHTVVSNEAYSELEKKLEQPSLEYLVEHA KATNHHLLSDSAYEDLVKCKENPDMEFLKEKSAKLGHTVVSNKEYSELEKKLEQPSLE YLVKHAEQIQSKIISISDFNTLANPSMEDMASKLQKLEYQIVSNDEYIALKNTMEKPD VELLRSKLKGYHIIDTTTYNELVSNFNSPTLKFIEEKAKSKGYRLIEPNEYLDLNRIA TTPSKEEIDNFCKQIGCYALDSKEYERLKNSLENPSKKFIEENAALLDLVLVDKTEYQ AMKDNASNKKSLIPSTKALDFVTMPAPQLASAEKSSLQKRTLSDIENELKALGYVAIR KENLPNLEKPIVDNASKNDVLNLCSKFSLVPLSTEEYDNMRKEHTKILNILGDPSIDF LKEKCEKYQMLIISKHDYEEKQEAIENPGYEFILEKASALGYELVSEVELDRMKQMID SPDIDYMQEKAARNEMVLLRNEEKEALQKKIEYPSLTFLIEKAAGMNKILVDQIEYDE TIRKCNHPTRMELEESCHHLNLVLLDQNEYSTLREPLENRNVEDLINTLSKLNYIAIP NTIYQDLIGKYENPNFDYLKDSLNKMDYVAISRQDYELMVAKYEKPQLDYLKISSEKI DHIVVPLSEYNLMVTNYRNPSLSYLKEKAVLNNHILIKEDDYKNILAVSEHPTVIHLS EKASLLNKVLVDKDDFATMSRSIEKPTIDFLSTKALSMGKILVNESTHKRNEKLLSEP DSEFLTMKAKEQGLIIISEKEYSELRDQIDRPSLDVLKEKAAIFDSIIVENIEYQQLV NTTSPCPPITYEDLKVYAHQFGMELCLQKPNKLSGAERAERIDEQSINTTSSNSTTTS SMFTDALDDNIEELNRVELQNNEDYTDIISKSSTVKDATIFIPAYENIKNSAEKLGYK LVPFEKSNINLKNIEAPLFSKDNDDTSVASSIDLDHLSRKAEKYGMTLISDQEFEEYH ILKDNAVNLNGGMEEMNNPLSENQNLAAKTTNTAQEGAFQNTVPHNDMDNEEVEYGPD DPTFTVRQLKKPAGDRNLILTSREKTLLSRDDNIMSQNEAVYGDDISDSFVDESQEIK NDVDIIKTQAMKYGMLCIPESNFVGASYASAQDMSDIVVLSASYYHNLMSPEDMKWNC VSNEELQAEVKKRGLQIALTTKEDKKGQATASKHEYVSHKLNNKTSTVSTKSGAKKGL AEAAATTAYEDSESHPQIEEQSHRTNHHKHHKRQQSLNSNSTSKTTHSSRNTPASRRD IVASFMSRAGSASRTASLQTLASLNEPSIIPALTQTVIGEYLFKYYPRLGPFGFESRH ERFFWVHPYTLTLYWSASNPILENPANTKTKGVAILGVESVTDPNPYPTGLYHKSIVV TTETRTIKFTCPTRQRHNIWYNSLRYLLQRNMQGISLEDIADDPTDNMYSGKIFPLPG ENTKSSSKRLSASRRSVSTRSLRHRVPQSRSFGNLR YDR151C MMPNVAPNSYYLNIPNANSTSTTTSSIFSDLNKEYESKIKEIEE YYIKTLLNENTDNDDSSSSEGHNINETDILSEYSPRPSPWLPSKPNCYHPLGDFKDLI ISDSRPTNTLPINNPFAGNNNISTLATTEKKRKKRSLEVEINPTYTTSAFSLPLTAEN LQKLSQVDSQSTGLPYTLPIQKTTKLEPCRRAPLQLPQLVNKTLYKTELCESFTIKGY CKYGNKCQFAHGLNELKFKKKSNNYRTKPCINWSKLGYCPYGKRCCFKHGDDKDVEIY QNANDGRSKDTALTPLPTSLAPSNNDNITNLSKPRNLHTSVKALQRMTW YDR152W MDYKEEQKQELEVLESIYPDELRIINDEYPKIKFEVAIKLELDT GDSTSVLTKEHTIIAEFKLPENYPDEPCLISLEAQEVALNDNEEDNEEDEDEVEYDDH GNKVLKKFENLPDLISFKGYLPELTVQLESQIETDMLLGMQMCFALISSIKERCEQWY SEQLNKLEKQYELEAQEREKKEQAKFHGTKVTRESYLEWRSKFRQELKLDERDQVRRM KAHHGKLTGKQMFEQGVVGTGDEYMEEDDASVDDVAKGLAKTEIANQ YDR153C MDSLSKKIQNLGIHDIRNAARFAQNVIVQYEPYQIDIRRATNTD AWGPTPKHLAKVLRNRYQVPLYLMTEYTLKRLVDHIATRPKNLYEKARKDYVNYGSEW RVVLKCLVVIEFLLLNVDTGDELNQIRSCLLTHKHILTREIAQFKVKFSNDGKMEIHE RGIRKKGELILQYLEDSQFLKKERAKNKKNALKIRQQGESSIYNANQISTSASYDNID DDEFDADADGFDSEMDANNVTNFNVPVETEANSNTRRRSHMEEQRRQRREILREQIKN KEQQRKRKQQQDSIPDLIDLDDSTSTTNNITIDNGNNDNKNNNINSNSDDDDDEFGDF QSETSPDTTAPKTSNSKIDDLLDWDGPKSDTDTTAAAQTSLPFAEKKQQKARPQATKD KSKGNDAFSDLFSYSKSLV YDR155C MSQVYFDVEADGQPIGRVVFKLYNDIVPKTAENFRALCTGEKGF GYAGSPFHRVIPDFMLQGGDFTAGNGTGGKSIYGGKFPDENFKKHHDRPGLLSMANAG PNTNGSQFFITTVPCPWLDGKHVVFGEVVDGYDIVKKVESLGSPSGATKARIVVAKSG EL YDR156W MMKGSRRTGNNTATTLNTPVVIHATQLPQHVSTDEVLQFLESFI DEKENIIDSTTMNTISGNAADADAAAVANTSLNIDTNLSSSISQLKRIQRDFKGLPPA QDFSAAPIQVSTTEKKETSIGVSATGGKKTTFADE YDR157W MSETCSSSLALLHKILHIHSHTPSVYYNICISVRILTSERLQCF FFSFFPDPNITGSGLKVPGFLFFHTFFFSKSCCQALIDSFSSDYYQFKMLEKNRKAEK INKRTIFICSFTFEYKIKSCFSCFHLSTHTN YDR158W MAGKKIAGVLGATGSVGQRFILLLANHPHFELKVLGASSRSAGK KYVDAVNWKQTDLLPESATDIIVSECKSEFFKECDIVFSGLDADYAGAIEKEFMEAGI AIVSNAKNYRREQDVPLIVPVVNPEHLDIVAQKLDTAKAQGKPRPGFIICISNCSTAG LVAPLKPLIEKFGPIDALTTTTLQAISGAGFSPGVPGIDILDNIIPYIGGEEDKMEWE TKKILAPLAEDKTHVKLLTPEEIKVSAQCNRVAVSDGHTECISLRFKNRPAPSVEQVK TCLKEYVCDAYKLGCHSAPKQTIHVLEQPDRPQPRLDRNRDSGYGVSVGRIREDPLLD FKMVVLSHNTIIGAAGSGVLIAEILLARNLI YDR159W MNTSFGSVVPSTNFNFFKGHGNNDNTSANSTVNNSNFFLNSNET KPSKNVFMVHSTSQKKSQQPLQNLSHSPSYTENKPDKKKKYMINDAKTIQLVGPLISS PDNLGFQKRSHKARELPRFLINQEPQLEKRAFVQDPWDKANQEKMISLEESIDDLNEL YETLKKMRNTERSIMEEKGLVDKADSAKDLYDAIVFQGTCLDMCPTFERSRRNVEYTV YSYEKNQPNDKKASRTKALKVFARPAAAAAPPLPSDVRPPHILVKTLDYIVDNLLTTL PESEGFLWDRMRSIRQDFTYQNYSGPEAVDCNERIVRIHLLILHIMVKSNVEFSLQQE LEQLHKSLITLSEIYDDVRSSGGTCPNEAEFRAYALLSKIRDPQYDENIQRLPKHIFQ DKLVQMALCFRRVISNSAYTERGFVKTENCLNFYARFFQLMQSPSLPLLMGFFLQMHL TDIRFYALRALSHTLNKKHKPIPFIYLENMLLFNNRQEIIEFCNYYSIEIINGDAADL KTLQHYSHKLSETQPLKKTYLTCLERRLQKTTYKGLINGGEDNLASSVYVKDPKKDRI PSIADQSFLMENFQNNYNEKLNQNSSVKPQINTSPKRVATRPNHFPFSQESKQLPQIS QSHTLSTNPLLTPQVHGDLSEQKQQQIKTVTDGGSPFVFDQSAQNSTVEASKAHMIST TSNGAYDEKLSSEQEEMRKKEEQRIEEEKTQLKKKQENADKQVITEQIANDLVKEVVN SSVISIVKREFSEANYRKDFIDTMTRELYDAFLHERLYLIYMDSRAELKRNSTLKKKF FEKWQASYSQAKKNRILEEKKREEIKLVSHQLGVPGFKKSTCLFRTPYKGNVNSSFML SSSDKNLIFSPVNDEFNKFATHLTKISKLWRPLEMQSIYYDNLTKKFPSNSLTPANLF IYAKDWTSLSNRWILSKFNLQTAQDSKKFSNNIISSRIICIDDEYEPSDFSDLQLLIF NTGVTNPDIFDLEMKLKDDGEELIKLITGISLNTNICFSLLIIYWESAENTLSESTIK HLLKLNRISKNYSSVIERIDLMNLTEESPHKCLEDKLSEISHSYVYKLTERGKYDKTL RQKRSLAGIHSRSTQLQTTKDIDQKMKKMLEKEKNKYQQQIGERNTYAHLESHIDASP RSKKRKLPILLSTSHSSQFKTPLASRLNTSGSSTSPPLPSHLAMKFRKNSRVTSLHTV LPVSTPSHSNNIPAASFSGNNTTDIQSQQLIENQKSTSVYLNNVSERILGNQEICQTP INPVTPVLDGADQGKEDIPDSILELKILIDSVKKKVNND YDR160W MSSVNQIYDLFPNKHNIQFTDSHSQEHDTSSSLAKNDTDGTISI PGSIDTGILKSIIEEQGWNDAELYRSSIQNQRFFLTDKYTKKKHLTMEDMLSPEEEQI YQEPIQDFQTYNKRVQREYELRERMEEFFRQNTKNDLHILNEDSLNQQYSPLGPADYV LPLDRYSRMKHIASNFFRKKLGIPRKLKRRSHYNPNAEGHTKGNSSILSSTTDVIDNA SYRNIAIDENVDITHKEHAIDEINEQGASGSESVVEGGSLLHDIEKVFNRSRATRKYH IQRKLKVRHIQMLSIGACFSVGLFLTSGKAFSIAGPFGTLLGFGLTGSIILATMLSFT ELSTLIPVSSGFSGLASRFVEDAFGFALGWTYWISCMLALPAQVSSSTFYLSYYNNVN ISKGVTAGFITLFSAFSIVVNLLDVSIMGEIVYVAGISKVIIAILMVFTMIILNAGHG NDIHEGVGFRYWDSSKSVRNLTYGLYRPTFDLADAGEGSKKGISGPKGRFLATASVML ISTFAFSGVEMTFLASGEAINPRKTIPSATKRTFSIVLISYVFLIFSVGINIYSGDPR LLSYFPGISEKRYEAIIKGTGMDWRLRTNCRGGIDYRQISVGTGYSSPWVVALQNFGL CTFASAFNAILIFFTATAGISSLFSCSRTLYAMSVQRKAPPVFEICSKRGVPYVSVIF SSLFSVIAYIAVDQTAIENFDVLANVSSASTSIIWMGLNLSFLRFYYALKQRKDIISR NDSSYPYKSPFQPYLAIYGLVGCSLFVIFMGYPNFIHHFWSTKAFFSAYGGLMFFFIS YTAYKVLGTSKIQRLDQLDMDSGRREMDRTDWTEHSQYLGTYRERAKKLVTWLI YDR161W MSELEATIRQAKEALAENNAKKALKILKPFKSSLKKENANNVIL NEVFADAYLDNGQVEKAYPILARACELDPEGQVGGPDKFFTMGQIMGGQDGVSIITRG IMNISNTGGEMLTNVQVEKIVGGLLSVIEIWMTDLCMEPNAEEQCEELIQKAMELTEG KSPETWSTLGSIKISQQKFGEAYEAFSQAWNFFELKKQEIGSGINENGDTTQKAGLQS EYVDLLQPLLSLTKMCLEVGAYEVALKVIAAVRDIDEDNIEGYYLEGFTYYLMSKLEI FKLNNPEVSLRPENIYEFNQLIQEVPLDLSHEPISQLIYDSRLALSFALQAGVNADSK DEIVQELLGGANALLQEIGGPLDPSELTQIKKGDLVNENEDLEELDIEEEYSD YDR162C MATMETTTQKDTNILKSGLKKTIGVLNEAVLQNGREVEAVQAGN SDTMEDTETTTIGYISIKDYAYADSNPLHYGYFDGDNEEDEMVSDSSNGEDTYNKRQS ITLPDDYIVNQRAVALYDFEPENDNELRLAEGDIVFISYKHGQGWLVAENESGSKTGL VPEEFVSYIQPEDGENEVENKARPFYLTHLITQSVSPKNNIDNTNEDEYDDNDEWEDI DDVAEVEADMKTKLDISD YDR163W MTTSHRPQLEARSGAKAAAYTPTGIEHARLLPGHTTLKYRKFKE EENLRANCAQEDRSNDKSLEEAVMNEEKQDVVGSGNLQETRSEKDQKDSLQELLVTQK NKVEDKAELEGNEQLKGGNSSRRSWRKGTAFGRHKVTKETNIKEHATKKSASGYINDM TKSEYHQEFLHKHVR YDR164C MSDLIELQRNYLIGVLNQIETKNNLKFLIIDKTVETILSYLFLT PQELLNNVTSVDLIDSPTRKGQSSIEAIYILEPTKYNINCIDADFMVRPPKYRRCHIR FLPGLTNPIFQFFQSKRYIAQNLESFKPIELGFFVKESQFFETLQMEHSLQVFFNNNC KALIPTNVRKIVGSLVSLCVITGEYPIVRYSVSNPVEEEDARNGNAVVNANSLTRSIA NAFQIAIDTYARNNPDFPPQNTERPRSILIITDRTLDPFAPILHDFSYQAMAYDLVAN VDTQKDIYHYSAENEAGEQEEKVSKLVDLYDPDWIDLKHQHIMDANEYIQGRIKELIA KNPLLVDRSNVKNTTDLLSVVAHLKDFDEERRRLILHKTLVDECLGENAERKLADISA IEQNLSGFGMDFSGEKIKHIIDDLLPALAMKEPTILDKLRYIIAYALFRGGIIELDFI KLLNFIGVTHEHENFQQYLKIFRNYDLIDFKLIKDKPKDKPFQKEWFHDTLVNDPNIY HTSRFVPAVGNILSKVIANPLLLSEQYFPYLKDKPIELLNEEEFQAGLANTSANSSSS LRNPRHKAAWTTKSSNIKKNIPRQRFFYYVIGGISIPEIKAAYDQSNLKNRDIFIGSD EILTPTKFLDEVERLQNPREFFKFKEDQRQQVNPPDFLLREMKPVAQPVSHVHLKSQD NSPKSGTSSPKAAGSLKSEPPEKEKKRSKFSRFLKRKSHHDK YDR165W MSVIHPLQNLLTSRDGSLVFAIIKNCILSFKYQSPNHWEFAGKW SDDFDKIQESRNTTAKEQQGQSSENENENKKLKSNKGDSIKRTAAKVPSPGLGAPPIY SYIRNLRLTSDESRLIACADSDKSLLVFDVDKTSKNVLKLRKRFCFSKRPNAISIAED DTTVIIADKFGDVYSIDINSIPEEKFTQEPILGHVSMLTDVHLIKDSDGHQFIITSDR DEHIKISHYPQCFIVDKWLFGHKHFVSSICCGKDYLLLSAGGDDKIFAWDWKTGKNLS TFDYNSLIKPYLNDQHLAPPRFQNENNDIIEFAVSKIIKSKNLPFVAFFVEATKCIII LEMSEKQKGDLALKQIITFPYNVISLSAHNDEFQVTLDNKESSGVQKNFAKFIEYNLN ENSFVVNNEKSNEFDSAIIQSVQGDSNLVTKKEEIYPLYNVSSLRKHGEHYS YDR166C MDRFQIGDEQLLRFYQLKTINPTHSWAQDSSKLNNEEATSNELG VETSFDILKDFKYGNQISIDKESRAYLNDESLSYIRDPLNGQEMSKELQHLPNDSMRL NYLVNSKQFNVKAFLRDMHKQDSFNDLNNSLDRLDSDIQDQSIHLKQLVGKNFTKYVK IKNKLDQIYKEFDEKTNEKNQCDSPKENQINVESLNKKVDEVIRTTTFKLKPLMDNYQ KILNYQATKKFIELNKFYFNLPKSLKRCLTNNDFNEFIIEYSKGLTLRRRFNQSSDAS QSLVIKRIWTQIENLLVTYKDLIWNSLINSNFNIDQPQETILSLFSKLLNLENFINNN QRESESGNKNTTSSSNENPILRWMSIKMNGFQNELNELSGHMISKIIHSQRLILQNNT NQDKSQGCVELSYYLKINQLFQIISDTGKDSEGLKSTVEPNKVNTISGTSYLNLNCQP SSQGLTDSPTIIEMWLLILKYINDLWKICDQFIEFWEHIEKFLDGTYQNSIINEKRKE NILIGDSNIIESYQKSLILKEEQINEVRLKGEEFITSVSQNLISFFTSSQSSLPSSLK DSTGDITRSNKDSGSPLDYGFIPPNCNGLSCLRYLPKIVEPILKFSTELAQLNITTNG ITICRNTLSTIINRCVGAISSTKLRDISNFYQLENWQVYETVTFSSKSQDSSKNLTFE YGVTQFPEIVTSFQEVSIKTTRDLLFAYEKLPIINGISVVSYPSKQLLTGIEIQQIIS MEAVLEAILKNAAKDKDNPRNSHTILTLTNLQYFRECAFPNILQYFDDAFEWNLASKN LELFSLLSKMESSIFGNYLSDLKINLRDTLEEKFHEINWPMYTSNSFRVGDYIIEALM ILIVVHSECFRIGPQLIHKILIETQIFIARYLFEAFKPYVGNLSNDGSLQIIVDLEFF QKVMGPLLEKDTEATLRACLQNCFQNDTNRLQKCINEINPIVSANLKRTAIQFAAFS YDR167W MDFEEDYDAEFDDNQEGQLETPFPSVAGADDGDNDNDDSVAENM KKKQKREAVVDDGSENAFGIPEFTRKDKTLEEILEMMDSTPPIIPDAVIDYYLTKNGF NVADVRVKRLLALATQKFVSDIAKDAYEYSRIRSSVAVSNANNSQARARQLLQGQQQP GVQQISQQQHQQNEKTTASKVVLTVNDLSSAVAEYGLNIGRPDFYR YDR168W MAIDYSKWDKIELSDDSDVEVHPNVDKKSFIKWKQQSIHEQRFK RNQDIKNLETQVDMYSHLNKRVDRILSNLPESSLTDLPAVTKFLNANFDKMEKSKGEN VDPEIATYNEMVEDLFEQLAKDLDKEGKDSKSPSLIRDAILKHRAKIDSVTVEAKKKL DELYKEKNAHISSEDIHTGFDSSFMNKQKGGAKPLEATPSEALSSAAESNILNKLAKS SVPQTFIDFKDDPMKLAKETEEFGKISINEYSKSQKFLLEHLPIISEQQKDALMMKAF EYQLHGDDKMTLQVIHQSELMAYIKEIYDMKKIPYLNPMELSNVINMFFEKVIFNKDK PMGKESFLRSVQEKFLHIQKRSKILQQEEMDESNAEGVETIQLKSLDDSTELEVNLPD FNSKDPEEMKKVKVFKTLIPEKMQEAIMTKNLDNINKVFEDIPIEEAEKLLEVFNDID IIGIKAILENEKDFQSLKDQYEQDHEDATMENLSLNDRDGGGDNHEEVKHTADTVD YDR169C MSENQKEVSPPQAISVKSEASSSIFSKPISTSSPAGLAAAQRVT PGKLSTLLLEMGPLAIRHITQTLCLDIPCFKDLSSSKQRRLIMSAMESGDKEKSVVFE KIGWGQWSAKRVDPANFDKELEATNFANAKVKDLISQESQRRKSNNSNSNSGGKVEMP MKVEHNITNIDGATTPPTAVASTTIPVNIKRSKSPLAAANVVYIDENALASEDEDEEF DEDDHHLHYQNKSRNSSNNFGKSSNGDPYSFGRRRSQVVFADSTPENIEHEIIAQKIR PLLRNRRRSSIKPHTPFISKLNTHQDSSYLSPNTTSTTTPSNNNSNSNQAKIDLEKLT ATSEPTSRRASRLSVSKESSIRSTLFPNKNYLIVTTNPNSKATSVSTSPKLEEQMNVS SNPIVLSDKEKHRVASQHLNGESSPQLVPHSHHQPHSDTDEEDWESIGAASLRNNSLA PNIDSVASSTNGVVSPKPTNPSFTNSQNGDIEPPLQHDQQKHEQQPRNGEDNSAAFLL MSLKS YDR169C-A MMRMSLKQMQSQRFSATSRVRMLLIGASGLRSSSSKLECPRFSN KHGRN YDR170C MSEQNSVVNAEKGDGEISSNVETASSVNPSVKPQNAIKEEAKET NGEDQKCKGPENAGSTAETKETSNDATNGMKTPEETEDTNDKRHDDEGEDGDEDEDED EDEDEDNGDEDDEDVDSSSSETSSEDGEDSESVSGESTESSSGEDEESDESDGNTSNS SSGDESGSEEEEEEEEEEEEEENAGEPAIAHQDSVPTNDSTAPRSTHTRNISLSSNGS NTNSTIILVKTTLETILNDKDIKKNSNAQKAIERTLQKFKEFDPQTTNNPHYVDSILV FEALRASCRTKSSKVQSLALDCLSKLFSFRSLDETLLVNPPDSLASNDQRQDAADGIT PPPKQKIIDAAIDTISDCFQGEGTDDRVELQIVRALSSCILEEDSSSLCHGASLLKAI RTIYNVFVFSLNPSNQGIAQATLTQIISSVYDKIDLKQSTSSAVSLSTKNHQQQSAIE LSEASENAETPAPLTLENMDKLNDDEERLMDAQQPDSIAITNQDLAVKDAFLVFRVMA KICAKPLETELDMRSHAVRSKLLSLHIIYSIIKDHIDVFLSHNIFLPGKERVCFIDSI RQYLRLVLSRNAASPLAPVFEVTLEIMWLLIANLRADFVKEIPVFLTEIYFPISELTT STSQQKRYFLSVIQRICNDPRTLVEFYLNYDCNPGMPNVMEITVDYLTRLALTRVEIT QTQRSYYDEQISKSLSTYNFSQLPLLTSSNLSSSPDVGQVNLLFPLDFALKMVSLNCI VSVLRSLSSWAHKALNPNTHTANKVLLNTTSSARQESRSSLSNDVRSSIMTSNDDFKP TYEDEESRSLSSQNIDADDPTQFENLKLRKTALSECIAIFNNKPKKAIPVLIKKGFLK DDSPISIAKWLLETEGLDMAAVGDYLGEGDDKNIAIMHAFVDEFDFTGMSIVDALRSF LQSFRLPGEGQKIDRFMLKFAERFVDQNPGVFSKADTAYVLSYSLIMLNTDLHSSQIK NKMSLQEFLENNEGIDNGRDLPRDFLEGLFNEIANNEIKLISEQHQAMLSGDTNLVQQ QQSAFNFFNSRDLTREAYNQVSKEISSKTELVFKNLNKNKGGPDVYYAASHVEHVKSI FETLWMSFLAALTPPFKDYDDIDTTNKCLEGLKISIKIASTFRINDARTSFVGALVQF CNLQNLEEIKVKNVNAMVILLEVALSEGNYLEGSWKDILLVVSQMERLQLISKGIDRD TVPDVAQARVANPRVSYESSRSNNTSFFDVWGKKATPTELAQEKHHNQTLSPEISKFI SSSELVVLMDNIFTKSSELSGNAIVDFIKALTAVSLEEIESSENASTPRMFSLQKMVD VCYYNMDRIKLEWTPLWAVMGKAFNKIATNSNLAVVFFAIDSLRQLSMRFLDIEELSG FEFQHDFLKPFEYTVQNSGNTEVQEMIIECFRNFILTKSESIKSGWKPILESLQYTAR SSTESIVLKTQLLVSNDIVTNHFENVFSQEDAFSELVGVFREITKNKRFQKLSLHALE SLRKMTQNVADICFYNENKTEEERKHNDALLRGKDIFQDVWFPMLFCFNDTIMTAEDL EVRSRALNYMFDALVAYGGKFNDDFWEKICKKLLFPIFGVLSKHWEVNQFNSHDDLSV WLSTTLIQALRNLIALFTHYFESLNRMLDGFLGLLVSCICQENDTIARIGRSCLQQLI LQNVSKFNEYHWNQIGDVFDKLFDLTTANELFDYDPLQQGRKSSVSHHQTTNDTSQHS DDDSNDRRENDSNISETVERAHQEESSEDVGGDMVETLNGQTKLNNGNSVPTVKDELN PKPASLSIPKKTKHMKRNESNEDIRRRINIKNSIVVKCVLQLLMIELLNELFENEDFA HCIPYKEAIRITRLLEKSYEFSRDFNEDYGLRTRLVEARVVDKIPNLLKQETSAAAVL LDIMFQLYLNDDEKKADLITRLITICIQVVEGYVSLDDRTMERSINAWRSVIVEILQG YYEFDDEDFRLYCPAMYALVIQILDKSVPTELRHAIKQFLSRVGELYLSTD YDR170W-A MESQQLSQHSPISHGSACASVTSKEVQTTQDPLDISASKTEECE KVFTQANSQQPTTPPSAAVPENHHHASPQAAQVPLPQNGPYPQQRMMTPQQANISGWP VYGHPSLMPYPPYQMSPMYAPPGAQSQFTQYPQYVGTHLNTPSPESGNSFPDSSSAKS NMTSTNQHVRPPPILTSPNDFLNWVKIYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFALSQFLPTWVKDILSVDYTDIMKILSKSINKMQSDTQEVNDITTLANLH YNGSTPADAFEAEVTNILDRLKNNGIPINNKVACQFIMRGLSGEYKFLRYARHRYIHM TVADLFSDIHSMYEEQQESKRNKSTYRRSPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTSNNFPGPDNDLIRGSTTEPIQLKNKHDLHLRPGTY YDR171W MSFYQPSLSLYDVLNALSNQTGQRGQQGYPRQPQRPQRYHPHYG QVHVGGHHPRHHPLYSRYNGVPNTYYYQFPGQAYYYSPEYGYDDEDGEEEDQDEDMVG DSGTTRQEDGGEDSNSRRYPSYYHCNTARNNRTNQQANSLNDLLTALIGVPPYEGTEP EIEANTEQEGEKGEEKDKKDKSEAPKEEAGETNKEKPLNQLEESSRPPLAKKSSSFAH LQAPSPIPDPLQVSKPETRMDLPFSPEVNVYDTEDTYVVVLALPGANSRAFHIDYHPS SHEMLIKGKIEDRVGIDEKFLKITELKYGAFERTVKFPVLPRIKDEEIKATYNNGLLQ IKVPKIVNDTEKPKPKKRIAIEEIPDEELEFEENPNPTVEN YDR172W MSDSNQGNNQQNYQQYSQNGNQQQGNNRYQGYQAYNAQAQPAGG YYQNYQGYSGYQQGGYQQYNPDAGYQQQYNPQGGYQQYNPQGGYQQQFNPQGGRGNYK NFNYNNNLQGYQAGFQPQSQGMSLNDFQKQQKQAAPKPKKTLKLVSSSGIKLANATKK VGTKPAESDKKEEEKSAETKEPTKEPTKVEEPVKKEEKPVQTEEKTEEKSELPKVEDL KISESTHNTNNANVTSADALIKEQEEEVDDEVVNDMFGGKDHVSLIFMGHVDAGKSTM GGNLLYLTGSVDKRTIEKYEREAKDAGRQGWYLSWVMDTNKEERNDGKTIEVGKAYFE TEKRRYTILDAPGHKMYVSEMIGGASQADVGVLVISARKGEYETGFERGGQTREHALL AKTQGVNKMVVVVNKMDDPTVNWSKERYDQCVSNVSNFLRAIGYNIKTDVVFMPVSGY SGANLKDHVDPKECPWYTGPTLLEYLDTMNHVDRHINAPFMLPIAAKMKDLGTIVEGK IESGHIKKGQSTLLMPNKTAVEIQNIYNETENEVDMAMCGEQVKLRIKGVEEEDISPG FVLTSPKNPIKSVTKFVAQIAIVELKSIIAAGFSCVMHVHTAIEEVHIVKLLHKLEKG TNRKSKKPPAFAKKGMKVIAVLETEAPVCVETYQDYPQLGRFTLRDQGTTIAIGKIVK IAE YDR173C MDTVNNYRVLEHKAAGHDGTLTDGDGLLIFKPAFPQELEFYKAI QVRDVSRRKSSADGDAPLCSWMPTYLGVLNEGAKIEQSGDAALLKIDERLSDSTDNLD SIPVKSEKSKQYLVLENLLYGFSKPNILDIKLGKTLYDSKASLEKRERMKRVSETTTS GSLGFRICGMKIQKNPSVLNQLSLEYYEEEADSDYIFINKLYGRSRTDQNVSDAIELY FNNPHLSDARKHQLKKTFLKRLQLFYNTMLEEEVRMISSSLLFIYEGDPERWELLNDV DKLMRDDFIDDDDDDDDNDDDDDDDAEGSSEGPKDKKTTGSLSSMSLIDFAHSEITPG KGYDENVIEGVETLLDIFMKF YDR174W MTTDPSVKLKSAKDSLVSSLFELSKAANQTASSIVDFYNAIGDD EEEKIEAFTTLTESLQTLTSGVNHLHGISSELVNPIDDDKDAIIAAPVKAVRRKIERD PNAPKKPLTVFFAYSAYVRQELREDRQKAGLPPLSSTEITQEISKKWKELSDNEKEKW KQAYNVELENYQREKSKYLEAKKNGTLPPASLENGPTHAPVPIPFSLQHAAEPPVEKR PHDDDGSSEKKKKKKKKDKKKDKSNSSI YDR175C MKVPLGLWKVSRGNLWSTQKRVLTMSRCLNSDAGNEAKTVREGP AFSADLYMHPEKWKGLPPQRILELYWERMARLGSEYKPNKDELNALLTTSEYSNVPVN DIKKLYHRGEQGAIDIKGGNVNRDNSLRPFMFDELPSQAQELVAQHREQRFYNRLAAY ELPLLAQYRQEYKRPSPESHPVTYRYTSYVGEEHPNSRKVVLSVKTKELGLEEKSLHK FRILARSRYDHTTDIFKMSSDKFEHASQNARYLHDILQRLLAESKDLTEDDFSDVPLD TRHTIAKSLRKKKRDYEFPEHWKRPEDAPKKKFDIVDQLLSTL YDR176W MPRHGRRGKLPKGEKLPKKEGGDNTPSKLLSSMLKTLDLTFERD IGMLNGKSVRSIPNKKTLLELQSQLDSLNEILGTIARGDQETIEALRKIRDSKNEKQA NDEKQETSNADGQHESSTATEETNIIDKGVQSPPKPPPSNEISGTIENDVESIKQAAD NMAKEEINEDKDLQVHRDQPREKRPFDSETENRATENENTQRPDNKKQKIDVDKMEND PTVKNPKSEFVVSQTLPRAAAALGLFNEEGLESTGEDFLKKKYNVASYPTNDLKDLLP GELPDMDFSHPKPTNQIQFNTFLAFVENFFKDLSDDNLKFLKMKYIIPDSLQFDKTYD PEVNPFIIPKLGPLYTDVWFKDENDKNSAYKKPSPYSNDASTILPKKSANELDDNALE SGSISCGPLLSRLLSAVLKDDNDKSELQSSKIIRDGGLPRTGGEDDIQSFRNNNNDTV DMTLSQENGPSVQTPDNDIDEEASFQAKLAENKGSNGGTTSTLPQQIGWITNGINLDY PTFEERLKRELKYVGIYMNLPKDENNPNSDDPDWVTGREDDEISAELRELQGTLKQVT KKNQKRKAQLIPLVERQLAWQEYSSILEDLDKQIDQAYVKRIRVPKKRKKHHTAASNN VNTGTTSQIAQQKAANSSLKSLLDKRQRWINKIGPLFDKPEIMKRIPNESVFKDMDQE EDEDEADVFAQNTNKDVELN YDR177W MSRAKRIMKEIQAVKDDPAAHITLEFVSESDIHHLKGTFLGPPG TPYEGGKFVVDIEVPMEYPFKPPKMQFDTKVYHPNISSVTGAICLDILKNAWSPVITL KSALISLQALLQSPEPNDPQDAEVAQHYLRDRESFNKTAALWTRLYASETSNGQKGNV EESDLYGIDHDLIDEFESQGFEKDKIVEVLRRLGVKSLDPNDNNTANRIIEELLK YDR178W MMLPRSMKFMTGRRIFHTATVRAFQSTAKKSLTIPFLPVLPQKP GGVRGTPNDAYVPPPENKLEGSYHWYMEKIFALSVVPLATTAMLTTGPLSTAADSFFS VMLLGYCYMEFNSCITDYISERVYGVWHKYAMYMLGLGSAVSLFGIYKLETENDGVVG LVKSLWDSSEKDNSQKIEAKK YDR179C MVMREETIKSLEDPYKYHYKEEWLNTKDPDEQQLFEIFAFGNIK DLPENIILTSLMRSKLEKLTLVTLSEIYNELSYELIKEECQIEDDGIIESHLIQLQNI FKAEMDSVSKSMKFSRRFDCRDVYCHEKELTIIKNPRVTKEYLVQNLRSWETKLKQNI LE YDR179W-A MPTILYNTNSSLITKYRRPNASNQYKGFLSKKGHTRLNSKSSGD IWEKDCSHTKNSGNDVSFESEFEKDSVEYLRDLCFSIYPNSLHQKIRSIEALPDLQVN TFIALIFQNFVKSWYGIKIPTDDSKFLTELYNLVQDLITYLKSSKINYHALLLDYIPC LLSSHLKALNDSSQNNDLVYEQYCKLTLYDSKRYPMLFTEIIQSKMSTKSLLQRSFLD SFLNELVFGHIFNSIAEPYYLLEGLNKICIRIKLNSAGNTRNEVTHGKPKCDPWLFVS NVKHKILQMTRLLAYSTSTEAANMNTAEIQETAFLQRYIFTFFTDDFFKLSMRKPFLF SICRTLQHWISKLNALNRVMYRTFDNIVQTKITSPVTIGNLFSLLRHSLFPNDNMMGP PRVLPVGDAFLEFREECISNLWDVCMTYKLDHILAIKRSDIADLIICISKNRDCNKLL IYRIIDCVIAQLP YDR180W MSYPGKDKNIPGRIIEALEDLPLSYLVPKDGLAALVNAPMRVSL PFDKTIFTSADDGRDVNINVLGTANSTTSSIKNEAEKERLVFKRPSNFTSSANSVDYV PTNFLEGLSPLAQSVLSTHKGLNDSINIEKKSEIVSRPEAKHKLESVTSNAGNLSFND NSSNKKTKTSTGVTMTQANLAEQYLNDLKNILDIVGFDQNSAEIGNIEYWLQLPNKKF VLTTNCLTKLQMTIKNITDNPQLSNSIEITWLLRLLDVMVCNIKFSKSSLKMGLDDSM LRYIALLSTIVLFNIFLLGKNDSNLHRESYIMEPVNFLSDLIESLKILTIEYGSLKIE FDTFQEALELLPKYIRNGPFLDDNVTAKLVYIFSDLLMNNDIEATTNIQFQSFWDNVK RISSDILVSLFGSFDQQRGFIIEELLSHIEKLPTKRIQKKLRKVGNQNIYITDFTFTL MSMLENINCYSFCNQMKDIAPENIDLLKNEYKKQEEFLFNIVEHINDTILERFFKNPS ALRYVIDNFVQDLLLLISSPQWPVTEKILSSLLKRLLSVYSPSMQVSANIETICLQLI GNIGSTIFDIKCSTRDHEDNNLIKMINYPETLPHFFKSFEECIAYNETIKCRRSATRF LWNLRLGTILILEEYTKDAKEQIITVDNELKKILEQIKDGGLGPELENREADFSTIKL DYFSILHAFELLNLYDPYLKLILSLLAKDKIKLRSTAIKCLSMLASKDKVILSNPMVK ETIHRRLNDSSASVKDAILDLVSINSSYFEFYQQINNNYNDDSIMVRKHVLRINEKMY DETNDIVTKVYVIARILMKIEDEEDNIIDMARLILLNRWILKVHEVLDQPEKLKEISS SVLLVMSRVAIMNEKCSQLFDLFLNFYLLNKEAHSKEAYDKITHVLTILTDFLVQKIV ELNSDDTNEKNSIVDKQNFLNLLAKFADSTVSFLTKDHITALYPYMVSDEKSDFHYYI LQVFRCTFEKLANFKQKFLYDLETTLLSRLPKMNVREIDEAMPLIWSVATHRHDTARV AKACSSCLSHLHPYINKANNEEAAIVVDGKLQRLIYLSTGFARFCFPKPSNDKIAFLQ EGETLYEHITKCLLVLSKDKITHVIRRVAVKNLTKLCGNHPKLFNSRHVLHLLDKEFQ SDQLDIKLVILESLYDLFLLEERKSVRNTGVNSTLSSNSILKKKLLKTNRVEFANDGV CSALATRFLDNILQLCLLRDLKNSLVAIRLLKLILKFGYTNPSHSIPTVIALFASTSQ YIRHVAYELLEDLFEKYETLVFSSLSRGVTKAIHYSIHTDEKYYYKHDHFLSLLEKLC GTGKKNGPKFFKVLKRIMQSYLDDITDLTSTNSSVQKSIFVLCTNISNITFVSQYDLV SLLKTIDLTTDRLKEVIMDEIGDNVSSLSVSEEKLSGIILIQLSLQDLGTYLLHLYGL RDDVLLLDIVEESELKNKQLPAKKPDISKFSAQLENIEQYSSNGKLLTYFRKHVKDT YDR181C MGIFQSIEEANNTSERLLRSEIKNSHGEFEKFDFDTEEYEINPK RKLRLVSRINPNAGHLRKSKSCFTVDEHVDETRCQKPVMKSPFMSNVDDEIKKKRETI TKMTLEIEHHELSQNIRKPTDDLLPDSTYQPYHKKMLKQENRMIQSDIVNGENEADRL SLISDRLGMLNWEVTLQKVTKINDPTDENEMETKRYQTKELIDSMLHKFESMKKKSRN LARRPASSDSLLKLVSGKDWPKIYTRIDRTFIPDYASSSDEEEEKITVEEIRERRLKK REQQCGGSIIVLLSDHQSQKGMTRFAIVAEPLRKPYLIKTSTKERNSWKNKVPTNPKK FKKAPRISTQIAVKRRREVIPLTMEVEPEVIRDIRQDTQKSMKLNVKAEEISVTETVK SKEMNALRNNAASISPTLSEKAPLGSISSCTASQISQRSSENVGAIINNINPNLAIVP SCNEKTFVKTHNGMKTNSGINILPVRKKKKV YDR182W MVYRNRSKSVLSTHSKKSDDKAHYKSRSKKKSKSRSKKRLRIYW RYISIVWILWLGLISYYESVVVKRAMKKCQWSTWEDWPEGAESHRVGLFADPQIMDEY SYPGRPQIVNYFTRVIVDHYHRRNWKYVQYYLDPDSNFFLGDLFDGGRNWDDKQWIKE YTRFNQIFPKKPLRRTVMSLPGNHDIGFGDTVVESSLQRFSSYFGETSSSLDAGNHTF VLLDTISLSDKTNPNVSRVPRQFLDNFAMGSHPLPRILLTHVPLWRDPEQQTCGQLRE SKEPFPIQKGHQYQTVIENDISQEILTKIQPEILFSGDDHDHCQISHSYPFQGKTKNA QEITVKSCAMNMGISRPAIQLLSLYNPSDLTMVNAGGEYASKTYQTELCYMPDPYKAI RMYLWGLLFSAAFIAYMHFFPKSFNNRVATIMNRVFTRPDGNTSDLPLPTSISKSKSK KSLTHSKYAVNDTRSIKQFLVNAIVLFVSVMPIFIYFYTVV YDR182W-A MNKRYKLYRVWYYYAHQTVCITSTGFALCFVVQAKTAGLGVTPI TSLYGDKKEHLGKLLVPLVLYQI YDR183W MEDKLDRYYTNVLSNAEKDKHTTVDSDDKSSGEENLDELLNELD RELDEDHEFLSAYRSERLQQISDHLKQVKKNVEDDGYGRLQCIDNEADAIQICTKTTM VVIHFELETFGKCQYMNEKLENLAKRYLTTRFIKVNVQTCPFLVNKLNIKVLPFVVGY KNGLEKVRYVGFSKLGNDPNGFDIRRLEQSLAHSGVIEDTFEIRKHSSVNTERFASTN HDRSESDSDLDI YDR184C MNTNQSNPNTDLTDDANIEHTLHRLLTQANNHFDDTVKIDGQSL DLGKDLEQVMMDNLDCTDIFDSDIASQKHLTLESLFNDEHNTDSSTLLEMQRSANDSL VGIDLDRHKKGYTGKASLDKSTNQNNVHKPDKEQKNYKIDKPTIKKKKSLLKTTNEPM LSPASLSPSSSLASSDANESHLKIESMITDITSKIDSARQDIVSATKPAKFTNEFTIS QISEMKARIINTHKLLLNFNFIKEGYARSCIQLKKSMDSLKDSEIHRAHLLVENDDLK QQILELTQKLNEKSSKES YDR185C MKSFQKSYEFDYPWEKVTTANWMKYPNKISTHVIAVDVLRRELK EHGDVLLTERLITIRQNTPHWMSILVGNTNLAYVREVSTVDRRDRSLTMRSCNMTFPH ILKCYETVRYVPHPKNPSNVTLFKQDAKFLSGVPTKTFSEKVENWGVKRFSDNAVKGK VGFDSILAMFNDIWKNANE YDR186C MDTVGTDAAAASINERRFAQSTSPKVSVKSQDSLFLITYSNMQQ TVVQASLADRYPSLKKLNILLYIDIPTIDYYNDEMTHNKLSRLNKRFKLHRLRNSIAQ SFSNTSTAEDNDKFWEELKSLISSRSTPENKFDLNVLVSSSGSLRYVETIRFLVEKLF NSFKDLYVQKKLNLCFQINVSPTSLKWFSTFLNAELLNLKIINWQNIGSFTKTIQNSK SLPFKEYYTKLNEKFTGSNQSNGSMQDQTVLDSIVIVTNSTGVKALLTLLSDHPLTSL ISQESIKALHEYSDAVNEDKGDDQSNTSLKRNSSSLLNFQNSVLTSNKDKSVRIRSLS INRKSNRAHMFKTNESITTIPSTSINNLIGQESNLRKQPSGTALHLQSHLHPHSRSQS YSSSNMSRSPSPFPYGKTPSNDELVYDELNNQINEVQDRAKNEEIVLYNNNNYDDYTK ERGEQEQDRTSYADEYGFNYDDEEGGNEDNYDDDEDDDDDDDDDDESDDEGLSFYAPS ILSRSGSSTDVLSSGIDSMAKNSKETRGRFRSLSLMDPALQKPFNQKFPNSQQPDSAG ASSPKRSTSSNHFTNVYVHDGDFDGTDTINNKKNLSSATLIKRKSLMNRNLAPSISNG LIPPEFISRISTPSTSASSSNSSLNDMSTVSNAFSKLLNDTSKKQKFLNSPIPQHTQQ ASPLLMRNNSNSNLLFEKNLINKSFEELRRQPSVNLFSTLMNGNMEKNGLALNFKSRT PTDALMANSIKNSNNSSHRLLNLEEEDQIMSGSLPKEREDDNDSTNSTIVPNHPDNDN YNDNDNDNNTGINSNNFNLNLYDDNDSAGFTDVTTEGVKYSNSNSTVTKPVYKKAVTL DLYGEDDMDNMGGWVLGGNAR YDR188W MSLQLLNPKAESLRRDAALKVNVTSAEGLQSVLETNLGPKGTLK MLVDGAGNIKLTKDGKVLLTEMQIQSPTAVLIARAAAAQDEITGDGTTTVVCLVGELL RQAHRFIQEGVHPRIITDGFEIARKESMKFLDEFKISKTNLSNDREFLLQVARSSLLT KVDADLTEVLTPIVTDAVLSVYDAQADNLDLHMVEIMQMQHLSPKDTTFIKGLVLDHG GRHPDMPTRVKNAYVLILNVSLEYEKTEVNSGFFYSSADQRDKLAASERKFVDAKLKK IIDLKNEVCGMDPDKGFVIINQKGIDPMSLDVFAKHNILALRRAKRRNMERLQLVTGG EAQNSVEDLSPQILGFSGLVYQETIGEEKFTYVTENTDPKSCTILIKGSTHYALAQTK DAVRDGLRAVANVLKDKNIIPGAGAFYIALSRYLRSANMNKLGAKGKTKTGIEAFAEA LLVIPKTLVKNSGFDPLDVLAMVEDELDDAQDSDETRYVGVDLNIGDSCDPTIEGIWD SYRVLRNAITGATGIASNLLLCDELLRAGRSTLKETPQ YDR189W MAVEEIASRKDISLRDMQISAILKMLFLNKDLNNNDNITTITDD IFNQQEIIWKVLILDIKSTATISSVLRVNDLLKAGITVHSLIKQDRSPLPDVPAIYFV SPTKENIDIIVNDLKSDKYSEFYINFTSSLPRNLLEDLAQQVSITGKSDKIKQVYDQY LDFIVTEPELFSLEISNAYLTLNDPKTTEEEITGLCANIADGLFNTVLTINSIPIIRA AKGGPAEIIAEKLGTKLRDFVINTNSSSTSTLQGNDSLERGVLIILDRNIDFASMFSH SWIYQCMVFDIFKLSRNTVTIPLESKENGTDNTTAKPLATKKYDIEPNDFFWMENSHL PFPEAAENVEAALNTYKEEAAEITRKTGVTNISDLDPNSNNDTVQIQEVVKKLPELTA KKNTIDTHMNIFAALLSQLESKSLDTFFEVEQDPGSTKTRSRFLDILKDGKTNNLEDK LRSFIVLYLTSTTGLPKDFVQNVENYFKENDYDINALKYVYKLREFMQLSNMSLQNKS LEDGSDSAFKPSNLTLSGIYGLTEGKLQGGVGSLISGIKKLLPEKKTIPITNVVDAIM DPLNSSQKNLETTDSYLYIDPKITRGSHTRKPKRQSYNKSLVFVVGGGNYLEYQNLQE WAHSQLHNPKKVMYGSTAITTPAEFLNEISRLGASNSSNNDA YDR190C MVAISEVKENPGVNSSNSGAVTRTAAHTHIKGLGLDESGVAKRV EGGFVGQIEAREACGVIVDLIKAKKMSGRAILLAGGPSTGKTALALAISQELGPKVPF CPLVGSELYSVEVKKTETLMENFRRAIGLRIKETKEVYEGEVTELTPEDAENPLGGYG KTISHVIVGLKSAKGTKTLRLDPTIYESIQREKVSIGDVIYIEANTGAVKRVGRSDAY ATEFDLETEEYVPLPKGEVHKKKEIVQDVTLHDLDVANARPQGGQDVISMMGQLLKPK KTEITEKLRQEVNKVVAKYIDQGVAELIPGVLFIDEVNMLDIEIFTYLNKALESNIAP VVVLASNRGMTTVRGTEDVISPHGVPPDLIDRLLIVRTLPYDKDEIRTIIERRATVER LQVESSALDLLATMGTETSLRYALQLLAPCGILAQTSNRKEIVVNDVNEAKLLFLDAK RSTKILETSANYL YDR191W MKQKFVLPITPPSTAEKKPQTENRCNENLKPRRLLPQLKKSVRN RKPRLSYRPELNSVFDLDAYVDSTHLSKSQRHHMDRDAGFISYALNYSKRMVVVSGAG ISVAAGIPDFRSSEGIFSTVNGGSGKDLFDYNRVYGDESMSLKFNQLMVSLFRLSKNC QPTKFHEMLNEFARDGRLLRLYTQNIDGLDTQLPHLSTNVPLAKPIPSTVQLHGSIKH MECNKCLNIKPFDPELFKCDDKFDSRTEIIPSCPQCEEYETVRKMAGLRSTGVGKLRP RVILYNEVHPEGDFIGEIANNDLKKRIDCLIIVGTSLKIPGVKNICRQFAAKVHANRG IVLYLNTSMPPKNVLDSLKFVDLVVLGDCQHVTSLL YDR192C MSAFGNPFTSGAKPNLSNTSGINPFTNNAASTNNMGGSAFGRPS FGTANTMTGGTTTSAFGMPQFGTNTGNTGNTSISAFGNTSNAAKPSAFGAPAFGSSAP INVNPPSTTSAFGAPSFGSTGFGAMAATSNPFGKSPGSMGSAFGQPAFGANKTAIPSS SVSNSNNSAFGAASNTPLTTTSPFGSLQQNASQNASSTSSAFGKPTFGAATNTQSPFG TIQNTSTSSGTGVSPFGTFGTNSNNKSPFSNLQSGAGAGSSPFGTTTSKANNNNNVGS SAFGTTNNQSPFSGGSGGTFGSASNLNKNTNGNFQSSFGNKGFSFGITPQNDANKVSQ SNPSFGQTMPNTDPNISLKSNGNATSFGFGQQQMNATNVNANTATGKIRFVQGLSSEK DGILELADLAEETLKIFRANKFELGLVPDIPPPPALVA YDR194C MLTSILIKGRTPVLASRNLLAALSNCNHITWAVSRRLYNDGNRD QRNFGRNQRNNNSNRYRNSRFNSRPRTRSREDDDEVHFDKTTFSKLIHVPKEDNSKEV TLDSLLEEGVLDKEIHKAITRMEFPGLTPVQQKTIKPILSSEDHDVIARAKTGTGKTF AFLIPIFQHLINTKFDSQYMVKAVIVAPTRDLALQIEAEVKKIHDMNYGLKKYACVSL VGGTDFRAAMNKMNKLRPNIVIATPGRLIDVLEKYSNKFFRFVDYKVLDEADRLLEIG FRDDLETISGILNEKNSKSADNIKTLLFSATLDDKVQKLANNIMNKKECLFLDTVDKN EPEAHERIDQSVVISEKFANSIFAAVEHIKKQIKERDSNYKAIIFAPTVKFTSFLCSI LKNEFKKDLPILEFHGKITQNKRTSLVKRFKKDESGILVCTDVGARGMDFPNVHEVLQ IGVPSELANYIHRIGRTARSGKEGSSVLFICKDELPFVRELEDAKNIVIAKQEKYEPS EEIKSEVLEAVTEEPEDISDIVISLISSYRSCIKEYRFSERRILPEIASTYGVLLNDP QLKIPVSRRFLDKLGLSRSPIGKAMFEIRDYSSRDGNNKSYDYDDDSEISFRGNKNYN NRSQNRDYDDEPFRRSNNNRRSFSRSNDKNNYSSRNSNIY YDR194W-A MKQMMIEASISKDTLRLLICFFEIKQCHISLQPTCYYQNWVRYS SIYYQL YDR195W MSAPVPQLVNISHALQASTIQQIRLDMVDFNKDCKLSSIQLARI DKYIDSLQAALNQFTKDNLHIERKEKNVTEADIQLYSGLKSMYLDYLNQLIKLKHEKQ HHSTPPIANDVSLDFFVNQLPKFSPEERKNYIDNLILNKNSHNRLSKMDGLVDAVINL CVLDTSVAENVRSYMKLLDTLGFQKGSNSTGTKANLKKKLASSKAKIKDSEKEKEKEK DKSKVKMKTKLKPSPLLNNDDKNSSPSPTASTSSMKKLKSGLFNKNEAKSTESLPTSS KKKLSFSKYLNKDDADMTKLGTKRSIDVDFKVNPEASTVASNIISSSTSGSSTTTVAT PASSEEPLKKKTKISVQDSNVQSILRNGKPKKARISSIKFLDDSQLIKVYGDDLPNQG LQVSPTQLKKILKPFKEGEPKEIILFEDMSIKLKPLDLMFLKNTNSDDYMDISETKGG PIHCETRTPLIYRKNFNHFNPDLNKRPPREPIEFDLNGNTNSTPTIAKAFGKNSLLLR KDRGGLPYKHVPIVKRNKYPPRPVH YDR196C MLVVGLTGGIACGKSTVSRRLRDKYKLPIVDADKIARQVVEPGQ NAYDQIVLYFKDKIPNLLLEDGHLNREALGKWVFSHKEDLQALNGITHPAIRYAMFKE IGYYYLKGYRMCVLDVPLLFEGNLDSICGVTVSVICTQELQLERLMTRNPELSEEDAK NRLNSQMSTEERMARSDYILQNNSTLVDLYEQIESVVKKIQPSKLRTVLEYFPPFGAV SASSIVMSRLLMKKLQNKKSSAV YDR197W MSSSIPRVYSLGNSAMTYLLALRIAQLPSQPKVPSVVLLLNDQK KLNRFLNNDSKIIVKSSNNNKETYHRQFMASCVPPILSNGEIAPIENLIVSDPSSKFI TAQLSKYNKSLRPETNILFLNPSLNLLEHLHRYRWRFDEARPNLFMGFTTPVDVGTIH QEFQLSLKVKGRIQFHIAKIDGFPRMSSTGKSASLSLRGDRQKNEKENNAFYKLFREI SRLRSGIGSDLVSFDLHVHGFQDLFFTELEKLILESCTEPLLAVYDCVYKKELLKIPG AQDIIKKLISEQLSIIDRSYPSLNTNPNYSVIFDKERIFSLVMRDLEVNGHKRAKLAQ SLNQLNQTNINELNGFFVSLGKYKKCNCKWNDILLTLIKGKQFITKQKALDYHYL YDR198C MEGKVDVLLTWLKKSDKFYIAPNISICESPETGRGIVLSHGSIR KNDIIVSVPSSKQLNFHTILYHISKFNKELNIPGITIDRKPINYEDNIIEAENKAWAD PRYGLYSELSKEFLLSLSSFQLVSFYILVENFLLPKWTHNEIYSDWKPFFDVWPSMEE LRSIPAIWNCDPNSRYHSLIEYLPAASRKHMARISGLVREDWETISEVVLKWNEIYGS LSCTKNSDKFTSDELFSLFVHVYFIINSRCLYAKIPLKIEDSPSNFTLVPYVDFMNHI CESDLHCYPQLSPQLRSEGENIIGIGQFTIRCGDHLYDNINEELFLNYGAHSNDFLLN EYGFVVDGNKWNYLDISDEIIELIDDDKKEVKTFLLEHDYWGDYTINETDISYRIFVA LNYYVTRDERRVRKFIEGYISEDYFKPKISSVLKELLVSLTAKYTKTLSELTEKVSNL ENNLCLQNLITIYKGYIKILTQHLQDLQS YDR200C MVELEKRRRPPPQLQHSPYVRDQSNSQGMTKTPETSPPKRPMGR ARSNSRSSGSRSNVDIDQYTIPPGLDLLPTASSPPSVHQVSQQQQLSPILANKIRSPF ENQSQDQNDNSIDPTPAGQVTIPVEAVSPPALDELSKFQNGSTETLFRTGSPRKKHTH IIILKSLNATFETKFLVVPFKPDGLKLGRPVTNSVNKNNSGSKRDLFSQQVRPDNGNF DSRVLSRNHACLSCDPTSGKIYIRDLKSSNGTFVNGVKIRQNDVELKVGDTVDLGTDI DSKFEHRKISAYVEEISVIPLMNTVSDPTNLVMKKQDHTNKNNGNSTNINGIKIDRGH HNQHIPIRSHLKENYTEAGVTSATTAQRAAFEAAMFGDINNSELDDDILGPETEVLSG IFINNSAGTSINLINMIKTLTTELSLEKQELEKLHSMQNFMQNYTINLDFINKHMIDM NEKHLLKLSTALQKTLSENNDALLKESEDQLKEIKQQNNKVKSACSLKEKQNHEKLQE LESELRELNLQIEEERGKNLVLTQSNFNGGINNDNNAKVKQNDSREEKKDTEDTLIST EELGVVEGKRTRVSKGMLFGVVAISFGLVATAVKQLPQ YDR201W MTDALEQSVLALEGTVSVLKDSVESLKCANEPSTNLASTMLQTK RVFRLVPEYDVERSKLDLIEEVEPLVRTLGDKLRKSMGRMQRELDTLQQTYELNDLRL KKNISMDDDDALNSPDMGQEYEGRDADDVVMMASSTNEELEELKKLKEKKKQLENKLE ILKQK YDR202C MSVDLFPNDRFGAEDKYDNFKDAVKECSWLIEEIVKPQLPNIID NFSKCLEMLESDQIFKMPVSNGIPNESNKQNDSPTVKGVITRQGQYIVDFHIVVRFPQ FQRGKQVMFRMNTGLNFLLIQFSKIMTHLKNILEILNQLQVATDVSEFVSKFGVAMEL LNHSLILLQNPPRDLVFPEDNNFAMKEMFQDCYSVCESTAHILGLELTLCRNELCIEL RNLIKVTKKPWCEIDSKTGRSFCDQIRNQVTNERNKTLSKILSENGVQVQDSTLLNHI ISSFQSEAITLPEAQELLRRGVTFDNRVVMECEKLIVSTSDPTLISISAKLNSLKASM ANHQANLVASKQLSTYK YDR204W MLRLSLLRSTATLPVKCQRRGLILPAAAMYTLGSLIFGKEARLA DAMERGELHNKNVDYAKEAEERTELRIRALANTRPMEPRYNGHVPLHRYEKLLLFAIS GWNSFFHPEDGYNIVQLGEATALPVFLENLKQTMLSDSSGRRILKEQPNITTEILHMD KLAKLPHNTFGYVYYQWLKRENVSPDTRAPVKFIDDPMHAYIFKRYRQCHDFYHAITN MPIIIEGEITIKALEGANLGVPMAILGGILAPLRLKKVQRKRLYNIYLPWAVRTGLSC KPLINVYWEEMLEKDVTALRKELKITLPPDLRTMRKERAALRKEIDAKYNSQKRATTP A YDR205W MNLQELLAKVPLLLSYPTIILSSNLIVPSHNDLISRAASTSAAE YADEKLIFFSTDHAIRLIFLPTFVASSFNLFAHYFNFINYSSRRKYYVLFTAIYFLSI LTAIFHPIQSTCITLLIIKLLTTADESSPKIALNFKTILKTFVPFITLTLVILRWDPS FDASSGDVNKISTSLAAYALLILTLRYASPLILSTLSSSIGVVSKDTSVAQHSISRNK RFPLILVLPIFSFVLLYLMTIVNKTYNIQLLMVFVFFGCLSIFFLSLKDLFTEDGNQK KGGQEDEYCRMFDIKYMISYLWLTRFTILLTGIMAIVVHFLSFNEITSSIKTDLLSLL FVVVAEYVSSFSNKQPDSHSHNHAHHHSHLTDSLPLENESMFKQMALNKDTRSIFSFL LLNTAFMFVQLLYSFRSKSLGLLSDSLHMALDCTSLLLGLIAGVLTKKPASDKFPFGL NYLGTLAGFTNGVLLLGIVCGIFVEAIERIFNPIHLHATNELLVVATLGLLVNLVGLF AFDHGAHDHGGTDNENMKGIFLHILADTLGSVGVVISTLLIKLTHWPIFDPIASLLIG SLILLSALPLLKSTSANILLRLDDKKHNLVKSALNQISTTPGITGYTTPRFWPTESGS SGHSHAHTHSHAENHSHEHHHDQKNGSQEHPSLVGYIHVQYVDGENSTIIKKRVEKIF ENVSIKAWVQVEPQNSTCWCRATSMNTISANPNSLPLQPIAN YDR206W MEPSNTQKEDLPTAFNGIKSQLNSILKSNQLFQDYALLNGFLAF VHSKLNAAILTSIESQCGKSFAADLDSFDQSSISSILDFSWESVHYPIFKWFQMWRNY ILFEKENKKQQTKFIDFRKMNSKMLKFFKTVQNFYVNVINTVYKKYDISVLLPKRIIQ DLKLSDIENTTNVGDILAVKTFNSSSPLAHLIPTLFHRCLLFLGTAYRYKTLLEEISN KYSISNFKKSLDFFRLASLVLPSAGETYSQAGAIFLQTGNLGIAVFNFVKGMMTKMPS PVSIKNFGALMVDNKSSLNRSLHTTIMNTYLQESKGPRTPAKEILEFYFLGLFGSVWS PTSWRDDTKPNQLNNGIKLRHLENALYETMSARYLNNIKTIFHNLIITIGGFHLLLKR RSDVSAKTLKDLRSNELDYLNFAFKYIAHILNDIVKESWSENPEVSEILGMVRIINCW IKANPMVLQYSQSNLEFVNALAYLINDIVKKKPSPSFSITEHIPKRTYWFEEDLMVKG LSFVNFQLSDFDDYEKILEMDHSLDRLIGNPPLCDKLSASSEMLLRLQAVVNISSQLL QNNNCGVEWSDNKSRYIFNKKIGFKETVKNSMKTSKQSNEKAKLQRKNKPSTTNGSIS MADLERQMRSSSLDSFSPTMGYSGSSVPMAPDTFNVKPSGTITGNKVNVELLKIELSG QNADGAITNISPGYSNAAISSSNSTDESSFDLNNILSSMQQKHAEKSFAKSMQGVNEQ IPANDVCHQAQRPMQGGLYSSQQPSSMSSLNSAYQNASMPPSASMVSYPYPFLNQQGQ GVFPPYNAQNLQWQSEAYSLKSMNFANPTWLGDQYQTSAPSSAYAQAQRQMFQQPMQQ DVGKYMQFPFDAQSNTDSMRGNSRNNMF YDR207C MLDKARSQSKHMDESNAAASLLSMETTANNHHYLHNKTSRATLM NSSQDGKKHAEDEVSDGANSRHPTISSASIESLKTTYDENPLLSIMKSTCAPNNTPVH TPSGSPSLKVQSGGDIKDDPKENDTTTTTNTTLQDRRDSDNAVHAAASPLAPSNTPSD PKSLCNGHVAQATDPQISGAIQPQYTATNEDVFPYSSTSTNSNTATTTIVAGAKKKIH LPPPQAPAVSSPGTTAAGSGAGTGSGIRSRTGSDLPLIITSANKNNGKTTNSPMSILS RNNSTNNNDNNSIQSSDSRESSNNNEIGGYLRGGTKRGGSPSNDSQVQHNVHDDQCAV GVAPRNFYFNKDREITDPNVKLDENESKINISFWLNSKYRDEAYSLNESSSNNASSNT DTPTNSRHANTSSSITSRNNFQHFRFNQIPSQPPTSASSFTSTNNNNPQRNNINRGED PFATSSRPSTGFFYGDLPNRNNRNSPFHTNEQYIPPPPPKYINSKLDGLRSRLLLGPN SASSSTKLDDDLGTAAAVLSNMRSSPYRTHDKPISNVNDMNNTNALGVPASRPHSSSF PSKGVLRPILLRIHNSEQQPIFESNNSTAVFDEDQDQNQDLSPYHLNLNSKKVLDPTF ESRTRQVTWNKNGKRIDRRLSAPEQQQQLEVPPLKKSRRSVGNARVASQTNSDYNSLG ESSTSSAPSSPSLKASSGLAYTADYPNATSPDFAKSKGKNVKPKAKSKAKQSSKKRPN NTTSKSKANNSQESNNATSSTSQGTRSRTGCWICRLRKKKCTEERPHCFNCERLKLDC HYDAFKPDFVSDPKKKQMKLEEIKKKTKEAKRRAMKKK YDR208W MSVLRSQPPSVVPLHLTTSTSRKTEQEPSLLHSAIIERHQDRSV PNSNSNPDSNHRIKKDRNNHTSYHSSSNSESNMESPRLSDGESSTPTSIEELNPTINN SRLVKRNYSISIDPLHDNSNNNTDDDHPNTITSPRPNSTSNKEMQKYSFPEGKESKKI TTPSLNSNNCLDLDNSSLVHTDSYIQDLNDDHILLNKRVSRRSSRISAVTATSTTIKQ RRNTQDSNLPNIPFHASKHSQILPMDDSDVIKLANGDTSMKPNSATKISHSMTSLPLH PLPQPSQKSKQYHMISKSTTSLPPENDHYYQHSRGTNHNHAANAAAVNNNTTTTTAAT GLKRSESATAEIKKMRQSLLHKREMKRKRKTFLVDDDRVLIGNKVSEGHVNFIIAYNM LTGIRVAVSRCSGIMKPLTPADFRFTKKLAFDYHGNELTPSSQYAFKFKDYCPEVFRE LRALFGLDPADYLVSLTSKYILSELNSPGKSGSFFYYSRDYKYIIKTIHHSEHIHLRK HIQEYYNHVRDNPNTLICQFYGLHRVKMPISFQNKIKHRKIYFLVMNNLFPPHLDIHI TYDLKGSTWGRFTNLDKERLAKDRSYRPVMKDLNWLEEGQKIKFGPLKKKTFLTQLKK DVELLAKLNTMDYSLLIGIHDINKAKEDDLQLADTASIEEQPQTQGPIRTGTGTVVRH FFREFEGGIRASDQFNNDVDLIYYVGIIDFLTNYSVMKKLETFWRSLRHDTKLVSAIP PRDYANRFYEFIEDSVDPLPQKKTQSSYRDDPNQKNYKD YDR209C MNDGQFLFQRNDPIILYTFLLKSNYTVFRSIDERLCDFVFYIDH FLNKRISYRIPILIRNNNTNILNNCPSSFPPLVDLVGHRLVAAEDNPVAVDLVDNNLV VVDLVDNNLAVGVLVGSNLVVGSLVFALLTCFEDG YDR210W MSQQQGYYQQGPPQQGYYQQGPPQQGYYQQGPPQQGYPQQQPVY VQQGQPKEESCLDSCLKCLCCCFLLELVCDN YDR210W-B MESQQLHQNPHSQHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQEETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQDQHSEVPQAKTK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYSDILTVLCKSVSKMQTNNQELKDWIALANLEYNGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNSDHINESTVSSQYLSDDNELSLGQQQKESKPTRTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQNNQTKLDQFDYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSLNPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAVCDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHKSPTDTSDVAKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGEGDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YDR210W-A MESQQLHQNPHSQHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQEETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQDQHSEVPQAKTK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYSDILTVLCKSVSKMQTNNQELKDWIALANLEYNGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNSDHINESTVSSQYLSDDNELSLRPATERI YDR210C-D MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNRSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSINSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKDSRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSNDLNIESDHDFQSDIELYPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISDIESTDSGGMHRLDVPLLAP MSQSNTHESSYASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDTGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMEKSLTEKLP KLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTKPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSH LVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YDR210C-C MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNRSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSINSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YDR211W MAGKKGQKKSGLGNHGKNSDMDVEDRLQAVVLTDSYETRFMPLT AVKPRCLLPLANVPLIEYTLEFLAKAGVHEVFLICSSHANQINDYIENSKWNLPWSPF KITTIMSPEARCTGDVMRDLDNRGIITGDFILVSGDVLTNIDFSKMLEFHKKMHLQDK DHISTMCLSKASTYPKTRTIEPAAFVLDKSTSRCIYYQDLPLPSSREKTSIQIDPELL DNVDEFVIRNDLIDCRIDICTSHVPLIFQENFDYQSLRTDFVKGVISSDILGKHIYAY LTDEYAVRVESWQTYDTISQDFLGRWCYPLVLDSNIQDDQTYSYESRHIYKEKDVVLA QSCKIGKCTAIGSGTKIGEGTKIENSVIGRNCQIGENIRIKNSFIWDDCIIGNNSIID HSLIASNATLGSNVRLNDGCIIGFNVKIDDNMDLDRNTKISASPLKNAGSRMYDNESN EQFDQDLDDQTLAVSIVGDKGVGYIYESEVSDDEDSSTEACKEINTLSNQLDELYLSD DSISSATKKTKKRRTMSVNSIYTDREEIDSEFEDEDFEKEGIATVERAMENNHDLDTA LLELNTLRMSMNVTYHEVRIATITALLRRVYHFIATQTLGPKDAVVKVFNQWGLLFKR QAFDEEEYIDLMNIIMEKIVEQSFDKPDLILFSALVSLYDNDIIEEDVIYKWWDNVST DPRYDEVKKLTVKWVEWLQNADEESSSEEE YDR212W MSQLFNNSRSDTLFLGGEKISGDDIRNQNVLATMAVANVVKSSL GPVGLDKMLVDDIGDFTVTNDGATILSLLDVQHPAGKILVELAQQQDREIGDGTTSVV IIASELLKRANELVKNKIHPTTIITGFRVALREAIRFINEVLSTSVDTLGKETLINIA KTSMSSKIIGADSDFFSNMVVDALLAVKTQNSKGEIKYPVKAVNVLKAHGKSATESLL VPGYALNCTVASQAMPKRIAGGNVKIACLDLNLQKARMAMGVQINIDDPEQLEQIRKR EAGIVLERVKKIIDAGAQVVLTTKGIDDLCLKEFVEAKIMGVRRCKKEDLRRIARATG ATLVSSMSNLEGEETFESSYLGLCDEVVQAKFSDDECILIKGTSKHSSSSIILRGAND YSLDEMERSLHDSLSVVKRTLESGNVVPGGGCVEAALNIYLDNFATTVGSREQLAIAE FAAALLIIPKTLAVNAAKDSSELVAKLRSYHAASQMAKPEDVKRRSYRNYGLDLIRGK IVDEIHAGVLEPTISKVKSLKSALEACVAILRIDTMITVDPEPPKEDPHDH YDR213W MSEVGIQNHKKAVTKPRRREKVIELIEVDGKKVSTTSTGKRKFH NKSKNGCDNCKRRRVKCDEGKPACRKCTNMKLECQYTPIHLRKGRGATVVKYVTRKAD GSVESDSSVDLPPTIKKEQTPFNDIQSAVKASGSSNDSFPSSASTTKSESEEKSSAPI EDKNNMTPLSMGLQGTINKKDMMNNFFSQNGTIGFGSPERLNSGIDGLLLPPLPSGNM GAFQLQQQQQVQQQSQPQTQAQQASGTPNERYGSFDLAGSPALQSTGMSLSNSLSGML LCNRIPSGQNYTQQQLQYQLHQQLQLQQHQQVQLQQYQQLRQEQHQQVQQQQQEQLQQ YQQHFLQQQQQVLLQQEQQPNDEEGGVQEENSKKVKEGPLQSQTSETTLNSDAATLQA DALSQLSKMGLSLKSLSTFPTAGIGGVSYDFQELLGIKFPINNGNSRATKASNAEEAL ANMQEHHERAAASVKENDGQLSDTKSPAPSNNAQGGSASIMEPQAADAVSTMAPISMI ERNMNRNSNISPSTPSAVLNDRQEMQDSISSLGNLTKAALENNEPTISLQTSQTENED DASRQDMTSKINNEADRSSVSAGTSNIAKLLDLSTKGNLNLIDMKLFHHYCTKVWPTI TAAKVSGPEIWRDYIPELAFDYPFLMHALLAFSATHLSRTETGLEQYVSSHRLDALRL LREAVLEISENNTDALVASALILIMDSLANASGNGTVGNQSLNSMSPSAWIFHVKGAA TILTAVWPLSERSKFHNIISVDLSDLGDVINPDVGTITELVCFDESIADLYPVGLDSP YLITLAYLDKLHREKNQGDFILRVFTFPALLDKTFLALLMTGDLGAMRIMRSYYKLLR GFATEVKDKVWFLEGVTQVLPQDVDEYSGGGGMHMMLDFLGGGLPSMTTTNFSDFSL YDR214W MVVNNPNNWHWVDKNCIGWAKEYFKQKLVGVEAGSVKDKKYAKI KSVSSIEGDCEVNQRKGKVISLFDLKITVLIEGHVDSKDGSALPFEGSINVPEVAFDS EASSYQFDISIFKETSELSEAKPLIRSELLPKLRQIFQQFGKDLLATHGNDIQVPESQ VKSNYTRGNQKSSFTEIKDSASKPKKNALPSSTSTSAPVSSTNKVPQNGSGNSTSIYL EPTFNVPSSELYETFLDKQRILAWTRSAQFFNSGPKLETKEKFELFGGNVISELVSCE KDKKLVFHWKLKDWSAPFNSTIEMTFHESQEFHETKLQVKWTGIPVGEEDRVRANFEE YYVRSIKLTFGFGAVL YDR215C MKTPPNQEKNNEKISLLFSSQRLTIDVHPSSVYHIVLSSNNADR HQVTLSFTARSRMMPLTRARPFGNHSSMFRMFLDAMVILAVASGVSLPPQLPGRRSHN ASTPGAKKPGKDHGAMVSEFPANGVITPVYFPLRW YDR216W MANVEKPNDCSGFPVVDLNSCFSNGFNNEKQEIEMETDDSPILL MSSSASRENSNTFSVIQRTPDGKIITTNNNMNSKINKQLDKLPENLRLNGRTPSGKLR SFVCEVCTRAFARQEHLKRHYRSHTNEKPYPCGLCNRCFTRRDLLIRHAQKIHSGNLG ETISHTKKVSRTITKARKNSASSVKFQTPTYGTPDNGNFLNRTTANTRRKASPEANVK RKYLKKLTRRASFSAQSASSYALPDQSSLEQHPKDRVKFSTPELVPLDLKNPELDSSF DLNMNLDLNLNLDSNFNIALNRSDSSGSTMNLDYKLPESANNYTYSSGSPTRAYVGAN TNSKNASFNDADLLSSSYWIKAYNDHLFSVSESDETSPMNSELNDTKLIVPDFKSTIH HLKDSRSSSWTVAIDNNSNNNKVSDNQPDFVDFQELLDNDTLGNDLLETTAVLKEFEL LHDDSVSATATSNEIDLSHLNLSNSPISPHKLIYKNKEGTNDDMLISFGLDHPSNRED DLDKLCNMTRDVQAIFSQYLKGEESKRSLEDFLSTSNRKEKPDSGNYTFYGLDCLTLS KISRALPASTVNNNQPSHSIESKLFNEPMRNMCIKVLRYYEKFSHDSSESVMDSNPNL LSKELLMPAVSELNEYLDLFKNNFLPHFPIIHPSLLDLDLDSLQRYTNEDGYDDAENA QLFDRLSQGTDKEYDYEHYQILSISKIVCLPLFMATFGSLHKFGYKSQTIELYEMSRR ILHSFLETKRRCRSTTVNDSYQNIWLMQSLILSFMFALVADYLEKIDSSLMKRQLSAL CSTIRSNCLPTISANSEKSINNNNEPLTFGSPLQYIIFESKIRCTLMAYDFCQFLKCF FHIKFDLSIKEKDVETIYIPDNESKWASESIICNGHVVQKQNFYDFRNFYYSFTYGHL HSIPEFLGSSMIYYEYDLRKGTKSHVFLDRIDTKRLERSLDTSSYGNDNMAATNKNIA ILIDDTIILKNNLMSMRFIKQIDRSFTEKVRKGQIAKIYDSFLNSVRLNFLKNYSVEV LCEFLVALNFSIRNISSLYVEEESDCSQRMNSPELPRIHLNNQALSVFNLQGYYYCFI LIIKFLLDFEATPNFKLLRIFIELRSLANSILLPTLSRLYPQEFSGFPDVVFTQQFIN KDNGMLVPGLSANEHHNGASAAVKTKLAKKINVEGLAMFINEILVNSFNDTSFLNMED PIRNEFSFDNGDRAVTDLPRSAHFLSDTGLEGINFSGLNDSHQTVSTLNLLRYGENHS SKHKNGGKGQGFAEKYQLSLKYVTIAKLFFTNVKENYIHCHMLDKMASDFHTLENHLK GNS YDR217C MSGQLVQWKSSPDRVTQSAIKEALHSPLADGDMNEMNVPVDPLE NKVNSTNIIEGSPKANPNPVKFMNTSEIFQKSLGLLDESPRHDDELNIEVGDNDRPNA NILHNERTPDLDRIANFFKSNRTPGKENLLTKYQSSDLEDTPLMLRKKMTFQTPTDPL EQKTFKKLKSDTGFCYYGEQNDGEENASLEVTEADATFVQMAERSADNYDCALEGIVT PKRYKDELSKSGGMQDERVQKTQIMISAESPNSISSYDKNKITGNGRTTRNVNKVFNN NEDNIGAIEEKNPVKKKSENYSSDDLRERNNQIIQSNESEEINELEKNLNVSGRENDV NNLDIDINSAVSGTPSRNNAEEEMYSSESVNNREPSKKWIFRYSKDKTENNSNRSTQI VNNPRTQEMPLDSISIDTQPLSKSFNTETNNELETQIIVSSLSQGISAQKGPVFHSTG QTEEIKTQIINSPEQNALNATFETPVTLSRINFEPILEVPETSSPSKNTMSKPSNSSP IPKEKDTFNIHEREVETNNVFSNDIQNSSNAATRDDIIIAGSSDFNEQKEITDRIYLQ LSGKQISDSGSDETERMSPNELDTKKESTIMSEVELTQELPEVEEQQDLQTSPKKLVV EEETLMEIKKSKGNSLQLHDDNKECNSDKQDGTESLDVALIEHESKGQSSELQKNLMQ LFPSESQEIIQNRRTIKRRQKDTIEIGEEEENRSTKTSPTKHLKRNSDLDAASIKREP SCSITIQTGETGSGKDSKEQSYVFPEGIRTADNSFLSKDDIIFGNAVWCQYTWNYKFY PGILLEVDTNQDGCWIYFETGRSLTKDEDIYYLDIRIGDAVTFDGNEYVVVGLECRSH DLNIIRCIRGYDTVHLKKKNASGLLGKRTLIKALSSISLDLSEWAKRAKIILEDNEKN KGDAYRYLRHPIRGRKSMTNVLSPKKHTDDEKDINTHTEVYNNEIESSSEKKEIVKKD SRDALAEHAGAPSLLFSSGEIRTGNVFDKCIFVLTSLFENREELRQTIESQGGTVIES GFSTLFNFTHPLAKSLVNKGNTDNIRELALKLAWKPHSLFADCRFACLITKRHLRSLK YLETLALGWPTLHWKFISACIEKKRIVPHLIYQYLLPSGESFRLSLDSPSKGGIIKSN NIFSFYTQFLRGSNLRDQICGVKKMLNDYIVIVWGRSELDSFVKFAFACLSAGRMLTI DLPNIDVDDTEPLLNALDSLVPRIGSELSNRKLKFLIYANENNGKSQMKLLERLRSQI SLKFKKFNYIFHTESKEWLIQTIINEDTGFHDDITDNDIYNTISEVR YDR218C MFPMKDHSALEQHTLSRDELRRRKGYKKGLQLSILLLGEKGSGK STFLNNLCGQDISLSDGDYDDDDDKVTNNVTPENGNAIEDIDPGYKTAHLSPGLKLVT RRVYLNDELGVPITLDIILFPGCGDNVDNSQSSVVIKNYLDQQFANVLKEEVRIKRNT KETDGRPHVCLYFLKSTPRGVKKFDIELMKTICDKVNLIPIIPKADGLTETELNLHKD IVRQEISQNNIRVFDFKSDTLGETLALYDMDIDSSSAKSKYDNDTKIKEISPFAIVCS KTFNKNSENRVEHIRTYEWGSLVVEDQNTSDFIYLKAILLGSHLQELKDVTNNVLYEN YRAKVLTEKKNNYDIPNYSYIDETSRGSVSNVSTRRNSASRTLGNPDTNDENAYQIHK EIDEKNRIIEDYQRKIDLLEKMLAAPHQNKV YDR219C MTLFSCSVQMPLEERSLTNLPLNLLFRILSHLDMNDLQNIGKTC TLLRMLANENIVYRNAVIGSNGNMWWTKNVLVDVFDVLNFNRKAMKTLNSHNISLVAS LRNVQRKYKLGVIDPARKTISYRTNEVESKEKGSVKDLNMDLNEPTEITREQIAHTAI LQGMNQFIELNDKAFRTHSADSDDTYIEENNGEIHSLHGLEKNTTFEEDLVKKPPFIP SPTFSNYSRSSTNSVFSSSSPKLLDDDWNNITMDFTKSRDPDYKEMTPTSTESSDSIT RLRKSNKVKDKAELFEKLIFRDSRPLKTKKKDNPRLKLSSSLSANDEDFRKIISPPSD ILPKVGRRSVSRGYLEEIERHYPDFNGETTNPLAIKRVNSTKIANYEQLIIKENSSNC KGITEKNDENKFQRSHTSPVIELSKPHQRSKLKAVVTDGNKICYRKIELDNPSGSNTN DHVIKRLDANTDFNI YDR221W MVSMFSLFLLLIEQSPLVASLQQSQRHIVGVPWEKQHLYDSNEP DLTKWHCLNHEDIVLDISQINDGVCDCPDGSDEPGSAACVEDIFKSVAEGGGKVNKYF YCDNKGFIPRYIRKSEVADGICDCCDCSDELLSGYELFDAGSNCSQLKNEFDIMASKE LSSYREGKEALEELERKYGTKEEAITRGNCLEEDKEKASAEIKVLSNRLSENRAKLEQ LRGEYFNQLSHDPILYQFEQLNSTRLGSDILTSFTMVSRVSKGYQDIFKILSDLSEAY TPSLNDKVVNDNIKKFRKVRRRAEKAKINADSKIDDEQADNLYLYFTEEVPQIFLKRE SENTLRYVIGKSNFVQALVEGKINYTNDILEYIREFRLIMDDISQNYNVNFQDAGVKS AVDSYKNYLGEYGELAELEPAHPSESLLRSLSEVTSFVNENAPKVLPPDAVESEQDTN SDHIGTSGDLRNKLKEILSKLNIFSSRKDLVSLEKRFRSCESQVSLLENELKQKMDYK KLLDETEDEGTNSTAGNLTELLELMGSQSYCLDDILDNYVYTICFQRPMTEGVIYQAE DKVDGKKVLIGRFKTSGFNVDLNMEKYAEHLKATYDEKSDLISNLAAIQDDDGNMQHY VFGNLNELNNGLVLEYENGDQCWNGPRRSATVFVRCSDKFKIRSVHEATKCNYIFDVV GPLGCNKTFEYEPPKFNLSE YDR222W MLESSSDKIKFAPIKEVDYKKPVSKSKNYTLINDIQPLEWYCHN DSETGYQHTISNKTDGGRGLFRVMKKSMETRVETQTLYFTDLHTGLCGFVQLLYSTVM GGIYKGFQLNFKVFGSESNNTDYDVWESFKLDDIAEFQPLKFVSRNVIFEFLSNKNEK LGSIGQLSIKCDLPTCNNTIQNLKIDLLVDLFQGFKMNPNGCNYYFDKQISMSDEFVS SDKMIRHVFVPRGKCNGNISYDKKLNSGDFQNKNISLTDVPVVYLDAVQGLLPNKAAS KWNFLCFQSENYSVLAIEFTTPRDHDNVTVTVWSITEKNKLISIGSSVQSPKRHVRFR ATSTDKESGWVYPTSIKFPGGFSEHDLRLVNRYDVLGELPSMVRSLAQKIVSIKPFIY QYCQPSKYKHEKGISIVESTFIS YDR223W MLLSAPVNSTVRRKPHSPNKKKPKETGTAASFSSSSSTVVLSSN NDGSFDALWDPSISKASDFESSYISAKRLKPESSNRQKKKNSYKYSREENTNEVEEKT SLGSSSKTEADNIFNDQLTSAGNTTYVSNKRDVNFGANSAVVLLGLPTSKSESHRQYH SPSASTTNEDEEDIGVDILVDNHIDSCETVSINNNRGITHQYPETESDVDFDEAVILT PMDGTDKGVKNPRPLEKKYSSSCFEDRTPLNLDDGHFSECNHFSTLDVSSFFHLNEHV HKIDEVELDGPDRTFSLDNVAINTRKKDIDCLYNSSREDLSNLTCSSEGPRNDSYDSD YNIDEVTYRDDESTDEDESLPTPDRKRKKIGHKACEILDSKRIGIKVPKLYVWSLSDK PFSVIDGLCTKSLYPLSDDINTPESLSSCSSSVSSRENQKGDATFDNDAMIADLLNIG GLEVEKASNGHIELIGE YDR224C MSAKAEKKPASKAPAEKKPAAKKTSTSTDGKKRSKARKETYSSY IYKVLKQTHPDTGISQKSMSILNSFVNDIFERIATEASKLAAYNKKSTISAREIQTAV RLILPGELAKHAVSEGTRAVTKYSSSTQA YDR225W MSGGKGGKAGSAAKASQSRSAKAGLTFPVGRVHRLLRRGNYAQR IGSGAPVYLTAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDDELNKLLGNV TIAQGGVLPNIHQNLLPKKSAKATKASQEL YDR226W MSSSESIRMVLIGPPGAGKGTQAPNLQERFHAAHLATGDMLRSQ IAKGTQLGLEAKKIMDQGGLVSDDIMVNMIKDELTNNPACKNGFILDGFPRTIPQAEK LDQMLKEQGTPLEKAIELKVDDELLVARITGRLIHPASGRSYHKIFNPPKEDMKDDVT GEALVQRSDDNADALKKRLAAYHAQTEPIVDFYKKTGIWAGVDASQPPATVWADILNK LGKD YDR227W MPNDNKTPNRSSTPKFTKKPVTPNDKIPEREEKSNEVKTPKIPL FTFAKSKNYSRPSTAIHTSPHQPSDVKPTSHKQLQQPKSSPLKKNNYNSFPHSNLEKI SNSKLLSLLRSKTSAGRIESNNPSHDASRSLASFEQTAFSRHAQQQTSTFNSKPVRTI VPISTSQTNNSFLSGVKSLLSEEKIRDYSKEILGINLANEQPVLEKPLKKGSADIGAS VISLTKDKSIRKDTVEEKKEEKLNIGKNFAHSDSLSVPKVSAGDSGISPEESKARSPG IAKPNAIQTEVYGINEESTNERLEINQEKPVKLDENSANSTVASALDTNGTSATTETL TSKKIVPSPKKVAIDQDKITLHDEKTLAPSKHQPITSEQKMKEDADLKRMEILKSPHL SKSPADRPQGRRNSRNFSTRDEETTKLAFLVEYEGQENNYNSTSRSTEKKNDMNTSAK NKNGENKKIGKRPPEIMSTEAHVNKVTEETTKQIQSVRIDGRKVLQKVQGESHIDSRN NTLNVTPSKRPQLGEIPNPMKKHKPNEGRTPNISNGTINIQKKLEPKEIVRDILHTKE SSNEAKKTIQNPLNKSQNTALPSTHKVTQKKDIKIGTNDLFQVESAPKISSEIDRENV KSKDEPVSKAVESKSLLNLFSNVLKAPFIKSESKPFSSDALSKEKANFLETIASTEKP ENKTDKVSLSQPVSASKHEYSDNFPVSLSQPSKKSFANHTEDEQIEKKKICRGRMNTI ITHPGKMELVYVSDSDDSSSDNDSLTDLESLSSGESNEIKVTNDLDTSAEKDQIQAGK WFDPVLDWRKSDRELTKNILWRIADKTTYDKETITDLIEQGIPKHSYLSGNPLTSVTN DICSVENYETSSAFFYQQVHKKDRLQYLPLYAVSTFENTNNTEKNDVTNKNINIGKHS QEQNSSSAKPSQIPTVSSPLGFEETKLSTTPTKSNRRVSHSDTNSSKPKNTKENLSKS SWRQEWLANLKLISVSLVDEFPSELSDSDRQIINEKMQLLKDIFANNLKSAISNNFRE SDIIILKGEIEDYPMSSEIKIYYNELQNKPDAKKARFWSFMKTQRFVSNMGFDIQKSC EPVSISTSVKPHVVEPEHMADAKIMPKDILQITKKPLMVKNVKPSSPPDVKSLVQLST METKTLPEKKQFDSIFNSNKAKIIPGNGKHASENISLSFSRPASYGYFSVGKRVPIVE DRRVKQLDDITDSNTTEILTSVDVLGTHSQTGTQQSNMYTSTQKTELEIDNKDSVTEC SKDMKEDGLSFVDIVLSKAASALDEKEKQLAVANEIIRSLSDEVMRNEIRITSLQGDL TFTKKCLENARSQISEKDAKINKLMEKDFQVNKEIKPY YDR228C MDHDTEVIVKDFNSILEELTFNSRPIITTLTKLAEENISCAQYF VDAIESRIEKCMPKQKLYAFYALDSICKNVGSPYTIYFSRNLFNLYKRTYLLVDNTTR TKLINMFKLWLNPNDTGLPLFEGSALEKIEQFLIKASALHQKNLQAMLPTPTVPLLLR DIDKLTCLTSERLKNQPNDEKLKMKLLVLSQLKQELKREKLTLNALKQVQMQLRQVFS QDQQVLQERMRYHELQQQQQQQQQQQQQQQQQQQQYHETKDMVGSYTQNSNSAIPLFG NNSDTTNQQNSLSSSLFGNISGVESFQEIEKKKSLNKINNLYASLKAEGLIYTPPKES IVTLYKKLNGHSNYSLDSHEKQLMKNLPKIPLLNDILSDCKAYFATVNIDVLNNPSLQ LSEQTLLQENPIVQNNLIHLLYRSKPNKCSVCGKRFGNSESEKLLQNEHLDWHFRINT RIKGSQNTANTGISNSNLNTTTTRKNIQSRNWYLSDSQWAAFKDDEITSTKHKNDYTD PHANKNIDKSALNIHADENDEGSVDNTLGSDRSNELEIRGKYVVVPETSQDMAFKCPI CKETVTGVYDEESGEWVWKNTIEVNGKYFHSTCYHETSQNSSKSNSGKVGLDDLKKLV TK YDR229W MPDNNTEQLQGSPSSDQRLRVDWDNGNHFDVSPDRYAPHLSEFY PIVNSKRPVASSAGSENNDHLDDMNHLRSSKVYSKARRASSITSGTSTINDLQTLITK RDVKETQEALSTLLRNSNAYSDSLLKTSQNGAEIAHSLENIAKLKGCNDETAEKLLSA SGLFYLLSNHQLIMSKYFNDLLGDNLIDDIDEFELQTKIMENKFKAQSKEQSLKLKLQ ERHNFDISKRKIRNLISYRESLSSLQARLDQLETLKHDFYMDSYELVENTCNKVLSKV ATVSRAQVEISENIARKGWSGGGLDELLCDADDPFSKKADGPYGTIGGDGETAGEAYN SDEETGGNDVVLNELLEGTSQPSTSKTSLPKSKGSSTVSTPNHSQSSSNKDGVRNNGG GKNGEDEDTDNLMGTENSFSLPPTRNSAEETTQTFKQLSIKEDNDNHSSDTDGMQDQS SNI YDR231C MRWWPWSNQTEDQKQQQQPQGKADGDRVLTNYSRGQKILLEDTP PKFADDLSNSQLAKKQERATLKEAWDSIRWSDFSLQKLTSIPCFRDAGMLGFSSMFLM GSIIFIYHKSPTKATNWAMSSLILGSIVGWEQCRLKRQKSFQIAQLAKETVAKKEKPM LHNVPHDPSLPGQWEAAKNEKQSQFEQSNQNLSQASSEKKWYKFW YDR232W MQRSIFARFGNSSAAVSTLNRLSTTAAPHAKNGYATATGAGAAA ATATASSTHAAAAAAAAANHSTQESGFDYEGLIDSELQKKRLDKSYRYFNNINRLAKE FPLAHRQREADKVTVWCSNDYLALSKHPEVLDAMHKTIDKYGCGAGGTRNIAGHNIPT LNLEAELATLHKKEGALVFSSCYVANDAVLSLLGQKMKDLVIFSDELNHASMIVGIKH ANVKKHIFKHNDLNELEQLLQSYPKSVPKLIAFESVYSMAGSVADIEKICDLADKYGA LTFLDEVHAVGLYGPHGAGVAEHCDFESHRASGIATPKTNDKGGAKTVMDRVDMITGT LGKSFGSVGGYVAASRKLIDWFRSFAPGFIFTTTLPPSVMAGATAAIRYQRCHIDLRT SQQKHTMYVKKAFHELGIPVIPNPSHIVPVLIGNADLAKQASDILINKHQIYVQAINF PTVARGTERLRITPTPGHTNDLSDILINAVDDVFNELQLPRVRDWESQGGLLGVGESG FVEESNLWTSSQLSLTNDDLNPNVRDPIVKQLEVSSGIKQ YDR233C MSASAQHSQAQQQQQQKSCNCDLLLWRNPVQTGKYFGGSLLALL ILKKVNLITFFLKVAYTILFTTGSIEFVSKLFLGQGLITKYGPKECPNIAGFIKPHID EALKQLPVFQAHIRKTVFAQVPKHTFKTAVALFLLHKFFSWFSIWTIVFVADIFTFTL PVIYHSYKHEIDATVAQGVEISKQKTQEFSQMACEKTKPYLDKVESKLGPISNLVKSK TAPVSSTAGPQTASTSKLAADVPLEPESKAYTSSAQVMPEVPQHEPSTTQEFNVDELS NELKKSTKNLQNELEKNNA YDR234W MLRSTTFTRSFHSSRAWLKGQNLTEKIVQSYAVNLPEGKVVHSG DYVSIKPAHCMSHDNSWPVALKFMGLGATKIKNPSQIVTTLDHDIQNKSEKNLTKYKN IENFAKKHHIDHYPAGRGIGHQIMIEEGYAFPLNMTVASDSHSNTYGGLGSLGTPIVR TDAAAIWATGQTWWQIPPVAQVELKGQLPQGVSGKDIIVALCGLFNNDQVLNHAIEFT GDSLNALPIDHRLTIANMTTEWGALSGLFPVDKTLIDWYKNRLQKLGTNNHPRINPKT IRALEEKAKIPKADKDAHYAKKLIIDLATLTHYVSGPNSVKVSNTVQDLSQQDIKINK AYLVSCTNSRLSDLQSAADVVCPTGDLNKVNKVAPGVEFYVAAASSEIEADARKSGAW EKLLKAGCIPLPSGCGPCIGLGAGLLEPGEVGISATNRNFKGRMGSKDALAYLASPAV VAASAVLGKISSPAEVLSTSEIPFSGVKTEIIENPVVEEEVNAQTEAPKQSVEILEGF PREFSGELVLCDADNINTDGIYPGKYTYQDDVPKEKMAQVCMENYDAEFRTKVHPGDI VVSGFNFGTGSSREQAATALLAKGINLVVSGSFGNIFSRNSINNALLTLEIPALIKKL REKYQGAPKELTRRTGWFLKWDVADAKVVVTEGSLDGPVILEQKVGELGKNLQEIIVK GGLEGWVKSQL YDR235W MDKYTALIHDENFSTLTLNVSRYPKSLAYWEKLLNYIVKASAPI CKSTEPQLLKLIRCTYSSMLNEFPYLENYYIDFALLEYKLGNVSMSHKIFQRGLQAFN QRSLLLWTSYLKFCNNVISHQKQLFKKYETAEEYVGLHFFSGEFWDLYLEQISSRCTS SKKYWNVLRKILEIPLHSFSKFYALWLQRIDDIMDLKQLSQLTSKDELLKKLKIDINY SGRKGPYLQDAKKKLKKITKEMYMVVQYQVLEIYSIFESKIYINYYTSPETLVSSDEI ETWIKYLDYTITLQTDSLTHLNFQRALLPLAHYDLVWIKYSKWLINSKNDLLGAKNVL LMGLKFSLKKTEIIKLLYSVICKLNEYVLLRNLLEKIESSYSDNVENVDDFEIFWDYL QFKTFCQNSLYSSRYSDSQSNGLLNKELFDKVWKRLSCKEKKSGQEILLNNLVQFYSK DTVEFVEKNIFQKIIEFGWEYYLQNGMFWNCYCRLIYFDTSRSYLDKRQYIVRKIWPQ IDKKFAQSVLPSLTEFCESYFPEEMDTLEEMFTEEP YDR236C MFTWTIYVSLLLVLAGTFLMNRNTNTDIIDTFKREVDLPIPAQP GPPFPLVTDYCDIVCGFGRGSAELGIPTANVPINQLPKGINDLDLGVYFGFAHIKTVD GQELSVETRRDGRTVVYNYGQYLSEANDDLSVLPMVLSVGKNPFYGNDFKTMELHIIH DFKNDFYGARVKFNILGHIRPELNYTTKEALIEDINIDIRTAQTVLATPPYQVFKQQL YDR237W MQRFSLVTHRSFSHSCVKPKSACSLVKPVHHLVKIDKSKLSPRF PELKYDKSDIRSPGFKPKDTHADRLNDHYLNTLQSDLLLINYSHNAAVVKGLKQRAWS GDSPYHLNRPPKNPRGSKAQLPDIHPIKWSNIPGLESVVINCFVREARENQLLAITAA LQLQQITGCKPHPIFSKNDVPTWKLRKGHQMGAKVELKGKEMSQFLSTLTEIVLPRIR EYKGISNQSGNRFGGISFGLTAEDIKFFPEIDANQDSWPKTFGMHININTSAQLDYQA RTLLSGFQFPFFGEEK YDR238C MTSLSSQPAYTLVFDPSPSMETYSSTDFQKALEKGSDEQKIDTM KSILVTMLEGNPMPELLMHIIRFVMPSKNKELKKLLYFYWEIVPKLAEDGKLRHEMIL VCNAIQHDLQHPNEYIRGNTLRFLTKLREAELLEQMVPSVLACLEYRHAYVRKYAILA VFSIFKVSEHLLPDAKEIINSFIVAETDPICKRNAFIGLAELDRENALHYLENNIADI ENLDPLLQAVFVQFIRQDANRTPALKAQYIELLMELLSTTTSDEVIFETALALTVLSA NPNVLVPAVNKLIDLAVKVSDNNIKLIVLDRIQDINANNVGALEELTLDILRVLNAED LDVRSKALDISMDLATSRNAEDVVQLLKKELQTTVNNPDQDKAMQYRQLLIKTIRTVA VNFVEMAASVVSLLLDFIGDLNSVAASGIIAFIKEVIEKYPQLRANILENMVQTLDKV RSAKAYRGALWIMGEYAEGESEIQHCWKHIRNSVGEVPILQSEIKKLTQNQEHTEENE VDATAKPTGPVILPDGTYATESAFDVKTSQKSVTDEERDSRPPIRRFVLSGDFYTAAI LANTIIKLVLKFENVSKNKTVINALKAEALLILVSIVRVGQSSLVEKKIDEDSLERVM TSISILLDEVNPEEKKEEVKLLEVAFLDTTKSSFKRQIEIAKKNKHKRALKDSCKNIE PIDTPISFRQFAGVDSTNVQKDSIEEDLQLAMKGDAIHATSSSSISKLKKIVPLCGFS DPVYAEACITNNQFDVVLDVLLVNQTKETLKNLHVQFATLGDLKIIDTPQKTNVIPHG FHKFTVTVKVSSADTGVIFGNIIYDGAHGEDARYVILNDVHVDIMDYIKPATADDEHF RTMWNAFEWENKISVKSQLPTLHAYLRELVKGTNMGILTPSESLGEDDCRFLSCNLYA KSSFGEDALANLCIEKDSKTNDVIGYVRIRSKGQGLALSLGDRVALIAKKTNKLALTH V YDR239C MFDGFSNNKGKRRSFFRFGSESKNNDSEKSVRKPSVPSTIKKST NIARTSTAETSAPDIPPRSPNRNAHSRSHSIQAPLQKETLKNTNPFLNAEDTLGDSLE LTQSKEASGNDHKGIEYLQENNIIGQRTNPFTTSANSNAHFSKIKRSRPPPPPMDMKS ITTSISNNTTKEEIESNNDSERDSIAISSTHNQHRRQRSEAEKLVDDIENYINEHKVS SGSSLSLDTSENSDTKASQDKLPVDVMEAPILRNVSAESSLSYVKPLIVDNEEVNKAS NGNLVQSDHLKEFSSNLDDGDDKFSFSTSASGKSTKSLQQVSKDESSGFKAAHFDFAY KSNEHLGSDGSIASARKPLRITNEIDSGSSNEDDDDGLQEKGFVDSESKAFINYASDQ GSSIKNDVSTQEPELPSHRRIFRVVNEDRPSFYLNSVNDTGSLTDKHSFDTASSGEYD AKSNFSSQSGLSISKGSKSTVLAALDSNGNTKSSNKTSELNSLNSISESLVPAAHSFN EHTVTIPATVDLPNPVHDAPSERSVKCSPLTSVVSNKSEKSVPLVSSYVEELRLKYYK TSNFLQAPPNLPVALKQKNNLIQPKNIKVKLRTSSKQIGIKHGKVKQKLLALETRNEE SDGTATGLKNKINVDHTKEFHKLLGKENETGSISKKEGTDAEQAEDYLKDIPGDEAYN SDDIMAPLREKRGQNGSVDSVSRSNTVVSYYTRSQNRMRSGTLDNDYVNRQKLPTHIS LQDYRDANARSNISRQDSVSTTNSDVVDLSYSLGHGLRVANPDSDPE YDR240C MRPRRRGLAYHHTKPKGQLSQGHYPTTSNDGQRRKVGNSEAFQS FDIWKNLDRIRSTKKNAGQFIKGSLLILPMRTEDKQQFDECMDELHKYISKDILRCYP QKEQKDEGMLFYIVLKDFNILDSCFVLSVLLAFQKRLWMAPSEKSYFRVPKNINLTGS FYLPKNIETGRGHIITSYRREQPSSSIVEVGFNVVPDFQQFQVKACHVSKFMNELSNF FSQVEFGKCEANVINYFKREYNRTYSQISLALYELPLIGDGLFDIKSYISKTRPIIET SKAQMIKHISEMKAYNEISGLQGDQFPRQQRPLSNSPSSNSISSSQTIEAGATSYQTQ PQRHAVNKPSNVLNSSNRHSGPKTFEDGRYSEGNKPGFMTQDEIKQHCIGTIKASMDA VKKKSSYQILKTYVRCPRQNYIDIVYQNLNDLRSKTNCNIVVLNLNNLHESQMWLESL NTTNYTIFAQAPHPSTIRVISIGGVGEYIVKALELILNILEH YDR242W MTVHSTWKEKVQLKKDQLNSKIKDEWKLNSTTITRLKNDKKNLI KNIDDLCSSSENQITHSTIMALRQALEAKELSCHEITAAFCHRAALIHQVVNCLSEIM FSEALRLADYYDSNRPAILPPLYGIPISLKDQCNVEGVDTSLGYLCRTFKPKTKNEES LIVSFLRDLGAIIFVKTTVPSSMMATDTQSNTFGYTYNSINLSFSSGGSSGGEGSLIG AHGSLLGLGTDIGGSIRIPSSYQGLFGLKPTFGRVPYLRVDNSFEGRETIPSVIGPLA RDLSDLRYFMSCVINICQPWVQDVKCIPYHFDSSTSKLHDNYVVGIWYGDGVIDPPPS DIRALKTCEDLVNKTKGMKAVKWEPSSELSRELFDLANEADVADSGNEIKNEFEISGE PLLDILKPMVLENGRPPYTVNEWWDLTKRVYNAQQLMRDYYLSFPESERPDVIISPTT LMPFRPGDMLKTTLRYILLFNVLNFPSLSIPVGSVDCQIDGLMDTTSALNPEDKMIKT YWNDLIQSGEIDGFPIGLQVVSPTFNDNEVCKFASWLFSKI YDR243C MARPIDVSQLIAGINKKKGLDENTSGKISKPRFLNKQERSKQER LKENEESLTPTQSDSAKVEIKKVNSRDDSFFNETNDKKRNPSKQNGSKFHFSWNESED TLSGYDPIVSTRAIDLLWKGKTPKNAAESSYMGKHWTEKSLHEMNERDWRILKEDYAI VTKGGTVENPLRNWEELNIIPRDLLRVIIQELRFPSPTPIQRITIPNVCNMKQYRDFL GVASTGSGKTLAFVIPILIKMSRSPPRPPSLKIIDGPKALILAPTRELVQQIQKETQK VTKIWSKESNYDCKVISIVGGHSLEEISFSLSEGCDILVATPGRLIDSLENHLLVMKQ VETLVLDEADKMIDLGFEDQVTNILTKVDINADSAVNRQTLMFTATMTPVIEKIAAGY MQKPVYATIGVETGSEPLIQQVVEYADNDEDKFKKLKPIVAKYDPPIIIFINYKQTAD WLAEKFQKETNMKVTILHGSKSQEQREHSLQLFRTNKVQIMIATNVAARGLDIPNVSL VVNFQISKKMDDYIHRIGRTGRAANEGTAVSFVSAAEDESLIRELYKYVRKHDPLNSN IFSEAVKNKYNVGKQLSNEIIY YDR244W MDVGSCSVGNNPLAQLHKHTQQNKSLQFNQKNNGRLNESPLQGT NKPGISEAFISNVNAISQENMANMQRFINGEPLIDDKRRMEIGPSSGRLPPFSNVHSL QTSANPTQIKGVNDISHWSQEFQGSNSIQNRNADTGNSEKAWQRGSTTASSRFQYPNT MMNNYAYASMNSLSGSRLQSPAFMNQQQSGRSKEGVNEQEQQPWTDQFEKLEKEVSEN LDINDEIEKEENVSEVEQNKPETVEKEEGVYGDQYQSDFQEVWDSIHKDAEEVLPSEL VNDDLNLGEDYLKYLGGRVNGNIEYAFQSNNEYFNNPNAYKIGCLLMENGAKLSEAAL AFEAAVKEKPDHVDAWLRLGLVQTQNEKELNGISALEECLKLDPKNLEAMKTLAISYI NEGYDMSAFTMLDKWAETKYPEIWSRIKQQDDKFQKEKGFTHIDMNAHITKQFLQLAN NLSTIDPEIQLCLGLLFYTKDDFDKTIDCFESALRVNPNDELMWNRLGASLANSNRSE EAIQAYHRALQLKPSFVRARYNLAVSSMNIGCFKEAAGYLLSVLSMHEVNTNNKKGDV GSLLNTYNDTVIETLKRVFIAMNRDDLLQEVKPGMDLKRFKGEFSF YDR245W MSSVPYNSQLPISNHLEYDEDEKKSRGSKLGLKYKMIYWRKTLC SSLARWRKLILLISLALFLFIWISDSTISRNPSTTSFQGQNSNDNKLSNTGSSINSKR YVPPYSKRSRWSFWNQDPRIVIILAANEGGGVLRWKNEQEWAIEGISIENKKAYAKRH GYALTIKDLTTSKRYSHEYREGWQKVDILRQTFREFPNAEWFWWLDLDTMIMEPSKSL EEHIFDRLETLADRELKSFNPLNLRDDIPYVDYSEEMEFLITQDCGGFNLGSFLIKNS EWSKLLLDMWWDPVLYEQKHMVWEHREQDALEALYENEPWIRSRIGFLPLRTINAFPP GACSEYSGDSRYFYSEKDHDFVVNMAGCNFGRDCWGEMQYYTTLMEKLNRKWYTRFFF P YDR246W MAIETILVINKSGGLIYQRNFTNDEQKLNSNEYLILASTLHGVF AIASQLTPKALQLTQQTNIENTIPYIPYVGMSSNRSDTRNGGGNNNKHTNNEKLGSFK GDDFFKEPFTNWNKSGLRQLCTDQFTMFIYQTLTGLKFVAISSSVMPQRQPTIATTDK PDRPKSTSNLAIQIADNFLRKVYCLYSDYVMKDPSYSMEMPIRSNLFDEKVKKMVENL Q YDR246W-A MRRLYRHLASFFLLPSCPGNTIQSITSYPANALLRSFRHVSTET PVRNRVHNRDSQSCPFFPLMDD YDR247W MMMFHNCRINNYLITSQIGEGAYGLVYRALDIRTDRQYAIKAVV QSYGVSKEADMGNDKIHKNSVKLQKKLAKLFKESKNVVRVPSIDLESIENMSEEDFKK LPHYKEISLHLRVHHHKNIVTIHEVLQSAVCTFIVMDYYPTDLFTSIVDNRHFVTNGL LVKKVFLQICSALNYCHEHGIYHCDIKPENLLLDTEDNVFLCDFGLSTTSTYIKPNVC IGSSYYMPPERISFDGRVSSSKSGGHKLGKVCPSCNGDLWSLGIILINLTCIRNPWLK ADKTEDNTYYYFTKDPNILKQILPLSDDFYSLLSKILQVNPKNRMSLQELMKEVSSIT SFTNEGPLSKVPPLSKSVYEKFVSPVDNTNENLSPKSYVYMHDSKAAKNLSYTSSSEE EDGIKEGIDDDNGSRSGSFGTLDTDTGLHSSFTSTSCESDNECSKISNKFSLFEKKFN ELRMSSSSLTN YDR248C MTEKHKTMGKFKVIVLAGTAGTGKSTIAGELIHEFKDIYPDLKF IEGDDLHPPANVEKMTRGIPLNDDDRWDWLKKVAVESTKAAASTKEHLSIVACSSLKK KYRDLIRHTCPESEFHFIFLYASKIEVLKRLKTRKGHFMKADMMESQFRDLELPDIND ETDCDIVPLDFKTFYQIEKDVIQVVKSKVLNIE YDR249C MGYILTGYSSRHDKRKKHALPLHRYASSSINLQHHVHLLETRVS SKRPISEDQRTIRLPAKKLRHHQKLTLQDLPVEIIQHIFVFTKGEPSMVTLNRFFYSC LKPSFSLLSKIMWEKYLFDPLEFGVSNIKAYSRNIVIPTLFEHETFFRLLLDHHPILL KNISHFLPRKHYQDMQNGDFDTSKELDLCSMNTEDTSKEDFPKNFYNNMHIFLTRREC VKSLGNHFTLKNPYDVISPFIEWFFQGIDMQGTDLSPKFTFVSLFESIDLILYVSGST VQKLASIEPLTTVIFLLYFTYADSLGSLNFEFFLQNRSRLQLIEKFILKYYYNPSLTE NELLSDSTIWDLLRRVSDLKLIDLVVKCGGRPQYGVMFA YDR251W MTSALRVLVCGDHPNLILYTSRFQHAKNIEFYLVNNSKNANYEV SSLFYGTERFQIQNHFQSLLDLVDLNNENGGLVFDLIIMSASSLQEIPQVLRDIKPMM NKTTKILFESSGFIYLEPFIKASVDLSLSNIFSIFTDYDIRRLDNGSYKQFTTANAKS FSVSIGQTTSVHENSYSSDIIPILNTFQKLFQKLFPRDVVTLYDHSPSAFLAKEWELA LPQICFDPLLIILEEKNPSTLDDHVLAKPLISGLLGESLLIIKKMGIAMNNPNFQNEQ TILKHWKNKCEDLPDGPALLYNFIHKASSLNIDLLLLQPILLADDFGVKTPYLECLFT MMTQYQLLNKGDSEWFIRKDENTALTRVDDLQNSIALKDGKIMQLQNSESTLKNEIKE LQSQVLSLKQEVSSSKANNGQELEILKKKVQMGDNSLFDRPNSNTNGISPSDNIVDVD LNYERSDQGNNSSGNDSRRQSFFNSTSDTTLSRDETSLKERELEVRMKELELQERELE LQRKALQQQQQYQQRPPKQVYSGPSGTPTSGNNNNKSYNPNRKSSYSQPQHVAMMTSR GLHGPSAASSSPVISANNFVDPVSSGTPYSSNSSRFSQQIPSQQYMHTVKPTSRKNRS SVMPNIGYVPGLTNNEYGRKFNGNGMNGTQSRLNSLSNQSTFRSQQGPPITQQKSFQN NGGSMRTNRIPSANYNISNQQSGFVNSISSPNLSNLENRNTVQNSRNADSAPCVNQLN SDSPPQLQSLSQNGTSKVPQINITQPSPIQTNFATSDNPAAVIKLGTPSEDTVSAAAT ANNISTMGDESRKEDVKEKKKKKFSFFGKRKK YDR252W MPVDQEKLAKLHKLSAANKVGGTRRKINKKGNLYNNNDKDNTKL QAELHKLHPMTIENVAEANFFKKNGKVLHFNSAVVQIAPQCNLTMIHGQPKENTLNGL YPSVASQLGSQELEYLTGLAHNLENEQTVLDQLGDRCSETKQQVMNS YDR253C MEDQDAAFIKQATEAIVDVSLNIDNIDPIIKELLERVRNRQNRL QNKKPALIPAENGVDINSQGGNIKVKKENALPKPPKSSKSKPQDRRNSTGEKRFKCAK CSLEFSRSSDLRRHEKTHFAILPNICPQCGKGFARKDALKRHYDTLTCRRNRTKLLTA GGEGINELLKKVKQSNIVHRQDNNHNGSSNG YDR254W MSNELRLEDNYVPTSDTLVVFKQLMKLPVTVLYDLTLSWFAKFG GSFDGDIYLLTETLDLLIEKGVRRNVIVNRILYVYWPDGLNVFQLAEIDCHLMISKPE KFKWLPSKALRGDGKPYVVKLQPAKFIENLQTDLAKIYHCHVYMFKHPSLPVLITRIQ LFDSNNLFLSTPNIGSINKESLYNKLDKFQGKPLISRRPYYVAFPLNSPIIFHSVDKD IYARLVLQSISRTISERETIIFKPVQKIPVKSIHNIMTLLGPSRFAESMGPWECYASA NFERSPLHDYKKHQGLTGKKVMVREFDDSFLNDDENFYGKEEPEIRRLRLEKNMIKFK GSANGVMDQKYNDLKEFNEHVHNIRNGKKNEDSGEPVYISRYSSLVPIEKVGFTLKNE INSRIITIKLKFNGNDIFGGLHELCDKNLINIDKVPGWLAGENGSFSGTIMNGDFQRE QVAKGGLL YDR255C MSELLDSFETEFAKFYTDSNLEETNLQKCLDHTHEFKSQLKKLK AHLNKHIQESKPEVYNKLSDKEKQKFKRKRELIIEKLSKSQRQWDHSVKKQIKYVSQQ SNRFNKSTLNKLKEFDIDSVYVNKLPKETMENVNEAIGYHILRYSIDNMPLGNKNEAF QYLKDVYGITNKESTEFIEMGQIVHDLKKGDTESCLKWCSNEMESLSSNHTALSSLKF DLYTLSAMQIVKHGNPVELYYQITQNAPLDCFRHREKELMQNVVPLLTKSLIGQPIED IDSKVNKELKECTSLFIKEYCAAKHIFFDSPLFLIVLSGLISFQFFIKYKTIRELAHV DWTTKDELPFDVKLPDFLTHFHPIFICPVLKEETTTENPPYSLACHHIISKKALDRLS KNGTITFKCPYCPVNTSMSSTKKVRFVML YDR256C MSKLGQEKNEVNYSDVREDRVVTNSTGNPINEPFVTQRIGEHGP LLLQDYNLIDSLAHFNRENIPQRNPHAHGSGAFGYFEVTDDITDICGSAMFSKIGKRT KCLTRFSTVGGDKGSADTVRDPRGFATKFYTEEGNLDWVYNNTPVFFIRDPSKFPHFI HTQKRNPQTNLRDADMFWDFLTTPENQVAIHQVMILFSDRGTPANYRSMHGYSGHTYK WSNKNGDWHYVQVHIKTDQGIKNLTIEEATKIAGSNPDYCQQDLFEAIQNGNYPSWTV YIQTMTERDAKKLPFSVFDLTKVWPQGQFPLRRVGKIVLNENPLNFFAQVEQAAFAPS TTVPYQEASADPVLQARLFSYADAHRYRLGPNFHQIPVNCPYASKFFNPAIRDGPMNV NGNFGSEPTYLANDKSYTYIQQDRPIQQHQEVWNGPAIPYHWATSPGDVDFVQARNLY RVLGKQPGQQKNLAYNIGIHVEGACPQIQQRVYDMFARVDKGLSEAIKKVAEAKHASE LSSNSKF YDR257C MDDFSRDTENFVCWLKTTAEIEVSPKIEIKDLCCDNQGRAVVAT QKIKKDETLFKIPRSSVLSVTTSQLIKDYPSLKDKFLNETGSWEGLIICILYEMEVLQ ERSRWAPYFKVWNKPSDMNALIFWDDNELQLLKPSLVLERIGKKEAKEMHERIIKSIK QIGGEFSRVATSFEFDNFAYIASIILSYSFDLEMQDSSVNENEEEETSEEELENERYL KSMIPLADMLNADTSKCNANLTYDSNCLKMVALRDIEKNEQVYNIYGEHPNSELLRRY GYVEWDGSKYDFGEVLLENIVEALKETFETNTEFLDRCIDILRNNANIQEFLEGEEIV LDSYDCYNNGELLPQLILLVQILTILCQIPGLCKLDIKAMERQVERIVKKCLQLIEGA RATTNCSATWKRCIMKRLADYPIKKCVSIEKPSKGNSLTREELRDVMARRVLKSEIDS LQVCEETIDKNYKVIPDEKLLTNILKRKLTEEEKSSVKRPCVKK YDR258C MLRQATKAPIQKYLQRTQLLRRSTPRIYTIVQCKRSICSFNARP RVANKLLSDIKTNALNEVAISTCALKSSYGLPNFKRTYVQMRMDPNQQPEKPALEQFG TNLTKLARDGKLDPVIGRDEEIARAIQILSRRTKNNPCLIGRAGVGKTALIDGLAQRI VAGEVPDSLKDKDLVALDLGSLIAGAKYRGEFEERLKKVLEEIDKANGKVIVFIDEVH MLLGLGKTDGSMDASNILKPKLARGLRCISATTLDEFKIIEKDPALSRRFQPILLNEP SVSDTISILRGLKERYEVHHGVRITDTALVSAAVLSNRYITDRFLPDKAIDLVDEACA VLRLQHESKPDEIQKLDRAIMKIQIELESLKKETDPVSVERREALEKDLEMKNDELNR LTKIWDAERAEIESIKNAKANLEQARIELEKCQREGDYTKASELRYSRIPDLEKKVAL SEKSKDGDKVNLLHDSVTSDDISKVVAKMTGIPTETVMKGDKDRLLYMENSLKERVVG QDEAIAAISDAVRLQRAGLTSEKRPIASFMFLGPTGTGKTELTKALAEFLFDDESNVI RFDMSEFQEKHTVSRLIGAPPGYVLSESGGQLTEAVRRKPYAVVLFDEFEKAHPDVSK LLLQVLDEGKLTDSLGHHVDFRNTIIVMTSNIGQDILLNDTKLGDDGKIDTATKNKVI EAMKRSYPPEFINRIDDILVFNRLSKKVLRSIVDIRIAEIQDRLAEKRMKIDLTDEAK DWLTDKGYDQLYGARPLNRLIHRQILNSMATFLLKGQIRNGETVRVVVKDTKLVVLPN HEEGEVVEEEAEK YDR259C MQNPPLIRPDMYNQGSSSMATYNASEKNLNEHPSPQIAQPSTSQ KLPYRINPTTTNGDTDISVNSNPIQPPLPNLMHLSGPSDYRSMHQSPIHPSYIIPPHS NERKQSASYNRPQNAHVSIQPSVVFPPKSYSISYAPYQINPPLPNGLPNQSISLNKEY IAEEQLSTLPSRNTSVTTAPPSFQNSADTAKNSADNNDNNDNVTKPVPDKDTQLISSS GKTLRNTRRAAQNRTAQKAFRQRKEKYIKNLEQKSKIFDDLLAENNNFKSLNDSLRND NNILIAQHEAIRNAITMLRSEYDVLCNENNMLKNENSIIKNEHNMSRNENENLKLENK RFHAEYIRMIEDIENTKRKEQEQRDEIEQLKKKIRSLEEIVGRHSDSAT YDR260C MSSSSYRDSYFQYRHLPAPHHILYAEWNQDILALPDEVANITMA MKDNTRTDAEEGRAPQDGERNSNVRESAQGKALMTSEQNSNRYWNSFHDEDDWNLFNG MELESNGVVTFAGQAFDHSLNGGTNSRNDGANEPRKETITGSIFDRRITQLAYARNNG WHELALPQSR YDR261C MPLKSFFFSAFLVLCLSKFTQGVGTTEKEESLSPLELNILQNKF ASYYANDTITVKGITIGGWLVTEPYITPSLYRNATSLAKQQNSSSNISIVDEFTLCKT LGYNTSLTLLDNHFKTWITEDDFEQIKTNGFNLVRIPIGYWAWKQNTDKNLYIDNITF NDPYVSDGLQLKYLNNALEWAQKYELNVWLDLHGAPGSQNGFDNSGERILYGDLGWLR LNNTKELTLAIWRDMFQTFLNKGDKSPVVGIQIVNEPLGGKIDVSDITEMYYEAFDLL KKNQNSSDNTTFVIHDGFQGIGHWNLELNPTYQNVSHHYFNLTGANYSSQDILVDHHH YEVFTDAQLAETQFARIENIINYGDSIHKELSFHPAVVGEWSGAITDCATWLNGVGVG ARYDGSYYNTTLFTTNDKPVGTCISQNSLADWTQDYRDRVRQFIEAQLATYSSKTTGW IFWNWKTEDAVEWDYLKLKEANLFPSPFDNYTYFKADGSIEEKFSSSLSAQAFPRTTS SVLSSTTTSRKSKNAAISNKLTTSQLLPIKNMSLTWKASVCALAITIAALCASL YDR261W-B MESQQLHQNPHSLHGSAAASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAKTK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTSRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTRTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHIKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDNQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSLNPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAVCDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTNKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGEGDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFIWNTRDKQLIWHK SKPVKPTNKLVVISDASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKMNIADVMTKPLPIKTFKLLTNKWIH YDR261W-A MESQQLHQNPHSLHGSAAASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAKTK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTSRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YDR261C-D MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIQQCGMEEVRGWSCVFKNSQVTICLFVDDMVLFSKNL NSNKRIIEKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKHKTSSDKNI YDR261C-C MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YDR262W MIFNLPVSVLLYFSLIWAMEPSFVRGKNVVNLITFKDSNGKLHK RLAPEEIPPRLHNSQVNSYPLGYKGMRDFSRPAVNLDDILGTQQRKQQEFLAELSPLS LESKLSLVNEVQIFASYVRNDVETYNKVSDPNEDLIIIAPTNRAVSQLTLKPWQFPNN IDKLESDGATEKELDTAIQENISKFVRSHIVVYNDDKNSYKKVSPGCTLLQSIDFTES KKSDSETGGDILLKKEGEVYYVASSRDEKFHAVESIENGSNGVILMVDFTLVGP YDR263C MGIPGLLPQLKRIQKQVSLKKYMYQTLAIDGYAWLHRASCACAF ELVMNKPTNKYLQFFIKRLQLLKRLKIKPYIVFDGDSLFVKNHTETRRRKKRLENEMI AKKLWSAGNRYNAMEYFQKSVDITPEMAKCIIDYCKLHSIPYIVAPFEADPQMVYLEK MGLIQGIISEDSDLLVFGCKTLITKLNDQGKALEISKDDFSALPENFPLGELSEQQFR NLVCLAGCDYTSGIWKVGVVTAMKIVKRYSEMKDILIQIERTEKLCFSKAFKQQVEFA NYAFQYQRVFCPLSNQITTLNNIPKAVTNSHAEIIKIMKCIGSVVERGSGVRKDVINT KNIDHKVHEMIAKGELHPVDMASKLINRERKLKARKLFKVGLLGGESNSFNKKVEQPL VDTQDVLSERENSLDNKNASSIYMTSPAAISGTVPSIF YDR264C MVNELENVPRASTLTNEEQTVDPSNNDSQEDISLGDSNEITSLA SLKAIRSGNEEESGNEQVNHNDEAEEDPLLTRYHTACQRGDLATVKEMIHGKLLEVNN DGDSTEHITGLHWASINNRLSVVDFLVSQGADVNARAGALHATPLHWAARYGYVYIVD FLLKHGADPTMTDDQGFNLLHLSVNSSNIMLVLYVLFNVVSKGLLDIDCRDPKGRTSL LWAAYQGDSLTVAELLKFGASIKIADTEGFTPLHWGTVKGQPHVLKYLIQDGADFFQK TDTGKDCFAIAQEMNTVYSLREALTHSGFDYHGYPIKKWFKKSQHAKLVTFITPFLFL GIAFALFSHINPLFVIIVLFLLAIATNKGLNKFVLPSYGRMGVHNVTLLRSPLLSGVF FGTLLWVTIVWFFKVMPRTFSDEQYTNILMLVILVSVFYLFGQLVIMDPGCLPEETDH ENVRQTISNLLEIGKFDTKNFCIETWIRKPLRSKFSPLNNAVVARFDHYCPWIFNDVG LKNHKAFIFFITLMESGIFTFLALCLEYFDELEDAHEDTSQKNGKCFILGASDLCSGL IYDRFVFLILLWALLQSIWVASLIFVQAFQICKGMTNTEFNVLMKESKSIGPDGLSFN ENFNTTPEGFAPSIDPGEESNDTVLAPVPGSTIRKPRTCFGVCYAVTGMDQWLAVIKE TIGIKDSTGHNVYSITSRIPTNYGWKRNVKDFWLTSDINAPLWRRILYPPSGSKALLN GIEVDYFKLYKLPNKDVEQGNDMV YDR265W MKNDNKLQKEALMRLSQLRFPFADAPSIVQAHQKDEQIQGLLIM KVTELCKLIKSQLFVNSYPKELSIFAKLLYLLFTTGRRGRTLGEEYVDLTYTNRKGTR LAGRLKMIVFAFAYPLCPYFITKLYKKIMKNNKESKIEDTESVAAFCKGLLDFILDVH MTLFYFKGAFYSISKRIFGMRYVFKHILSKNEANFREEGSQKYKVLGYILLAQNVMKW YPVLTSTLGSWIYGRKRTNDSITRSSVGLQERSEHESIEGIPKESQLTHINLSDKNQL PFIPEASRKCILCLMNMSDPSCAPCGHLFCWSCLMSWCKERPECPLCRQHCQPQEILV LRQ YDR266C MSESVKENVTPTRNFRRTQGPQNNTKPHNDRKNFRRKQKKNNLS AEPNLTTSSADDTDEENELCVICARKLTYVSLTPCHHKTCHICGFRQRALYNKKSCLI CRTENEEVMFTDRIDGDISDKYNFCEKNEKYGINFTSEEVATETLNLLKFFCPLSKDE QVCDFGSFKKYNEHLKSEHNRMICLICATHKHAFPCELEIFTQNQLRNHQTKGNSEGF KGHPMCAFCSGKRFYSDDELYIHMRNQHEKCHICDKMNPASPQYFKDYNQLFDHFKHS HYVCTVQTCLDNKFVVFKDELELQAHILQEHGNILKGKPKFFQSELSTFISAPSRVIR ERDDYDLPSISSLPGSSSGSRTDVRSASSPEESRLRLAERAKYYLENSKEDFNKFSSY NEDYSKGRLSAEKLLESYKLLFTKPNADVYLLIHNLAETFPKNSSKYNNLNAIYEQRE QTLARQTSLPSLSSDSSLSMSIGRGHWGGTNDGGSAGAALGVRNIKNLPTLKSPSASY DPFATTVKKNTLRPVQNIKRTTPQSVSYRTSTNTVAFSPTYLESKKGSSSTSLNNSKD KLKSLNLPQLPPPKPKVQIPGLNRPQIADPKQWGKKSSTQDTNVHDNLRELNTTSGGN KKKGKQKQLLFHIGV YDR267C MASINLIKSLKLYKEKIWSFDFSQGILATGSTDRKIKLVSVKYD DFTLIDVLDETAHKKAIRSVAWRPHTSLLAAGSFDSTVSIWAKEESADRTFEMDLLAI IEGHENEVKGVAWSNDGYYLATCSRDKSVWIWETDESGEEYECISVLQEHSQDVKHVI WHPSEALLASSSYDDTVRIWKDYDDDWECVAVLNGHEGTVWSSDFDKTEGVFRLCSGS DDSTVRVWKYMGDDEDDQQEWVCEAILPDVHKRQVYNVAWGFNGLIASVGADGVLAVY EEVDGEWKVFAKRALCHGVYEINVVKWLELNGKTILATGGDDGIVNFWSLEKAA YDR268W MSNKQAVLKLISKRWISTVQRADFKLNSEALHSNATVFSMIQPT GCFHLGNYLGATRVWTDLCELKQPGQELIFGVADLHAITVPKPDGEMFRKFRHEAVAS ILAVGVDPEKASVIYQSAIPQHSELHWLLSTLASMGLLNRMTQWKSKSNIKQSTNGDY LVNDSDVGKVRLGLFSYPVLQAADILLYKSTHVPVGDDQSQHLELTRHLAEKFNKMYK KNFFPKPVTMLAQTKKVLSLSTPEKKMSKSDPNHDSVIFLNDEPKAIQKKIRKALTDS ISDRFYYDPVERPGVSNLINIVSGIQRKSIEDVVEDVSRFNNYRDFKDYVSEVIIEEL KGPRTEFEKYINEPTYLHSVVESGMRKAREKAAKNLADIHKIMGF YDR270W MREVILAVHGMTCSACTNTINTQLRALKGVTKCDISLVTNECQV TYDNEVTADSIKEIIEDCGFDCEILRDSEITAISTKEGLLSVQGMTCGSCVSTVTKQV EGIEGVESVVVSLVTEECHVIYEPSKTTLETAREMIEDCGFDSNIIMDGNGNADMTEK TVILKVTKAFEDESPLILSSVSERFQFLLDLGVKSIEISDDMHTLTIKYCCNELGIRD LLRHLERTGYKFTVFSNLDNTTQLRLLSKEDEIRFWKKNSIKSTLLAIICMLLYMIVP MMWPTIVQDRIFPYKETSFVRGLFYRDILGVILASYIQFSVGFYFYKAAWASLKHGSG TMDTLVCVSTTCAYTFSVFSLVHNMFHPSSTGKLPRIVFDTSIMIISYISIGKYLETL AKSQTSTALSKLIQLTPSVCSIISDVERNETKEIPIELLQVNDIVEIKPGMKIPADGI ITRGESEIDESLMTGESILVPKKTGFPVIAGSVNGPGHFYFRTTTVGEETKLANIIKV MKEAQLSKAPIQGYADYLASIFVPGILILAVLTFFIWCFILNISANPPVAFTANTKAD NFFICLQTATSVVIVACPCALGLATPTAIMVGTGVGAQNGVLIKGGEVLEKFNSITTF VFDKTGTLTTGFMVVKKFLKDSNWVGNVDEDEVLACIKATESISDHPVSKAIIRYCDG LNCNKALNAVVLESEYVLGKGIVSKCQVNGNTYDICIGNEALILEDALKKSGFINSNV DQGNTVSYVSVNGHVFGLFEINDEVKHDSYATVQYLQRNGYETYMITGDNNSAAKRVA REVGISFENVYSDVSPTGKCDLVKKIQDKEGNNKVAVVGDGINDAPALALSDLGIAIS TGTEIAIEAADIVILCGNDLNTNSLRGLANAIDISLKTFKRIKLNLFWALCYNIFMIP IAMGVLIPWGITLPPMLAGLAMAFSSVSVVLSSLMLKKWTPPDIESHGISDFKSKFSI GNFWSRLFSTRAIAGEQDIESQAGLMSNEEVL YDR272W MQVKSIKMRWESGGVNYCYLLSDSKNKKSWLIDPAEPPEVLPEL TEDEKISVEAIVNTHHHYDHADGNADILKYLKEKNPTSKVEVIGGSKDCPKVTIIPEN LKKLHLGDLEITCIRTPCHTRDSICYYVKDPTTDERCIFTGDTLFTAGCGRFFEGTGE EMDIALNNSILETVGRQNWSKTRVYPGHEYTSDNVKFVRKIYPQVGENKALDELEQFC SKHEVTAGRFTLKDEVEFNPFMRLEDPKVQKAAGDTNNSWDRAQIMDKLRAMKNRM YDR273W MGKKNRKGKENNAAKTSFLKVENIKNTNSGLELPSQDYTNVEEK ESSPKTDFPLITKEHVNTKTDSNILDYPTIGDLVSSVEKLCVLKELKIAFPEVDDTLI KAILIASQGVLEPAFNSLLYYSSPEENTDFALPMKPISVEDYSKINVSEILQREIFDD IEDEFSGQGINGSMVISKIESELSSLAEHIGNISTPGSNREVAESTRNVAVAEGHNTI LSNEDSILKGKEKGKEEEKEKGEEKGVNSLKGAAVKVVAKSLKNNRIPVTVKRNEPSN NLFDVLNCDESEEEEEQDVETNTSNQERKNQGGNTEVPEAQRDSADRLPAKDDGGYKS AFGTDSCGLFAADAKDEKKQVHPSRQELSFT YDR274C MFSCLLHSSRPTDSYCTLAAPLSQTARTRTMTFHAATAKRKTEH SGIKESNLHLSRVLLSSFCRAKGRFVSFFICYPFPFGLTRFPRVLWSIGLDKSLFTRV HFSPSFFSLLAPLQFSGIVRS YDR275W MFFFPKLRKLIGSTVIDHDTKNSSGKEEIMSNSRLALVIINHAF DKVLSLTWHCGILSEIRSGLMLMFGIFQLMCSLGVIVLLLPIIILDAIDLFLYMCRLL DYGCKLFHYNRSSLPVADGKEKTSGPISGKEEIVIDEEIINMLNESSESLINHTTAGL EYDISSGSVNKSRRLNSTSTVTFVKQNKLVNERREDAYYEEEDDDFLSNPNYDKISLI EKSFTSRFEVACEQKAA YDR276C MDSAKIINIILSLFLPPVAVFLARGWGTDCIVDIILTILAWFPG MLYALYIVLQD YDR277C MFVSPPPATSKNQVLQRRPLESTNSNHGFASSLQAIPENTMSGS DNASFQSLPLSMSSSQSTTSSRRENFVNAPPEYTDRARDEIKKRLLASSPSRRSHHSS SMHSASRRSSVAESGSLLSDNASSYQSSIFSAPSTVHTQLTNDSSFSEFPNHKLITRV SLDEALPKTFYDMYSPDILLADPSNILCNGRPKFTKRELLDWDLNDIRSLLIVEKLRP EWGNQLPEVITVGDNMPQFRLQLLPLYSSDETIIATLVHSDLYMEANLDYEFKLTSAK YTVATARKRHEHITGRNEAVMNLSKPEWRNIIENYLLNIAVEAQCRFDFKQRCSEYKK WKLQQSNLKRPDMPPPSIIPRKNSTETKSLLKKALLKNIQLKNPNNNLDELMMRSSAA TNQQGKNKVSLSKEEKATIWSQCQAQVYQRLGLDWQPDSVS YDR278C MNVSFRSNYHQGTIRCSVTAITSRSHRGDRGSTPRFGVSFLFSR KIHMLIHLPLLFHCDATSHILLISIFFLLLFALPQHTMGINSTSCCVHFSSSYNYNVH KLA YDR279W MTVSNIGGEERLIILPDDYETSKTINTFTLPPPSNITSKPRIEL FENINGKLYEIRSFQFGKGPSYSHEEDLANDKYHYTKENHPIKSTFIVNTSDPTDGYV FNSSKIHFCSLYDIAFSLIGFYYRNSVSADEQDYSNSSDTGENQKSNSKTNEKFLTVR DYHDFLTDNHDKNWENISLSRLKSGLAKVSETIEEAGDVYYKITSAMITQFLLGKVSK IVENFPPSIPTLKNAPTEIKQCYKVVMATNLLVSLIPRAAYHNLLTFSPTMDSGCLNP DIKASFIELENYETTNELQNAERELLMKSAMNVGLNSNGRVSLPVKKVTKKIVQNKKP KVAIGKGAIDGFFKRK YDR280W MAKDIEISASESKFILEALRQNYRLDGRSFDQFRDVEITFGKEF GDVSVKMGNTKVHCRISCQIAQPYEDRPFEGLFVISTEISPMAGSQFENGNITGEDEV LCSRIIEKSVRRSGALDVEGLCIVAGSKCWAVRADVHFLDCDGGFIDASCIAVMAGLM HFKKPDITVHGEQIIVHPVNEREPVPLGILHIPICVTFSFFNPQDTEENIKGETNSEI SIIDATLKEELLRDGVLTVTLNKNREVVQVSKAGGLPMDALTLMKCCHEAYSIIEKIT DQILQLLKEDSEKRNKYAAMLTSENAREI YDR281C MEDTSRCIDDVLKIGQQEKEIRQAEFSDAQGEREEVKCIDYTVD LEAGLPRHESSGKSNTLKQCYNAVLGFLEELIIVIIIVLLLYSLTMVGLFYVMTMTKF LF YDR282C MLSFRSLTSTFGFVSRFQIRRLGTSLSIQNLEVQDGRWKGKLAT EKKTNREHKSVDTNIKTMKMLKNPKNSTRYLRRSFVPNHRKQENGRDILEDSLSKDHL KVKSCITITTGEGYDLKRCMKLLTMQGLQPTNLIPDEIVSFSYQDNGNKGDVMILGQN GSIVSWGFSESSVRNCIVPIVKAASLNPLNGEDFETEDMDYVEIEGEQDFDKLSSLDN KVTPRIACESFLSGDLIIINSLDSDQGMLDKAAFSSGLSRSTNLAVLEEAMEKHISKT RTITENISKGTKLNLRSSDALKSIGRLFLIRGKLNLYSELIETPDLYWSEPQLEEIFK NVSRYLDIGPRINILNSKLDYSTDECRALISLLNERNSTFLEWIIIYLIAFELCFEIY HFYQKYSSYCSEPTNDDLDATK YDR283C MSLSHLTLDQYYEIQCNELEAIRSIYMDDFTDLTKRKSSWDKQP QIIFEITLRSVDKEPVESSITLHFAMTPMYPYTAPEIEFKNVQNVMDSQLQMLKSEFK KIHNTSRGQEIIFEITSFTQEKLDEFQNVVNTQSLEDDRLQRIKETKEQLEKEEREKQ QETIKKRSDEQRRIDEIVQRELEKRQDDDDDLLFNRTTQLDLQPPSEWVASGEAIVFS KTIKAKLPNNSMFKFKAVVNPKPIKLTSDIFSFSKQFLVKPYIPPESPLADFLMSSEM MENFYYLLSEIELDNSYFNTSNGKKEIANLEKELETVLKAKHDNVNRLFGYTVERMGR NNATFVWKIRLLTEYCNYYPLGDLIQSVGFVNLATARIWMIRLLEGLEAIHKLGIVHK CINLETVILVKDADFGSTIPKLVHSTYGYTVLNMLSRYPNKNGSSVELSPSTWIAPEL LKFNNAKPQRLTDIWQLGVLFIQIISGSDIVMNFETPQEFLDSTSMDETLYDLLSKML NNDPKKRLGTLELLPMKFLRTNIDSTINRFNLVSESVNSNSLELTPGDTITVRGNGGR TLSQSSIRRRSFNVGSRFSSINPATRSRYASDFEEIAVLGQGAFGQVVKARNALDSRY YAIKKIRHTEEKLSTILSEVMLLASLNHQYVVRYYAAWLEEDSMDENVFESTDEESDL SESSSDFEENDLLDQSSIFKNRTNHDLDNSNWDFISGSGYPDIVFENSSRDDENEDLD HDTSSTSSSESQDDTDKESKSIQNVPRRRNFVKPMTAVKKKSTLFIQMEYCENRTLYD LIHSENLNQQRDEYWRLFRQILEALSYIHSQGIIHRDLKPMNIFIDESRNVKIGDFGL AKNVHRSLDILKLDSQNLPGSSDNLTSAIGTAMYVATEVLDGTGHYNEKIDMYSLGII FFEMIYPFSTGMERVNILKKLRSVSIEFPPDFDDNKMKVEKKIIRLLIDHDPNKRPGA RTLLNSGWLPVKHQDEVIKEALKSLSNPSSPWQQQVRESLFNQSYSLTNDILFDNSVP TSTPFANILRSQMTEEVVKIFRKHGGIENNAPPRIFPKAPIYGTQNVYEVLDKGGTVL QLQYDLTYPMARYLSKNPSLISKQYRMQHVYRPPDHSRSSLEPRKFGEIDFDIISKSS SESGFYDAESLKIIDEILTVFPVFEKTNTFFILNHADILESVFNFTNIDKAQRPLVSR MLSQVGFARSFKEVKNELKAQLNISSTALNDLELFDFRLDFEAAKKRLYKLMIDSPHL KKIEDSLSHISKVLSYLKPLEVARNVVISPLSNYNSAFYKGGIMFHAVYDDGSSRNMI AAGGRYDTLISFFARPSGKKSSNTRKAVGFNLAWETIFGIAQNYFKLASGNRIKKRNR FLKDTAVDWKPSRCDVLISSFSNSLLDTIGVTILNTLWKQNIKADMLRDCSSVDDVVT GAQQDGIDWILLIKQQAYPLTNHKRKYKPLKIKKLSTNVDIDLDLDEFLTLYQQETGN KSLINDSLTLGDKADEFKRWDENSSAGSSQEGDIDDVVAGSTNNQKVIYVPNMATRSK KANKREKWVYEDAARNSSNMILHNLSNAPIITVDALRDETLEIISITSLAQKEEWLRK VFGSGNNSTPRSFATSIYNNLSKEAHKGNRWAILYCHKTGKSSVIDLQR YDR284C MNRVSFIKTPFNIGAKWRLEDVFLLIIMILLNYPVYYQQPFERQ FYINDLTISHPYATTERVNNNMLFVYSFVVPSLTILIIGSILADRRHLIFILYTSLLG LSLAWFSTSFFTNFIKNWIGRLRPDFLDRCQPVEGLPLDTLFTAKDVCTTKNHERLLD GFRTTPSGHSSESFAGLGYLYFWLCGQLLTESPLMPLWRKMVAFLPLLGAALIALSRT QDYRHHFVDVILGSMLGYIMAHFFYRRIFPPIDDPLPFKPLMDDSDVTLEEAVTHQRI PDEELHPLSDEGM YDR285W MSNFFRDSSMGFKPRPNIFAKLRVRDVDSDSSANTVVENSSNCL DVGSSIEGDDTFKKPHKTSTEQELITSMSLSQRNHGYSDDMEIGSPKKTTSTDQYNRI LKNDVAAIENDTDEDFEITEVREVSEGVAKETKESHGDPNDSETTLKDSKMHEYTMTN GKAPLHTSINNSSTSSNDVLLEAFTNTQRICSNLKQELQKQQQDNAKLKVRLQSYASN SDKINEKVGKYKSCLETLQERIATLTSHKNNQETKLKDLRQNHQLYQRRISGFKTSIE NLNKTINDLGKNKKEADAELMKKGKEIEYLKRELDDCSGQLSEEKIKNSSLIQEMGKN REEMIKSIENFFSEDKAHHLLQFNKFEERVHDLFEKKLQKHFDVAKDTLNVGLRNTTV ELSSNTETMLKQQYEDIKENLEQKMSSSKDEMAKTINELSVTQKGLIMGVQEELLTSS GNIQTALVSEMNNTRQELLDDASQTAKNYASLENLVKAYKAEIVQSNEYEERIKHLES ERSTLSSQKNQIISSLGTKEAQYEDLVKKLEAKNIEISQISGKEQSLTEKNENLSNEL KKVQDQLEKLNNLNITTKSNYENKISSQNEIVKALVSENDTLKQRIQQLVEIKENEQK DHTTKLEAFQKNNEQLQKLNVEVVQLKAHELELEEQNRHLKNCLEKKETGVEESLSDV KTLKQQVIVLKSEKQDITAEKLELQDNLESLEEVTKNLQQKVQSQKRELEQKIKELEE IKNHKRNEPSKKGTQNFTKPSDSPKKNATTSNLFPNNSAAIHSPMKKCPKVDHISKSR INSSKETSKFNDEFDLSSSSNDDLELTNPSPIQIKPVRGKIKKGSNCMKPPISSRKKL LLVEDEDQSLKISKKRRRK YDR286C MLRAFRCSIHTSRVLLHDAGVKLTFFSKPNCGLCDQAKEVIDDV FERKEFHNKAVSLEIVNITDRRNAKWWKEYCFDIPVLHIEKVGDPKSCTKILHFLEED DISDKIRRMQSR YDR287W MVLTRQVLEEVENTFIELLRSKIGPLVKSHAGTNFCSYDDKANG VDLVTALDKQIESIIKENLTAKYPSFKFIGEETYVKGVTKITNGPTFIVDPIDGTTNF IHGYPYSCTSLGLAEMGKPVVGVVFNPHLNQLFHASKGNGAFLNDQEIKVSKRPLILQ KSLIALEGGSERTEGSQGNFDKKMNTYKNLLSESGAFVHGFRSAGSAAMNICYVASGM LDAYWEGGCWAWDVCAGWCILEEAGGIMVGGNCGEWNIPLDRRCYLAIRGGCESMEQK RFAESFWPHVAGELEY YDR288W MSSIDNDSDVDLTEDLAVAKIVKENPVARKMVRYILSRGESQNS IITRNKLQSVIHEAAREENIAKPSFSKMFMDINAILYNVYGFELQGLPSKNNMNAGGN GSNSNTNKSMPEPLGHRAQKFILLNNVPHSKNFDDFKILQSAHTYEELIVTGEYIGDD IASGTSNTLESKLSTDRDLVYKGVLSVILCIVFFSKNNILHQELIKFLETFGIPSDGS KIAILNITIEDLIKSLEKREYIVRLEEKSDTDGEVISYRIGRRTQAELGLESLEKLVQ EIMGLEKEQTKSLHDDIIKSIGDSYSI YDR289C MPFSSEQFTTKLNTLEDSQESISSASKWLLLQYRDAPKVAEMWK EYMLRPSVNTRRKLLGLYLMNHVVQQAKGQKIIQFQDSFGKVAAEVLGRINQEFPRDL KKKLSRVVNILKERNIFSKQVVNDIERSLKTESSPVEALVLPQKLKDFAKDYEKLVKM HHNVCAMKMRFDKSSDELDPSSSVYEENFKTISKIGNMAKDIINESILKRESGIHKLQ STLDDEKRHLDEEQNMLSEIEFVLSAKDPSRLNKNVDEDNIIPTYEVGDGDDDDDDGD NDDDDDDDDDDKNYDDRSNDSNYGVTNISTTDKKNEVVEKTDSEHKNSTHNPSDNQFG MKRTHDMIGHDDANDIPEKKVHLDSKTSEDGTFNSEDGHYELDIEGHVGAQTDEGVEN SGGVSSSIQDLLSKLAN YDR291W MEEGPIKKKLKSAGQGSGKTDAFRNFEQFFFRLNTLYTFLICRK HVVPTFKTLCGPIETALKRTVTKEDLAMVMALMPRECVFKYIDENQIYTETKIFDFNN GGFQQKENDIFELKDVDDQNQTQKSTQLLIFEFIDGTMQRSWSASDRFSQIKIPTYTT EEMKKMISKREALFKSRLREFILEKEKANLDPFSELTNLAQKYIPRERDYEDPIEAMM KAKQESNEMSIPNYSNNSVITTIPQMIEKLKSTEFYASQIKHCFTIPSRTAKYKGLCF ELAPEVYQGMEHENFYSHQADAINSLHQGENVIITTSTSSGKSLIYQLAAIDLLLKDP ESTFMYIFPTKALAQDQKRAFKVILSKIPELKNAVVDTYDGDTEPEERAYIRKNARVI FTNPDMIHTSILPNHANWRHFLYHLKLVVVDELHIYKGLFGSHVALVMRRLLRLCHCF YENSGLQFISCSATLKSPVQHMKDMFGINEVTLIHEDGSPTGAKHLVVWNPPILPQHE RKRENFIRESAKILVQLILNNVRTIAFCYVRRVCELLMKEVRNIFIETGREDLVTEVM SYRGGYSASDRRKIEREMFHGNLKAVISTNALELGIDIGGLDAVLMCGFPLSMANFHQ QSGRAGRRNNDSLTLVVASDSPVDQHYVAHPESLLEVNNFESYQDLVLDFNNILILEG HIQCAAFELPINFERDKQYFTESHLRKICVERLHHNQDGYHASNRFLPWPSKCVSLRG GEEDQFAVVDITNGRNIIIEEIEASRTSFTLYDGGIFIHQGYPYLVKEFNPDERYAKV QRVDVDWVTNQRDFTDVDPQEIELIRSLRNSDVPVYFGKIKTTIIVFGFFKVDKYKRI IDAIETHNPPVIINSKGLWIDMPKYALEICQKKQLNVAGAIHGAQHAIMGMLPRFIVA GVDEIQTECKAPEKEFAERQTKRKRPARLIFYDSKGGKYGSGLCVKAFEHIDDIIESS LRRIEECPCSDGCPDCVAASFCKENSLVLSKPGAQVVLHCILGHSEDSFIDLIKDGPE PNMPEIKVETVIPVSEHVNFSDDFKIIDVRRATKDDTHTNEIIKKEI YDR292C MFDQLAVFTPQGQVLYQYNCLGKKFSEIQINSFISQLITSPVTR KESVANANTDGFDFNLLTINSEHKNSPSFNALFYLNKQPELYFVVTFAEQTLELNQET QQTLALVLKLWNSLHLSESILKNRQGQNEKNKHNYVDILQGIEDDLKKFEQYFRIKYE ESIKQDHINPDNFTKNGSVPQSHNKNTKKKLRDTKGKKQSTGNVGSGRKWGRDGGMLD EMNHEDAAKLDFSSSNSHNSSQVALDSTINKDSFGDRTEGGDFLIKEIDDLLSSHKDE ITSGNEAKNSGYVSTAFGFLQKHVLGNKTINESDLKSVLEKLTQQLITKNVAPEAADY LTQQVSHDLVGSKTANWTSVENTARESLTKALTQILTPGVSVDLLREIQSKRSKKDEE GKCDPYVFSIVGVNGVGKSTNLSKLAFWLLQNNFKVLIVACDTFRSGAVEQLRVHVEN LAQLMDDSHVRGSKNKRGKTGNDYVELFEAGYGGSDLVTKIAKQAIKYSRDQNFDIVL MDTAGRRHNDPTLMSPLKSFADQAKPDKIIMVGEALVGTDSVQQAKNFNDAFGKGRNL DFFIISKCDTVGEMLGTMVNMVYATGIPILFVGVGQTYTDLRTLSVKWAVNTLMS YDR293C MSKNSNVNNNRSQEPNNMFVQTTGGGKNAPKQIHVAHRRSQSEL TNLMIEQFTLQKQLEQVQAQQQQLMAQQQQLAQQTGQYLSGNSGSNNHFTPQPPHPHY NSNGNSPGMSAGGSRSRTHSRNNSGYYHNSYDNNNNSNNPGSNSHRKTSSQSSIYGHS RRHSLGLNEAKKAAAEEQAKRISGGEAGVTVKIDSVQADSGSNSTTEQSDFKFPPPPN AHQGHRRATSNLSPPSFKFPPNSHGDNDDEFIATSSTHRRSKTRNNEYSPGINSNWRN QSQQPQQQLSPFRHRGSNSRDYNSFNTLEPPAIFQQGHKHRASNSSVHSFSSQGNNNG GGRKSLFAPYLPQANIPELIQEGRLVAGILRVNKKNRSDAWVSTDGALDADIYICGSK DRNRALEGDLVAVELLVVDDVWESKKEKEEKKRRKDASMQHDLIPLNSSDDYHNDASV TAATSNNFLSSPSSSDSLSKDDLSVRRKRSSTINNDSDSLSSPTKSGVRRRSSLKQRP TQKKNDDVEVEGQSLLLVEEEEINDKYKPLYAGHVVAVLDRIPGQLFSGTLGLLRPSQ QANSDNNKPPQSPKIAWFKPTDKKVPLIAIPTELAPKDFVENADKYSEKLFVASIKRW PITSLHPFGILVSELGDIHDPDTEIDSILRDNNFLSNEYLDQKNPQKEKPSFQPLPLT AESLEYRRNFTDTNEYNIFAISELGWVSEFALHVRNNGNGTLELGCHVVDVTSHIEEG SSVDRRARKRSSAVFMPQKLVNLLPQSFNDELSLAPGKESATLSVVYTLDSSTLRIKS TWVGESTISPSNILSLEQLDEKLSTGSPTSYLSTVQEIARSFYARRINDPEATLLPTL SLLESLDDEKVKVDLNILDRTLGFVVINEIKRKVNSTVAEKIYTKLGDLALLRRQMQP IATKMASFRKKIQNFGYNFDTNTADELIKGVLKIKDDDVRVGIEILLFKTMPRARYFI AGKVDPDQYGHYALNLPIYTHFTAPMRRYADHVVHRQLKAVIHDTPYTEDMEALKITS EYCNFKKDCAYQAQEQAIHLLLCKTINDMGNTTGQLLTMATVLQVYESSFDVFIPEFG IEKRVHGDQLPLIKAEFDGTNRVLELHWQPGVDSATFIPADEKNPKSYRNSIKNKFRS TAAEIANIELDKEAESEPLISDPLSKELSDLHLTVPNLRLPSASDNKQNALEKFISTT ETRIENDNYIQEIHELQKIPILLRAEVGMALPCLTVRALNPFMKRV YDR294C MSGVSNKTVSINGWYGMPIHLLREEGDFAQFMILTINELKIAIH GYLRNTPWYNMLKDYLFVIFCYKLISNFFYLLKVYGPVRLAVRTYEHSSRRLFRWLLD SPFLRGTVEKEVTKVKQSIEDELIRSDSQLMNFPQLPSNGIPQDDVIEELNKLNDLIP HTQWKEGKVSGAVYHGGDDLIHLQTIAYEKYCVANQLHPDVFPAVRKMESEVVSMVLR MFNAPSDTGCGTTTSGGTESLLLACLSAKMYALHHRGITEPEIIAPVTAHAGFDKAAY YFGMKLRHVELDPTTYQVDLGKVKKFINKNTILLVGSAPNFPHGIADDIEGLGKIAQK YKLPLHVDSCLGSFIVSFMEKAGYKNLPLLDFRVPGVTSISCDTHKYGFAPKGSSVIM YRNSDLRMHQYYVNPAWTGGLYGSPTLAGSRPGAIVVGCWATMVNMGENGYIESCQEI VGAAMKFKKYIQENIPDLNIMGNPRYSVISFSSKTLNIHELSDRLSKKGWHFNALQKP VALHMAFTRLSAHVVDEICDILRTTVQELKSESNSKPSPDGTSALYGVAGSVKTAGVA DKLIVGFLDALYKLGPGEDTATK YDR295C MSRKNSKKLKVYYLPVTLTQFQKDLSEILISLHAKSFKASIIGE PQADAVNKPSGLPAGPETHPYPTLSQRQLTYIFDSNIRAIANHPSLLVDHYMPRQLLR MEPTESSIAGSHKFQVLNQLINSICFRDREGSPNEVIKCAIIAHSIKELDLLEGLILG KKFRTKRLSGTSLYNEKHKFPNLPTVDSTINKDGTPNSVSSTSSNSNSTSYTGYSKDD YDYSVKRNLKKRKINTDDWLFLATTKHLKHDQYLLANYDIDMIISFDPMLEVELPALQ VLRNNANKDIPIIKLLVQNSPDHYLLDSEIKNSSVKSSHLSNNGHVDDSQEYEEIKSS LLYFLQARNAPVNNCEIDYIKLVKCCLEGKDCNNILPVLDLITLDEASKDSSDSGFWQ PQLTKLQYSSTELPLWDGPLDIKTYQTELMHRAVIRLRDIQDEYAKGTVPLYEKRLNE TQRQNQLDEIKNSVGLTFKKKQEVEKSINDSEKRLKHAMTESTKLQNKINHLLKNRQE LENFNKLPSNTISSENHLEEGSALADKLKEYIDKNATLFNKLKELQQANAEKSKLNDE LRSKYQIESSKAAESAQTLKILQESMKSLENEVNGPLTKFSTESLKKELERLQNDFQS LKARNKFLKNYITLMNRQYDLKNKNNVQVEKAAANGTRFRSTRSNTPNYT YDR296W MKVNHSISRFRPASWFEKTKIIPPQVYIFRNLEYGQVLYSQFPN FSQTQVDKLFVRPNWSNRKPSLRRDIWKCMCVVNLQNYKQSVHLYQNLCRLRYLRDVA QRKESDKLRKKDSNGHVWYSGQYRPTYCQEAVADLRESLLKVFENATPAEKQTVPAKK PSIYWEDPWRMGDKDKHWNYDVFNALGLEHKLIQRVGNIAREESVILKELAKLESHPT EQTEVSSQ YDR297W MNVTSNATAAGSFPLAFGLKTSFGFMHYAKAPAINLRPKESLLP EMSDGVLALVAPVVAYWALSGIFHVIDTFHLAEKYRIHPSEEVAKRNKASRMHVFLEV ILQHIIQTIVGLIFMHFEPIYMTGFEENAMWKLRADLPRIIPDAAIYYGYMYGMSALK IFAGFLFVDTWQYFLHRLMHMNKTLYKWFHSVHHELYVPYAYGALFNNPVEGFLLDTL GTGIAMTLTHLTHREQIILFTFATMKTVDDHCGYALPLDPFQWLFPNNAVYHDIHHQQ FGIKTNFAQPFFTFWDNLFQTNFKGFEEYQKKQRRVTIDKYKEFLQERELEKKEKLKN FKAMNAAENEVKKEK YDR298C MFNRVFTRSFASSLRAAASKAAAPPPVRLFGVEGTYATALYQAA AKNSSIDAAFQSLQKVESTVKKNPKLGHLLLNPALSLKDRNSVIDAIVETHKNLDGYV VNLLKVLSENNRLGCFEKIASDFGVLNDAHNGLLKGTVTSAEPLDPKSFKRIEKALSA SKLVGQGKSLKLENVVKPEIKGGLIVELGDKTVDLSISTKIQKLNKVLEDSI YDR299W MEKSLADQISDIAIKPVNKDFDIEDEENASLFQHNEKNGESDLS DYGNSNTEETKKAHYLEVEKSKLRAEKGLELNDPKYTGVKGSRQALYEEVSENEDEEE EEEEEEEKEEDALSFRTDSEDEEVEIDEEESDADGGETEEAQQKRHALSKLIQQETKQ AINKLSQSVQRDASKGYSILQQTKLFDNIIDLRIKLQKAVIAANKLPLTTESWEEAKM DDSEETKRLLKENEKLFNNLFNRLINFRIKFQLGDHITQNEEVAKHKLSKKRSLKELY QETNSLDSELKEYRTAVLNKWSTKVSSASGNAALSSNKFKAINLPADVQVENQLSDMS RLMKRTKLNRRNITPLYFQKDCANGRLPELISPVVKDSVDDNENSDDGLDIPKNYDPR RKDNNAIDITENPYVFDDEDFYRVLLNDLIDKKISNAHNSESAAITITSTNARSNNKL KKNIDTKASKGRKLNYSVQDPIANYEAPITSGYKWSDDQIDEFFAGLLGQRVNFNENE DEEQHARIENDEELEAVKNDDIQIFG YDR300C MKDANESKSYTIVIKLGSSSLVDEKTKEPKLAIMSLIVETVVKL RRMGHKVIIVSSGGIAVGLRTMRMNKRPKHLAEVQAIAAIGQGRLIGRWDLLFSQFDQ RIAQILLTRNDILDWTQYKNAQNTINELLNMGVIPIVNENDTLSVREIKFGDNDTLSA ITSALIHADYLFLLTDVDCLYTDNPRTNPDAMPILVVPDLSKGLPGVNTAGGSGSDVG TGGMETKLVAADLATNAGVHTLIMKSDTPANIGRIVEYMQTLELDDENKVKQAYNGDL TDLQKREFEKLKALNVPLHTKFIANDNKHHLKNREFWILHGLVSKGAVVIDQGAYAAL TRKNKAGLLPAGVIDVQGTFHELECVDIKVGKKLPDGTLDPDFPLQTVGKARCNYTSS ELTKIKGLHSDQIEEELGYNDSEYVAHRENLAFPPR YDR301W MNVYDDVLDATVVSHSLATHFTTSDYEELLVVRTNILSVYRPTR DGKLYLTDEFKFHGLITDIGLIPQKDSPLSCLLLCTGVAKISILKFNTLTNSIDTLSL HYYEGKFKGKSLVELAKISTLRMDPGSSCALLFNNDIIAFLPFHVNKNDDDEEEEDED ENIDDSELIHSMNQKSQGTNTFNKRKRTKLGDKFTAPSVVLVASELYEGAKNIIDIQF LKNFTKPTIALLYQPKLVWAGNTTISKLPTQYVILTLNIQPAESATKIESTTIAFVKE LPWDLHTIVPVSNGAIIVGTNELAFLDNTGVLQSTVLLNSFADKELQKTKIINNSSLE IMFREKNTTSIWIPSSKSKNGGSNNDETLLLMDLKSNIYYIQMEAEGRLLIKFDIFKL PIVNDLLKENSNPKCITRLNATNSNKNMDLFIGFGSGNALVLRLNNLKSTIETREAHN PSSGTNSLMDINDDDDEEMDDLYADEAPENGLTTNDSKGTVETVQPFDIELLSSLRNV GPITSLTVGKVSSIDDVVKGLPNPNKNEYSLVATSGNGSGSHLTVIQTSVQPEIELAL KFISITQIWNLKIKGRDRYLITTDSTKSRSDIYESDNNFKLHKGGRLRRDATTVYISM FGEEKRIIQVTTNHLYLYDTHFRRLTTIKFDYEVIHVSVMDPYILVTVSRGDIKIFEL EEKNKRKLLKVDLPEILNEMVITSGLILKSNMCNEFLIGLSKSQEEQLLFTFVTADNQ IIFFTKDHNDRIFQLNGVDQLNESLYISTYQLGDEIVPDPSIKQVMINKLGHDNKEEY LTILTFGGEIYQYRKLPQRRSRFYRNVTRNDLAITGAPDNAYAKGVSSIERIMHYFPD YNGYSVIFVTGSVPYILIKEDDSTPKIFKFGNIPLVSVTPWSERSVMCVDDIKNARVY TLTTDNMYYGNKLPLKQIKISNVLDDYKTLQKLVYHERAQLFLVSYCKRVPYEALGED GEKVIGYDENVPHAEGFQSGILLINPKSWKVIDKIDFPKNSVVNEMRSSMIQINSKTK RKREYIIAGVANATTEDTPPTGAFHIYDVIEVVPEPGKPDTNYKLKEIFQEEVSGTVS TVCEVSGRFMISQSQKVLVRDIQEDNSVIPVAFLDIPVFVTDSKSFGNLLIIGDAMQG FQFIGFDAEPYRMISLGRSMSKFQTMSLEFLVNGGDMYFAATDADRNVHVLKYAPDEP NSLSGQRLVHCSSFTLHSTNSCMMLLPRNEEFGSPQVPSFQNVGGQVDGSVFKIVPLS EEKYRRLYVIQQQIIDRELQLGGLNPRMERLANDFYQMGHSMRPMLDFNVIRRFCGLA IDRRKSIAQKAGRHAHFEAWRDIINIEFSMRSLCQGK YDR302W MPAKKRTRKTVKKTVSFSDDTTLTTHQNREKKNVDHDRPPVYVR KTPLMTFPYHLVALLYYYVFVSSNFNTVKLLSFLIPTQVAYLVLQFNKCTVYGNKIIK INYSLTIICLGVTFLLSFPTMLLTILFGAPLMDLLWETWLLSLHFAFLAYPAVYSVFN CDFKVGLWKKYFIFIVVGGWISCVVIPLDWDRDWQNWPIPIVVGGYLGALVGYTIGAY I YDR303C MDIRGRKMKKPPACVQCRKRKIGCDRVKPICGNCMKHNKMDCFY PDVPGQYVPSSSSSSNTRQVANGPYLNSYYASRRVSKETAALLQKNPELASLEQIREY NTRLQLLNAQNQLNNRSSAANATLNQQHTQYIPKSVPSLESKPVTSANESSTPLNWVQ GPAIFHMLTSPYTQDEIINHEMNFLKGRLLELQEITGKKITGVNLDLKQDSSAQMQSS HSNRNQEEFLTIKKRKLSEDGVTDGDGKPIPESERRPHLNEFKDLDPQFLDTNKVFNV FNSAISEEGRNRLWLLPKNINKSSIFQIQYLIERDPFLFKFFNDLNILIETQFNGPLH DLVASRNSIERNSGISQILKFPSQSITQTLINKYLSTITETNSILPILKPKRLLPIVE QLFPSNTINKPNSKDFETIFQVFSVTNDQLLNLGFITLCLLILFESLNSTVLIPLRDD EHLQLFNVLFNYLPLLKSNLTTLRFEIEKRSMCNIETLRFISLWKYYQFVMDTSSSSS FVIDYDEDMHMACLLSLNHETQNQSHILTWNFIFKNYCWRHLFLGQLPLLMSEPFTNS TPIIDPLLNNDFELIDFEVNLMKYLQSKDQQLSIDKIIQLIKLLKNKNIEVSQGCLTT PSIINNIMDSLIYRNSMLYLNFYLLLQFETLKNYAKFNEILEDFLELSRETLFFVFSN LANIKFAGHEFTFINKSIVVLQTLVLMLLALYQRSFDSSKRTNDANEISEQTDIHSNN DNSKRIKNKNVIHLIINKIAMLLSDYTKNCKKQNKLIENLIIKIKTISKYIKNLEENK VTTSADSNYSINNGFSGISAEQLIKLNHELSKISESLIKTDFYEQRKNSTVSNGVLGA AAPVDSDANSDTFGLTKENFNEVFEAIRS YDR304C MKLQFFSFITLFACLFTTAIFAKEDTAEDPEITHKVYFDINHGD KQIGRIVMGLYGLTTPQTVENFYQLTISRDPKMGYLNSIFHRVIPNFMIQGGDFTHRS GIGGKSIFGNTFKDENFDVKHDKPGRLSMANRGKNTNGSQFFITTVPCPWLDGKHVVF GEVLDGMDVVHYIENVKTDSRNMPVKEVIIVESGELETVPLDNKDAAKLQEEIKAEAS EAAHDEL YDR305C MILSKTKKPKSMNKPIYFSKFLVTEQVFYKSKYTYALVNLKPIV PGHVLIVPLRTTVLNLSDLTMPESQDYFKTLQLIHRFIKWQYKADSINVAIQDGPEAG QSVPHLHTHIIPRYKINNVGDLIYDKLDHWDGNGTLTDWQGRRDEYLGVGGRQARKNN STSATVDGDELSQGPNVLKPDSQRKVRALTEMKKEAEDLQARLEEFVSSDPGLTQWL YDR306C MANKSRPKKIKAPYRKYVAGEGFSSTRNDNKAKEFTITIPEDAE LIETPQGSYYYDETNDTIVKLTRLSNEKKDKKGRKQSPSSSSTSSSKGEKNGKVIESE EARMHSVSVKMVLPWEIQHRIIHYLDIPEKEEKLNKTANGKKTTTGINMNYLLVCRNW YAMCLPKLYYAPALTSKNFNGFVDTIIINKKKNLGHYVFELNLSTILQSGRNSFVSKL LRRCCSNLTKFIAPQTSFGYAPLISLKSCHDLKFLDLGLVSETVKLKELFSAIKNFTK LTHLSFPRSSIDCQGFQDIQWPQNLRYLKLSGGITNEFVIDTKWPTTITTLEFSYCPQ ITELSIYSLLSQIGDNLKHLFFHYPMPSLAENSLDHVFTYCANLISLQLMVDYCSKWC FSEFMLSKLVEYDRPLKTLYLECSGSLGLASKIHPDDLTIAILESRLPCLKNICVSPK LGWNMKSDEVADLVVSLEDQDGSLYLNY YDR307W MKDLRLQGPYRKYIPYNIFQQCGIGHLKTLDYIFAFLIVITNFT LIWKSHSSSFWNRPWDNNSEQELSQLIQFYLDKAFYIHELPPFTIQFYSIIRRLKIAE NLRYVSLFLNSSTLGFLFLITRRINCSRLISATGLLILSNWETFRNEGTIISFDSLEW CLFSVVIYSFISISIAKLGTTNWFANVITLSISLGLAISSKFIGIVTWAFVILSFVRQ FDRLISDVKVTTIQIIKFVILCLLFVLIIPGSIFIISYSNLLSNFKTDTPQFSKYMST YFKSYLRGPQVQPSRLYYGSTITLRHLDSMVGYLASHDISYPSDVDEQLVALSFEEFA ADNEWLIEHPTLNLSFSEVYHADQLIPVEFGQSIKLRHKSTGKLLRASTAKPPISEQD YDFQISCTKDSNYEGGMDERWDVLLIKDEINNDKKDNADDKYIKPLQSEIRFYNNGQR CGLLGHDLRLPEWGRFEQEVLCMEYPVIPRTTFLIDSVQLPVDFQVPMIEYYIGKISS SAEFNHTLSWSQFLYLFKEYIFKQYKYNYYIKYGKNKVTFEDAFAVEKWPITLDTDSP VWFNFAWYGSLLSMIIFMCVQCKRMISWNPWTTAEPSFSIKWEVYNEFGWECIVGWFL HFYIFTMSPHFNLGKKLYFQSFFFSVLCLLESLDCLAK YDR308C MTDRLTQLQICLDQMTEQFCATLNYIDKNHGFERLTVNEPQMSD KHATVVPPEEFSNTIDELSTDIILKTRQINKLIDSLPGVDVSAEEQLRKIDMLQKKLV EVEDEKIEAIKKKEKLLRHVDSLIEDFVDGIANSKKST YDR309C MTSASITNTGNETMNLPQMRSIWLDEDEEAEKLYGLQAQQFMGS DDEENLGITFINSDKPVLSNKKNIELPPLSPNSHPSCHHRRSNSNSAKSKESSSSSSS ANKTNHKKVFLKLNLLKKKLLGAQPDIRGKGISTPFDFQHISHADTRNGFQDEQLQEP SSLSTEIKDDYTSSSSKRDSKSLNKAFVTERIPANRESKLISRSHENKTSRLSVARSI SVTSSNYSKNTQGNNHSINGRVVSTSTMATSIFEYSPNASPKQFKNKSHALGHRYTNS TDSSESSLDFLKNYNFPTLLEDKPILDFLPRSQRSSAYRSLLETPNSNKDSAKAFFPS RQSPLPKRRNSIATPSPQSKFSYSDSPVNHRKSFDDVLYSFNQLEPLQT YDR310C MSENTTAPSDNITNEQRLPSGPKDDVDTLALTSAQNQANSLRKL DTDANAKALPSITDIPVSDDSDIKRQVGSGFGSNPLHIKDSEAFPHSSIEALKEGMDK VTKQCNDLKTALLSKDTSLTDSVQDLFNSLKVLSHNQSVLENKLDDVMKNQVNTDILV NNLNERLNKLSTMLQNTSKVNHSNLLIENSSNNTSSQHNTSSSRRGPGRPRKDASTST MNKLVSNAASVNLKSASNQGAPFSPVNITLPTAVVQTSKSKRYFVEPSTKQESLLLSA PSSSRDDADMSLTSVPQRTNNENGKERPSTANSSSITPTPVTPNNLIQIKRKRGRPPK KRTVETMISNSTDTIDKSDASNRIKNEIPINSLLPSSKFHQIPSSPSNPVSQPAPVRT SRSATQEIDIKSLELASLISTNGDPNAEDSNTTDTVHNNVEGKVNVEENKTEKEKIIT IKSSSENSGNNTTNNNNTDNVIKFSANSDINSDIRRLMVNDQFSLSYDASGNITVKLP PVSSPAAATAAAAAAVTSEMNRQQRELDKRRDSREKMLVNMKYNDRDKAKSFMESNKK LLKAMKEEERRKRMTSIIHDNHLNLNLNEISTRSKIKSAEKPTTKGSSMSPKPRSASI SGISDHQQEGYQPLEQEKLVDIDNEGSNANSDSLKMGLTISAADTVHKVGIQSMLNSG EEAITKENAEYERKTPGDEETTTFVPLENSQPSDTIRKRTAGDDGALDQTENTSISPK KRRTEDHTKGEEDEGERGVGNSGTLATVENVSGDISADLSKGTSSIHNDTESANDSSN GNGNLGLGTESRNTLLTATPIELICREGFFYRRDIPDVPITTGAYLEFKFKAKEEELI NSSINEEDYAAKSKHEKMNAHFFKPDIQEETELAFEILSKTTLTEKYVNSLEYFLMEF RWENKLVGLGLKLRESKRTWQRRKALFALFEFWRDQSRDKRRFHNYTILHAVKEMENY RIFINRSVSWFYNHITLLKMILYDLCDNVTTQWREWMFPHNETLPALGQDGINEDNLN ETIDNMLIFDFLDDGSENNQVKYSRIIPPDIR YDR311W MSHSGAAIFEKVSGIIAINEDVSPAELTWRSTDGDKVHTVVLST IDKLQATPASSEKMMLRLIGKVDESKKRKDNEGNEVVPKPQRHMFSFNNRTVMDNIKM TLQQIISRYKDADIYEEKRRREESAQHTETPMSSSSVTAGTPTPHLDTPQLNNGAPLI NTAKLDDSLSKEKLLTNLKLQQSLLKGNKVLMKVFQETVINAGLPPSEFWSTRIPLLR AFALSTSQKVGPYNVLSTIKPVASSENKVNVNLSREKILNIFENYPIVKKAYTDNVPK NFKEPEFWARFFSSKLFRKLRGEKIMQNDRGDVIIDRYLTLDQEFDRKDDDMLLHPVK KIIDLDGNIQDDPVVRGNRPDFTMQPGVDINGNSDGTVDILKGMNRLSEKMIMALKNE YSRTNLQNKSNITNDEEDEDNDERNELKIDDLNESYKTNYAIIHLKRNAHEKTTDNDA KSSADSIKNADLKVSNQQMLQQLSLVMDNLINKLDLNQVVPNNEVSNKINKRVITAIK INAKQAKHNNVNSALGSFVDNTSQANELEVKSTLPIDLLESCRMLHTTCCEFLKHFYI HFQSGEQKQASTVKKLYNHLKDCIEKLNELFQDVLNGDGESMSNTCTAYLKPVLNSIT LATHKYDEYFNEYNNNSN YDR312W MAKRRQKKRTHAQITPEQERDIPKSMVIRVGQTSLANHSLNQLV KDFRQIMQPHTAVKLKERKSNKLKDFVVMCGPLGVTHLFMFTQSEKTGNVSLKIARTP QGPTVTFQVLDYSLGRDIKKFLKRPKSLNNDDVLNPPLLVLNGFSTSKRSDEDDQDVN VEKVIVSMFQNIFPPLNPARTSLNSIKRIFMINKDRETGEISMRHYFIDIREVEISRN LKRLYKAKNNLSKTVPNLHRKEDISSLILDHDLGAYTSESEIEDDAIVRVVDNQDVKA KHSQTSLSQKTPVKMTDNEEREKGIEEEDVEMEEPKPSENSQPTPRKKAIKLTELGPR LTLKLVKIEDGICSGKVLHHEFVQKSSEEIKALEKRHAAKMRLKEQRRKEQEENIAKK KAVKDAKKQRKLERRKARAEEQGEGQGKDGAMSDDGSSSSEDEHYSDVPEDLDSDLFS EVE YDR313C MVIKEDCINNLARWQADEEAHSCFQCKTNFSFLVRRHHCRCCGR IFCSSCTENFVNYNKKRVHALQKKNSDVESPPYRTCNECYDNLLHLNLLVSSTNRDVR LSQTSVPPNALALSAPDSNTDEDAEILEDSVDQSGTACRSEESSQNEEDHFCPICNSD LTQFPDEEETRKHVEDCIQRAENAQQHTNTSDAADDSVKESPAFQNRMLVYKISPNTT DNAIKECPICFENMEPGEKVGRLECLCVFHYKCIKNWFHKRAQMTAAQKGNGHAFVKR NFCPFHDAVF YDR314C MAKRLLESSQNDQANRKNSKIEKKEVSFYEEEETDDSFDSFYQD EEDDLSDIDWEEVSLDGSLTVTFGNIRRDREKVSKYKRKHNKKAFNYQRLKYGLHLIM IPFMLFLLKSRMKWIDDERLNRRLRRSVPKLIGKKFKDWDVRDPAFKMDSLRTLLLGL VLWFRSNYKMNSNGIRQNFNRLQYLIKYADNQNENSISESTYKKVLENQQEFYGNRPL INHGVEDIRKMAKRKMANRDILTLFFFIILENVLPGPKKLYLCFALPLHDYDIRCNKV KWQIEHGIGKVPNRFDSDLIQPYFWIELEVPTLSDGELYIIDPIAHLGEREMVLKTRE DQFVPTYQPSVDMKYNLNQKFHYVVRINHAEKVLQDVSPRYVPNVCYRYFELSESSPI LKSKHYTSYQYLSKWLKVLNKKKASVHHYAIMKKIALTNFTLPKSVTEIKRTDNFVIP SLLKSNEVLKACAKQAATFTKGDNSQEPIFWRRDVIQLKSKQHWAILGRSILPNAQPL KRKKYLPMRERMVRNLDKYVIKELFSYEQTMKSPKYPSTYCDHLGQEHVITDLSHYKN KFGNIEIYSKETKPDGFELIPLSKEVDIKCLIKEYNKGKRKMQKIKYLDVVSGFDFKQ KKGHAIPKIESILVKETDYKAVQLLKQQTKVLLGLSFWDILLRKLRVNDRLNAEYGNV GNNEETLDDH YDR315C MQVIGRGGANILIDYGDPTWLWRCCIRWPDLLSSNNSYTIKNIS YIKDYVEPLLHGLLCPMYLIDVDIEAIRPILSDFILNLDDKVVKVIKIKNLTNNTSNL ILNNHFLKSYCSQNLQTVILELKPKWLYYDTDYCRNCTHNAFKGRGTKYCYNQLLMNP AHLELIFGECNIFPVKFKDAMHEYLRNDNNIFKILYDLQKKLTKNTTPISDIKSINDV NDEHLLLMTLRDVTCFIEWNSAENALHVNIIDVDLKPKEKWTHWTKTYSQLTSSQKIY HTSNK YDR316W MIVFRRFPTCLLHHIRQPASRSLLLESQRRSLSFTSYKYNSSHI DDDKSKKKLKNVFQMNSNRVIRKQKTKEELAKERFEEQLRSPNRFVRWGAIARSEKFS KGMTKYMIGAYVIFLIYGLFFTKKLFAKDKELERLLKKQEEGNANEYEALRIKELKGK LRRRDELKLEEYKKMQEEGIENFDDIRVQNFDQNKLNEQILPARDTTNFYQEKANEYD KAINMEERVIFLGKRRKWLMKHCQGDVLEVSCGTGRNIKYLDMSRINSITFLDSSENM MEITHKKFREKFPKYKKVAFVVGKAENLVDLAEKGKPSLENEKENQVKYDTIVEAFGL CSHEDPVKALNNFGKLLKPDGRIILLEHGRGQYDFINKILDNRAERRLNTWGCRWNLD LGEVLDDSDLELVEEKRTHLGTTWCIVAKRKGDVKKKDELGFVEKYLQSSIRKRMESF EKKDDMASKKELEPVPPVSKS YDR316W-B MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSH LVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YDR316W-A MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YDR317W MSYSVNTFTDYVLLFGSSSLVGKGILENLLDINLYIKNVSDLQG KLDSLSEIKGNVVLNKHVFCVNRRCINEEKSFMKTIDYINMRSVTWQGGRYYLRSRKE KDTEKVPSSPNTFCYDNFEEGFIKNTPEERGKDGYSFVYNQKQFSYTLHYACGKEKGI EIICNFTVTQLIIPRSETWPKLLPRIFSGTQKLEKFDIDNKNYVPGRSLPSLCDISTM VCSLGSTSARVRRTQVPSSFADYYLPFNLAQEFTNTTNKRLVVTTAFNNDFLSKTFEY FRIKAKLENDLDEALPNKLKELVILRPGPMCGQHGNPINVELGKENSTFLEKIFYYPH YLLVYKKKYISEARRIGLRTKLSEIIASSIYRMPGSALLGYAVPVSKVSYVASLMAIE RKSKEAGPKLEVISSYQIDMIV YDR318W MSRIDDLQQDIESLLSEINSLEESREKLKAKIKDKRKNEESANP IVQEFEDLFDQFPQLNNFLFNEHPELEETDDKDISRAQADIPATPIPYEPKKRAKLEN EEILPEQEWVLKTQPMVQHQMFDPGVADLLDTDILTSPSKRKRKLKIDDISTSDRSEL EDYIVLENVYRMFGITFFPLVDPIDLKIKDASGEIFVDREMLGIRLEVFSERTSQFEK PHYVLLKKRIKSNSWFLFKHTIPSFIDVQGIFDDTNGGLVISHDDAYLFAKRVFLQLV EVQKRRQIFKDLEAKKIIHDLDLDLESSMVSFFVKDIKVELFVKQNEIVSCSILDDIH DFSQNNKSKWEIALLGSLDDLELKLNHSFATIFK YDR319C MIRQLNYWSRKAYLIYPFQVFVGALLSIVVSSETLNHQKETCAL LKSSNIFNVIFAYKANQLWPFLFFSLAFLQIYFHYLARMDILPLPISSTETSSSYLTY TNHWPLLKNRIISIMITQYACKFVLKYLLLFLNFQFIDHVFIWTGGECSSGSKTTSAE KCRLENGKWDGGFDISGHFCFLVSISMILWMELHLFSRFVQAEDMFWVVNKWVRACLA IVCAVLVIWICILWVTAIYYHTILEKVLGCLMGFICPVFIYHILPKIGILHNYLYL YDR320C MSDPFAHLLTSLKNKDSASASKETTPQSSNSPSITGSAVADVAR TDKSPNDSLHSISAPPLIPSPKVDFSAPPLVPTNSTTKSNTANNTPPSALANTDDDFN QLFGMGTVTTTDTIQKPDEDYYGSKEDHLYNGDDALVDEVKDMEIARLMSLGLSIEEA TEFYENDVTYERYLEILKSKQKERNDLAIRKKESGIKMEKSGLSNIVGTDSNNLFSMA TDFFNKGKKLVDQWTSFPPEANDRLNNYSKTHDKVEDYDLPQVNDSPNRILFEDNEVV ENLPPADNPDQDLLTDFETKIDITKRTAPDVSHSSSPTSGILIEENSRRNEPLIEDSL LDFSEGNLTNSKSNEDSTLFNENSNTDSTIPISDIELSGYNEFKAKGTSLFKNGDYIN SLQEYEKSLNTLPLNHPLRIIALSNIIASQLKIGEYSKSIENSSMALELFPSSKAKWK NKISNSDPERSFNDIWPKIMIRRAESFEHLESFKKALETYQELIKKNFFDDKIMQGKR RCQDFINPPPVKKSMPVKKKTTTTSPATKKQNLTASSSNSPISVDSTSEIKKRELENA KLALYDKVFEKISSWKDGKDDDIRHLLANLSSLLTWCNWKDVSMQDLVMPKRVKITYM KAVAKTHPDKIPESLSLENKMIAENIFSTLSIAWDKFKLQNDIN YDR320C-A MENPHEQVQANILSRIIGNVKRLNESVAILNQELVTINNRNKNL EIMGAICDNYHSSVQFNLEATNNKKPPL YDR321W MKSDSVEITTICPDVENSQFVVQSNCPETIPEILKSQNAAVNGS GIACQQRSLPRIKILGTGGTIASKAIDSSQTAGYHVDLTIQDLLDAIPDISKVCDIEY EQLCNVDSKDINEDILYKIYKGVSESLQAFDGIVITHGTDTLSETAFFIESTIDAGDV PIVFVGSMRPSTSVSADGPMNLYQAICIASNPKSRGRGVLVSLNDQISSGYYITKTNA NSLDSFNVRQGYLGNFVNNEIHYYYPPVKPQGCHKFKLRVDGKHFKLPEVCILYAHQA FPPAIVNLVADKYDGIVLATMGAGSLPEEVNETCMKLSLPIVYSKRSMDGMVPIANVP KKGSKEDNLIASGYLSPEKSRILLQLCLAGNYTLEEIKHVFTGVYGG YDR322W MLRRSIHTTKILQKPNATSHIWSDFTTRPSSLSIQSSKVKNYLF QKKASLDPPSISRRSNRIKYSPPEHIDEIFRMSYDFLEQRSSKFYELANKTKNPLKKD ALLIKAEINNPEVQYNFQFNNKLNNVKDIIDYDVPVYRHLGKQHWESYGQMLLMQRLE TLAAIPDTLPTLVPRAEVNIKFPFSTGVNKWIEPGEFLSSNVTSMRPIFKIQEYELVN VEKQLYTVLIVNPDVPDLSNDSFKTALCYGLVNINLTYNDNLIDPRKFHSSNIIADYL PPVPEKNAGKQRFVVWVFRQPLIEDKQGPNMLEIDRKELSRDDFDIRQFTKKYNLTAI GAHIWRSEWDAKVAAVREKYGLPPGRVFSRVRR YDR322C-A MSTVNVLRYSALGLGLFFGFRNDMILKCNAKKKEEQAQYEEKLK LVEEAKKEYAKLHPVVTPKDVPANASFNLEDPNIDFERVILNAVESLKEAST YDR323C MDLENVSCPICLRKFDNLQALNAHLDVEHGFNDNEDSLGSNDSR LVNGKQKKARSVDSSAQKLKRSHWEKFKKGKSCCHTCGRTLNNNIGAINCRKCGKLYC RRHLPNMIKLNLSAQYDPRNGKWYNCCHDCFVTKPGYNDYGEVIDLTPEFFKVRNIKR EDKNLRLLQLENRFVRLVDGLITLYNTYSRSIIHNLKMNSEMSKLERTVTPWRDDRSV LFCNICSEPFGLLLRKHHCRLCGMVVCDDANRNCSNEISIGYLMSAASDLPFEYNIQK DDLLHIPISIRLCSHCIDMLFIGRKFNKDVRMPLSGIFAKYDSMQNISKVIDSLLPIF EDSLNSLKVETAKDSENTLDPKNLNDLARLRHKLLNSFNLYNTLTRQLLSVEPQSHLE RQLQNSIKIASAAYINEKILPLKSLPAILNPEGHKTNEDGQKAEPEVKKLSQLMIENL TIKEVKELREELMVLKEQSYLIESTIQDYKKQRRLEEIVTLNKNLEELHSRIHTVQSK LGDHGFN YDR324C MSSSLLSVLKEKSRSLKIRNKPVKMTSQERMIVHRCRFVDFTPA TITSLAFSHKSNINKLTPSDLRLAIGRSNGNIEIWNPRNNWFQEMVIEGGKDRSIEGL CWSNVNGESLRLFSIGGSTVVTEWDLATGLPLRNYDCNSGVIWSISINDSQDKLSVGC DNGTVVLIDISGGPGVLEHDTILMRQEARVLTLAWKKDDFVIGGCSDGRIRIWSAQKN DENMGRLLHTMKVDKAKKESTLVWSVIYLPRTDQIASGDSTGSIKFWDFQFATLNQSF KAHDADVLCLTTDTDNNYVFSAGVDRKIFQFSQNTNKSQKNNRWVNSSNRLLHGNDIR AICAYQSKGADFLVSGGVEKTLVINSLTSFSNGNYRKMPTVEPYSKNVLVNKEQRLVV SWSESTVKIWTMGTDSSTEQNYKLVCKLTLKDDQNISTCSLSPDGQVLVVGRPSTTKV FHLQPVGNKLKVTKLDNDLLLRTSTKLVKFIDNSKIVICSCEDDVFIVDLESEEDEKP QEVELLEVTSTKSSIKVPYINRINHLEVDQNIAVISRGCGVVDILDLKARISKPLARL NNFITAVHINTSRKSVVVITADNKIYEFNMNLNSEAENEDSESVLTQWSKNNTDNLPK EWKTLKENCVGIFSDIENSSRLWFWGATWISRIDFDVDFPINKRRKQKKRTHEGLTIT DESNFMNDEEDDEDDDIDMEISENLNVLLNQGNKIKSTDVQRNEESSGHFFFTDKYKP LLFVDLISSNELAIIERNPLTFHSKQKAFIQPKLVF YDR325W MQDPDGIDINTKIFNSVAEVFQKAQGSYAGHRKHIAVLKKIQSK AVEQGYEDAFNFWFDKLVTKILPLKKNEIIGDRIVKLVAAFIASLERELILAKKQNYK LTNDEEGIFSRFVDQFIRHVLRGVESPDKNVRFRVLQLLAVIMDNIGEIDESLFNLLI LSLNKRIYDREPTVRIQAVFCLTKFQDEEQTEHLTELSDNEENFEATRTLVASIQNDP SAEVRRAAMLNLINDNNTRPYILERARDVNIVNRRLVYSRILKSMGRKCFDDIEPHIF DQLIEWGLEDRELSVRNACKRLIAHDWLNALDGDLIELLEKLDVSRSSVCVKAIEALF QSRPDILSKIKFPESIWKDFTVEIAFLFRAIYLYCLDNNITEMLEENFPEASKLSEHL NHYILLRYHHNDISNDSQSHFDYNTLEFIIEQLSIAAERYDYSDEVGRRSMLTVVRNM LALTTLSEPLIKIGIRVMKSLSINEKDFVTMAIEIINDIRDDDIEKQEQEEKIKSKKI NRRNETSVDEEDENGTHNDEVNEDEEDDNISSFHSAVENLVQGNGNVSESDIINNLPP EKEASSATIVLCLTRSSYMLELVNTPLTENILIASLMDTLITPAVRNTAPNIRELGVK NLGLCCLLDVKLAIDNMYILGMCVSKGNASLKYIALQVIVDIFSVHGNTVVDGEGKVD SISLHKIFYKVLKNNGLPECQVIAAEGLCKLFLADVFTDDDLFETLVLSYFSPINSSN EALVQAFAFCIPVYCFSHPAHQQRMSRTAADILLRLCVLWDDLQSSVIPEVDREAMLK PNIIFQQLLFWTDPRNLVNQTGSTKKDTVQLTFLIDVLKIYAQIEKKEIKKMIITNIN AIFLSSEQDYSTLKELLEYSDDIAENDNLDNVSKNALDKLRNNLNSLIEEINERSETQ TKDENNTANDQYSSILGNSFNKSSNDTIEHAADITDGNNTELTKTTVNISAVDNTTEQ SNSRKRTRSEAEQIDTSKNLENMSIQDTSTVAKNVSFVLPDEKSDAMSIDEEDKDSES FSEVC YDR326C MRDEATRKKRSFSDGHFFKKLKLMSRKKQPVMERSKTTRTRKES TNSAAKSSLSLRRANNGRKTIAKRRVLTDIGSTNEGVAGNSGSNSPAQYSHTPHFSDS IPPLPLELPDIVSIRSSRSHISNKSNKNKHGIDLTFIPRRSLQNSKAGLKKPNTSPQG YFNIPVTIDRASEKVKHTDTKNTFNSSSSENERPVLSILQKDDSQSSSHPAIDSMSAP NNINNNNDIENSSNSLFDTILSIAHSAISHVPKISALNTEIQREFSHSGESHTGSTRH PYFHIHHAQQQHPLSQQQGPLPVSENANQNPNDTVLIHSPSANTAHRSSSFLRHLDYL LSPTSGPASDKHTQVEEGDDEEELSPLSKAFLSPSTQLVPTNTSTTPLSGSLTPNNRN VNANSNSETENDNDRDDRSNVGKVKFQPLKVHEPAISTFGKGNLTLEAVAGSSDIDNT TIDLDENNTNNNPNASSTNLSHISKSNVNNNLGPKELNTSYRNSTYIDMARFENSQSN LSSHRARSKTLPANKALENAVGDEGNSKRNSRYSSYSNDMAFDDADERKFRSMSKKFL NRRSFSPSNLGNKVIPGINLRNSFNKNRNSSSDFFSTNQGQQMPRTSTAGSGNIHAIM GLDSGNDDFKLEGIEYASEKKNSEFHTLFKDCDINPNEKLIVDHSCALSRDILLQGRM YISDAHIGFFSNILGWVSTVFIPFKEIVQIEKKTTAGIFPNGIVIDTLHTKYIFASFM SRDATFDLITDVWNQIILGKKYRNGFGNNDDGTISDSSSAFFDDSDDNDDDGDLDDDD PDINSTDMTSSDDIDADVFNESNDLGKNQKSTNYLLGPNKHSPTTADFKPSNNDHLVI EANINAPLGKVVNLLYGEDVSYYERILKAQKNFEISPIPNNFLTKKIRDYAYTKPLSG SIGPSKTKCLITDTLEHYDLEDYVKVLSITKNPDVPSGNIFSVKTVFLFSWDKNNSTK LTVYNSVDWTGKSWIKSMIEKGTFDGVADTTKIMISEIKKILSDEDSNINSKHQASNN ESEEEIINLPTIGPPVHDPTEPDFQKGKDDTVIDEKINIPVPLGTVFSLLYGDDTSYI KKIIENQNNFNVCDIPKFVNNAREITYTKKLNNSFGPKQTKCIVTETIEHMDLNSFFM VKQIVRSPDVPYGSSFSVHTRFFYSWGDHNTTNMKVVTNVVWTGKSMLKGTIEKGSID GQRSSTKQLVDDLKKIISNASSTKKKSRRRGKTVNKRKSSPSTIKNEKNEENFEDTST KNSFFSAFSMLQQVNITSVQGIMTIISFFICLIFFFRLLFHSKNTSNIQIITPGTILI NGNEYNYVPNFKTLYHVYEDNIIKDARRKDSNKNNIVTDTEGLIWDWLIDRGNGTVQN SVLSNHIKESNNKKVKLVNGVSDHKIQQLVESIKITELQLQEMKELLAQTDNTSATNQ LL YDR328C MVTSNVVLVSGEGERFTVDKKIAERSLLLKNYLNDMHDSNLQNN SDSESDSDSETNHKSKDNNNGDDDDEDDDEIVMPVPNVRSSVLQKVIEWAEHHRDSNF PDEDDDDSRKSAPVDSWDREFLKVDQEMLYEIILAANYLNIKPLLDAGCKVVAEMIRG RSPEEIRRTFNIVNDFTPEEEAAIRRENEWAEDR YDR329C MAPNQRSRSLLQRHRGKVLISLTGIAALFTTGSVVVFFVKRWLY KQQLRITEQHFIKEQIKRRFEQTQEDSLYTIYELLPVWRMVLNENDLNLDSIVTQLKD QKNQLTRAKSSESRESSPLKSKAELWNELELKSLIKLVTVTYTVSSLILLTRLQLNIL TRNEYLDSAIKLTMQQENCNKLQNRFYNWVTSWWSDPEDKADDAMVMAAKKSKKEGQE VYINEQAFLSLSWWILNKGWLSYNEIITNQIEIEFDGIHPRDTLTLEEFSSRLTNIFR NTNSQIFQQNNNNLTSILLPKDSSGQEFLLSQTLDADALTSFHSNTLVFNQLVNELTQ CIESTATSIVLESLINESFHFIMNKVGIKTIAKKKPGQEDQQQYQMAVFAMSMKDCCQ EMLQTTAGSSHSGSVNEYLATLDSVQPLDDLSASVYSNFGVSSSFSFKP YDR330W MSEGKVDEFMAITGADDAAIATQFIEMADGNLNTAISLFFENGG AALLSSNNTPTPSNSTPMAPTSVDSDADAQLAERLQREAYQQQQPDQDYVRPPDEARH EVLTETSGFPISYGGIGGRFEPLHRVNDMFDEGRPESIFNQRLDDTNTNTYINDNSSD SLDSEEENDDDEYEYVEEPVIELDEDGNIKEYTKLVRKPKTISKEQKLALLFRPPFSI MSKLDLDAAKQKARAKQKWIMINIQDSGIFQCQALNRDLWSSRPVKTIIKENFVFLQY QYESRNAQPYLQFYHLNNKDDLPHIAILDPITGERVKQWNRVVPIPEQFISEINEFLA SFSLDPKVPNPTVNEPLPKVDPTTLTEEQQMELAIKESLNNNSSKSNQEEVPSTGEEQ KRVQEPDPFSTIEARVHPEPPNKPGITTRIQIRTGDGSRLVRRFNALEDTVRTIYEVI KTEMDGFADSRFTLNDHQREDLIDKLNMTIADAGLKNSSLLLEKLDPEIE YDR331W MRIAMHLPLLLLYIFLLPLSGANNTDAAHEVIATNTNNWAVLVS TSRFWFNYRHMANVLSMYRTVKRLGIPDSQIILMLSDDVACNSRNLFPGSVFNNKDHA IDLYGDSVEVDYRGYEVTVENFIRLLTDRWTEDHPKSKRLLTDENSNIFIYMTGHGGD DFLKFQDAEEIASEDIADAFQQMYEKKRYNEIFFMIDTCQANTMYSKFYSPNILAVGS SEMDESSYSHHSDVEIGVAVIDRFTYYCLDFLEQIDKNSTLTLQDLFDSFTFEKIHSH VGVRTDLFDRNPSEVLITDFFANVQNVIPDDSKPLSVSHYHHYKDHIDTAQYELNNNV LDLALETYRKNNQSSKIEKKIKDIKSTSVLDVDIDSNECFFTSFKQSATIILALIVTI LWFMLRGNTAKATYDLYTN YDR332W MTSTLATRLSTYSISLILQRIKIIKRCYSAPVLRDYQQDAIDAC VNSIRQGTKRIGVSLATGGGKTVIFSNLINQLRQNYFKERQGNFKSLILVHRRELALQ ATATLKKIFPDLKVHIEMGKYDCDIEDSDVIVASVQTLIRRLHKYDTNSVNLIIIDEA HHSVANSYRSILDHFKASTAETKIPVIGFSATFERADKRALSMVMDKIVYHRGILEMI DDKWLCEAKFTSVKIEADLSDVKSTADDFQLAPLSSLMNTKEINEVILKTYLHKKQEK SLKSTLLFGVDKAHVQSLHKLFKDNGINTDYVTSDTKQIERDNIIQKFKNGETEVLMN CGIFTEGTDMPNIDCILLCRPTKSRSLLIQMIGRGLRLHHSKDHCHIIDFIGASSVGV VSAPTLLGIRSDDIEFDDATVEDLKAIQGEIIAKQQKIDERLRALFQTDEAAMENVTE RNSVADWIHSANSVDLTLCSFDSFRNFTQSNNSYPSGKEFDEASEAVKEMELLMNSQY PWVKFASNAWGLPLKGKNHLRIYKEKSEDKLSMVYHLKMYRQLPCFITNKYADYVPKS IIKDANLWNVMSKVEKIINTLNSDLEGQTMQYQAISSKYSKWRQTVPTSKQRDFVFRK LKKVYGESSKDFIRLSLDDVTTYVNTKMTKGDASNLIFASSLAPVYPLKSLLRILEYQ KRRSFIK YDR333C MSSRALRRLQDDNALLESLLSNSNANKMTSGKSTAGNIQKRENI FSMMNNVRDSDNSTDEGQMSEQDEEAAAAGERDTQSNGQPKRITLASKSSRRKKNKKA KRKQKNHTAEAAKDKGSDDDDDDEEFDKIIQQFKKTDILKYGKTKNDDTNEEGFFTAS EPEEASSQPWKSFLSLESDPGFTKFPISCLRHSCKFFQNDFKKLDPHTEFKLLFDDIS PESLEDIDSMTSTPVSPQQLKQIQRLKRLIRNWGGKDHRLAPNGPGMHPQHLKFTKIR DDWIPTQRGELSMKLLSSDDLLDWQLWERPLDWKDVIQNDVSQWQKFISFYKFEPLNS DLSKKSMMDFYLSVIVHPDHEALINLISSKFPYHVPGLLQVALIFIRQGDRSNTNGLL QRALFVFDRALKANIIFDSLNCQLPYIYFFNRQFYLAIFRYIQSLAQRGVIGTASEWT KVLWSLSPLEDPLGCRYFLDHYFLLNNDYQYIIELSNSPLMNCYKQWNTLGFSLAVVL SFLRINEMSSARNALLKAFKHHPLQLSELFKEKLLGDHALTKDLSIDGHSAENLELKA YMARFPLLWNRNEEVTFLHDEMSSILQDYHRGNVTIDSNDGQDHNNINNLQSPFFIAG IPINLLRFAILSEESSVMAAIPSFIWSDNEVYEFDVLPPMPTSKESIEVVENIKTFIN EKDLAVLQAERMQDEDLLNQIRQISLQQYIHENEESNENEG YDR334W MTTSRKSHAKDKKAGGEQDLADLKFRYDLLTNELFHLREFVSLV DYDPTHFNDSESFQKFLRETHLSLEERGEKFTDDVAKKGTNGDLTRRRRNLRTSTVVS SETTNEKKGDIELKLESIAPLVRNKCEELKYKLSDHSNRKSIVPQKRPIQHLKKREAA KSLKFKSERKENPLPLHEHIAEERYDHIAKVEEPSEAFTIKCPSDDSSFENTSEHYSD NFYFTTSSEEEDIKKKRGRKKKKPRIKLVVHPPKQTITNPLHVVKPGYESLHEYIASF KSLEDDLTLEEYNKYIDEQRRLLSRLKKGIENGALKYDKETDSLQPITSKEIKTIITY KPDPISYFYKQQDLQIHTDHLINQGIHMSKLFRSSTKARIARAKKVSQMIEQHFKHVA GAEERKAKEEERHKKSLARFAVQAVKKRWNMAEKAYRILRKDEEEQLKRIEGKQHLSK MLEKSTQLLEAQLNQVNDDGRSSTPSSDSNDVLSESDDDMDDELSTSSDEDEEVDADV GLENSPASTEATPTDESLNLIQLKEKYGHFNGSSTVYDSRNKDEKFPTLDKHESSSSE SSVMTGEESSIYSSSENESQNENDRESDDKTPSVGLSALFGKGEESDGDLDLDDSEDF TVNSSSVEGEELEKDQVDNSAATFERAGDFVHTQNENRDDIKDVEEDAETKVQEEQLS VVDVPVPSLLRGNLRTYQKQGLNWLASLYNNHTNGILADEMGLGKTIQTISLLAYLAC EKENWGPHLIVVPTSVLLNWEMEFKRFAPGFKVLTYYGSPQQRKEKRKGWNKPDAFHV CIVSYQLVVQDQHSFKRKRWQYMVLDEAHNIKNFRSTRWQALLNFNTQRRLLLTGTPL QNNLAELWSLLYFLMPQTVIDGKKVSGFADLDAFQQWFGRPVDKIIETGQNFGQDKET KKTVAKLHQVLRPYLLRRLKADVEKQMPAKYEHIVYCKLSKRQRFLYDDFMSRAQTKA TLASGNFMSIVNCLMQLRKVCNHPNLFEVRPILTSFVLEHCVASDYKDVERTLLKLFK KNNQVNRVDLDFLNLVFTLNDKDLTSYHAEEISKLTCVKNFVEEVNKLRETNKQLQEE FGEASFLNFQDANQYFKYSNKQKLEGTVDMLNFLKMVNKLRCDRRPIFGKNLIDLLTK DRRVKYDKSSIIDNELIKPLQTRVLDNRKIIDTFAVLTPSAVSLDMRKLALGLNDDSS VGENTRLKVMQNCFEVSNPLHQLQTKLTIAFPDKSLLQYDCGKLQKLAILLQQLKDNG HRALIFTQMTKVLDVLEQFLNYHGYLYMRLDGATKIEDRQILTERFNTDSRITVFILS SRSGGLGINLTGADTVIFYDSDWNPAMDKQCQDRCHRIGQTRDVHIYRFVSEHTIESN ILKKANQKRQLDNVVIQEGDFTTDYFSKLSVRDLLGSELPENASGGDKPLIADADVAA KDPRQLERLLAQAEDEDDVKAANLAMREVEIDNDDFDESTEKKAANEEEENHAELDEY EGTAHVDEYMIRFIANGYYY YDR335W MDSTGASQIVSALDVIYSPKSNNSQRQEAQKFLDEVKLCSESPF WGYEIALQNPTNSILKYFGLGLLDHAVKKNWNDYDEGKRVALRKWVMELNFGVQDYDT RYIKEKLATLWVEVAKRTWGEALKQTNPTEEQLLTSWVDMDNNLFELWNINQSSRELA LIIFRILFEDVFLLDDLIVLKRMTVIQPLCVMIVCPIEVFAIKYKFSDKWTKFKANEE GWFSVWIPELNNALQQNNSEYIIRLLETLKTCLNWPLTEVIVRNDVLSSLLTCLSSNI PRAQSMALDSIHILLTRPYSNESHYQMTIDRVFDNMDLLDSVYESLLFDPTDDIDETK YPIIKKFVDMISCLYVCVPKIKETNGQIQKYFKLVLKTTYNPSLIVSGLTLDLWCTCL RNDEYLPKLEKYVIPDLLQFAADALVYYEQIDGHISKKFAEIDFQSKSEFQTFCSTYR KRIRDIIRLISCVELDLTYDWLNNRLNNYFSSPFGQQVLSSTFLDHKLEPYLGALSQY MIVECFINGCIRWKIWYPTGDDYDEKLDSILQKLEILSNQLIALNLREPLLLKKQIQN FALFLTMLKDNVLFTLLEKIITSATMDYPEINLEERGAESDAVRDLRYACGIELNRMA LLMPESLKKIYPDLESVIARIMPNLSYHEKISFKSFLLIIVLKSSLDMKEERFAAIVD PELLAWSDKTTVVGLSDLHWFMERLGIVQIAEYFQRRDIDENSDLLSIPIDDEGKELK SELTKRWQSLFPVRATRMFIHYSMQSIKTDEEFKMLQDLWRPRIVPILPYITRLLYQL QSYHDPDNWKGLPTVVQSFVKYSTIERFWEAGASNKSKDEFIDEHMKAMQTLRDFADS VGHIIRYTREYTLLVLSAISSLGSVFYLLDESPDLLLNSIAIFKPGSNEISPGVSTHG WKHIMNIAIRPILKGCPKDCLGKFMPAFLPKLFEILDLLLCQKWSSHMNDMDMNPVPT DDDQMTEEILEENLLRQLTTVVVRIVIDCVGQGNANPNSAKSRLNNHQMEMRKIIFND LNTLAPFLKLLNHLISFKDTKCSFNSILVMKCCLTSVLNQNNTVDEYFTFEVMKNLLL NVLCNSAFKDSFHEALYAFTVIFLTLCKEYPSARAFLFEISNGYNIDELYRNLRSVDE YKTQRALMIDFIDWVKSTSGKEDGNVDHAGDERKRQEKREAILKKANERLIKKNKENG DMLDDPNIEDGAVGNLFDDN YDR336W MEQLCKRYVHTPAAFIQNIVANTKRTTLATQLSVEKAKKKVPKT ALKKKLNSRPKERLPNWLKLNDVFNIHYEKPSNSDINKVNRFFNKAKVEFEWCAASFD DIPENPFLNKKSHKDILKDHGECGTTLIDTLPEVIFLGGTNVGKSSILNNITTSHVSR DLGSLARVSKTTGFTKTLNCYNVGNRLRMIDSPGYGFNSSKEQGKVTLQYLLERKQLV RCFLLLAGDKEINNTDNMIIQYIHEHGVPFEVVFTKMDKVKDLNKFKKKVMSSGLMDL PTLPRLVLTNSLTSSTSPKRFGIDLLRYVIFQSCGLIL YDR337W MSIVGRNAILNLRISLCPLFMGKRSFVSSPVSNSAKAVKFLKAQ RRKQKNEAKQATLKASTDKVDPVLGRADTPFITRIMAELKEPLVLSKGYNIEEVDKFL AAIESAKRERAELSGLNTEVVGIEDIEKLEDRREAILRILSMRNSENKNAIKMAVELA RKEFERFPGDTGSSEVQAACMTVRIQNMANHIKEHRKDFANTRNLRILVQQRQAILRY LKRDNPEKYYWTIQKLGLNDAAITDEFNMDRRYMQDYEFFGDKILIRDSKKVANQKRK EIRKQKRATF YDR338C MAGILSKTLSEVHPSLRTNGMGIGNTHRRISLGFLPPNKKNPLV RKFRARTRNIDQRSFRSLTDDFGSNVHEPNPYLGNIDEEPDLYYHDEEDGELSRTISL PSRVSETPELSPQDVDWILHEHERRYSSVCNSDNEEASQSNTPDRIQEYSGRELEYDE FMNRLQAQKQKLTRSAVTDAKGTSHHRRPSFVSVTSRGSVPTIYQEIDENDSEALAEL AHSHVTFKSEARVLASYSFPLIFTFLLEQIFPMVCSLTVGHLGKNELAAVSLASMTSN ITLAIFEGIATSLDTLCPQAYGSGRFYSVGVHLQRCIAFSLVIYIPFAVMWWYSEPLL SYIIPEKELINLTSRFLRVLILGAPAYIFFENLKRFLQAQGIFDAGIYVLTICAPLNV LVSYTLVWNKYIGVGFIGAAIAVVLNFWLMFFLLLFYALYIDGRKCWGGFSRKAFTHW NDLGHLAFSGIIMLEAEELSYELLTLFSAYYGVSYLAAQSAVSTMAALLYMIPFAIGI STSTRIANFIGAKRTDFAHISSQVGLSFSFIAGFINCCILVFGRNLIANIYSKDPEVI KLIAQVLPLVGIVQNFDSLNAVAGSCLRGQGMQSLGSIVNLMAYYLFGIPLALILSWF FDMKLYGLWIGIGSAMLLIGLVEAYYVLFPDWDKIMTYAEILKETEDDEVDSDEYLTD SDDPDENTALLGA YDR339C MGKAKKTRKFGLVKRTLNTKKDQRLKKNQENIKTKEDPELTRNI PQVSSALFFQYNQAIKPPYQVLIDTNFINFSIQKKVDIVRGMMDCLLAKCNPLITDCV MAELEKLGPKYRIALKLARDPRIKRLSCSHKGTYADDCLVHRVLQHKCYIVATNDAGL KQRIRKIPGIPLMSVGGHAYVIEKLPDVF YDR341C MASTANMISQLKKLSIAEPAVAKDSHPDVNIVDLMRNYISQELS KISGVDSSLIFPALEWTNTMERGDLLIPIPRLRIKGANPKDLAVQWAEKFPCGDFLEK VEANGPFIQFFFNPQFLAKLVIPDILTRKEDYGSCKLVENKKVIIEFSSPNIAKPFHA GHLRSTIIGGFLANLYEKLGWEVIRMNYLGDWGKQFGLLAVGFERYGNEEALVKDPIH HLFDVYVRINKDIEEEGDSIPLEQSTNGKAREYFKRMEDGDEEALKIWKRFREFSIEK YIDTYARLNIKYDVYSGESQVSKESMLKAIDLFKEKGLTHEDKGAVLIDLTKFNKKLG KAIVQKSDGTTLYLTRDVGAAMDRYEKYHFDKMIYVIASQQDLHAAQFFEILKQMGFE WAKDLQHVNFGMVQGMSTRKGTVVFLDNILEETKEKMHEVMKKNENKYAQIEHPEEVA DLVGISAVMIQDMQGKRINNYEFKWERMLSFEGDTGPYLQYAHSRLRSVERNASGITQ EKWINADFSLLKEPAAKLLIRLLGQYPDVLRNAIKTHEPTTVVTYLFKLTHQVSSCYD VLWVAGQTEELATARLALYGAARQVLYNGMRLLGLTPVERM YDR342C MSQDAAIAEQTPVEHLSAVDSASHSVLSTPSNKAERDEIKAYGE GEEHEPVVEIPKRPASAYVTVSIMCIMIAFGGFVFGWDTGTISGFINQTDFIRRFGMK HKDGTNYLSKVRTGLIVSIFNIGCAIGGIILSKLGDMYGRKVGLIVVVVIYIIGIIIQ IASINKWYQYFIGRIISGLGVGGIAVLSPMLISEVSPKHLRGTLVSCYQLMITAGIFL GYCTNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMTFVPESPRYLAEVGKIEEAKRSI AVSNKVAVDDPSVLAEVEAVLAGVEAEKLAGNASWGELFSSKTKVLQRLIMGAMIQSL QQLTGDNYFFYYGTTIFKAVGLSDSFETSIVLGIVNFASTFVGIYVVERYGRRTCLLW GAASMTACMVVYASVGVTRLWPNGQDQPSSKGAGNCMIVFACFYIFCFATTWAPIPYV VVSETFPLRVKSKAMSIATAANWLWGFLIGFFTPFITGAINFYYGYVFMGCLVFMFFY VLLVVPETKGLTLEEVNTMWEEGVLPWKSASWVPPSRRGANYDAEEMTHDDKPLYKRM FSTK YDR343C MSQDAAIAEQTPVEHLSAVDSASHSVLSTPSNKAERDEIKAYGE GEEHEPVVEIPKRPASAYVTVSIMCIMIAFGGFVFGWDTGTISGFINQTDFIRRFGMK HKDGTNYLSKVRTGLIVSIFNIGCAIGGIILSKLGDMYGRKVGLIVVVVIYIIGIIIQ IASINKWYQYFIGRIISGLGVGGIAVLSPMLISEVSPKHLRGTLVSCYQLMITAGIFL GYCTNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMTFVPESPRYLAEVGKIEEAKRSI AVSNKVAVDDPSVLAEVEAVLAGVEAEKLAGNASWGELFSSKTKVLQRLIMGAMIQSL QQLTGDNYFFYYGTTIFKAVGLSDSFETSIVLGIVNFASTFVGIYVVERYGRRTCLLW GAASMTACMVVYASVGVTRLWPNGQDQPSSKGAGNCMIVFACFYIFCFATTWAPIPYV VVSETFPLRVKSKAMSIATAANWLWGFLIGFFTPFITGAINFYYGYVFMGCLVFMFFY VLLVVPETKGLTLEEVNTMWEEGVLPWKSASWVPPSRRGANYDAEEMAHDDKPLYKRM FSTK YDR344C MAFLSWTFFPSFFHFLERNRFFLTFATQLHYLNPFYILSFRVEW HQIWENLAYSDTNTFFHEYCWKIFPCLSAGIRNNGCCDAAKGQGKNKQHTPKQEEEIP NTSLRRHRSCCNMFTCSSPCYYLEINVGVIGVRRPVLSEEQRKNC YDR345C MNSTPDLISPQKSSENSNADLPSNSSQVMNMPEEKGVQDDFQAE ADQVLTNPNTGKGAYVTVSICCVMVAFGGFVFGWDTGTISGFVAQTDFLRRFGMKHKD GSYYLSKVRTGLIVSIFNIGCAIGGIILAKLGDMYGRKMGLIVVVVIYIIGIIIQIAS INKWYQYFIGRIISGLGVGGIAVLSPMLISEVAPKEMRGTLVSCYQLMITLGIFLGYC TNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMTFVPESPRYLVEAGQIDEARASLSKV NKVAPDHPFIQQELEVIEASVEEARAAGSASWGELFTGKPAMFKRTMMGIMIQSLQQL TGDNYFFYYGTTVFNAVGMSDSFETSIVFGVVNFFSTCCSLYTVDRFGRRNCLLYGAI GMVCCYVVYASVGVTRLWPNGEGNGSSKGAGNCMIVFACFYIFCFATTWAPIAYVVIS ETFPLRVKSKAMSIATAANWLWGFLIGFFTPFITGAINFYYGYVFMGCMVFAYFYVFF FVPETKGLTLEEVNDMYAEGVLPWKSASWVPTSQRGANYDADALMHDDQPFYKKMFGK K YDR346C MLKWIKGGISAVTGMAEPEYGKDYIHSVADRVKNKQPYRETSRE DFFWQAPDHTNVESVIFYFSDLKTGIFGFAQVIHSNIIGLHTASQFTFRIFDSKNPED LNIWTSTKLENFYIEGPNFYADNLSVELSEDGESYHIQSSVCDLSVVDLHIRRLTPGA KIGDDPATYYGNNINEPWGSMRHVFWPRNACHGTIKVKKEVIPESDEEESSADEDDNE DEDEESGDSEEESGSEEESDSEEVEITYEDRTITFKEEDPAISTFIMAFQGMKPHHAA KAWNFMFFHSEKYSAVLMEFTTPKSYANTKISAGIITDDKEVLAMTTNNLVEHLNSEI DSVGWKVPKDIKITFKGINTKVKDEQLESENGTEQALQGEDEKEDEKEDEEEEEYKNV AEENKICAVVEGPLNNLVERIDVMGEIPSFVKNIVSGVAGTKPFIYQYADPKSSTLQI NGGEKIHGVAWTEVTFISESDVISEESYNEA YDR347W MLRFTGARAIRKYSTRYALEHLKEGAPLKGLFSIEGLQKAWFDR VKYLDAKLNDCTNEAQQKPLETLIHENSKSASKKHIVNYASSLYNLKFSMSSLQGCIR TPPEECPRLGPEALLQTPDFNRTISNEPLTTGNERLQAALISSFGSLMEFRTLLINSN LAISGDGFTWLVARRQLDKRAMRNDMPNRDIEYDKLFILNTYNAGTPFNFSTSGVMNE LNNQYTNMEKQRAKEAGNLEDSEMTAKQAKTKFIYETQQKGFSGKEVSYIPLLAIDAS PKTWLTDYGVFGKREYLERVWDSIEWKIVESRLPQRTKIQAFNTL YDR348C MENRNSSTSSRPFSVNNPFRNATVDSSINQYKNDSQFQEWAKNQ SRTNSFDMPQLNTRTSSQLSFPNIPEDEPQRNADQQGAFYSGLESFSSGSLSPPSRPL SSKNPFLDDVSSATDFRRSPPPVSRNKNHPTAKEEKEQLRQRYLEESDVSTVGNTREN TDLPPSYEEITSTNGSRRAYPKEKVSRPSSHREHSNSGTYISRRSSSHHHREASSSST PSKKGKRKSKVIVPKNVDTIDKLDVTGLFGGSFHHDGPFDAVTPHRNKNNKAAPVLAF PVDGPNSTIGGASTKKSALDEVFGRDDTDDSDIYQYSSQTLRRGGDTQDAIKANVGNV QQMDAKNKTELVHGPVTAGLGSSTFLDGAPASSAAIRNDIKAHSYHNRNGGLQRNKSL SQRLGLGGSGDSNAPMTGVRRNLSLSRDNYDVGHSNEGVRRSKTVNSPNRTHKSNYTT DFDGQDDHNEDEEDVYLGVRYNEPNMKKKSTGSKLLSRVKSLKVGRKSQ YDR349C MTCLILWYLWLISTFQLEFATASTANTTTTAKSGTSSSTEEPFP VLAVGKDGRGNYYVNSTFGTPGQRQRLLVDIIQPYINLVSGTSESHNEYSGVYHKHPS YLMNDSTSSVPVSPGQIYEISFIDGRAVNCTLVTDDMNFTNVSSENSSTALITDLMVT RDNVQFNSGSLSISNVSFFDIQSSNFKTSGLLGLSGKVTNPGNAIDSSQYTEQSYFLS LLKDADIIESSSYSLWLAGDTSTYKTYRDPISNCGKLLLGGVDPSLFTGTLGKFDLIP YVDPVSNAVSVGYPIVPLGPIYIVSNSGQSLNMTSKDFLSPALLDSTSSVSYLPTSTI IQIAVQIAATYVESLDRWLVQCSIADMGVSLGFRLRELTIEIPLRDLLSSTYDTSTNS SMFFSSGQEACFLTLYANTNTGVNILGEAFIKNIYMAMDLEDNTIAIAQAKKVEDDAV TEETNETTASTIIKKIKSGYIPYAKVMNSSNTRNLTLYPSYRSGYMFTVPGQLTAAYS NGVITGAGRSFYDTSRASTSARPSSTQFDSFSVSASEEWSNSTNRTSSASGAGVRLSS PYTFNKDPAGHVTRIASLLLLSIFSILIVL YDR350C MLKCICRVYSQPLAQMVTSPLFKHMGSAGTYTILPITNLRHLST KNCPLKIKSNRSEPLQFGDFERQVPCSRKSGSSKNVQKRLYELRQLKTVLSETFGVTE YASFFESLRNALHINNCSENEKKKLLYDIILHQHELYPEVARKIGFYLPGEVHRWFWY HIPKSESFNHYLFLLKSDVLLFTSNYCTRFTNRLIKGTEMERQLATFQIFLHDETNIK FIMEKVLKLHTFDSLIALVNGLVKAKNFRFIKVFIQALLQKLEQHCYSGKDGAKQKNL RYVKFNNTLLYYLLKSGNVELFIKTFQEELKFIVSSGLLNHIDGNEHILNFPIHHYLN LLRISNRQEELFNVISCLQSSPLMKYKLFKEFLMGELIASFQAFRDPKLVCKYLLSSY SSKASANILNALGIWGWLYHSKSTTLTAPTLARELKNKNNILPNTMRIGSPVTVPILT ELYRSLLSSSSVSLESGQFKNCLLDLYYKYKSFLSEEAHKYRYWRNDTGILNVFLNYI RFQAREPRLAYNVLLDFYSQPFAKKVVLTTTLCPFSIVAYKNHTLTQAELSELLQVMH KNGVPLTFKFCSAMVMHYVKMRDEKGARSWYNKILFGGFEIRHMALIQIIKDQGWPFP KNFDETLLTELVENNNIKEPTDSTLFTDEDMFEEDGKPRFNDDDVNKCTNIIRETLKS LN YDR351W MTARRLINIVPNTSKLDPLKEEDSTHLKQNQPKKFSTKELMLSE YTERKSCSLPLSKSRSGSSASSSTTGSNGKNIGTRRPSSNLDFNFASQDVVKNVLGNN NPHVPTAKCIRPISDDSIGTSSTEIFSSSHSNTTSDSLCTSDISSEEGEIANSKMEDN CFFKSMREADHRSNITPLKKSRPGSILQKTRTASSADKTICSMSTITTCIPSRQNSVS TPKLSRTVGLPGSSNTTNSIAASQTSFISENDSPLKHHCMSTATIQEPKLMPITKTPY VHSNSTSVILPYKTTQLTPSQRYRLRKEQNDQSLRKAIKMKEKFYEDQDVNLELQEGD VDGSLIWNIPMASLSTSSFLTLSKFNRKEMSLDSARGDEEILIQENNCEGKQHSSSAL CVDKTFHQVHSTRKHTSNSSNTLKESCLDYKELPPTCIPGISPVSDSQYIQDTMKNLS QIYLHSSEKISKSILSGRSRSVQSLPLEFKEASSQGMEDLMLVSEDKLKAVSHFRPSW LPPKDFKERKLQDKQIYKNIDLASMEELQKNKERDEKAKKNEQNKVKFQHLLDRGITR NSSLSELKKIIWETPLISKVRLQIYSQLLQSDNCLITKCFIESFEEVMQLLNKMDFPK DKEFEIRQLIEHDVQEKVFYKNGTDKQVVSDLMLLLQLKSISQQGLVTGDEMLFYHFL TDQSFGTLKETWEMVNLIQMTCFSEICKEKYDSRILNPRGIVAHLLRKDEFKNEFNGG CLNSNTWWNILQRMDHKLFMWVMDVIIVHNGQNFANYPVKMEIFKDKVWEYYRSKKVI VNYKILVSLTVNVLLNYHFGYDNLKHLSDLDDKHFCIPLYTEDSIEEENLNNIFTKWW LHYYRKLR YDR352W MSCSNGIWPTVSNLCGSLSFFTSVISLFPQIIETYRDKSVDGLS PYFLLAWLCGDITSLIGAKLTGQLLFQILLAIYFLLNDSFVCGQYYYYGVLHENKLAT VGHEPKPLLPELVENGELLREEEDMIQGGSSAESPRSSRRRSAITAALAIAHTISTAS AYPLNVGSTQSQVGPPGDGKNSQLGTILSWIGASFYVGARIPQLIKNYNRKSTDGLSP FLFATTLLCNITYNLSIFTSCRFLDNQNKREFIVNELPFIFGSAGTIAFDLIYFYQYY ILYATDMQLRELERELYSPEEDSAAQLVTERTSLLSGETQT YDR353W MVHNKVTIIGSGPAAHTAAIYLARAEIKPILYEGMMANGIAAGG QLTTTTEIENFPGFPDGLTGSELMDRMREQSTKFGTEIITETVSKVDLSSKPFKLWTE FNEDAEPVTTDAIILATGASAKRMHLPGEETYWQKGISACAVCDGAVPIFRNKPLAVI GGGDSACEEAQFLTKYGSKVFMLVRKDHLRASTIMQKRAEKNEKIEILYNTVALEAKG DGKLLNALRIKNTKKNEETDLPVSGLFYAIGHTPATKIVAGQVDTDEAGYIKTVPGSS LTSVPGFFAAGDVQDSKYRQAITSAGSGCMAALDAEKYLTSLE YDR354W MSEATLLSYTKKLLASPPQLSSTDLHDALLVILSLLQKCDTNSD ESLSIYTKVSSFLTALRVTKLDHKAEYIAEAAKAVLRHSDLVDLPLPKKDELHPEDGP VILDIVGTGGDGQNTFNVSTSAAIVASGIQGLKICKHGGKASTSNSGAGDLIGTLGCD MFKVNSSTVPKLWPDNTFMFLLAPFFHHGMGHVSKIRKFLGIPTVFNVLGPLLHPVSH VNKRILGVYSKELAPEYAKAAALVYPGSETFIVWGHVGLDEVSPIGKTTVWHIDPTSS ELKLKTFQLEPSMFGLEEHELSKCASYGPKENARILKEEVLSGKYHLGDNNPIYDYIL MNTAVLYCLSQGHQNWKEGIIKAEESIHSGNALRSLEHFIDSVSSL YDR356W MDEASHLPNGSLKNMEFTPVGFIKSKRNTTQTQVVSPTKVPNAN NGDENEGPVKKRQRRSIDDTIDSTRLFSEASQFDDSFPEIKANIPPSPRSGNVDKSRK RNLIDDLKKDVPMSQPLKEQEVREHQMKKERFDRALESKLLGKRHITYANSDISNKEL YINEIKSLKHEIKELRKEKNDTLNNYDTLEEETDDLKNRLQALEKELDAKNKIVNSRK VDDHSGCIEEREQMERKLAELERKLKTVKDQVLELENNSDVQSLKLRSKEDELKNLMN ELNELKSNAEEKDTQLEFKKNELRKRTNELNELKIKSDEMDLQLKQKQNESKRLKDEL NELETKFSENGSQSSAKENELKMLKNKIAELEEEISTKNSQLIAKEGKLASLMAQLTQ LESKLNQRDSQLGSREEELKKTNDKLQKDIRIAREETVSKDERIIDLQKKVKQLENDL FVIKKTHSESKTITDNELESKDKLIKILENDLKVAQEKYSKMEKELKEREFNYKISES KLEDEKTTLNEKISNLAAENSQLKNKIEDNSTATHHMKENYEKQLESLRKDIEEYKES AKDSEDKIEELKIRIAENSAKVSEKRSKDIKQKDEQISDLTQNLKLQEDEISSLKSII DRYKKDFNQLKSEQSNIQHDLNLQILNLENKLIESEDELKSLRDSQKIEIENWKRKYN NLSLENDRLLTEKESASDKEREISILNRKLDEMDKEKWNLQESKEKYKRELQKVITAN DRLRREKEELNENSNNIRIMEDKMTRIKKNYLSEITSLQEENRRLEERLILNERRKDN DSTMQLNDIISYYKLKYHSEVRHNNDLKVINDYLNKVLALGTRRLRLDTRKGEHSLNI SLPDDDELDRDYYNSHVYTRYHDYEYPLRFNLNRRGPYFERRLSFKTVALLVLACVRM KRIAFYRRSDDNRLRILRDRIESSSGRISW YDR357C MQDNSSHSRESASAGDDPLGIDKLTVDYDYLLYKMRDYVQSIQL DTTELCKKQNEVMVNGIIENTIDKNIAKFKELLEKCDTLENHYEMLNQLAIITDTFKE RIAEAVNNYNSLKKGASKSK YDR358W MPQRIELTSEPVRKPRSTESSLLRKIQRACRSTLPEPDLGLNLD VADYINSKQGATPREAVLAIEKLVNNGDTQAAVFALSLLDVLVKNCGYSIHLQISRKE FLNDLVKRFPEQPPLRYSKVQQMILEAIEEWYQTICKHASYKDDLQYINDMHKLLKYK GYTFPKVGSENLAVLRPNDQLRTPSELQEEQERAQAAKLEELLRSGKPDDLKEANKLM KIMAGFKDDTKVAVKQAINNELNKLKRKADLFNEMLTSADEPDLENEAIQELYGDLKS AQPKFKKLIEEERDDDALVSNLSKFNDLVIQLLKRYKSIKGMKEEELNVPDTNEPAKE LNLIDFDDDTTANTPSVTSPSKSLQPFDDLLGDFNKVSLSSPKSPQENDTVVDILGDA HSKSSGIDLLDFDSQPGESKTALSAYSNSIVLPNGLLNSSSNSKEITAQSQRHILNQS DHLRIDYELTRESMTKLRLVIFYSNISSDPITNFALLVASPKGTTLSLQPQSGNMLQS NSRDGIKQIASVEGISVNLGKPIKLKWKANYCTKGDSKEESGTTSLPTI YDR359C MSSRPSSAVPNSASLSEDQSSDRSKFPKADDLIDERDRKLTELY CVSRLNQLLELTDENKLRKEIDAFLKKNDIRRGIRFDEASLPKLLHTAATPITKKKLK DVNLINVPNQRLSDSKMSRELPENSENVSVKSESHFVPSHDNSIRENMMDSLRPAEKT GGMWNKRPLESTMGGEEERHEKRQKMQSQSLESSNNSEMASLPISPRPPVPNALAHYT YYENIEYPPADPTEVQPAVKFKDPLIKNIMAKEIDTSDHYNENNVDALETVFLLMNDY IPSKIPQALPLAELKYMSQTLPLINLIPRAHKALTTNIINNALNEARITVVGSRIEEL RRLGLWSLRQPKRFIDPWKQHNTHQNILLEEAKWMQADFKEGHKYKVAICTAMAQAIK DYWTYGEICCVKRKTLLPGKENKLSDDGRISEKSGRPSDTSRNDSDISIAGKDDIGII ANVDDITEKESAAANDNDENGKNEAGAKSDFDFADGLLSQEGAHDQIISSIDTKLLLK KPSSSSEVVLIQHEVAASSALIETEESKKELAPPFKLSIFVDELNTFEKTLIQDLPLY NGINEERPKKDDSLPFIPISKSVVSLDDNGFYKLLERQLIDEEPSISQLSKRRGMFYG NRRNHYLRPPAVPSLRYLQNRTPTIWLSEDDQELVKNINTYGYNWELISAHMTHRLTY SYLSNIERRTPWQCFERFVQLNERFNFSDLKGPRAHSAQQWLIEAHKFQQRQNRRISP LGVNTESIQRGHRRLRWASMFEAIRKCMKKRENTPRPNPTQPRKPLDCKNMKVPTPAE MSLLKAQRDEALRRDIQLRRTVKNRLQQRQQQSQQAHSSRAQSPIPSNGKSSSNLARN GQASAPRPNQKQYTEQDIIESYSRKLLEQKPDIGPEMALKAAKNYYRTLREQQQQLKQ HQIQQQRQQLQEESSHVQQLQQLQPGSQAPPPKSSPSQSSLSNISNINSAPRIKSPTP QEILQRFQKQ YDR361C MVQAIKLNDLKNRKRKNVEEENGSDESEIDISSTDSENEEEQNG EEEIVNIDFDFFGGNPEVDFHALKNLLRQLFGPQESTRIQLSSLADLILGSPTTTIKT DGKESDPYCFLSFVDFKANHLSDYVKYLQKVDMRLSTFFKTMIDSGNKNCALVLSERL INMPPEVVPPLYKITLEDVATALGDDKHYDFYIIVTRKYEVNFDTDDDTDSGKRNKNK DERSKKRVKADEVDYFHEEDRFFEKYAKIHFESEAKKGVISSYMILDHEGLVKSIDEL ETEISTW YDR362C MAVIPAKKRGRPRKSVVAEVPYDSLASPVSENSGSKRPRRNASK KAVANFAQLVHAGRDDVINTTQVNNVDDTDDDDFVLNDEGDGEESDNVEIEFENELES TKNEVADLNSSGSGASVRPSGRRNTVQKLRLKKNSTKNMKSSSPGSSLGQKGRPIRLL KDLSSARDKIERIYGLNKEKLLLLAKVKEGFETSVFDFPFKNIQPDSPYFVCLDPPCK KESAYNKVIGDKNRTVYHEINKTEFENMIKLRTKRLKLLIGEVDAEVSTGDKIEFPVL ANGKRRGFIYNVGGLVTDIAWLNIEENTDIGKDIQYLAVAVSQYMDEPLNEHLEMFDK EKHSSCIQIFKMNTSTLHCVKVQTIVHSFGEVWDLKWHEGCHAPHLVGCLSFVSQEGT INFLEIIDNATDVHVFKMCEKPSLTLSLADSLITTFDFLSPTTVVCGFKNGFVAEFDL TDPEVPSFYDQVHDSYILSVSTAYSDFEDTVVSTVAVDGYFYIFNPKDIATTKTTVSR FRGSNLVPVVYCPQIYSYIYSDGASSLRAVPSRAAFAVHPLVSRETTITAIGVSRLHP MVLAGSADGSLIITNAARRLLHGIKNSSATQKSLRLWKWDYSIKDDKYRIDSSYEVYP LTVNDVSKAKIDAHGINITCTKWNETSAGGKCYAFSNSAGLLTLEYLS YDR363W MTGDSRSISEPSINLDPDNTSFSDENSDDFFMDNSYDIDEIDHS DESNRQSVIVDSKVTVPPSKHSTLTLSDSEDSDAKEQHQSLSRSSSKNVNIEDITEPK PDKPSGRTRGRSVMKESVVEINSSESDLDEDKNFPRSRSRSRSSIRSISPAGKYKRQK SSLLYTYDENDDFFKELAKEAKKSTTISKESTPDQRKRVYNIKFLSKLEGTINKAVQV KVLGKYEFSKILPAALDGLMKSYKIPKVMKDIYKVENVTLYWNNAKLLTFMTCNSLHI PQDFENEVSDIDVTIVSKEYEKNFEATLESKLKEEEAALLIKERQEMERKLEKKRNEQ EESEYREFESELKNVEETQEIKENDTVMNTKLLQEGGSLSGNSSSMEEVMRIALMGQD NKKIYVHVRRSTPFSKIAEYYRIQKQLPQKTRVKLLFDHDELDMNECIADQDMEDEDM VDVIID YDR363W-A MSTDVAAAQAQSKIDLTKKKNEEINKKSLEEDDEFEDFPIDTWA NGETIKSNAVTQTNIWEENWDDVEVDDDFTNELKAELDRYKRENQ YDR364C MGLVDGYDTSSDSDLNFDEGKSVHEKKNGNLHEDTSYEPSSNNI HKRKSHFTKSELKRRRKTRKGDGPWGSWSSSDDETSQASETQKEDQDIFVHALAEDNL DSEQIEVEEVSHFYGKSEKDYQGRGYLYPPNDVDVDLREERISFRCYLPKKVIRNYPG HPEGTTALKFLPKTGHLILSGGNDHTIKIWDFYHDYECLRDFQGHNKPIKALRFTEDC QSFLSSSFDRSVKIWDTETGKVKTRLHLNSTPADVESRPTNPHEFIVGLSNSKILHYD DRVSENQGLVQTYDHHLSSILALKYFPDGSKFISSSEDKTVRIWENQINVPIKQISDT AQHSMPFLNVHPSQNYFCAQSMDNRIYSFSLKPKYKRHPKKIFKGHSSAGYGISLAFS GDGRYICSGDSKSRLFTWDWNTSRLLNNIKIPGNKPITQVDWHPQETSKVICSGAAGK IYVCD YDR365C MAGENPKKEGVDARFAGIYSDPKFKNTKTKDHKIKLDSRFSKKD LEVQHKSKVDKYGRKIKNAQNNRELEDFDKYFEKEAENDEDSEVNAKTVVDRARGEVP DDYVSSSDEFTSSDSESSGESEVESEEENEVEIENAKPESGDISKNLAVVNLDWDHVK SEDLMITFSSFVPKGGKIERVAIYPSEFGKERMQREEVEGPPKELFQKKNKNKTSKKK KTDDSDSDMDIGIKDLYEEGDADKDVDSRALRQYQLDRLRYYYAIVYCSDTTTSKAIY DNCDGTEYESTANMFDLRYVPDGMTFDDDVRDECSILPKNYRPHQFSTDALQHSSVKL TWDETPADRVEVAKRAFTQKEIDDMDFKAYLASDSDESDGQVDEEAKNKLKSLVGDFG FNSKKETPNDEDEEVDMEITFTPALEGGNEKSSEDKEETTIEKIRRKEKERRKARKQK VKELKQQSEKDKKSKLKSVNKKHTNDEEEIEKNAKSKAELELLMDDDDDTETQGTINN KAHFNMNEILRSEKEKHKKGRYQKKERIVEDTFTPDLEDPRFKEVFEDHDFAIDPTQP EFKGTQAMSKILKERSKRVKNKKRKLGGSENNMTNNADDNEDIGNLVNKLKKKSKSSK KVKV YDR365W-B MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVQYGDFYWV SKRYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNYLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIQQCGMEEVRGWSCVFKNSQVTICLFVDDMVLFSKNL NSNKRIIEKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTKPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSH LVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YDR365W-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YDR366C MVTIGSSSLVLFLFFVVFVQITYTALHRFSRLLCTFFSKIIEEG CVWYNKKHRFPNLYKYIYVYVYILHICFEKYVNVEIIVGIPLLIKAIILGIQNILEVL LKDLGIHKRESAILHNSINIIIIILYVYIH YDR367W MRSSLLTLPKSFLGFMPLYLAVEIVLGISILNKCSGAYGILALF TGHPLDFMQWIAYLWSVFTLIVFSQGLYLIHKPNLLVFSQICVLYTIDTISTCFFTLW FTTQWFTLEDTANIDGNNALQSNPISTGKLTERGIDISKQSATESYEYTMTILITLVS LIFRFYFNFILASFVQELLHHPKYLVDRDDVEQNLKNKPIWKRLWAKSQKGCYKLCKN LLE YDR368W MPATLKNSSATLKLNTGASIPVLGFGTWRSVDNNGYHSVIAALK AGYRHIDAAAIYLNEEEVGRAIKDSGVPREEIFITTKLWGTEQRDPEAALNKSLKRLG LDYVDLYLMHWPVPLKTDRVTDGNVLCIPTLEDGTVDIDTKEWNFIKTWELMQELPKT GKTKAVGVSNFSINNIKELLESPNNKVVPATNQIEIHPLLPQDELIAFCKEKGIVVEA YSPFGSANAPLLKEQAIIDMAKKHGVEPAQLIISWSIQRGYVVLAKSVNPERIVSNFK IFTLPEDDFKTISNLSKVHGTKRVVDMKWGSFPIFQ YDR369C MWVVRYQNTLEDGSISFISCCLQAFKTYSIGRSSKNPLIIKNDK SISRQHITFKWEINNSSDLKHSSLCLVNKGKLTSLNKKFMKVGETFTINASDVLKSTI IELGTTPIRIEFEWINEVWNIPPHLTQFRTMLSEYGISTEISINDIPANLMISDYPKS EDNSIRELYALVSTIPMKKSRFLMELCNTLLPTSKTNLKFDEMWNDMISNPEYNVFDF DPNILLSKFMRLNNIRVLTTIKSEPRLSSLLRTFNINLFAFDNIDSLYKYVDSLEAST EYLILTTTDKKENGKILCTIKTMLTSIIDGTLSAVINMKGASSRTLDNGKFDQISEGM STILKTSRAPEVEASPVVSKKRKLNRRRVLPLDSLDFFAGGLSTKTLSENRSLTDAKR LNCGAESKTVISSPNIAEADEKHAPFLQNALKPTEDIGKKSGHSSPGAIIVSSPNLGT VNTSEDSLDKSLQSHKLPQPSLPEVAGIGSQTISSNSADYETAAVNSMDDAEVTKNFR VNHHQNIEQPSKNIRKLSNYSREISSPLQENCKSPVKELSIKEKSGTPHAFVEAIQET KNREVKRVKSTIVELKDEELSEEAINQLKNLAIVEPSNNLLRKSFDSEGNKTSRTTEK WENSLMEPEWHKRKNFKTFVKVRPKSKAHKEEGKNNTQSSDFIRNAAFLITRNYVPLK KYSKKDTTTKWGTEENEDMFALTEMERFGSNTFMSDNINSNTIQKRSQALNRFTNEDS SNEGEEDSFSFSRCSGTAASVQPLKNKIFITDEDDLGDIDDKSDRLNHRENNRNLFVV KEMNLRPNLSEECSKQSRHSRSATSRSRGSFGASNNGDGDDDDDDGPKFTFKRRKG YDR370C MSTEQDAVLGLAKDLEGINLLTVPNLERGHQSKLCKEKTTSDSS SSRKPSQQRDNYRKRRPKLICIPYTSFLHTGMHNFLTKPPRDIFHESKEVALFTNGRA YTILRKDLIPNLKESIAELYESSLLEAKKRKVPYLGHDLFANIDEFVPMTISELDSVS PCFSYIENWILDNPGKDFKIGKKFTVVTTRHHIVDLTMHLFNRRNRQTSLIVTYMGAG LLSFCRNVKKDSQMSKEGIYSNDPNMKKICYSGFEFENWVTENSKVADLTGSKCPIFS LVESKLSEEIGLLIRCEMDAFNPVSETNTELKCFAPLSMHNSNHRRKLLKTWVQTGLL PNSDIMIGLRDSHSGQLLDIQWYSRDLLCKKFNHPGLPTNKKELNYNAQIAVEWCHYC IEAICKLVEANISDYSSTKPESFEIGIDTNNAIVITKLKTTPRNVELFGM YDR371W MVGHSAQHRSKSSLVSHLLILLIFITIIIEMCLYNKIFKNQRSD DIRDNFNNGGHRVPSNVQNHGTHIRDEAFISGVYYSNWSPYKPRFHFPHDINLKQVSH IYYAFFKINSRTGGIENTDSWSDLEMNLYKSLAIKNSELIKESSNNSVQNILPLGCIG ELFYLKNTCSDKKFKVIMSIGGWSDSENFKIIIKDDKLLQNFVDSSVETMFRLGFDGI DLDWEFPGNNESEPRGYLKLVRMLRLKLNSLESQIFGKRTEDHFQLSIAAPAFKDKLF YLPITEIDQYVDYWNMMTYDYYGSWSETTGYHSNLFSETELNGNFAMHYMIDRFGVNS RKLVLGMAAYGRSFHIKDNKFEPFNQNTVLINKIFKGVGKPTKEIDKADGKEGIWPYK NLPKIGTIEQYDPKYVSAYCFDEKNSIFISYDNTKSVKTKAEYVTHNNLGGGFWWESC GEAYANESRSLINAFNEGLHFNVSSKPSIFQDVRVKKYYLNKYGDGGFLSPYLKHLDS RKQ YDR372C MSTLQRRRVNRADSGDTSSIHSSANNTKGDKIANIAVDGDDDNG TNKKIAYDPEESKLRDNINIPTLTLMEEVLLMGLRDREGYLSFWNDSISYALRGCIII ELALRGKIRILDDSARKRFDLSERLIEVIDSSKTGEVLLDETLQLMKNDEPLSISNWI DLLSGETWNLLKINYQLKQVRERLAKGLVDKGVLRTEMKNFFLFDMATHPIADASCKE AIKRRVLSVLVSRNMELSYNEYFPETTSFKIIRTLALICGSYGANVLENVLTTLEYEK RDKAISRAEEIMAQFSQYPFDLEKETELGVSVNLNKEVKEEIENNPGHDLQLEVIAGV FEVFSRMDMLL YDR373W MGAKTSKLSKDDLTCLKQSTYFDRREIQQWHKGFLRDCPSGQLA REDFVKIYKQFFPFGSPEDFANHLFTVFDKDNNGFIHFEEFITVLSTTSRGTLEEKLS WAFELYDLNHDGYITFDEMLTIVASVYKMMGSMVTLNEDEATPEMRVKKIFKLMDKNE DGYITLDEFREGSKVDPSIIGALNLYDGLI YDR374C MNQIWSTGPPNFYFNSEWKENKRNDRTIEDSLRELDGLIHSLER THIEVQTNPKLKNDVTALNDINKKENKEEITHENYTHQINSIPLTSSNLNRHFSFSRD YNQSDNSNNNYYREYLSKPRYLQQSTKEQTFNEINKRKSAAIIPPWLNIPENSRFFVI KSSSLKHVKRSFYNGIWSSTHFGNKRLSEAYKKLNSGAKVFLFFSINTSGRFCGVAEM VSDLKMDLDTSIWEDEQKYGKAFKVRWVIVRDINNRSLKRFLIPSNEMKPITHSRDTQ EIPYSIGISIINLFKTQDSDIFSFLDETYE YDR374W-A MDTEALANYLLRQLSLDAEENKLEDLLQRQNEDQESSQEYNKKL LLACGFQAILRKILLDARTRATAEGLREVYPYHIEAATQAFLDSQ YDR375C MSDKPIDIQYDKQATPNLSGVITPPTNETGNDSVREKLSKLVGD AMSNNPYFAAGGGLMILGTGLAVARSGIIKASRVLYRQMIVDLEIQSKDKSYAWFLTW MAKHPQRVSRHLSVRTNYIQHDNGSVSTKFSLVPGPGNHWIRYKGAFILIKRERSAKM IDIANGSPFETVTLTTLYRDKHLFDDILNEAKDIALKTTEGKTVIYTSFGPEWRKFGQ PKAKRMLPSVILDSGIKEGILDDVYDFMKNGKWYSDRGIPYRRGYLLYGPPGSGKTSF IQALAGELDYNICILNLSENNLTDDRLNHLMNNMPERSILLLEDIDAAFNKRSQTGEQ GFHSSVTFSGLLNALDGVTSSEETITFMTTNHPEKLDAAIMRPGRIDYKVFVGNATPY QVEKMFMKFYPGETDICKKFVNSVKELDITVSTAQLQGLFVMNKDAPHDALKMVSSLR NANHIF YDR376W MSFVQIRHISSQINRKTVSIVGSGPSGFYTAYHLLKKSPIPLNV TIWEKLPVPFGLSRYGVAPDHPEVKNCEETFTTCAEEFSSPTNQKHKFSFVGGITIGK EILLKELLDNQDAVILSYGCTGDRKLNIPGELGTKGVFSSREFVNWYNGHPDFAKDKR FTDFDWSKVSKVGIIGNGNVALDITRVLISNQIDEIWENTDISSLALNLLRRAPVKDV KLIARRDFVHSKFTNKELRELWELEKYGIRGRIDPKFFQKEMFDPSKYDRAFNRRVEM CSEYLKPFNERSKKNYKKAPPPSSGYDKFWELDYLKTPLKINRDDFGAINSLSLCNNR LNEDNSLQPLKDVNNIMTYKVDLLITSLGYAGVPMPEFSKLSIGFDKDHIANKQGRVL TSSGEIFPHLYASGWIRKGSQGVIASTMQDAFEVGDRVIQDLVVSGALSLENSIDLSN IKHTTWKDWERINKKELLRGKKEHKTRSKFLTFEELWNGVEGI YDR377W MIFKRAVSTLIPPKVVSSKNIGSAPNAKRIANVVHFYKSLPQGP APAIKANTRLARYKAKYFDGDNASGKPLWHFALGIIAFGYSMEYYFHLRHHKGAEEH YDR378C MSGKASTEGSVTTEFLSDIIGKTVNVKLASGLLYSGRLESIDGF MNVALSSATEHYESNNNKLLNKFNSDVFLRGTQVMYISEQKI YDR379W MSADPINDQSSLCVRCNKSIASSQVYELESKKWHDQCFTCYKCD KKLNADSDFLVLDIGTLICYDCSDKCTNCGDKIDDTAIILPSSNEAYCSNCFRCCRCS NRIKNLKYAKTKRGLCCMDCHEKLLRKKQLLLENQTKNSSKEDFPIKLPERSVKRPLS PTRINGKSDVSTNNTAISKNLVSSNEDQQLTPQVLVSQERDESSLNDNNDNDNSKDRE ETSSHARTVSIDDILNSTLEHDSNSIEEQSLVDNEDYINKMGEDVTYRLLKPQRANRD SIVVKDPRIPNSNSNANRFFSIYDKEETDKDDTDNKENEIIVNTPRNSTDKITSPLNS PMAVQMNEEVEPPHGLALTLSEATKENNKSSQGIQTSTSKSMNHVSPITRTDTVEMKT STSSSTLRLSDNGSFSRPQTADNLLPHKKVAPSPNKKLSRSFSLKSKNFVHNLKSKTS EMLDPKHPHHSTSIQESDTHSGWGVSSTHTNIRKSKAKKNPVSRGQSDSTIYNTLPQH GNFTVPEFNHKKAQSSLGSISKKQNSNDTATNRRINGSFTSSSSGHHIAMFRTPPLES GPLFKRPSLSSESAHHRSSSLQTSRSTNALLEDDSTKVDATDESATSLEKDFYFTELT LRKLKLDVRELEGTKKKLLQDVENLRLAKERLLNDVDNLTREKDKQSASSRESLEQKE NIATSITVKSPSSNSDRKGSISNASPKPRFWKIFSSAKDHQVGDLESQQRSPNSSSGG TTNIAQKEISSPKLIRVHDELPSPGKVPLSPSPKRLDYTPDGSHLYGSSLQARCAYEK STVPIIIRCCIDRIEKDDIGLNMEGLYRKSGSQTLVEEIENEFAQNNSLHSDTLSPKL NALLNQDIHAVASVLKRYLRKLPDPVLSFSIYDALIDLVRNNQLIERLPLNNDKFLDS PQKVTIYEMVLKSLLEIFKILPVEHQEVLKVLAAHIGKVRRCSERNLMNLHNLSLVFA PSLIHDFDGEKDIVDMKERNYIVEFILGNYRDIFKQA YDR379C-A MPKRLSGLQKEVLHLYRASIRTAHTKPKENQVNFVNYIHEEFGK YRNLPRKDFTTIEHLLRVGNKKIATFSHPELTNIH YDR380W MAPVTIEKFVNQEERHLVSNRSATIPFGEYIFKRLLSIDTKSVF GVPGDFNLSLLEYLYSPSVESAGLRWVGTCNELNAAYAADGYSRYSNKIGCLITTYGV GELSALNGIAGSFAENVKVLHIVGVAKSIDSRSSNFSDRNLHHLVPQLHDSNFKGPNH KVYHDMVKDRVACSVAYLEDIETACDQVDNVIRDIYKYSKPGYIFVPADFADMSVTCD NLVNVPRISQQDCIVYPSENQLSDIINKITSWIYSSKTPAILGDVLTDRYGVSNFLNK LICKTGIWNFSTVMGKSVIDESNPTYMGQYNGKEGLKQVYEHFELCDLVLHFGVDINE INNGHYTFTYKPNAKIIQFHPNYIRLVDTRQGNEQMFKGINFAPILKELYKRIDVSKL SLQYDSNVTQYTNETMRLEDPTNGQSSIITQVHLQKTMPKFLNPGDVVVCETGSFQFS VRDFAFPSQLKYISQGFFLSIGMALPAALGVGIAMQDHSNAHINGGNVKEDYKPRLIL FEGDGAAQMTIQELSTILKCNIPLEVIIWNNNGYTIERAIMGPTRSYNDVMSWKWTKL FEAFGDFDGKYTNSTLIQCPSKLALKLEELKNSNKRSGIELLEVKLGELDFPEQLKCM VEAAALKRNKK YDR381W MSANLDKSLDEIIGSNKAGSNRARVGGTRGNGPRRVGKQVGSQR RSLPNRRGPIRKNTRAPPNAVARVAKLLDTTREVKVNVEGLPRDIKQDAVREFFASQV GGVQRVLLSYNERGQSTGMANITFKNGELARRAVERFNGSPIDGGRSRLRLNLIVDPN QRPVKSLADRIKAMPQKGGNAPRPVKRGPNRKAAMAKSQNKPKREKPAKKSLEDLDKE MADYFEKK YDR381C-A MSNPFQNIGKNLLYISAAGIASIYVVKTIVKARRDAKFIPKARG NNGEVNEKNYYDNLAQVKPGFPIPKDGGDNIDCSEDHQLVRKSKYEGSGLSAVTRKRG DKLGFLDRRRNE YDR382W MKYLAAYLLLVQGGNAAPSAADIKAVVESVGAEVDEARINELLS SLEGKGSLEEIIAEGQKKFATVPTGGASSAAAGAAGAAAGGDAAEEEKEEEAKEESDD DMGFGLFD YDR383C MTDTYNSISNFIENELTALLSSDDYLMDDLAGELPNEVCRLLKA QVIEKRKDAMSRGKQDLLSKEIYDNESELRASQSQQIMELVGDIPKYSLGSELRNRVE GEPQSTSIERLIEDVLKLPQMEVADEEEVEVENDLKVLSEYSNLRKDLILKCQALQIG ESKLSDILSQTNSINSLTTSIKEASEDDDISEYFATYNGKLVVALEEMKLLLEEAVKT FGNSPEKREKIKKILSELKK YDR384C MTSSASSPQDLEKGVNTLENIETLPQQGSIAGVSQGFPNIQEIY SDRDFITLGSSTYRRRDLLNALDRGDGEEGNCAKYTPHQFANPVPLGLASFSLSCLVL SLINANVRGVTDGKWALSLFMFFGGAIELFAGLLCFVIGDTYAMTVFSSFGGFWICYG YGLTDTDNLVSGYTDPTMLNNVIGFFLAGWTVFTFLMLMCTLKSTWGLFLLLTFLDLT FLLLCIGTFIDNNNLKMAGGYFGILSSCCGWYSLYCSVVSPSNSYLAFRAHTMPNAP YDR385W MVAFTVDQMRSLMDKVTNVRNMSVIAHVDHGKSTLTDSLVQRAG IISAAKAGEARFTDTRKDEQERGITIKSTAISLYSEMSDEDVKEIKQKTDGNSFLINL IDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVVINKV DRALLELQVSKEDLYQTFARTVESVNVIVSTYADEVLGDVQVYPARGTVAFGSGLHGW AFTIRQFATRYAKKFGVDKAKMMDRLWGDSFFNPKTKKWTNKDTDAEGKPLERAFNMF ILDPIFRLFTAIMNFKKDEIPVLLEKLEIVLKGDEKDLEGKALLKVVMRKFLPAADAL LEMIVLHLPSPVTAQAYRAEQLYEGPADDANCIAIKNCDPKADLMLYVSKMVPTSDKG RFYAFGRVFAGTVKSGQKVRIQGPNYVPGKKDDLFIKAIQRVVLMMGRFVEPIDDCPA GNIIGLVGIDQFLLKTGTLTTSETAHNMKVMKFSVSPVVQVAVEVKNANDLPKLVEGL KRLSKSDPCVLTYMSESGEHIVAGTGELHLEICLQDLEHDHAGVPLKISPPVVAYRET VESESSQTALSKSPNKHNRIYLKAEPIDEEVSLAIENGIINPRDDFKARARIMADDYG WDVTDARKIWCFGPDGNGPNLVIDQTKAVQYLHEIKDSVVAAFQWATKEGPIFGEEMR SVRVNILDVTLHADAIHRGGGQIIPTMRRATYAGFLLADPKIQEPVFLVEIQCPEQAV GGIYSVLNKKRGQVVSEEQRPGTPLFTVKAYLPVNESFGFTGELRQATGGQAFPQMVF DHWSTLGSDPLDPTSKAGEIVLAARKRHGMKEEVPGWQEYYDKL YDR386W MELSSNLKDLYIEWLQELVDGLTPKQEQLKIAYEKAKRNLQNAE GSFYYPTDLKKVKGIGNTIIKRLDTKLRNYCKIHHISPVEAPSLTQTSSTRPPKRTTT ALRSIVNSCENDKNEAPEEKGTKKRKTRKYIPKKRSGGYAILLSLLELNAIPRGVSKE QIIEVAGKYSDHCMTPNFSTKEFYGAWSSIAALKKHSLVLEEGRPKRYSLTEEGVELT KSLKTADGISFPKENEEPNEYSVTRNESSEFTANLTDLRGEYGKEEEPCDINNTSFML DITFQDLSTPQRLQNNVFKNDRLNSQTNISSHKLEEVSDDQTVPDSALKAKSTIKRRR YNGVSYELWCSGDFEVFPIIDHREIKSQSDREFFSRAFERKGMKSEIRQLALGDIIWV AKNKNTGLQCVLNTIVERKRLDDLALSIRDNRFMEQKNRLEKSGCEHKYYLIEETMSG NIGNMNEALKTALWVILVYYKFSMIRTCNSDETVEKIHALHTVISHHYSQKDLIVIFP SDLKSKDDYKKVLLQFRREFERKGGIECCHNLECFQELMGKGDLKTVGELTIHVLMLV KGISLEKAVAIQEIFPTLNKILMAYKTCSSEEEAKLLMFNVLGDAPGAKKITKSLSEK IYDAFGKL YDR387C MSTDESEDVYSDLYSIISQVTSNTANDIEQLPYALTFKTSLIFV GATIGGLLFGYDTGVISGVLLSLKPEDLSLVVLTDVQKELITSSTSVGSFFGSILAFP LADRYGRRITLAICCSIFILAAIGMAIARTLTFLICGRLLVGIAVGVSAQCVPLFLSE ISPSRIRGFMLTLNIIAITGGQLVSYVIASLMKEIDNSWRYLFALSAIPAILFLSILD FIPESPRWSISKGDILYTRDSLRMLYPTASTYHVNSKIKQLIIELDKLRLYEDASEPL LVQSQSVIRYMDSSTSGTLSPPNIKRLSSNTERTSNTMSSSSAYLSALRGPAPNGALA SNKKKRHRMEPRTIRALIVGCMLMFFQQITGFNAFMYYAAIIFSKFNIKNPLLPPILI ASTNFIFTFFAMYTMDSLGRRAILLRTILIMTVGLLLCSVGFGHDQVNLLLISVVIYV AAYASAMGSVPWTCVEFLPLNRRSFGASCIACTNWLTNAFVSMTYLSTINTIGDENTM LIFAFFTVCAWFFVYFWYPEVKGLSLEEVGRVFDNGIDVHYVFRTYH YDR388W MSFKGFTKAVSRAPQSFRQKFKMGEQTEDPVYEDAERRFQELEQ ETKKLSEESKRYSTAVNGMLTHQIGFAKSMEEIFKPISGKMSDPNATIPEDNPQGIEA SEQYRAIVAELQETLKPDLALVEEKIVTPCQELLKIITYIRKMATKRNHKKLDLDRHL NTYNKHEKKKEPTAKDEERLYKAQAQVEVAQQEYDYYNDLLKTQLPILFSLEAEFVKP LFVSFYFMQLNIFYTLYNRLQDMKIPYFDLNSDIVESYIAKKGNVEEQTDALTITHFK LGYSKAKLEMTRRKYGVATAEGSPVSGASSGVGYGAGYDPATATSPTPTGYGYGAAAP SYAAQPAAQYGTAAAVGTAAAVGTAAGAAAGAVPGTYPQYAAAQSPPLTGLGFQQSPQ QQQGPPPAYSNPLTSPVAGTPAAAVAAAPGVETVTALYDYQAQAAGDLSFPAGAVIEI VQRTPDVNEWWTGRYNGQQGVFPGNYVQLNKN YDR389W MPNNTLKQGSKIENVSPSKGHVPSFWKQFINNPKSMSSENITVP RSPTSLSRNAQPTTLKRPPLSSRPYSYNTPTKDRKSFSKSAKQNNNNNNANSGTSPHA EFKNYRDMFLSNRNGFTGRVFGVTLAESLSVASAEVIVQSELVSFGRIPIVVAKCGAY LKANGLETSGIFRIAGNGKRVKALQYIFSSPPDYGTKFNDWETYTVHDVASLLRRYLN NLAEPLIPLSLYEQFRNPLRSRPRILRHMLTHEVSHPNANKTNNVTVKSSRQNYNDDG ANDGDIEKEDAKDDEEKRRRKIRHKRRLTRDIRAAIKEYEELFVTLSNDTKQLTIYLL DLLSLFARQSQFNLMSGRNLAAIFQPSILSHPQHDMDPKEYELSRLVVEFLIEYSYKL LPHLLKLAKREQQERLSTENKKNNGDKQKTDPIEIPKITSSDSPPIVSSNKNPPAIDN NNKLDHTTLSPISTSIPENSSDLQTSKMLKPPKQRRPHSKSFGSTPVPPDVIASNKRR TSLFPWLHKPGILSDTGDNGDLTATEAEGDDYEEENVDPYGQSPSSVHSGSLPKQHYL PIPRMNRSLSGNSTNSSFNTRPISMILTSGNDNSADQLELLSNTHSNNERSNALPLTE DDGDERNSRSRKRESWFQRLTSRSGSANRA YDR390C MPRETSLVTIIGEDSYKKLRSSRCLLVGAGGIGSELLKDIILME FGEIHIVDLDTIDLSNLNRQFLFRQKDIKQPKSTTAVKAVQHFNNSKLVPYQGNVMDI STFPLHWFEQFDIIFNALDNLAARRYVNKISQFLSLPLIESGTAGFDGYMQPIIPGKT ECFECTKKETPKTFPVCTIRSTPSQPIHCIVWAKNFLFNQLFASETSGNEDDNNQDWG TDDAEEIKRIKQETNELYELQKIIISRDASRIPEILNKLFIQDINKLLAIENLWKTRT KPVPLSDSQINTPTKTAQSASNSVGTIQEQISNFINITQKLMDRYPKEQNHIEFDKDD ADTLEFVATAANIRSHIFNIPMKSVFDIKQIAGNIIPAIATTNAIVAGASSLISLRVL NLLKYAPTTKYTDLNMAFTAKASNLSQNRYLSNPKLAPPNKNCPVCSKVCRGVIKLSS DCLNKMKLSDFVVLIREKYSYPQDISLLDASNQRLLFDYDFEDLNDRTLSEINLGNGS IILFSDEEGDTMIRKAIELFLDVDDELPCNTCSLPDVEVPLIKANNSPSKNEEEEKNE KGADVVATTNSHGKDGIVILDDDEGEITIDAEPINGSKKRPVDTEISEAPSNKRTKLV NEPTNSDIVELD YDR391C MSFENKLPTPLENNDAKGHMVCTLNKTTDARRAAETLSIAFSNS PAFHFICKKILNIPLAEKVPTRTITTDIISPFLDSPYGEISEVNTFDAVAVWSLPPHV PKARSNDAKFNKDFIDDLNARVKQVIPNGINYYYLFCIGKNLNEKGIRGSVRTIFEEY KRRADEENCAIVLEAIAEHAKSVYEYFGFRNYMTFKYGECEVDSNGNCDPNGEGFTAY LMIYHKDGNKVLKE YDR392W MMDKHKYRVEIQQMMFVSGEINDPPVETTSLIEDIVRGQVIEIL LQSNKTAHLRGSRSILPEDVIFLIRHDKAKVNRLRTYLSWKDLRKNAKDQDASAGVAS GTGNPGAGGEDDLKKAGGGEKDEKDGGNMMKVKKSQIKLPWELQFMFNEHPLENNDDN DDMDEDEREANIVTLKRLKMADDRTRNMTKEEYVHWSDCRQASFTFRKNKRFKDWSGI SQLTEGKPHDDVIDILGFLTFEIVCSLTETALKIKQREQVLQTQKDKSQQSSQDNTNF EFASSTLHRKKRLFDGPENVINPLKPRHIEEAWRVLQTIDMRHRALTNFKGGRLSSKP IIM YDR393W MLRYYGATRNLPLVFSINKLMLRASSFTRPFHYSSYSLQNGDTP DKGSTNKNEIRTPNNAVWKENIELQWQHLKKKLNELYSRFNFHRDQLSFQVNKAKKSI QEANRKLSEQENEINDSRLNYNKDELTSAKIEGLPSEREQHRKKWSRKLEFYFDSLQE TLFTATRALNDVTGYSGIQKLKSSISLMEKKLEATKKEHKLFKAQYANAIDERAQSQR EVNELLQRQSAWSSSDLERFTQLYKNDALNARQEQELKNKVKEIESKEEQLNDDLYRA ILTRYHEEQIWSDKIRRTSTWGTFILMGMNIFLFIVLQLLLEPWKRKRLVGSFEDKVK SALNEYAKEQNMKMDKLLPGKSSEVTDQGNTENSIVEEHIEQRGECKINTAEIDRPEV ATAETTTTEMKSFRDIWERIKALFVTLKSIQYRKLDAPLVFDTLEFYLYSISLVSMTI LVSGLI YDR394W MEELGIVTPVEKAVEEKPAVKSYASLLAQLNGTVNNNSALSNVN SDIYFKLKKLEKEYELLTLQEDYIKDEQRHLKRELKRAQEEVKRIQSVPLVIGQFLEP IDQNTGIVSSTTGMSYVVRILSTLDRELLKPSMSVALHRHSNALVDILPPDSDSSISV MGENEKPDVTYADVGGLDMQKQEIREAVELPLVQADLYEQIGIDPPRGVLLYGPPGTG KTMLVKAVANSTKAAFIRVNGSEFVHKYLGEGPRMVRDVFRLARENAPSIIFIDEVDS IATKRFDAQTGSDREVQRILIELLTQMDGFDQSTNVKVIMATNRADTLDPALLRPGRL DRKIEFPSLRDRRERRLIFGTIASKMSLAPEADLDSLIIRNDSLSGAVIAAIMQEAGL RAVRKNRYVILQSDLEEAYATQVKTDNTVDKFDFYK YDR395W MVQEQAILSCIEQTMVADAKIIKEAEQQLFEFQKQPGFTSFLLN IVSDDNFALNVRLSSAIYLKNKIHRSWDTKREDGIKADEKLSIKERLIETLVKNCENN HIRPILTETINGILVGQEDWDLAPIIKNLLSSGDASYIYPGLLLLFQLCKAHRWDMVG SRDYIDSVIEELFPIVEGIASNIGSQTDYRSNEILYLILKSFKYACLNNLPQYFSQPE RIMSWVQLHLYLCSKPLPVEVMELDPADRSLDKRVKVNKWGFGNLNRFLQRYNKITKA ITKEFIDYIFNTIVPIILREFFKDIEAWGNNSLWLSDSSLYFLISFLEKCVTIDQLYP LIEPHLQIIFENVIFPCLCANEQSIELLEDDQEEYTRRYFDINREGSTPDAASADFIF LIGSKRPEKLNNILPFINDIFTRFDANSSDINMAFKEEGALRTLSNLFSFIDEPSVLE NIFGHFIVPLLSQDKYMFLVARSLETIALYSEEFKDMNILSQLFELTYTNFLNSNVLP VQIEAADAIKCLIVSNPQIHPAVSAHVPGMMEKLLKLSKIFEIDILSEVMEALVERFS DELSPFAKDLASNLVEQFLRIAQALVENPSETYSASDQEQEIQASGLLQTMTTMVMSM NKVPLIESLAPVVKFVVLHAQISFITEAVDLLDALTISSHLLYNQIAPPIWELLHDIL DSFQTYAMDYFEAYSIFFETIVMTGFPQDQTYVQPLLEILSAKLESEVDYDIEHVMQI LMYFALSMRDIPLFSKAIKVSTNDELGLDSKCIVKLGLANLFAKPIETLQIMENEGFT INFFTNWFNEKFYSVFAIKLQVLVILTLLKMPEVPNSVSPLLNNLTNKLVELTLSLPK AIRNRDAVTEGKSLEGDLTPEEEEEYFIECDDDMKETVLDQINVFQEVHTFFKNLQNE DAGKYEKIINYLDESKRDSLQVILEFVSQH YDR397C MAGDSDNVSLPKATVQKMISEILDQDLMFTKDAREIIINSGIEF IMILSSMASEMADNEAKKTIAPEHVIKALEELEYNEFIPFLEEILLNFKGSQKVKETR DSKFKKSGLSEEELLRQQEELFRQSRSRLHHNSVSDPVKSEDSS YDR398W MDSPVLQSAYDPSGQYLCYVTVALDKQRVGVQPTQRATSSGVDT VWNENFLYLEDSKLKVTCLKWVNLASSDTVAIILGMNNGEIWLYSVLANEVTYKFTTG NSYEIKDIDLMGNQLWCIDSSDAFYQFDLLQFKLLQHFRINNCVQLNKLTIVPAGDSV AQLLVASHSISLIDIEEKKVVMTFPGHVSPVSTLQVITNEFFISGAEGDRFLNVYDIH SGMTKCVLVAESDIKELSHSGQADSIAVTTEDGSLEIFVDPLVSSSTKKRGNKSKKSS KKIQIVSKDGRKVPIYNAFINKDLLNVSWLQNATMPYFKNLQWREIPNEYTVEISLNW NNKNKSADRDLHGKDLASATNYVEGNARVTSGDNFKHVDDAIKSWERELTSLEQEQAK PPQANELLTETFGDKLESSTVARISGKKTNLKGSNLKTATTTGTVTVILSQALQSNDH SLLETVLNNRDERVIRDTIFRLKPALAVILLERLAERIARQTHRQGPLNVWVKWCLII HGGYLVSIPNLMSTLSSLHSTLKRRSDLLPRLLALDARLDCTINKFKTLNYEAGDIHS SEPVVEEDEDDVEYNEELDDAGLIEDGEESYGSEEEEEGDSDNEEEQKHTSSKQDGRL ETEQSDGEEEAGYSDVEME YDR399W MSANDKQYISYNNVHQLCQVSAERIKNFKPDLIIAIGGGGFIPA RILRTFLKEPGVPTIRIFAIILSLYEDLNSVGSEVEEVGVKVSRTQWIDYEQCKLDLV GKNVLIVDEVDDTRTTLHYALSELEKDAAEQAKAKGIDTEKSPEMKTNFGIFVLHDKQ KPKKADLPAEMLNDKNRYFAAKTVPDKWYAYPWESTDIVFHTRMAIEQGNDIFIPEQE HKQ YDR400W MTVSKIPIWLDCDPGHDDAIAILLGCFHPAFNLLGISTCFGNAP PENTDYNARSLLTAMGKAQAIPVYKGAQRPWKREPHYAPDIHGISGLDGTSLLPKPTF EARTDKTYIEAIEEAILANNGEISFVSTGALTTLATVFRCKPYLKKSVKYISIMGGGL HGLGNCNPNLSAEFNVWIDPDAANYIFRDPDVKDKCIVVPLNLTHKAIATYKVNEMIY NEKNNSKLRELFLELFQFFAHTYKDMQGFESGPPIHDPVALMPLLEFYGWDPSSAVGF RYKRMDISCIDDVFNENSGKIIIEKEYPNDSDVGTIIGLDLNIQYFWDQIFEALNRAD KMSTIG YDR402C MELLKLLCLILFLTLSYVAFAIIVPPLNFPKNIPTIPFYVVFLP VIFPIDQTELYDLYIRESMEKYGAVKFFFGSRWNILVSRSEYLAQIFKDEDTFAKSGN QKKIPYSALAAYTGDNVISAYGAVWRNYRNAVTNGLQHFDDAPIFKNAKILCTLIKNR LLEGQTSIPMGPLSQRMALDNISQVALGFDFGALTHEKNAFHEHLIRIKKQIFHPFFL TFPFLDVLPIPSRKKAFKDVVSFRELLVKRVQDELVNNYKFEQTTFAASDLIRAHNNE IIDYKQLTDNIVIILVAGHENPQLLFNSSLYLLAKYSNEWQEKLRKEVNGITDPKGLA DLPLLNAFLFEVVRMYPPLSTIINRCTTKTCKLGAEIVIPKGVYVGYNNFGTSHDPKT WGTTADDFKPERWGSDIETIRKNWRMAKNRCAVTGFHGGRRACLGEKLALTEMRISLA EMLKQFRWSLDPEWEEKLTPAGPLCPLNLKLKFNENIME YDR403W MTFTSNLPSSSEQSISPPASSFSSSTDTLKDIDIPHNGADLSTY SKFLALYCRSDKCDDFYSLEEKQNCKFGDQWLDFINTIHNLDFSESEVSGRVSERILP ASLANKFTNNLGVAIKISEYTRDDERQIRGCVTTVENENSFNNWFVYHILDQSQLSLS EHPIVTKEVKYHELFADFFEKNLKNTIVNDQWNFGGRDYFIERSRYFTDRYLRIECIL PAFPCKSSNEQKVYGSVPDKGEELALKRLIKATQDLVKIYPPGMKIWIVSDGHVFSDC IGVDDDVVSTYTTKLHELYKRVAIPGVDAIGFCGLNDLFFSGAASKVFDPKWVSDVEV AHYTGTQICPKSDLSRQILMKGCDTDAGRLRKQIAIEGHPRLHLYRGFSRFMMEDLSL LEHFQSYSRKKFKKIISMIAFNMIKRNDAYSNLVELIFPHHLRISIHAHTNSGPKFGI KVISNEQCSIVSSLEDLDEPKFEDFLHIPTPWHNCVVKVEDEKEKYFLTKSKVVKEAL EKGMYDGVWKDTRFDIGEGGHFVIKKIS YDR404C MFFIKDLSLNITLHPSFFGPRMKQYLKTKLLEEVEGSCTGKFGY ILCVLDYDNIDIQRGRILPTDGSAEFNVKYRAVVFKPFKGEVVDGTVVSCSQHGFEVQ VGPMKVFVTKHLMPQDLTFNAGSNPPSYQSSEDVITIKSRIRVKIEGCISQVSSIHAI GSIKEDYLGAI YDR405W MPRLTVGTKNMLYPLQKTLAVGSCKPEQVPIRSLASVVESSSKI LDKSGSDREVDINVSEKIYKWTKAGIEQGKEHFKVGGNKVYFPKARIILLRPNAKHTP YQAKFIVPKSFNKLDLRDYLYHIYGLRAMNITTQLLHGKFNRMNLQTTRFREPQIKKM TIEMEEPFIWPEEPRPDENSFWDSTTPDNMEKYREERLNCLGSDANKPGTAFDGVVGP YERVAQPFIPRFLKREIDNKRERHAAELQRADKLIALNRYIEDLH YDR406W MSSDIRDVEERNSRSSSSSSSSNSAAQSIGQHPYRGFDSEAAER VHELARTLTSQSLLYTANSNNSSSSNHNAHNADSRSVFSTDMEGVNPVFTNPDTPGYN PKLDPNSDQFSSTAWVQNMANICTSDPDFYKPYSLGCVWKNLSASGDSADVSYQSTFA NIVPKLLTKGLRLLKPSKEEDTFQILKPMDGCLNPGELLVVLGRPGSGCTTLLKSISS NSHGFKIAKDSIVSYNGLSSSDIRKHYRGEVVYNAESDIHLPHLTVYQTLFTVARMKT PQNRIKGVDREAYANHVTEVAMATYGLSHTRDTKVGNDLVRGVSGGERKRVSIAEVAI CGARFQCWDNATRGLDSATALEFIRALKTQADIGKTAATVAIYQCSQDAYDLFDKVCV LDDGYQLYFGPAKDAKKYFQDMGYYCPPRQTTADFLTSITSPTERIISKEFIEKGTRV PQTPKDMAEYWLQSESYKNLIKDIDSTLEKNTDEARNIIRDAHHAKQAKRAPPSSPYV VNYGMQVKYLLIRNFWRMKQSASVTLWQVIGNSVMAFILGSMFYKVMKKNDTSTFYFR GAAMFFAILFNAFSCLLEIFSLYETRPITEKHRTYSLYHPSADAFASVLSEMPPKLIT AVCFNIIFYFLVDFRRNGGVFFFYFLINVIATFTLSHLFRCVGSLTKTLQEAMVPASM LLLAISMYTGFAIPKTKILGWSIWIWYINPLAYLFESLMINEFHDRRFPCAQYIPAGP AYQNITGTQRVCSAVGAYPGNDYVLGDDFLKESYDYEHKHKWRGFGIGMAYVVFFFFV YLILCEYNEGAKQKGEMVVFLRSKIKQLKKEGKLQEKHRPGDIENNAGSSPDSATTEK KILDDSSEGSDSSSDNAGLGLSKSEAIFHWRDLCYDVPIKGGQRRILNNVDGWVKPGT LTALMGASGAGKTTLLDCLAERVTMGVITGNIFVDGRLRDESFPRSIGYCQQQDLHLK TATVRESLRFSAYLRQPSSVSIEEKNRYVEEVIKILEMQQYSDAVVGVAGEGLNVEQR KRLTIGVELAARPKLLVFLDEPTSGLDSQTAWDTCQLMRKLATHGQAILCTIHQPSAI LMQQFDRLLFLQKGGQTVYFGDLGEGCKTMIDYFESKGAHKCPPDANPAEWMLEVVGA APGSHATQDYNEVWRNSDEYKAVQEELDWMEKNLPGRSKEPTAEEHKPFAASLYYQFK MVTIRLFQQYWRSPDYLWSKFILTIFNQVFIGFTFFKADRSLQGLQNQMLSIFMYTVI FNPILQQYLPSFVQQRDLYEARERPSRTFSWLAFFLSQIIVEIPWNILAGTIAYCIYY YAVGFYANASAAGQLHERGALFWLFSIAFYVYIGSMGLLMISFNEVAETAAHMGTLLF TMALSFCGVMATPKVMPRFWIFMYRVSPLTYMIDALLALGVANVDVKCSNYEMVKFTP PSGTTCGDYMASYIKLAGTGYLSDPSATDICSFCAVSTTNAFLATFSSHYYRRWRNYG IFICYIAFDYIAATFLYWLSRVPKKNGKISEKPKK YDR407C MNILKHFPSYVGPSKIRTLVIPIGHWTRKEFNNAVQKLSEFNEI HLSDVTPIDSPIFTPQGFPHGKLFFDFLTIDHDDALELFLYDFEPFRKTFVIIGLVND YSDPLTNLNFMKEKYPTLISPNLVYASSTPTKELEQTIDTMENVFASSPDMQKNIETI MCDIARNFLTALNSYYSSYKHVTLRSPGAIGGNAVLKTTLIRQNSYTSSSSSTPMSAV QSSVSSSSKAGSVTTASKRLSSFEMTTNSLKRSASLKLATTLSTSENRSQQKSLGRQM KILGNFQLLAGRYVDALNSFVDAITTLYKVRDYLWLGSALDGISICFLLLSYLGLSYQ IPQIVSLICPVEKLNFESSSTGISPVDSNSKATASTTASSTPRNSISIAAMQSPRNSI MSLSAPALNIDVENINLPLLIKCISDKVLYYYDLSLMHNSEYAPQVVYCEFLLKTLTF MTSCYKSSEFSKDVLDNIVKNQHRALSDIPNSPMFPRFEVYFYSNKLFELQLKEMQVE AQIKIYSTMAEVYRLLGYKRKQLFVLRLLMVALLATPNKIAWHPDYRTLIDTIIELLN INESEAKINVDDPSQSTWLILQKKILQLCIKVSRKINDFEYVAKFSSILITKYTHLLN QSEQDALFKEYIQPSITNESITSYWDPFILREVVINRILDSDPTSNEIPLESDVSSLE SLENRQKTQDINPQEVFNPFKRVQPTSFVSNNSTKVPILVFLVGDKAEFTCRVQNPFK FDFTINDIQLDEEISEFCEIDRKAVSYSGPYNVKAESIRSITLPLIIKKPTYKKIYEI SCLKISILKLPLQKFDIINDSRRSNPVEEEAEYSKCIYGKLKIKILPEQPQLELLSTS KMTRNSWMMLDGTKTDFHITVRNKSLSCAINHIKIIPMNNIEQMLKPDYWKKMPPDDL YIMEKQLDWLSKSCVRIIKLPTVIKPNETITFDLELDNTAVPFNFTGFDLLIEYGMSA TDESCIYLKKLSIPYEVTLRRTIEVPSMDIIPLNELFSSQVENVDWIEYVMSKIRAES NLHSRDFILLLLDFRNSWIDGIKLNVQFEDFTSNEYHVEASHTSRIIVPIKKIDYKKY NFENTPIPRIYPGRQFIQSGLNEEQTIEMRQKFWCREHIISKLKCNWKLTTDQSVTGS VDFNKFIEKFDHKMVYTIYPGRLFYGVQLLLDEPKVKVGEIINLKIITEPTSTCRRKQ NSTVNFLDIVIFDSKTSKILPRSNRRILYNGSLTKPISTTKVSEINLEIIPIEKGRYE FSVCISKSNNQDGIIQFDSENVILSVI YDR408C MARIVVLISGSGSNLQALIDAQKQGQLGEDAHIVSVISSSKKAY GLTRAADNNIPTKVCSLYPYTKGIAKEDKAARAKARSQFENDLAKLVLEEKPDVIICA GWLLILGSTFLSQLQSVPILNLHPALPGCFDGTTHAIEMAWRKCQDENKPLTAGCMVH YVIEEVDKGEPLVVKKLEIIPGEETLEQYEQRVHDAEHIAIVEATYKVLQQLHK YDR409W MINLEDYWEDETPGPDREPTNELRNEVEETITLMELLKVSELKD ICRSVSFPVSGRKAVLQDLIRNFLQNALVVGKSDPYRVQAVKFLIERIRKNEPLPVYK DLWNALRKGTPLSAITVRSMEGPPTVQQQSPSVIRQSPTQRRKTSTTSSTSRAPPPTN PDASSSSSSFAVPTIHFKESPFYKIQRLIPELVMNVEVTGGRGMCSAKFKLSKADYNL LSNPNSKHRLYLFSGMINPLGSRGNEPIQFPFPNELRCNNVQIKDNIRGFKSKPGTAK PADLTPHLKPYTQQNNVELIYAFTTKEYKLFGYIVEMITPEQLLEKVLQHPKIIKQAT LLYLKKTLREDEEMGLTTTSTIMSLQCPISYTRMKYPSKSINCKHLQCFDALWFLHSQ LQIPTWQCPVCQIDIALENLAISEFVDDILQNCQKNVEQVELTSDGKWTAILEDDDDS DSDSNDGSRSPEKGTSVSDHHCSSSHPSEPIIINLDSDDDEPNGNNPHVTNNHDDSNR HSNDNNNNSIKNNDSHNKNNNNNNNNNNNNNDNNNSIENNDSNSNNKHDHGSRSNTPS HNHTKNLMNDNDDDDDDRLMAEITSNHLKSTNTDILTEKGSSAPSRTLDPKSYNIVAS ETTTPVTNRVIPEYLGNSSSYIGKQLPNILGKTPLNVTAVDNSSHLISPDVSVSSPTP RNTASNASSSALSTPPLIRMSSLDPRGSTVPDKTIRPPINSNSYTASISDSFVQPQES SVFPPREQNMDMSFPSTVNSRFNDPRLNTTRFPDSTLRGATILSNNGLDQRNNSLPTT EAITRNDVGRQNSTPVLPTLPQNVPIRTNSNKSGLPLINNENSVPNPPNTATIPLQKS RLIVNPFIPRRPYSNVLPQKRQLSNTSSTSPIMGTWKTQDYGKKYNSG YDR410C MHQDFQEDEHEYPDIRRNPLHEVTMTSYILGILLGIFVGLFPQI RFKNFNLFIIALSLFHFLEYYITAKYNPLKVHSESFLLNNGKSYMAAHSFAILECLVE SFLFPDLKIFSYSLATKLCTVLGCLLVILGQYTRTIAMHTAGHSFSHIVKTKKESDHV LVKTGVYSWSRHPSYLGFFWWAIGTQLLLLNPLSLVIFIFVLWKFFSDRIRVEEKYLI EFFSAEYIEYKNKVGVGIPFI YDR411C MAGPRNVRTLHGNGGRNNDVMGPKEFWLNIPPITRTLFTLAIVM TIVGRLNLINPWYFIYVWNLTFKKVQIWRLLTSCVMLSSRAMPALMELYSIYDRSSQL ERGHFGPGLSNRRGPMVTVDYAYYLCFCILAITTATTIIYGSYYPVVLTSGFISCITY TWSIDNANVQIMFYGLIPVWGKYFPLIQLFISFVFNEGDFVISLIGFTTGYLYTCLDT HTLGPIWGMISRKADPTYGISPNGKFSTPWWFTSLYARITGAHNETATFNNNFANVPS SQRETRTFSGRGQRLGTAPATLSQTSGTDSGRASGSQLRSGPSNLNQFQGRGQRVGQT NSPSDSQ YDR412W MAVHTNRQILTRGKNYATKQSKKFGTDEVTFDKDSRLDYLTGFH KRKLQRQKKAQEFIKEQERLRKIEERQKIRQERKEVMEEQLKTFKESLNLEAEIEDAK NDKTEDLQVESDESWHGFDSDKDDGDNDNNESSVKPILKKGAITEIYDDSTTVELETL EPNDNFEYLAQLNNVKLEKAEKVLKQSINRATKYAKFLGVDEKQKKKPRVKKFRYLTK NERRINQRKANDNKRRR YDR414C MEKSESNSEGLYLQNILNVPPPQRFIVLIILALWIWTWILKFFL HSNLDVSQVILTRVPHDIRPGYTLQQLHRTARNFALKITRIIIPFHFATVFLFEFMNI IEGPLKNIILIVYFLPLIQCVTIFWFLLKECQIIKYCTRRCLLIESSPRSLRNTYILI SDTLTSFAKPLIDFTLFTSLIFREPFTHFDLSVALLPVLVRLLQCLREYRLLHEATLL FNALKYSCNLPILFCTWRSRVYEGSINEERLHHVQRWFMLINSSYTLFWDVRMDWSLD SLTSLRSRSKSAVTLKKKMYHSAILVDFLLRFWWLWVYLSQNLKLVAADSDYIFFQGE MQYFEVIRRGIWVVFKLDAEYYIKFASK YDR415C MRIQSLFVLFNVAIIAWSYPYEPLRVLQVGENEVMEVPESEKLN LRRRGVKFFDVTKHTSFLPFFNKEEEPTVPTYNYPPEISNKEVVDDSIKNIDKGSMHK NLAKFTSFYTRYYKSDHGFESAEWLAATIANITKDIPQDTLTIEHFDHKEWKQYSIIV RVTGSTTPEDIIIIGSHQDSINLLLPSIMAAPGADDNGSGTVTNMEALRLYTENFLKR GFRPNNTVEFHFYSAEEGGLLGSLDVFTAYAKQKKHVRAMLQQDMTGYVSDPEDEHVG IVTDYTTPALTDFIKLIINSYLSIPYRDTQCGYACSDHGSATRNGFPGSFVIESEFKK TNKYIHSTMDTLDRLSLAHMAEHTKIVLGVIIELGSWSAW YDR416W MSAYIAMKGVITNVDENIRNDEDVAFEYEIQKTPQNILTWKRYI EYWKEEGRTDKQIRWLYERFCSQFVTDTSIWEDYIRWESTKEVVETSRIFWLFQRCLK SCVRDCDRICLSYLELAIEQYDLAMIRHALASSLMKMEREMHRKVWDPVIKFVEEKVL PLTQLDSTQEDEEESTDEAELINVLLVKGFTKGGFISEEISENGSRGDIWSSHILERY LKVAPQQKRNESLATLALTRDNITIKSVYEKYLPQDENSGKYLPSSELPFELNFNYLA SLEKLGLDNQYEEFMRQMNGIYPDKWLFLILSLAKYYISRGRLDSCGDLLKKSLQQTL RYSDFDRIYNFYLLFEQECSQFILGKLKENDSKFFNQKDWTEKLQAHMATFESLINLY DIYLNDVALRQDSNLVETWMKRVSLQKSAAEKCNVYSEAILKIDPRKVGTPGSFGRLW CSYGDLYWRSNAISTARELWTQSLKVPYPYIEDLEEIYLNWADRELDKEGVERAFSIL EDALHVPTNPEILLEKYKNGHRKIPAQTVLFNSLRIWSKYIDYLEAYCPKDANSSDKI FNKTKMAYNTVIDLRLITPAMAENFALFLQNHYEVMESFQVYEKTIPLFPPEIQYELW IEYLEVATSHQLSSLSPEHIRFLFEKALKNLCSNGIDCKTIFIAYSVFEERISGLISK SIEILRRGAVIGTVSVSTHLESRLQLWRMCISKAESTLGPSVTRELYQECIQILPNSK AVEFVIKFSDFESSIGETIRAREILAYGAKLLPPSRNTELWDSFEIFELKHGDKETYK DMLKMKKVLESNMLIDSASVSHEEGNINFVAAATSHAPNSHTLTQSTSSYSINPDEIE LDI YDR418W MPPKFDPNEVKYLYLRAVGGEVGASAALAPKIGPLGLSPKKVGE DIAKATKEFKGIKVTVQLKIQNRQAAASVVPSASSLVITALKEPPRDRKKDKNVKHSG NIQLDEIIEIARQMRDKSFGRTLASVTKEILGTAQSVGCRVDFKNPHDIIEGINAGEI EIPEN YDR419W MSKFTWKELIQLGSPSKAYESSLACIAHIDMNAFFAQVEQMRCG LSKEDPVVCVQWNSIIAVSYAARKYGISRMDTIQEALKKCSNLIPIHTAVFKKGEDFW QYHDGCGSWVQDPAKQISVEDHKVSLEPYRRESRKALKIFKSACDLVERASIDEVFLD LGRICFNMLMFDNEYELTGDLKLKDALSNIREAFIGGNYDINSHLPLIPEKIKSLKFE GDVFNPEGRDLITDWDDVILALGSQVCKGIRDSIKDILGYTTSCGLSSTKNVCKLASN YKKPDAQTIVKNDCLLDFLDCGKFEITSFWTLGGVLGKELIDVLDLPHENSIKHIRET WPDNAGQLKEFLDAKVKQSDYDRSTSNIDPLKTADLAEKLFKLSRGRYGLPLSSRPVV KSMMSNKNLRGKSCNSIVDCISWLEVFCAELTSRIQDLEQEYNKIVIPRTVSISLKTK SYEVYRKSGPVAYKGINFQSHELLKVGIKFVTDLDIKGKNKSYYPLTKLSMTITNFDI IDLQKTVVDMFGNQVHTFKSSAGKEDEEKTTSSKADEKTPKLECCKYQVTFTDQKALQ EHADYHLALKLSEGLNGAEESSKNLSFGEKRLLFSRKRPNSQHTATPQKKQVTSSKNI LSFFTRKK YDR420W MVSLKIKKILLLVSLLNAIEAYSNDTIYSTSYNNGIESTPSYST SAISSTGSSNKENAITSSSETTTMAGQYGESGSTTIMDEQETGTSSQYISVTTTTQTS DTMSSVKKSTEIATPSSSIVPTPLQSYSDESQISQTLSHNPKSVAESDSDTTSSESSS SVIISTSDSSAVPREISPIITTDSQISKEEGTLAQTSSISETTRIAQMVTRVSQISSI TAASTIDGFSSESTQTDFSNTVSFENSVEEEYAMSKSQLSESYSSSSTVYSGGESTAD KTSSSPITSFSSSYSQTTSTETSESSRVAVGVSRPSSITQTTSIDSFSMSEVELSTYY DLSAGNYPDQELIVDRPATSSTAETSSEASQGVSRESNTFAVSSISTTNFIVSSASDT VVSTSSTNTVPYSSVHSTFVHATSSSTYISSSLYSSPSLSASVSSHFGVAPFPSAYIS FSSVPVAVSSTYTSSPSASVVVPSAYASSPSVPVAVSSTYTSSPSAPAAISSTYTSSP SAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSA PVAVSSTYTSSPSAPVAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPV AVSSTYTSSPSAPAAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSVPVAV SSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSS TYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSALVVLSSTSTSSPYDIVYSPSTF AAISSGYTPSPSASVAMSSTSSSSPYDIVYSLSSSASRSSIATYEFSPSPSTSLPTSS TYTYFSSAYAFEFSSERYSTTSTIAPTQIHSTLSRITDFLLQTSMAIQSIVSQQISTS STLNDEIHSSALSVFNPSASNLVETSLIISSTQASITSPKNSAKISSLQSQLSSSTKN PYDTANKNTETSGRSTVVSNFLYTSSAAKPDNEKFSATPTEITTISSSSHAYSLSIPS SHNSVTGLSHNFVDSSKSATSFGYSSSSISSIKLSKETIPASKSVSNTQERITSFTST LRANSQSEKSEGRNSVGSLQSSHISSNPSLSTNTKVDSKSLSRKVSKTMGENGEETGL TTTKTQYKSSSETSGSYSRSFTKISIGPATTAVQTQASTNSVFTAPALSTYPTTPYPS PNSYAWLPTAIIVESSETGPTTASFNPSITGSLPNAIEPAVAVSEPINHTLITIGFTA ALNYVFLVQNPLSSAQIFNFLPLVLKYPFSNTSSELDNSIGELSTFILSYRSGSSTTT LSPKSISSLSVVKKKKNQQKKNATKSTEDLHPPQVDTSSIAVKKIVPMVDSSKAYIVS VAEVYFPTEAVTYLQQLILDENSTLYSNPQTPLRSLAGLIDSGIPLGGLTLYGSGDGG YVPSLTSSSVLDSSKGNSQNIDGTYKYGALDDFINSFTDSASAGKYAVKIIIFLIVLT IGVLLWLFVAFFAFRHRNILLKRHPRNCIGKSLNNERELESTELSRSSSGNQVYNEKP PESENESVYSAVDDHYIVTGENTVYNTIHRLHYTINDDGDLLYRDAIPLDFDQTNGDD GSGIDSIVRDCVYDKNQDATEAFLNDEESISGILDVDENGDIRLYDSYSDNEESNSFH LPDEVIENYNKNHLCETKLHGLGTESCTTDDPDTGNQITNEFSTGSQTCLPSTAYTTP LHTNSIKLHTLRYTESSLPKPNQTLFSNLEDLEIEDIDDNGSVSDVHIEELDALDEEL YKRMSKVIKQQNHQTTKI YDR421W MSAKKRPSGNAAFELPKRRRTYQACISCRSRKVKCDLGPVDNPH DPPCARCKRELKKCIFSSNKGTSNDLPPNSINAISLPSLGKSKQEIQNDSTSPILSDV PLSRKGISSEKSFKSEGMKWKLELSSMQNALEFLAQAAGTVAKEGAKEIIKEKSTTPK PLKSSLDATNKSATDEGLKRLSKSDSTNTLYENTADMLNHTLNTNRKTSQLMEEIGKV RPPPTRKIDDFDYIGPDSLLTKEEAIELIEAFFLTMHPFFPNIPLQLHDPKELAEYPI LFCAILTVSARYHPFDTLGLDNGEDGMRHIEVHDKLWVYCQKLISQTIWAEASTRSIG TVLAFIIFTEWNPRSIHYKWSDYANDPELNNVNARGSKNISTRKDEEGLTGVGAIRRS DRMSWMLTGSAVRLAQDMGFIENSSKVFIVTHISETTSAMNMNQRSLLAESFSVLNLN LGKIENDGNESNEDYLGNEKFYLNEILPDEESKLRWKRVFENSENDHDNEKNFLTDWE REFLNDEYVLYYSNKKDDTNLAQNHIPPFPLRFSFAQRAKIEIIRILSIAYETIYCEK NKRKLATTDQRHNLSVLSVFSPLIEGWLSNYRELLVPLSDVPFSLADRKNKKQIFDNI DRINGESIITDFNYCQLYIFSLALQVDGKTSRLNMNEIVTSARYVELAYRSAKEILSS AKRVSRQGMLKYMPVRWVIRIIRSIAFIVKCYLTLTGSELATNPDARNILKLSAISVD ETFDIIRDTAVTLKEATPDELHLCQRYAAILMYLCTEMKLRKKSYLERPPLLRDGTTP LESNRESSLEGQDLTKKPIFSKRIGYNKTETTFEPSERPLTEEINSNSQNSNDTSSKG IVDPFVEQNNDITTALLNNELFQGPSLSDEVTDWFGASEDIGLEFVEPWTELIEQRYM QCGDGDNNNFENLYNLFVNSNNINNDINNSRPITRK YDR422C MGNSPSTQDPSHSTKKEHGHHFHDAFNKDRQGSITSQLFNNRKS THKRRASHTSEHNGAIPPRMQLLASHDPSTDCDGRMSSDTTIDKGPSHLFKKDYSLSS AADVNDTTLANLTLSDDHDVGAPEEQVKSPSFLSPGPSMATVKRTKSDLDDLSTLNYT MVDETTENERNDKPHHERHRSSIIALKKNLLESSATASPSPTRSSSVHSASLPALTKT DSIDIPVRQPYSKKPSIHAYQYQYLNNDETFSENSQMDKEGNSDSVDAEAGVLQSEDM VLNQSLLQNALKKDMQRLSRVNSSNSMYTAERISHANNNGNIENNTRNKGNAGGSNDD FTAPISATAKMMMKLYGDKTLMERDLNKHHNKTKKAQNKKIRSVSNSRRSSFASLHSL QSRKSILTNGLNLQPLHPLHPIINDNESQYSAPQHREISHHSNSMSSMSSISSTNSTE NTLVVLKWKDDGTVAATTEVFIVSTDIASALKEQRELTLDENASLDSEKQLNPRIRMV YDDVHKEWFVPDLFLPAGIYRLQFSINGILTHSNFLPTATDSEGNFVNWFEVLPGYHT IEPFRNEADIDSQVEPTLDEELPKRPELKRFPSSSRKSSYYSAKGVERPSTPFSDYRG LSRSSSINMRDSFVRLKASSLDLMAEVKPERLVYSNEIPNLFNIGDGSTISVKGDSDD VHPQEPPSFTHRVVDCNQDDLFATLQQGGNIDAETAEAVFLSRYPVPDLPIYLNSSYL NRILNQSNQNSESHERDEGAINHIIPHVNLNHLLTSSIRDEIISVACTTRYEGKFITQ VVYAPCYYKTQKSQISN YDR423C MGNILRKGQQIYLAGDMKKQMLLNKDGTPKRKVGRPGRKRIDSE AKSRRTAQNRAAQRAFRDRKEAKMKSLQERVELLEQKDAQNKTTTDFLLCSLKSLLSE ITKYRAKNSDDERILAFLDDLQEQQKRENEKGTSTAVSKAAKELPSPNSDENMTVNTS IEVQPHTQENEKVMWNIGSWNAPSLTNSWDSPPGNRTGAVTIGDESINGSEMPDFSLD LVSNDRQTGLEALDYDIHNYFPQHSERLTAEKIDTSACQCEIDQKYLPYETEDDTLFP SVLPLAVGSQCNNICNRKCIGTKPCSNKEIKCDLITSHLLNQKSLASVLPVAASHTKT IRTQSEAIEHISSAISNGKASCYHILEEISSLPKYSSLDIDDLCSELIIKAKCTDDCK IVVKARDLQSALVRQLL YDR424C MSDENKSTPIVKASDITDKLKEDILTISKDALDKYQLERDIAGT VKKQLDVKYGNTWHVIVGKNFGSYVTHEKGHFVYFYIGPLAFLVFKTA YDR425W MDYNIFEAVHEQQSSTSDMDLSEEDNNPFVGTHHLYASGIGTTI GEARPENENSPPSSSSLPSSPAHSSSAGSSRASTSSSTSSHAVVEADAETEPFVSLSM STTATISKFTPHDMNGTQQIQIIDAGDFKDPWGKHAIGYVILYENNKIIRRYSEFHSL RQSLTRLLPTIIIPPIPSKHSLLKYIWSPINAANDSKIISTRKKMLNSFLSNCLNIQE ISNDIVFQKFLNPEFNWKDVLSSSPIIILPLNNLLAPPLSPTKPSPLHSILPIPSNSS LRNYNSIWQQHITVKSHNEISNLPTEILQNESQFTHIENLFQNYKRIITHLLKNIRSN KSHFHSLSTYFAELGAYYNAFSLENDITMPNSLRESENNSNNPMMEIISHIEKTGHSF DVIYISSEILIEKYTSILEDPINELLQFLNESFKVLNFKKLKFLQFKILERLIIEKET KLSSLTEIENQLQKINESLTRSTILTDENYKDTKAADLTFVKKDVRSLSKSSSNSSSS GHQNEIHIGASKLNYKTSTPTMNLNKLEIKQLTEQERSKQIKQLNQDLSKLKDCLSIC ISDMLEINNSSYNSLMHTYNHINLTIGKILKLFAASFKAWIKECLKNWKLAKLQIDEA L YDR427W MFNNHEIDTILSTLRMEADPSLHPLFEQFEKFYEEKLWFQLSES LTKFFDDAKSTPLRLRLYDNFVSKFYDKINQLSVVKYLLASLKDSKDFDESLKYLDDL KAQFQELDSKKQRNNGSKDHGDGILLIDSEIARTYLLKNDLVKARDLLDDLEKTLDKK DSIPLRITNSFYSTNSQYFKFKNDFNSFYYTSLLYLSTLEPSTSITLAERQQLAYDLS ISALLGDKIYNFGELLHHPIMETIVNDSNYDWLFQLLNALTVGDFDKFDSLIKVQISK IPILAQHESFLRQKICLMTLIETVFVKNIRMLSFEDISKATHLPKDNVEHLVMRAISL GLLKGSIDQVNELVTISWVQPRIISGDQITKMKDRLVEWNDQVEKLGKKMEARGQSIW V YDR428C MSNTVRAISPDITLFNKTLTFQEISQNTREAVIYIHGGAWNDPE NTPNDFNQLANTIKSMDTESTVCQYSIEYRLSPEITNPRNLYDAVSNITRLVKEKGLT NINMVGHSVGATFIWQILAALKDPQEKMSEAQLQMLGLLQIVKRVFLLDGIYSLKELL VEYPEYDCFTRLAFPDGIQMYEEEPSRVMPYVKKALSRFSIDMHLVHSYSDELLTLRQ TNCLISCLQDYQLSFKLYLDDLGLHNDVYKNGKVAKYIFDNIC YDR429C MSEVAPEEIIENADGSRSIITYKIEDGVKYKITQKVKEVKVLEK VHKSVAERKNWHKYGSEKGSPAGPSAVTARLGEEVELRLSRNWKQAEEERIQKEKASL TKTGLQCRLCGNDHMTMNCPFKTILSELSALEDPATNEGGVEAASEEKAGQVGGAGSI PGQYVPPSRRAGARDPSSDAYRDSRERDDMCTLKIMQVNENADENSLREELLFPFAPI PRVSVVRNKETGKSRGLAFVTFSSEEVAEQALRFLDGRGYMNLILRVEWSKPKVKE YDR430C MLRFQRFASSYAQAQAVRKYPVGGIFHGYEVRRILPVPELRLTA VDLVHSQTGAEHLHIDRDDKNNVFSIAFKTNPPDSTGVPHILEHTTLCGSVKYPVRDP FFKMLNKSLANFMNAMTGPDYTFFPFSTTNPQDFANLRGVYLDSTLNPLLKQEDFDQE GWRLEHKNITDPESNIVFKGVVYNEMKGQISNANYYFWSKFQQSIYPSLNNSGGDPMK ITDLRYGDLLDFHHKNYHPSNAKTFTYGNLPLVDTLKQLNEQFSGYGKRARKDKLLMP IDLKKDIDVKLLGQIDTMLPPEKQTKASMTWICGAPQDTYDTFLLKVLGNLLMDGHSS VMYQKLIESGIGLEFSVNSGVEPTTAVNLLTVGIQGVSDIEIFKDTVNNIFQNLLETE HPFDRKRIDAIIEQLELSKKDQKADFGLQLLYSILPGWTNKIDPFESLLFEDVLQRFR GDLETKGDTLFQDLIRKYIVHKPCFTFSIQGSEEFSKSLDDEEQTRLREKITALDEQD KKNIFKRGILLQEKQNEKEDLSCLPTLQIKDIPRAGDKYSIEQKNNTMSRITDTNGIT YVRGKRLLNDIIPFELFPYLPLFAESLTNLGTTTESFSEIEDQIKLHTGGISTHVEVT SDPNTTEPRLIFGFDGWSLNSKTDHIFEFWSKILLETDFHKNSDKLKVLIRLLASSNT SSVADAGHAFARGYSAAHYRSSGAINETLNGIEQLQFINRLHSLLDNEETFQREVVDK LTELQKYIVDTNNMNFFITSDSDVQAKTVESQISKFMERLPHGSCLPNGPKTSDYPLI GSKCKHTLIKFPFQVHYTSQALLGVPYTHKDGSALQVMSNMLTFKHLHREVREKGGAY GGGASYSALAGIFSFYSYRDPQPLKSLETFKNSGRYILNDAKWGVTDLDEAKLTIFQQ VDAPKSPKGEGVTYFMSGVTDDMKQARREQLLDVSLLDVHRVAEKYLLNKEGVSTVIG PGIEGKTVSPNWEVKEL YDR432W MSEAQETHVEQLPESVVDAPVEEQHQEPPQAPDAPQEPQVPQES APQESAPQEPPAPQEQNDVPPPSNAPIYEGEESHSVQDYQEAHQHHQPPEPQPYYPPP PPGEHMHGRPPMHHRQEGELSNTRLFVRPFPLDVQESELNEIFGPFGPMKEVKILNGF AFVEFEEAESAAKAIEEVHGKSFANQPLEVVYSKLPAKRYRITMKNLPEGCSWQDLKD LARENSLETTFSSVNTRDFDGTGALEFPSEEILVEALERLNNIEFRGSVITVERDDNP PPIRRSNRGGFRGRGGFRGGFRGGFRGGFSRGGFGGPRGGFGGPRGGYGGYSRGGYGG YSRGGYGGSRGGYDSPRGGYDSPRGGYSRGGYGGPRNDYGPPRGSYGGSRGGYDGPRG DYGPPRDAYRTRDAPRERSPTR YDR434W MSNANLRKWVGFCFVAIYLFLGVPLWYKLTTVYRASLPINYIES LQNNKFQDIHLVIPVYVKSDTYRFPDVHDAIQVQVNHLLNSQEQRVPWSLQVLPYNET IEQMESEGNQFHVVTLKLDEFIGYSSAYDTKETLVYYDDAAVLSNDLPFFVAQTLVEH TFQLEWTHLNKTCEGVSTNNDVAISYDPNIHLSVTLLSGDGNPVAWEIEPTLTDYFSP FRKFLSPLVNFTVDSSIVYHNDLNLHSLNGSCTSVTWFDLSHTIDLSELSSMAYYPED SALNLAIVFPSASSSPDGLAFINGTRISDEITTLDWNSYLVPQWGVIIINKMPLKPNS VISEDYLEPMMYRFATDIFQLLGLTEGSQDLLSPYITIDSFKRLTILQNLDKATETLW SLVKLTQQFQGMSIPREVSDNVIEALDLRLQIIDLLNDPGKGGDIVWNNALHLSNELV KLCEKAFFNGEMVQQNFFPQEHMIAVYLPLLGPISAVMFFGFYNVMKEKNQKSKKNGT EREVAKEKLELKEAQKLHAIDGEDEL YDR435C MERIIQQTDYDALSCKLAAISVGYLPSSGLQRLSVDLSKKYTEW HRSYLITLKKFSRRAFGKVDKAMRSSFPVMNYGTYLRTVGIDAAILEFLVANEKVQVV NLGCGSDLRMLPLLQMFPHLAYVDIDYNESVELKNSILRESEILRISLGLSKEDTAKS PFLIDQGRYKLAACDLNDITETTRLLDVCTKREIPTIVISECLLCYMHNNESQLLINT IMSKFSHGLWISYDPIGGSQPNDRFGAIMQSNLKESRNLEMPTLMTYNSKEKYASRWS AAPNVIVNDMWEIFNAQIPESERKRLRSLQFLDELEELKVMQTHYILMKAQW YDR436W MGNSGSKQHTKHNSKKDDHDGDRKKTLDLPPLTKSDTTHSLKSS RSLRSLRSKRSEASLASNVQAQTQPLSRRSSTLGNGNRNHRRSNNAPITPPNNHYLTS HPSSSRRLSSSSRRSSMGNNNNSELPPSMIQMEPKSPILKNSTSMHSTSSFNSYENAL TDDDDDRGDDGGESPSMAKVTRINTSSSADRGSKRTPLRRHNSLQPEKGVTGFSSTSS KLRRRSDNTLPASYPLNAEAGGNGSDYFSNRSNSHASSRKSSFGSTGNTAYSTPLHSP ALRKMSSRDNDDSGDNVNGRGTSPIPNLNIDKPSPSASSASKREYLSAYPTLAHRDSS SSLSPRGKGQRSSSSSSSSQRIYVSPPSPTGDFVHGSCADGDNGSRTNTMVEMKRKKP VRPVDIDEIIQRLLDAGYAAKRTKNVCLKNSEIIQICHKARELFLAQPALLELSPSVK IVGDVHGQYADLLRLFTKCGFPPMANYLFLGDYVDRGKQSLETILLLLCYKIKYPENF FLLRGNHECANVTRVYGFYDECKRRCNIKIWKTFVDTFNTLPLAAIVTGKIFCVHGGL SPVLNSMDEIRHVSRPTDVPDFGLINDLLWSDPTDSSNEWEDNERGVSFCYNKVAINK FLNKFGFDLVCRAHMVVEDGYEFFNDRSLVTVFSAPNYCGEFDNWGAVMTVSEGLLCS FELLDPLDSTALKQVMKKGRQERKLANR YDR437W MYTKEYYWFSQYMIITSTLVLTIIWSILPSSLGEAAPKQFINTL LDIFPQRRWIITLESIMLMGMLCTYIGLLMYNEDTLTPPLDSLSTVTDAGGQLVIEDD PDVFVKKWAFKETSGIYDLSLMDACQLLYLYDNDHTST YDR438W MNRVGIDVDHMIGVLLLAVVVVFWVGASCLTNELLETNAYNKPF FLTYLNISSFALYLTPDLWRIIQSRRKSLQERTERTLPIHTQESFSEFLPLLSSTPST SSNLSSIADTKVKDTMRLSLLFCVLWFVANLAANAALSYTTVASSTILSSTSSFFTLF LATSLGIETFSTKKLLGLFVSLFGIILIVMQSSKQQDSVSASSFLVGNTLALLGSLGY SVYTTLLKYEISSKGLRLDIQMFLGYVGIFTFLLFWPILIILDITHMETFELPSNFHI SFLVMLNCIIIFVSDYFWCKALILTSPLVVTVALTFTIPLAMFADFVWREAFFTPWYI IGVIFIFVSFFLVNHRGESAVEKDCAAVEKGPILDA YDR439W MTTLLQLLSNYYKAKLDSERIYNEYVQSQYEFASLDKLNNNKGD PKKVVDETLFLQRQIAQLNKQLQLSFQENEKLLSVQKNQKALYQSKLSSKDAFIDDLK LKLKVEQISVDKHNKERTPSTGRDEQQRNSKAAHTSKPTIHLLSPIVNRDKPNNQTND RGGNDPDSPTSQRRSRGLRSLLSSGKNTIFDSISKNLDDEINENAHIRNDTTSSKIAG KSPSRLSALQKSPELRKERNNMILKEHILRSKDDQNITSSRKLDNIELSSIGDSTAMT SRSSTVNANDILGNEENDGITKLKRVNKLTSSPVKRDCSTNKKRKLTKQRIATLPNSD EELSNNLNVDEFV YDR440W MGGQESISNNNSDSFIMSSPNLDSQESSISPIDEKKGTDMQTKS LSSYSKGTLLSKQVQNLLEEANKYDPIYGSSLPRGFLRDRNTKGKDNGLVPLVEKVIP PIHKKTNNRNTRKKSSTTTKKDVKKPKAAKVKGKNGRTNHKHTPISKQEIDTAREKKP LKKGRANKKNDRDSPSSTFVDWNGPCLRLQYPLFDIEYLRSHEIYSGTPIQSISLRTN SPQPTSLTSDNDTSSVTTAKLQSILFSNYMEEYKVDFKRSTAIYNPMSEIGKLIEYSC LVFLPSPYAEQLKETILPDLNASFDNSDTKGFVNAINLYNKMIREIPRQRIIDHLETI DKIPRSFIHDFLHIVYTRSIHPQANKLKHYKAFSNYVYGELLPNFLSDVYQQCQLKKG DTFMDLGSGVGNCVVQAALECGCALSFGCEIMDDASDLTILQYEELKKRCKLYGMRLN NVEFSLKKSFVDNNRVAELIPQCDVILVNNFLFDEDLNKKVEKILQTAKVGCKIISLK SLRSLTYQINFYNVENIFNRLKVQRYDLKEDSVSWTHSGGEYYISTVMEDVDESLFSP AARGRRNRGTPVKYTR YDR441C MSISESYAKEIKTAFRQFTDFPIEGEQFEDFLPIIGNPTLFQKL VHTFKTHLEEKFGKEKIDFIAGIEARGLLFGPSLALALGVGFVPIRRVGKLPGECASI TFTKLDHEEIFEMQVEAIPFDSNVVVVDDVLATGGTAYAAGDLIRQVGAHILEYDFVL VLDSLHGEEKLSAPIFSILHS YDR443C MSSDASTYRLEDVLSSFYRVEKIKKINYHQYISKAQNDQWSIQM EFMLRKQDPKTLVALLSRDLWCFSINDDPVPTPPAIEHKPVSPDKIGTFTADYSKPNL PPHYALFLKALRRKIYINLALGSHNKLIQFGNACISLSGVPNYLVQLEPHLFVNGDLT VSLCAKNMGLVPMKEENLEESFLSKHALYLAPSGIRMHLAPASKQGYLITPPKHTELL LTTLSVSHGINLQNKKNLKWVAVVPDLGHLNGHTPTIASYLTPLLEAKKLVWPLHLIF AQPVADIENSTSGDPSEFHCLQDALDAIDDFIQLKQTAAYRTPGSSGVLSSNIAGTNP LSSDGAYTEQFQHYKNNSISSQPASYHSVQETNKISPKDFSPNFTGIDKLMLSPSDQF APAFLNTPNNNINENELFNDRKQTTVSNDLENSPLKTELEANGRSLEKVNNSVSKTGS VDTLHNKEGTLEQREQNENLPSDKSDSMVDKELFGEDEDEDLFGDSNKSNSTNESNKS ISDEITEDMFEMSDEEENNNNKSINKNNKEMHTDLGKDIPFFPSSEKPNIRTMSGTTK RLNGKRKYLDIPIDEMTLPTSPLYMDPGAPLPVETPRDRRKSVFAPLNFNPIIENNVD NKYKSGGKFSFSPLQKEEALNFDISMADLSSSEEEEDEEENGSSDEDLKSLNVRDDMK PSDNISTNTNIHEPQYINYSSIPSLQDSIIKQENFNSVNDANITSNKEGFNSIWKIPQ NDIPQTESPLKTVDSSIQPIESNIKMTLEDNNVTSNPSEFTPNMVNSEISNLPKDKSG IPEFTPADPNLSFESSSSLPFLLRHMPLASIPDIFITPTPVVTISEKEQDILDLIAEQ VVTDYNILGNLGIPKIAYRGVKDCQEGLITTTMLQLFSTFDRLNGNDTISKFYNMKQP YVFVKKHHELIKVKHDSQPFIKFLNFRPPNGIKNFKSLLLSSSFKEDCLSFAPTLSQT YINQELGFCELLKLTNEDPPGLMYLKAFDKNKLLLLAAQIVSYCSNNKNSIKNVPPIL IILPLDNATLTELVDKANIFQVIKNEVCAKMPNIELYLKVIPMDFIRNVLVTVDQYVN VAISIYNMLPPKSVKFTHIAHTLPEKVNFRTMQQQQMQQQQQQQQQQQNNSTGSSSII YYDSYIHLAYSRSVDKEWVFAALSDSYGQGSMTKTWYVGNSRGKFDDACNQIWNIALN LASKKFGKICLILTRLNGILPDDELMNWRRLSGRNIHLAVVCVDDNSKISFIDEDKLY PSFKPIYKDTRFGGRMDMTRLYDYEIRDIDQDIHGIVFQHPFPLAHSQHRCAIRSGAL IKFKKCDGDTVWDKFAVNLLNCPHSDSTQLLETILEEFRNLAALNVWYGLSDGEDGHI PWHILAVKKMMNTLVHTRVKIANTSAATVHTATSSSIILSDK YDR444W MPYKINRMKNSLEINETGGTLLVDERKRLRIGELYRYKFSVNKD VIKEQGLDVSHLFLRIKNEESALLRPLYLTGPYSFYIDVRPHNYNENRKFPGKEIIPF VENLKPDERFKVKILLNENSRVGDTSLYSWTIDIISQLAVTTIPKLEFSFRIGTTRKV VKKSNGLFKSIEGVSLEMWDTETLWDLPPKFPEKPVHLVIMTHGIFSNIGCDMLYMKD KIEEMTFPMDESINPNIIVRGFMGNVGKSGHGIHCLGVRVGKYVLETVDKLNKKYKVD RISFIGHSLGGPTQSMAVRYISIKRPDFFDAVKGVKPVNFITLASPFIGVIGDFPFYL SVPLDMGALGLTGRDLNLKYTPLTSKDGLYADDEVYPEHSKYILEILPQAPAKKVFES FKRRTIYANVMDDGIVPLRTAALLYLDWRSIHKVQKIRKKNKNSPTSSEFVSSDSPES SGASSPSNENGNNVGEIPAESPNKKATLQWTLPQAVIHGSKINKYKRGQTNEANSDSD NEQGVFLDGQKFEPPKEANTVLSALSVLTAAIPDQEYIKNPAVRKDEVIHDKLYHPEE LPPPHYENRPIVKKLIYPNESVNRIQERIAREWQETMTWRKVLVQIQPDSHNNIVVRR RFVNLYGYVAVEHMVEHHFGSKVCSELAEDANEPKDEPNQSSQADRSNEYNEGEISKG AENAT YDR446W MTVIKTEPTTEVTLYSPPSKESLSKDDAHRKKQNNKPPSSINSR SGPNKHKLAAKAPEKKINNTDKQDLSAFLLNPSLIVKPSESKKKENIVAYNDTPGIKT EHTAFQPLTPISKKRALKEKAASEKCDSFDLSRDEKPYIQKKSKTLSSVTEINSSEYK LSLNGENTSSPAKEKSQEPIENPGSYQKTRNYLFEKPDPLDTCLQDYSSMLPSNVAEE DQEYFISVADSTLEEWTNKGQEIIDQQFQLYQEIIKKRIELSYKFKGIISVINDRADA LEEQGQQLEGKIKKVKTLANEILNII YDR447C MGRVRTKTVKRASKALIERYYPKLTLDFQTNKRLCDEIATIQSK RLRNKIAGYTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALDLSRSNGVLNV DNQTSDLVKSLGLKLPLSVINVSAQRDRRYRKRN YDR448W MSNKFHCDVCSADCTNRVRVSCAICPEYDLCVPCFSQGSYTGKH RPYHDYRIIETNSYPILCPDWGADEELQLIKGAQTLGLGNWQDIADHIGSRGKEEVKE HYLKYYLESKYYPIPDITQNIHVPQDEFLEQRRHRIESFRERPLEPPRKPMASVPSCH EVQGFMPGRLEFETEFENEAEGPVKDMVFEPDDQPLDIELKFAILDIYNSRLTTRAEK KRLLFENHLMDYRKLQAIDKKRSKEAKELYNRIKPFARVMTAQDFEEFSKDILEELHC RARIQQLQEWRSNGLTTLEAGLKYERDKQARISSFEKFGASTAASLSEGNSRYRSNSA HRSNAEYSQNYSENGGRKKNMTISDIQHAPDYALLSNDEQQLCIQLKILPKPYLVLKE VMFRELLKTGGNLSKSACRELLNIDPIKANRIYDFFQSQNWM YDR449C MSKTRYYLEQCIPEMDDLVEKGLFTKNEVSLIMKKRTDFEHRLN SRGSSINDYIKYINYESNVNKLRAKRCKRILQVKKTNSLSDWSIQQRIGFIYQRGTNK FPQDLKFWAMYLNYMKARGNQTSYKKIHNIYNQLLKLHPTNVDIWISCAKYEYEVHAN FKSCRNIFQNGLRFNPDVPKLWYEYVKFELNFITKLINRRKVMGLINEREQELDMQNE QKNNQAPDEEKSHLQVPSTGDSMKDKLNELPEADISVLGNAETNPALRGDIALTIFDV CMKTLGKHYINKHKGYYAISDSKMNIELNKETLNYLFSESLRYIKLFDEFLDLERDYL INHVLQFWKNDMYDLSLRKDLPELYLKTVMIDITLNIRYMPVEKLDIDQLQLSVKKYF AYISKLDSASVKSLKNEYRSYLQDNYLKKMNAEDDPRYKILDLIISKL YDR450W MSLVVQEQGSFQHILRLLNTNVDGNIKIVYALTTIKGVGRRYSN LVCKKADVDLHKRAGELTQEELERIVQIMQNPTHYKIPAWFLNRQNDITDGKDYHTLA NNVESKLRDDLERLKKIRAHRGIRHFWGLRVRGQHTKTTGRRRA YDR451C MESRNTVLPSLPNIITGTSNSPFQLHTLPNTNFPSDDQGDIRLP PLAASAHIVRPVVNIYKSPCDEERPKRKSPQAVDFLSQRVTTSMTPLSKPKKLSSHSP FTPTVRVCSKEQPPQSMHSYKKVNILTPLSAAKAVLTPTTRKEKKRSFAFITHSQETF PKKEPKIDNARLARRKRRRTSSYELGILQTAFDECPTPNKAKRIELSEQCNMSEKSVQ IWFQNKRQAAKKHKNSGNTSHCKVHSNDSMSMISYSDAALEITSTPTSTKEAITAELL KTSPANTSSIFEDHHITPCKPGGQLKFHRKSVLVKRTLSNTGHSEIIKSPKGKENRLK FNAYERKPLGEVDLNSFKN YDR452W MVVVGKSEVRNVSMSRPKKKSLIAILSTCVLFFLVFIIGAKFQY VSVFSKFLDDRGDNESLQLLNDIEFTRLGLTPREPVIIKDVKTGKERKLHGRFLHITD IHPDPYYVEGSSIDAVCHTGKPSKKKDVAPKFGKAMSGCDSPVILMEETLRWIKENLR DKIDFVIWTGDNIRHDNDRKHPRTEAQIFDMNNIVADKMTELFSAGNEEDPRDFDVSV IPSLGNNDVFPHNMFALGPTLQTREYYRIWKNFVPQQQQRTFDRSASFLTEVIPGKLA VLSINTLYLFKANPLVDNCNSKKEPGYQLLLWFGYVLEELRSRGMKVWLSGHVPPIAK NFDQSCYDKFTLWTHEYRDIIIGGLYGHMNIDHFIPTDGKKARKSLLKAMEQSTRVQQ GEDSNEEDEETELNRILDHAMAAKEVFLMGAKPSNKEAYMNTVRDTYYRKVWNKLERV DEKNVENEKKKKEKKDKKKKKPITRKELIERYSIVNIGGSVIPTFNPSFRIWEYNITD IVNDSNFAVSEYKPWDEFFESLNKIMEDSLLEDEMDSSNIEVGINREKMGEKKNKKKK KNDKTMPIEMPDKYELGPAYVPQLFTPTRFVQFYADLEKINQELHNSFVESKDIFRYE IEYTSDEKPYSMDSLTVGSYLDLAGRLYENKPAWEKYVEWSFASSGYKDD YDR453C MVAEVQKQAPPFKKTAVVDGIFEEISLEKYKGKYVVLAFVPLAF SFVCPTEIVAFSDAAKKFEDQGAQVLFASTDSEYSLLAWTNLPRKDGGLGPVKVPLLA DKNHSLSRDYGVLIEKEGIALRGLFIIDPKGIIRHITINDLSVGRNVNEALRLVEGFQ WTDKNGTVLPCNWTPGAATIKPDVKDSKEYFKNANN YDR454C MSRPIVISGPSGTGKSTLLKKLFAEYPDSFGFSVSSTTRTPRAG EVNGKDYNFVSVDEFKSMIKNNEFIEWAQFSGNYYGSTVASVKQVSKSGKTCILDIDM QGVKSVKAIPELNARFLFIAPPSVEDLKKRLEGRGTETEESINKRLSAAQAELAYAET GAHDKVIVNDDLDKAYKELKDFIFAEK YDR456W MLSKVLLNIAFKVLLTTAKRAVDPDDDDELLPSPDLPGSDDPIA GDPDVDLNPVTEEMFSSWALFIMLLLLISALWSSYYLTQKRIRAVHETVLSIFYGMVI GLIIRMSPGHYIQDTVTFNSSYFFNVLLPPIILNSGYELNQVNFFNNMLSILIFAIPG TFISAVVIGIILYIWTFLGLESIDISFADAMSVGATLSATDPVTILSIFNAYKVDPKL YTIIFGESLLNDAISIVMFETCQKFHGQPATFSSVFEGAGLFLMTFSVSLLIGVLIGI LVALLLKHTHIRRYPQIESCLILLIAYESYFFSNGCHMSGIVSLLFCGITLKHYAYYN MSRRSQITIKYIFQLLARLSENFIFIYLGLELFTEVELVYKPLLIIVAAISICVARWC AVFPLSQFVNWIYRVKTIRSMSGITGENISVPDEIPYNYQMMTFWAGLRGAVGVALAL GIQGEYKFTLLATVLVVVVLTVIIFGGTTAGMLEVLNIKTGCISEEDTSDDEFDIEAP RAINLLNGSSIQTDLGPYSDNNSPDISIDQFAVSSNKNLPNNISTTGGNTFGGLNETE NTSPNPARSSMDKRNLRDKLGTIFNSDSQWFQNFDEQVLKPVFLDNVSPSLQDSATQS PADFSSQNH YDR457W MVLFTRCEKARKEKLAAGYKPLVDYLIDCDTPTFLERIEAIQEW DRSRDDLYVWIPILDRMDGLLLKVAEKYKYKQDPKKECEVKLVEMEAHDVDYCLKMLK FTRRLLLNTENRFVYSSGDVLMYLLNCPNFTIKLAVMRILAILGERFVIAREKIVAHN IFGDHNLRKKTLKLALSLSSSVMDEDGEHFSLVDLYFDKKKVPQKWRKLRFTHYTSND FKKSSQQKNNINETQTSIKKVTMTTQELCEHSLQQIFDKGMALLPAESWFDFSIKASV AKAFSDDSGENIDLRNIIIETKLNAIAFVNTIFSPPQVSSKLFELDPYAFNSLTDLIS LSETKIPKELRTDALFTLECISLKHVWCSDIIRNLGGNISHGLLFQILRYIAKTLREA TDEIDEEYNVRFFYLISNLADVKPLHESLFAAGLIPTLLEIVSIRNCPYKRTLASATH LLETFIDNSETTTEFIENDGFTMLITSVANEIDFTLAHPETWQPPKYSVVYYSISFRE LAYIRSLLKLVLKLLSTDSGDRIRNLIDSPILVSLKKILENKLVFGLTLITYTLDVVQ KVINSEPTIYPVLVEAGLIPYVIDNFPKLIGPSAELLSLLPDVVSAICLNPEGLKQVK EKGLINNLFDFLLDADHARILTGGDRSTEYGTDIDELARHYPDLKANIVEALCNVIRK MPSTFRNEREFLFTSPKDQKYFFHRKNEEILTDKEEHEPAYWELLDKGTMLDTFTSVL FGMSLGNGSFSQVPQHLEARDFLAIIFMENPPYEYFTSVAISNVTEVLQYLDEKYEDY AFMDVMKVLNDQLENLNDFLNSPNDRSFFLERDGENSVRSCHSKLCRLAAILNIVTNV YIDLTTLSCKRIMQIYSYFDKRGFSLIKNLKLLFQKCALEEMYIRQHMPDSVITETMP LPIVDVSGDGPPLQIYIDDPKKGDQKGKITSVKTRNTLQMRTILYTLQSNTAILFRCF LRLSHSRNMDLEHKDLTTEVHIFENVVENVIEMLKATELEGHLPYILVLLNFNTFVFT IPKASPNSTEILQTIPAYIFYQKGGYLLYLHIIRDLFTRMTKIKDLSSLDNINYIDES NGILTLSCLINALTFYNKSMQTETMENVQSIGKYYVSIDDDYNIMKALTVPIKVMALA MILDLDKSDSLFKTQSRNVPYSVFKQLLSMLKNIFTNVNIYTKELYELHWDLIFPPIK KISLFEQVGIPGDVAANYLTDTGDDLPADNSIGLFSPEQWEKYKKLIGEDKSIYYPQP MQAQYYKGCSSKELDELRDTFFNDGLPSRIFTVLPFYPKLVNAFAKTLLQIFTKYDEP TEVFAGRILDRILETDLDDPATLSSLIHLFGIFLNEKYIYQKASHLMQRFIEYLEKSL KPEHVNTPWFSKALYVYEIILAKSELPHLEELSKDVLLRYPLLSMAKVFRIPDPMKQK LFDILIRVSDISNFYSALATSRILIFYSRDELYANNIARSGILSRLLKVIGSFQKLDK INFLESSFLLLTRRCFETTENVDALIRAEINRSFTARPLGGGDDAVRELTTILEEKAH VVMRSPSQFIDVLCETARFHEFDDQGALVDYSLKRFLGEKDKNTQASSTEKSDIYERT GIMHLLLSQLMAASEKDWLSEPANSSDLPENKKAQLDPSRNPVCAYMIFLLKLLVELV SSYNQCKFEFLTFSRRNTYAERPRPRTTAINFFLYRLLDKPVGTDHDKHEAKRREVIG MLARSVIIGFLATVQDDRTTKTDVKLADPHMNFIRKFAIEAIIKAIRNATSSSKLLES NHLKLDMWFRIITSMVYVQAPYLRQLLDSNKVEADQYQLCKLVIDLGLPSVITEAMAS IDLNYPFSKKIFNVAVEALNTISSTRNNFSEHFKIEDHDEVEDEVDESDKEEIPDMFK NSALGMYDVEDIEEDDDDDTSLIGDDDAMAFVDSDNGFEVVFSDEDDDMGEEDADDAR SDSEENELSSEMQSSTADGTDVDYEVDDADGLIINIDQPSGDDEEMADYDANISHSSH SENEDDASMDVIEVYDDELSSGYDVDLSDYDVDESDWDSGLSSLSISDEDSESSEDEP INSTRMGDSRRRWLIAEGVELTDDSQGESEEDDRGVFRGIEHIFSNENEPLFRVHDEM RHRNHHRSINRTHFHSAMSAPSLSLLNRGRRNQSNLINPLGPTGLEQVENDISDQVTV AGSGSRPRSHHLHFSEVLVSGSFFDEPVLDGIILKSTVSRWKDIFDMFYDSKTYANCI IPTVINRLYKVSLALQKDLENKREQEKLKNKNLLFNEAKVESHNSSDAISVEQDDIQE SNVTHDDHEPVYVTIQGSEVDIGGTDIDPEFMNALPDDIRADVFAQHVRERRAEARLN SDHNVHSREIDSDFLEAIPEDIREGILDTEAEEQRMFGRIGSSADVIRADDDVSNNDE EVENGLDHGNSNDRNNADPEKKKPARIYFAPLIDRAGIASLMKSVFISKPYIQREIYH ELFYRLCSSKQNRNDLMNTFLFILSEGIIDQHSLEKVYNIISSRAMGHAKTTTVRQLP SDCTPLTVANQTIEILQSLIDADSRLKYFLIAEHDNLIVNKANNKSRKEALPDKKLRW PLWHLFSLLDRKLITDESVLMDLLTRILQVCTKTLAVLSTSSNGKENLSKKFHLPSFD EDDLMKILSIIMLDSCTTRVFQQTLNIIYNLSKLQGCMSIFTKHLVSLAISIMSKLKS ALDGLSREVGTITTGMEINSELLQKFTLPSSDQAKLLKILTTVDFLYTHKRKEEERNV KDLQSLYDKMNGGPVWSSLSECLSQFEKSQAINTSATILLPLIESLMVVCRRSDLSQN RNTAVKYEDAKLLDFSKTRVENLFFPFTDAHKKLLNQMIRSNPKLMSGPFALLVKNPK VLDFDNKRYFFNAKLKSDNQERPKLPITVRREQVFLDSYRALFFKTNDEIKNSKLEIT FKGESGVDAGGVTREWYQVLSRQMFNPDYALFLPVPSDKTTFHPNRTSGINPEHLSFF KFIGMIIGKAIRDQCFLDCHFSREVYKNILGRPVSLKDMESLDPDYYKSLVWILENDI TDIIEETFSVETDDYGEHKVINLIEGGKDIIVTEANKQDYVKKVVEYKLQTSVKEQMD NFLVGFYALISKDLITIFDEQELELLISGLPDIDVDDWKNNTTYVNYTATCKEVSYFW RAVRSFDAEERAKLLQFVTGTSKVPLNGFKELSGVNGVCKFSIHRDFGSSERLPSSHT CFNQLNLPPYESYETLRGSLLLAINEGHEGFGLA YDR458C MDHRNLDPKTLKVSQLRRVLVENDVAFPANARKPVLVKLFEEKV RQRLQSSPEASKVRTSIQKVVKSGAKNADRKKTLKSKKLESSSSESKTVKDENVETNK RKREQISTDNEAKMQIQEEKSPKKKRKKRSSKANKPPESPPQSKSDGKATSADLTSEL ETVEELHKKDSSDDKPRVKELPKPELPNLKVSNEFLAQLNKELASAATENYDHSIKST DLSSIRIETEEPVGPSTGAETRNESEVMENINLEVQPEVKEAKEELTKISETFDNQDE EDTSRLSSKKNIRSPKGRTRHFIANKTKRGIDIMKPFIAHLFIWLWNGAIFLSIICPI LFGLWYREQRIQVGYCGHEKPLKSLAISAFPQTERVDSVLQAYRPNCLECPEHGICSS FMNVECEPGYEPKSSILETYGIIPFPKYCAKDESKEKEVDELVWKVNEYLKKKNAQHE CGEGENLFESGETETKLYDIFSHSRPSWESQREFNDHWKNVLEILKKKDDIIWLPLDF ETNGKREKSKSNNTNYIYRSTSKKWVTLQCHLEGDIQEYITKYGGSLFITLGVLFLIK KIQSTLDNYVQGEQIIEKLVKEAIDKLKDVKKNKGEEPFLTTVQLRATLLSDIPNIKE QNNLWAQTKEKIMKEQSENIELYLLEENGEIMTCWEWKE YDR459C MALSWNIRIRRRSWFRFILPIIVLGLLCYGTWAYCHKLCYEQVD KRLRQKSVSVGLICAVCFLDVVVIFIWLQIVILVGPGTQPHVAPFLILPIASEEKTSN TSQNTSVEYDAVVPPKCYQSDPHGYPIWCSECQSLKMERTHHSSELGHCIPRFDHYCM WIGTVIGRDNYRLFVQFAAYFSTLLLIMWVSICVYIRIITQHNHNYSPNLNANIISTL VFAILGWLLTASLLASSIFYMSQNKTSLEAIIDSKRKKFGTRKIFCYYSEANKLRFVV EFDRSEFHSFWDKKSILANIKDFMGSNILMWIIPLGKPYTSRCKSDGKSGSKTTLVEI LGPYEETLSDYTIQAIEDKISRGEYLATLRASGDDSDPAY YDR460W MLMDEYEENKDMCPICKTDRYLSPDVKFLVNPECYHRICESCVD RIFSLGPAQCPYKGCDKILRKNKFKTQIFDDVEVEKEVDIRKRVFNVFNKTIDDFNGD LVEYNKYLEEVEDIIYKLDHGIDVAKTEEKLRTYEELNKQLIMNNLERSRTEIESFEQ RQKFEKEMKLKKRLLERQIEEEERMNKEWTKKEIVNRLSTTTQDINETIEGVKNTVKL KKSSARRKLEELNRVLKNNPYFNSNVNVQNSRLKDAVPFTPFNGDREAHPRFTLKGSV YNDPFIKDLEHRKEFIASGFNTNYAYERVLTEAFMGLGCVISEEL YDR461W MQPSTATAAPKEKTSSEKKDNYIIKGVFWDPACVIA YDR461C-A MDSGKSDINKHAYKETATPLPVDPPSYEETMKQDKEEVEADETT SSAHRDSFMRPVYTHHPHPRSHKGYPGAKTLTYTSR YDR462W MLAQTFKKPHRAVLEQVSGTTVFIRNKRTKSKSSLSPLAQRVVT QLSVMSASRKQPKLLKLAREDLIKHQTIEKCWSIYQQQQRERRNLQLELQYKSIERSM NLLQELSPRLFEAANASEKGKRFPMEMKVPTDFPPNTLWHYNFRK YDR463W MPSTTLLFPQKHIRAIPGKIYAFFRELVSGVIISKPDLSHHYSC ENATKEEGKDAADEEKTTTSLFPESNNIDRSLNGGCSVIPCSMDVSDLNTPISITLSP ENRIKSEVNAKSLLGSRPEQDTGAPIKMSTGVTSSPLSPSGSTPEHSTKVLNNGEEEF ICHYCDATFRIRGYLTRHIKKHAIEKAYHCPFFNSATPPDLRCHNSGGFSRRDTYKTH LKARHVLYPKGVKPQDRNKSSGHCAQCGEYFSTIENFVENHIESGDCKALPQGYTKKN EKRSGKLRKIKTSNGHSRFISTSQSVVEPKVLFNKDAVEAMTIVANNSSGNDIISKYG NNKLMLNSENFKVDIPKRKRKYIKKKQQQVSGSTVTTPEVATQNNQEVAPDEISSATI FSPFDTHLLEPVPSSSSESSAEVMFHGKQMKNFLIDINSFTNQQQQAQDNPSFLPLDI EQSSYDLSEDAMSYPIISTQSNRDCTQYDNTKISQILQSQLNPEYLSENHMRETQQYL NFYNDNFGSQF YDR464W MAYDEDDGEINFNELVGNLLSSHNQEGQEEGEVQGGEQEGDDFE KIYPTSENIEPKHPDDSQHMHNSPDQNIEIPHFVDEEDELVSVVANAVQNIDDEQAKP ENHLENGSEHVTSDTADDNHEKEQQQEWAHILQQEILKSDGEPLRENTERRVSTSQHH PSQRTDDALDQDDENLRMAILESLQELNTNEEEEKEPEKHEHAAPNDKLSSKKSSKKK KKDKSKNRESSKDKSSKKSKSSSHSKKHAKDRNKEKQSKPTNNENTLDLSNILENLIH ENDNAAIDTAKQTVDIQDNSHTDNTNNEDVEAQALVEATLKAFENELLSSAPTEEPSQ EQSIGPVSSRKAVEPPRKPTADDIPLAMLQAFKPKKRPPQEKKKTKSKTSKAASTANK SPASESTSKKKKKKKTVKESNKSQEAYEDDEFSRILADMVNQVVNTSLKETSTHTATQ DNKLESESDFTSPVQSQYTTEDASTANDDSLDLNQIMQNAMAMVFQNQNDDEFDENIV EDFNRGLGDLSVSDLLPHDNLSRMEKKSVPKSSSKSEKKTAISRRASKKASRDASSVE LTEVPSKPKKPSKTEVSLEKKLRKKYVSIANEAASVARKKRWAKNKELKEKEKLERQT AREERRHKKKLEKQRLAEEQEELKKIVERGPPYPPDLRLTKSGKPKKPYRRWTPEELL KRSQEAEKPRKVKKERKKKEKKMKVPSSALKKIPLFNFVKGNVQPSARHRLNDIEGSL STIGLHKSPDGVRRILSRPKSEDHEWPLSDSSASQNYDAHLKTVVHKEKIPFHPPWTI PSQPPFALPVARRKKIPNIKKYRKRTNNSFRVSKEGTASTRNRILPAILLPIINTLKA AAKSQTAAGATPEEARKRLATIIQHAKSTVIRAALQARKNSMQAAHSKGTTTELATTA SRMKNPLKMIPIFNTSRVKQQLDKQLPARSAGTEISSSESPDKATPDPHSNSTIAGHT LKGVTTPIKIEDSDANVPPVSIAVSTIEPSQDKLELTKRAESVEPVENNVETAKETQS VQEIKENVGTKASEEVTLTEDKTNGDPKNEKRILIESPVEKTDKKKPGEKIATDLNED ASLSDKKDGDEKSTLHSDAAQLTGNEPDSVNTTTGKPKLIDVSLKPLNEAKPKIPIIF PLKRPQIKPEVSVINLVQNLVNTKIPEIKNESVDLGSNITDILSSTITNILPEITATD VKNYQYEDENVKYLKKTPRQVLNLDGLVPPSGRCITKAKRVRRIKKLSADATTAPEAD GKANSESITYTFDIPSPEEVQSKRSVVLKFAKARLTEAELSCLKKEINNVRKRRWREM NSTKNWEYDVKSRLKKRANAFFGEGESETKSKWIEERFQEKVSQEKYKDRLETTETQA NNTKIVIDDKEILNILAVNMNNLNKARCIEKDIQESFREEKLASLQPKKKRKKSILH YDR465C MSELHALLTFPERPISQSYYVPKLQHFLKSGIPATYTLEQVAAF EHEEKNRNGDKEFRESTDDNKTSNTTPLHVLARSLPLDIKDEELQVVMDMMNILFEYG AGWNFIDYEDKTVGDLFLERNQSRESPLYRRLVEAGVSAELLLRKLNGGDVEFLDTDE LIGIEPEESVQTAVDGQKEESVGSDDDATAANQQVYLKTELEYKDDALITKENKDGVM MDWETKIMELASETLFPDPEATNSATILNIGFGMGIIDTFIQARKPYRHYICEAHPDV LAKMKMDGWYEKDNVVILEGRWQDTLNNLLDKGEVFFDGIYYDTFSEHYQDILDLYDV VVGLIKPEGVFSFFNGLGADRSLCYDVYKEIVEIDVATYGMKCDYTRYSLDEQLPDWN DVKRSYFNCNYYYHPRITFA YDR466W MTSRKRSPHDFIFKEELGHGSYSTVFKALDKKSPNKIYAIKVCS KKHIIKEAKVKYVTIEKNTMNLLAQKHHAGIIKLYYTFHDEENLYFVLDFAPGGELLS LLHKMGTFNDIWTRHFTAQLIDALEFIHSHGIIHRDLKPENVLLDRDGRLMITDFGAA ATIDPSLSGDSAKFNSDSNGSKDNQNCASFVGTAEYVSPELLLYNQCGYGSDIWALGC MIYQFVQGQPPFRGENELKTFEKIVALDYPWGPNNRINNSTSPINPLVINLVQKILVI EVNERISLEQIKRHPYFSKVDWNDKIKIWRGIWQSQGQSLQQTTLGLPNIPQNILPTR QLHVIDTPARSIQITKQKRKKPTKISNTTSSIVVWRKRLGISTGKDDLGTVPSTTPAV TAPNDTNVLTNTAAHSTANIALPPNSQSNQVKRAQLVAPNRIPPKVPVINDNVRNKSI PRTKPNVPPLQTSSIPQKLSTSSASSALSAPSTEIRNQDLTHTLDGRNSIDIHVLKQD YVFIYGIPYEHEGPAMSLNSYNKIDNDLITSLVAQHKEELKNSESFLQVLTLKKSGML SYKNTVMEGNDDQENKEHQMANIEDTDLSMYDFEFNELTRKGFLILEKYKNRIWFISL PSYSTLSKIPFNAVKSSTINNNENWVDCFFRARQLLEEKQILDKISNVSFDSKASSEP SSPPPISRKERPLSIGNNVTTLSYTAKNGSQNNAPQNDNVGEEKPFRIPSSTKDRPGA NSTPSSRHPRVLSSNNAGETPKKMNGRLPNSAPSTNTYTNGSVPAFNHRPSTNVGNNK HNILTSKKQGSSVFSPSSSTTKPQIKTTGYRQPTPSPPLPQMEFPTTREKYSAPSNMV ISSSRYEVLHTLNNSQTNFDREIASRGASAAFRSLQKSKKKK YDR468C MNNSEDPFQQVVKDTKEQLNRINNYITRHNTAGDDDQEEEIQDI LKDVEETIVDLDRSIIVMKRDENEDVSGREAQVKNIKQQLDALKLRFDRRIQESTQTT IPLEETVENSTLNTSMAENNDGGMSNPFQEQMLREQDVHLDGIHKTMQNLHIQAQTMG DELENQGQLLDNMDEGMDGVVNKLARGRRQLEWVYEKNKEKYDDCCIGLLIVVLIVLL VLAFIA YDR469W MNESENSPQHNEVTVPMVEDTSSNADIPMEQIQREDNKNYDKHD NECFDMNGNHNNNSDNLQFDSVPSSATKDLKNIKSVTNQNVKIEESSSTNSVIEESSE PKISKLENVNLAATVGGSQTRKYLNTNVTPHLLAGMRLIAVQQPEDPLRVLGEYLIEQ SNILKSGEKESNASK YDR470C MNNNNVTEATSRAQIRPYYDPDSFNAGYSAVFKPDEGVVDPHGY TIASKLNVINSSPTTKRMANALFKSSPMKKLSNSVNDGLSLEGSNGEITGLNNFEWAE LVNIQKWRKIFEQLLDMFFRKYFQLLIQQPFDVARLLIQVGEFKIFKTTVDTNKPQAP IILRDEEGDGAAREGEEDAYDEEEIDFFPIERKIAEANSTAPIMAEETDHSHHEPTDI SLTIAPQSLHTIDVINALFDQEGIRGLWKANNTTFIYNFLSLSIDTWFTGLLSSFLGV PDPYFMEVINSPDISKSFILALGAGVFTSIILLPVDLIRTRLIVTSFKKKKNVKTDGK NMVTNTRSLRQLIRCWSWRKNGVSIPLDMWSLTILQSINNSFFNKLFDLVIYNQFHIE KYSQTVMYNTMKFFSKSLELFIKLPLENLLRRCQLNYLLNDQRLSFKVDSTELIVKPK KYNGIWDVIRNNSNTNRGQLWNGWKVGVISLICGYGLQMMNKVDINMEQEKF YDR471W MAKFLKAGKVAVVVRGRYAGKKVVIVKPHDEGSKSHPFGHALVA GIERYPSKVTKKHGAKKVAKRTKIKPFIKVVNYNHLLPTRYTLDVEAFKSVVSTETFE QPSQREEAKKVVKKAFEERHQAGKNQWFFSKLRF YDR472W MSQRIIQPSASDQQFPGKSDGYEYTVGPKQAITSEASTTYIPSR IYSESLLFKRQEASLSAMAFLFQEMISQLHRTCKTAGDFETKLSDYGHNIGIRLLELL NFRASVSPSSLPRASAFLSQNESSSKLSNASNSPGMLANSSTATSASANERLQEKQTE SLSNYITKMRRRDLKILDILQFIHGTLWSYLFNHVSDDLVKSSERDNEYMIVDNFPTL TQFIPGENVSCEYFVCGIIKGFLFNAGFPCGVTAHRMPQGGHSQRTVYLIQFDRQVLD REGLRFG YDR473C MPPRNTYEKGNPKRQNSPYYKPSFLRREETTNDEEKFQGHGLKT ELHSALKSSNLNLIRRTYQTGENPYLSDPHDRGSSSRFNRRYERGLKFYQKGEISKRI AQERTLQKQQEEEELKRKLKQEEDEKDKRKLIESGDLPNLELHEDKFLLDLSKFKIYY DNNHGYEWWDTAYLDEKGELMEKYDMNGTSPAEEKLAEDIDEVDDDDDDEHPSIRYVA HPLPEKINEAKVSIKAYLTQHERKRLRRNRRKMAREAREIKIKLGLLPKPEPKVKLSN MMSVFENDQNITDPTAWEKVVKDQVDLRKRKHLEENERRHEDAIKRRKEAVNMNVEKP TVYHCKVFQFKNLQNPKIRFKLKMNSKELSLKGLCLRIRDDGPGIIIVVGNEKSCKFY ENLVMKRIKWNEDFELHTNTGDIKMDMHNNSISKTWEGYLQDCKFKGWFMKVCNDQDS LLRTLGQFDSEHFYSPVQT YDR475C MVVRDQDEALRNSYKYVKLYVRQDQLEDTVDILAKQDEDKSNND DRRSLASILDSSSSVKKKGKGSNEKYLPCVSFNTVPRSRVSSPLDEEKREFPGVQISA DYTMEEYYDDESGFTSDNNADYFSGNSYSSRREGSASPGRYSSPPPASKRNIKIGKMF KISENGKIVREDYPTTPTDINDALVISRAYANWRQLWIKKKNQIDHRLEQKRDFFNYP TILFPPNKKKSSEGATPTIKFNPPIEDGFTPLTKSQKRKERVLSEKVGFPNTPRTILC HISGRKHTWVALDWALRTLIQNTDHIVVLANLPRLTKNNFEDNDSMSERKRMLMMMDD SRSVSSARRSRSRSRSRSICTRRALSLGPEESDNKLKHQNFIEWTSGYTQNEIERKLQ DLFDYVTLIIPQDRSVKVTVEILIGKTKKTLLEAINIYLPDFFVSSTLRWERTDSLVR WKSNFLTDKLCTNFPIPTFIVPAKRMFDLEIDLQKEFKEPEVTKQKNTSGPKPGFSHS KSADASIPTISNIKRKQDNDYSIDSLCYAPEANGANNSSREEASDDELNAFKDDENDV MSVKSLTSNISVKEKLCTMARKRRKSMAQQLNDADHDSSIPPGQRHLKKLNIILESSL KFSLEIDSITDSIENGDVDEKRAHSMESGFEELKRVITGGAPPRHVATPQRSMLDVLD NPSSSRSKSKSRSSSKSRIRDKSKPSSPTATDINSSASASRSRSPQIKFASSVKNVDG NAALGAIKSRHSLDSPGDQQQQHHHHHHRDTDQLSVPGLPHLAPSKSYSVSSGNKDSS LRKVSSSSSLRKVKSNDSNSGKRIKKPVVTSAHLKPSSGGGGLFSFFKSKSRSPSSFR KEDESKNTPKRGGLFGFGRL YDR476C MWDSLIVSINDTHKLGLEDCLAVFGHVPITKAVKHVRLTEIDTQ TSTFTLKFLHTETGQNIEKIIYFIDNDTGNDTRTATGIKQIFNKMFRIAAEKRKLSLI QIDTVEYPCTLVDLLILVGVALPPLCYLYRPALHAIFFLVPNPVGSTLEAWLDSDLVL RLIIVAEFLTHALETLIFVVPRLKYYRVPGEFVPEWLLLGLLEGYGPARRLDTKARTL GEGSVN YDR477W MSSNNNTNTAPANANSSHHHHHHHHHHHHHGHGGSNSTLNNPKS SLADGAHIGNYQIVKTLGEGSFGKVKLAYHTTTGQKVALKIINKKVLAKSDMQGRIER EISYLRLLRHPHIIKLYDVIKSKDEIIMVIEYAGNELFDYIVQRDKMSEQEARRFFQQ IISAVEYCHRHKIVHRDLKPENLLLDEHLNVKIADFGLSNIMTDGNFLKTSCGSPNYA APEVISGKLYAGPEVDVWSCGVILYVMLCRRLPFDDESIPVLFKNISNGVYTLPKFLS PGAAGLIKRMLIVNPLNRISIHEIMQDDWFKVDLPEYLLPPDLKPHPEEENENNDSKK DGSSPDNDEIDDNLVNILSSTMGYEKDEIYESLESSEDTPAFNEIRDAYMLIKENKSL IKDMKANKSVSDELDTFLSQSPPTFQQQSKSHQKSQVDHETAKQHARRMASAITQQRT YHQSPFMDQYKEEDSTVSILPTSLPQIHRANMLAQGSPAASKISPLVTKKSKTRWHFG IRSRSYPLDVMGEIYIALKNLGAEWAKPSEEDLWTIKLRWKYDIGNKTNTNEKIPDLM KMVIQLFQIETNNYLVDFKFDGWESSYGDDTTVSNISEDEMSTFSAYPFLHLTTKLIM ELAVNSQSN YDR478W MNKDQAEKYQERSLRQKYNLLHVLPTLNSRALSGLYYKNFHNSV KRYQIMLPEQLKSGKFCSHCGCVYVPNFNASLQLTTNTEQGDSDELGGESMEGPKKCI QVNCLNCEKSKLFEWKSEFVVPTFGQDVSPMINSTSSGKVSYAVKKPQKSKTSTGKER SKKRKLNSLTNLLSKRNQEKKMEKKKSSSLSLESFMKS YDR479C MDSVTNFFWNDTYNAGTPTRSTLKGKKVQNGIDGKSQAKKESIS SGSRTSDPTRGSLPSSSGQPTSGGGFPSTSNIQKMMADTLVEKIIKMALPPSSKTAVD TIHHRMVAGKERPKLSVQITSRNFIQMNSRLGVPFMIMDELIKILNWTNPAYTVSIMF LYTLIILKPFQMLSSLPIFYLLFCVMVPQYLYIHKPNPTSYLDNNQTPAQGPPLRRPE VPKPVPELSQEFVLNLTDLQNHMLLYVKFYDFTLLILQKFAFFTNEAISSFYFIVLLI IATLNFLYMDKFIKLIPMRPVLILLGWGFFIASHPSNREYLLTKLNSEETRLKTLTIS TNLESKILQHLKLIEAREHRLVMIFEIQKYLPEYKEWRPVGFSDDDYSLFSSLRIYQR RIEENSVKSLEEIEPPKDWEWEANSHWVLDLDPKEWVEDEFIQYVEIDSETKWVYDLN LDGQRGSYRRRMWTNSCVRKKLDSGISSNLGEEEVVNPLREETYRQGVHGVTKGSMSG GLTHSSDDDRADEESINGTIPNLNNIDADASYPSIEELTDTLNSTI YDR480W MNKEEQEDPQQEQISTVQENDPRNLQQLGMLLVSPGLDEDRLSE KMISKIKKSRDIEKNQKLLISRLSQKEEDHSGKPPTITTSPAEKTVPFKSLNHSLKRK RVPPALNFSDIQASSHLHGSKSAPPNITRFPQHKNSLRVRYMGRMAPTNQDYHPSVAN SYMTATYPYPYTGLPPVPCYPYSSTPTQTHAYEGYYSPMYPGPLYNNGIIPADYHAKR KKLAGRSPHLEDLTSRKRTFVSKHHNGDPIISKTDEDIECSVTKNSLSEGASLNDDAD DDNDKERIIIGEISLYDDVFKFEVRDDKNDYMKACETIWTEWHNLKK YDR481C MMTHTLPSEQTRLVPGSDSSSRPKKRRISKRSKIIVSTVVCIGL LLVLVQLAFPSSFALRSASHKKKNVIFFVTDGMGPASLSMARSFNQHVNDLPIDDILT LDEHFIGSSRTRSSDSLVTDSAAGATAFACALKSYNGAIGVDPHHRPCGTVLEAAKLA GYLTGLVVTTRITDATPASFSSHVDYRWQEDLIATHQLGEYPLGRVVDLLMGGGRSHF YPQGEKASPYGHHGARKDGRDLIDEAQSNGWQYVGDRKNFDSLLKSHGENVTLPFLGL FADNDIPFEIDRDEKEYPSLKEQVKVALGALEKASNEDKDSNGFFLMVEGSRIDHAGH QNDPASQVREVLAFDEAFQYVLEFAENSDTETVLVSTSDHETGGLVTSRQVTASYPQY VWYPQVLANATHSGEFLKRKLVDFVHEHKGASSKIENFIKHEILEKDLGIYDYTDSDL ETLIHLDDNANAIQDKLNDMVSFRAQIGWTTHGHSAVDVNIYAYANKKATWSYVLNNL QGNHENTEVGQFLENFLELNLNEVTDLIRDTKHTSDFDATEIASEVQHYDEYYHELTN YDR482C MSYNGIGLKSAKGSSTSGHVQRSLASNNRRRPQGSQQQRQQRQN AIKKASHDKASRPLAVQKQIETHMEKREIEVQVSELRDRLEEEETLSEEQIDKKCEAL RAKLTNEWQEQQRMSSLYTPRKARLTEEQHRHE YDR483W MALFLSKRLLRFTVIAGAVIVLLLTLNSNSRTQQYIPSSISAAF DFTSGSISPEQQVISEENDAKKLEQSALNSEASEDSEAMDEESKALKAAAEKADAPID TKTTMDYITPSFANKAGKPKACYVTLVRNKELKGLLSSIKYVENKINKKFPYPWVFLN DEPFTEEFKEAVTKAVSSEVKFGILPKEHWSYPEWINQTKAAEIRADAATKYIYGGSE SYRHMCRYQSGFFWRHELLEEYDWYWRVEPDIKLYCDINYDVFKWMQENEKVYGFTVS IHEYEVTIPTLWQTSMDFIKKNPEYLDENNLMSFLSNDNGKTYNLCHFWSNFEIANLN LWRSPAYREYFDTLDHQGGFFYERWGDAPVHSIAAALFLPKDKIHYFSDIGYHHPPYD NCPLDKEVYNSNNCECDQGNDFTFQGYSCGKEYYDAQGLVKPKNWKKFRE YDR484W MDVLKEVLSLDQDKFDQLKETSRDKTNETDDPFENYLKDCKFKA PSNKDQSPFAKLKSLQETHSNNEAAINIIIPQLIDYLTEFTNRLSNYTQDLDFIKKKS NELQSLLEYNSTKLAHISPMVNDLMIPPELIDDIIKGKINESWQDNITFIADKEEIYN KYRSNNLDQDNKDAENSAMLAPKDFDKLCQLLDILKNVILERSKRLIISKIKTLRSHN PVPSQRIQNKLLKVQKIFPFIRDNNLSLALELRQAYCYTMKWYYREYFSRYIRSLTIL QFQQIDSQFALGNGLSTTSVSGFNNSPSLFFSNYLTTSASNAFYNKLPVTDEKIDKYF QIKKRLNILTQEDNTVMVSQIAENNTTKNYIEIGFKNLNLAILDNCTVEYHFLKDFFA MNGDNFEEINGLLEQIFQPTFDEATTYTQQLIQYNYDIFGVLISIRVANQLQFESERR GIPSMFDSFLNGQLIQLWPRFQQLVDFQCESLRKAAITTNVAKYAGNSSTSNSSPLTS PHELTVQFGKFLSSFLTLAITHKQSIDERSEPLYNSIIRLRNDFETVMTKCSKKTKSP ERFLATNYMYLYNNLQQLHLHLNINDSDAQNYNFDSAENVGTKVANDDDNDSSVPLII RETENHFKTLVEAFTRN YDR485C MSDEGADKSLDTNTEFIIQTRSRRSNAGNKLQKLLEQELRDIES TKRQISSYKNGNDDEEDEIGLLFQEDEDDEDFEMMAKDDDDEGEEKEDETQSIRKEPS QASSEQAADDLMFSSSESEDSSNENDEDAEEKEIRRQELLSRKKRNKRLQKGPVVIKK QKPKPKSGEAIPRSHHTHEQLNAETLLLNTRRTSKRSSVMENTMKVYEKLSKAEKKRK IIQERIRKHKEQESQHMLTQEERLRIAKETEKLNILSLDKFKEQEVWKKENRLALQKR QKQKFQPNETILQFLSTAWLMTPAMELEDRKYWQEQLNKRDKKKKKYPRKPKKNLNLG KQDASDDKKRESEESIKNDGDVNSLGENSSSVHNQKRIEETSTNDTVEGESSPDAAVS RVNSDELKPTALPDVTLDAIANKQSTVDEAPNSQPQKNIITNEQKITNVGEPIQNLHN EEIKDEMVSALESRENTFENSSPAAQVVSQRDNSATPTPSNSTGTEDTILISPDTDIK GEPEPCLKTEGIENLSHNVPQETKSNTDVSFLKQVTFTDHPQVAIIDTEESPSKKDTA NVDESSAENSLSTQTYEGPEQLTSRNFVTLYDFPNAPPNLKDFNTNLFGDRWSYTNGL SATQRPQDMKTVFHSILPSPPQSSVPSPTVDISLDLSALANFPSFGEYDKKIVHQINT EINKDLEIKIKTQPPTGVFLANGIRKKCLITNKECQYFDPRTGVPYSDVEAYKIIQRI QDPISKEEGRSDIKRDETTNEDSDDQVRFKWFGFKNGGIYLDLSQRPAKGVPEGF YDR486C MNRIFGYGNKKSHDQLLQESNQSMNQAQQSLSNRISQLDTQIAQ LNFQLQNIQKNLQRSNNKQPSLRKQALKILNKRKQLENMKDSLDSQSWSMTQAQLTND NLQNTMITINALKQTNNAMKAQYGKINIDKLQDMQDEMLDLIEQGDELQEVLAMNNNS GELDDISDAELDAELDALAQEDFTLPTSENSLGNDMPSYLLGANAPPAFIDEEPNLDT EDKNKALESAQ YDR487C MFTPIDQAIEHFKQNKFVIVMDDAGRENEGDLICAAENVSTEQM AFLVRHSSGYVCAPMTNAIADKLDLPLLRTGMKFESNDDDRHGTAYTITVDVAQGTTT GISAHDRSMTCRALADSSSTPKSFLKPGHICPLRAADGGVLQRRGHTEAGVDLCKLSG LSPVAVIGELVNDDEQGTMMRLNDCQAFGKKHGIPLISIEELAQYLKK YDR488C MERLKQLEEKRRQLKELRERRKQASLFPGSETMGHHPTEVHAKA TMVSVSVQTDMEEGSKIQEPQSAYLRRKEVITYDKGIQTDQIEEEQLQENENHTTTDA VAIETTAADENNKDKAENDQPRLELAKPFLVEEAAATLSNASFARLETEVSASGQQAP SNMQQDKDNLMQWNMVSENLQSETDCDCIAQEYDPGKGVLVVVYLRLPPADLQYASSE AAWSVVNVVKCDNASGRNGLLIDMVEFRGTRIMTATILRRYHPESNVISILLATLTGK IILYELRLKQKKPETPVVYVVQRNMVARHYFQHPVVAVIETSSVQDQERVLVAADNGN IMELSCLDLTVLRKPQQLRPVPLSQLLSLENDTCTYTERLQRLAKFDEVGIACMAYTS EDPQYVWIGGEDGGIYKVFWDQPGPLYLSLDNNGFQPAENHSTRVTGLEFHWDDARRL MLLLSCSTDWTVRLWDARAGKAIIGAPLLLGGPVLRARWLEKNNGGENSRTLRCQVWC ADGRLVVVNWAFDAKTSLYTATVIS YDR489W MDINIDDILAELDKETTAVDSTKITQGSSSTTHRDANTIVGSSL DLNDKTQIYVSPQQDFSDLMKSWKNERCSPELLPYPHQLMKRLLNRISMQSQLIENIS MGFLDMQNASNANPPMPNESKLPLLCMETELERLKFVIRSYIRCRLSKIDKFSLYLRQ LNEDENSLISLTDLLSKDEIKYHDTHSLIWLKLVNDSILKYMPEELQAINDTEGSVNM IDEPDWNKFVFIHVNGPPDGKWNEDPLLQENEFGKPCYTVTIPDLKEEVELTIGSIYV MRYEVIRDLLRDDKVALI YDR490C MGNRSLTEADHALLSKPLVPTSAEHTQTQEYPRPFVDGSNSQSG SELQASPQGQFGEKALTSTNRFIPLANDDPGMQHEMGLDPSMRRRREEWAERGAAKIV KDVVDPATGELTKHVVKMGIKDFKFGEQLGDGSYSSVVLATARDSGKKYAVKVLSKEY LIRQKKVKYVTVEKLALQKLNGTKGIFKLFFTFQDEASLYFLLEYAPHGDFLGLIKKY GSLNETCARYYASQIIDAVDSLHNIGIIHRDIKPENILLDKNMKVKLTDFGTAKILPE EPSNTADGKPYFDLYAKSKSFVGTAEYVSPELLNDNYTDSRCDIWAFGCILYQMLAGK PPFKAANEYLTFQKVMKIQYAFTAGFPQIVKDLVKKLLVRDPNDRLTIKQIKAHLFFH EVNFEDGSVWDDNPPEIQPYKINAEAMKPLQKVSESDTTVKMANLQLAGNGHADTPLQ APAATSQEHSVISMTAATAAFNKDYTSQPKLGSKSSTSVRSASNNTDREVIQKKVSKN RASVSSPSISTTSRGKDNRSRSSDAFWSRYLQNMDERVLLMKEVALSTRNLEDSPVGL ENVALDYKNPLDIEPPTDSAGKFYKKMFLITNLGRALVFVKRRSLSMWEEQEFELQFE LELNDVEKIRFISDQVLEIDGSRTIFIGCKERAVLMKLWKLIHNGMTAKPKVVSPKSD HKMFDKFILQKRQNTKKKNQAPPVPQSNRLINGLPDRCILKTPEEGALHTKRPTSLQT RSSSNYSKLLARSTQMRKNMTRTDEK YDR492W MSITTTRRRNQDSVCCKATRASIKVEAVSGQTVFEKQKLLHNFD ELPEWQKDNDKILTGYVRETLSWKKCLYSLFYWNNETVNIYTHLVPAIVYFVFAITLT NYFLIPVFPSTSWSDYTVINIFLMGAFSCLMCSSCFHCMKQHSEKQSNFWSKLDYLGI ISLISCSMIPIIYFGYFDHISYFSLFTIVTLVLATFCTVCVLHDKFNTSTFRPFRAMF FILFGFSGLLPLTTGFFKFGIQGVLNRIKVSFVFWEALFYISGAVIYGFRIPETLAPG KFDFFGSSHQIFHIMVVLGSVCHLKAIIDSYKLMHSHIHP YDR493W MSTRTKALNAYRHGLRATRIAFRNDAEVLLAARAKMRSGMLCPP DPKLTTEDQIQHLEDVAVFLRRNLVQGKKVDGSSTKEPRYHLNIHKDTELGDNETIAD PTARVKTNLKARPFKCSDKKQ YDR494W MRSSMFRCVSRAHYSTSVTEDFINSILARAQEATAKASSNALKL DKMKEGRMQNKRRNGNQNRNSMNNKESRGREGNQGERNMRLKNRSSDSVRANKQQWNK GANTSFVKNPTGNTVVMQPQFKKMQNGKNNLKGDARVEDDLLDVFNSSMEQKPVNFNG TPKSKARFQKKSHILTASKRRKAPQQQLQKVIKRPVSSEYVLEEPTPLSLLEYTPQVF PTKESRLVNFTLDSLKKSNYPIYRSPNLGILKVHDFTLNTPNFGKYTPGSSLIFAKEP QLQNLLIEEDPEDFHRQVTGEYQLLKPYVKKDFEKLTKSKDTVSKLVQNSQVVRLSLQ SVVMGSEEKKLVYDVCSGMKPISELQQ YDR495C MVKKKTNNDKGKEVKENEGKLDIDSESSPHERENDKKKTEDDSL RATESEETNTHNANPNETVRADKFSQEESRPIEDSPHTDKNTAQESCQPSSAEDNVIN TDITSLNEKTSTNDEQEKGLPLKISEGPFTISTLLDNVPSDLIYTCCEAYENHIFLGT TTGDLLHYFELERGNYMLVSQTKFDAESNSKIDKILLLPKVEGALILCDNELVLFILP EFAPRPNTTRLKGISDVVICNFSRSSKAYRIYAFHAEGVRLLKISADSLVLTKAFNFK LIDKACAHEETLMVSKLNSYELINLKSSQVIPLFRISETDEDLEPIITSFNEQSEFLV CSGGGSYDSGAMALVVNHHGDIIKGTIVLKNYPRNVIVEFPYIIAESAFQSVDIYSAL PSEKSQLLQSITTSGSDLKISKSDNVFTNTNNSEEFKEKIFNKLRLEPLTHSDNKFRI ERERAFVEESYEEKTSLIVYNNLGIHLLVPTPMVLRFTSCEESEIDNIEDQLKKLAKK DLTKFEHIEAKYLMSLLLFLMTLHYDHIEDEVMKKWCDFSDKVDIRILFYMFGWKVYS EIWCFHGLINIVERLKSLKLTNKCENILKMLLMMKNELKKKNKTGLLTNDFDDIMKTI DITLFKLRLEKKETITVDMFERESYDEIIREINLHDDKLPRIELLIEIYKEKGEYLKA LNLLREAGDYISLVSFIEENLKKLPEDYIKERIADDLLLTLKQGDENTEECAIKKVLK ILDMACINKNDFLNKIPAEETSLKVSFIEQLGVQNSNDSKFLFNYYLAKLREIINQSN IWSILGDFIKEYKDDFAYDKTDITNFIHIKLKHSLQCENFSKYYEKCENLKSENEKDD EFINFTFDEISKIDKEHILTLLFFPNELTNWVSSEELLKIYLSFNDFRSVEKYIGKQN LVAVMKQYLDISSLNYSVELVTNLLQRNFELLDDTDIQLKILETIPSVFPVQTISELL LKVLIKYQEKKEESNLRKCLLKNQISISDELSRNFDSQG YDR496C MAPLTKKTNGKRSAKEVSHSEKKLAKKPRISIDSSDEESELSKK EDAVSSSSDDDDLDDLSTSDSEAEEEADELDISDDSEEHENENEEKEGKDKSEGGENG NHTEQRKLLKERKMQRKSGTQVQQIKSVWERLRVKTPPLPKQIREKLSNEIWELSKDC ISDLVLKHDASRIVQTLVKYSSKDRREQIVDALKGKFYVLATSAYGKYLLVKLLHYGS RSSRQTIINELHGSLRKLMRHREGAYVVEDLFVLYATHEQRQQMIKEFWGSEYAVFRE THKDLTIEKVCESSIEKRNIIARNLIGTITASVEKGSTGFQILHAAMREYVKIANEKE ISEMIELLHEQFAELVHTPEGSDVACTLVARANAKERKLILKALKNHAEKLIKNEYGN IVFITILNCVDDTVLVFKTFSPTVKEHLQEFIIDKFGRRPWLYILLGLDGKYFSPIVK NELLRYIELSKATSKKDPLQRRHELLSKFAPMFLSTISKDYSSILTENLGCQFIAEVL INDELYAQLNEKDQEKYQQVLNNILTTFKGDITEEEHPIHRAFSTRLLKALIQGGKWN NKEKKVIPLKNVQGLGVPFAEKLYDEIIDSSNLLEWINNADSSFTIVALYETLKDQKE GKPFLKDLRGVQSKITTDESNKGSQLLAKLLK YDR497C MGIHIPYLTSKTSQSNVGDAVGNADSVEFNSEHDSPSKRGKITL ESHEIQRAPASDDEDRIQIKPVNDEDDTSVMITFNQSLSPFIITLTFVASISGFMFGY DTGYISSALISIGTDLDHKVLTYGEKEIVTAATSLGALITSIFAGTAADIFGRKRCLM GSNLMFVIGAILQVSAHTFWQMAVGRLIMGFGVGIGSLIAPLFISEIAPKMIRGRLTV INSLWLTGGQLVAYGCGAGLNYVNNGWRILVGLSLIPTAVQFTCLCFLPDTPRYYVMK GDLARATEVLKRSYTDTSEEIIERKVEELVTLNQSIPGKNVPEKVWNTIKELHTVPSN LRALIIGCGLQAIQQFTGWNSLMYFSGTIFETVGFKNSSAVSIIVSGTNFIFTLVAFF SIDKIGRRTILLIGLPGMTMALVVCSIAFHFLGIKFDGAVAVVVSSGFSSWGIVIIVF IIVFAAFYALGIGTVPWQQSELFPQNVRGIGTSYATATNWAGSLVIASTFLTMLQNIT PAGTFAFFAGLSCLSTIFCYFCYPELSGLELEEVQTILKDGFNIKASKALAKKRKQQV ARVHELKYEPTQEIIEDI YDR498C MVVTFLQDLEVLQDALLNNLQKLSAISRRKESGESKHDNKDSFA AIANEHNDEEEEIEFEDLVNIIESKVSDFESVLKCSIVEMTYKYPELKLQWEKSPRYD QCDKLHIVKLDKQMNEDIYAQLVEELDFVLQFVDWFYCYRLKVKEILRQHHKRDLAWN DEKRDRAIKFHAVDYDKLHQGTSSSSSLTSTSMEKASTREKLLSKTKQLTNNLVRGNQ ILQSGILQSDLNLDELRAQTNSLTQIDDKYTQFETVFKKTADLVKVLENASHQEKRDV YLSLGFLLCCVSWVLWRRIFKLPVKLGLWLLFKFFKGILVTLGLVKSYAGSSSSLQAP SLVLNAPILATTTTSSATSVEPFASVSAVSSIQRAVDEAVDRIVSHDEL YDR499W MRRETVGEFSSDDDDDILLELGTRPPRFTQIPPSSAALQTQIPT TLEVTTTTLNNKQSKNDNQLVNQLNKAQGEASMLRDKINFLNIEREKEKNIQAVKVNE LQVKHLQELAKLKQELQKLEDEKKFLQMEARGKSKREVITNVKPPSTTLSTNTNTITP DSSSVAIEAKPQSPQSKKRKISDNLLKKNMVPLNPNRIIPDETSLFLESILLHQIIGA DLSTIEILNRLKLDYITEFKFKNFVIAKGAPIGKSIVSLLLRCKKTLTLDRFIDTLLE DIAVLIKEISVHPNESKLAVPFLVALMYQIVQFRPSATHNLALKDCFLFICDLIRIYH HVLKVPIHESNMNLHVEPQIFQYELIDYLIISYSFDLLEGILRVLQSHPKQTYMEFFD ENILKSFEFVYKLALTISYKPMVNVIFSAVEVVNIITSIILNMDNSSDLKSLISGSWW RDCITRLYALLEKEIKSGDVYNENVDTTTLHMSKYHDFFGLIRNIGDNELGGLISKLI YTDRLQSVPRVISKEDIGMDSDKFTAPIIGYKMEKWLLKLKDEVLNIFENLLMIYGDD ATIVNGEMLIHSSKFLSREQALMIERYVGQDSPNLDLRCHLIEHTLTIIYRLWKDHFK QLREEQIKQVESQLIMSLWRFLVCQTETVTANEREMRDHRHLVDSLHDLTIKDQASYY EDAFEDLPEYIEEELKMQLNKRTGRIMQVKYDEKFQEMARTILESKSFDLTTLEEADS LYISMGL YDR500C MGKGTPSFGKRHNKSHTLCNRCGRRSFHVQKKTCSSCGYPSAKT RSHNWAAKAKRRHTTGTGRMRYLKHVSRRFKNGFQTGSAKATSA YDR501W MSHLFPPSSPVAGKPLESPQKEPGKLANTSVLTLGRKRYNYELE EYPTPDPSSSIGRQSSPVKDITSRLNETKSALSSPSKQEKVLAGPIEIELDASDPSRL AIGRKKSVCNIILPCRKNISRQHAFISYAADRNEIKLECNGTNGLSVHLPYSMQLHLV KPFPTRNFYKLVAEEPLTSQNTKQSHGKTLQKNQNFISFVLAKGETVTFPYIQGSFIN FTGVTVCLSLKKVAPYPGDGNNNFDEENSTETEDELCLLTTTSDDFSWQKETPSMKFV PVEHSPRTEQISKPLLIASPALVKNSPISYRTTPQTSFVINQPSTPKKLKRKSISLKN NTIQETPLPKDKIIGTLSASTRSGGINEEESFAAVAKKTKELSSTTAIVSPAQKRLKT SLNIIPEISRSLSERGIRFDDLVHVLCNHLAFSNLQQTPLSQLQNINSNTSQLSKDEL KKVLETISCIGIIVREGKDASGKPLEDEYYYDVENDDSDERKILYNSLKGRSRLRSCR KKHKQYFWKRPTK YDR502C MSKSKTFLFTSESVGEGHPDKICDQVSDAILDACLEQDPFSKVA CETAAKTGMIMVFGEITTKARLDYQQIVRDTIKKIGYDDSAKGFDYKTCNVLVAIEQQ SPDIAQGLHYEKSLEDLGAGDQGIMFGYATDETPEGLPLTILLAHKLNMAMADARRDG SLPWLRPDTKTQVTVEYEDDNGRWVPKRIDTVVISAQHADEISTADLRTQLQKDIVEK VIPKDMLDENTKYFIQPSGRFVIGGPQGDAGLTGRKIIVDAYGGASSVGGGAFSGKDY SKVDRSAAYAARWVAKSLVAAGLCKRVQVQFSYAIGIAEPLSLHVDTYGTATKSDDEI IEIIKKNFDLRPGVLVKELDLARPIYLPTASYGHFTNQEYSWEKPKKLEF YDR503C MISVMADEKHKEYFKLYYFQYMIIGLCTILFLYSEISLVPRGQN IEFSLDDPSISKRYVPNELVGPLECLILSVGLSNMVVFWTCMFDKDLLKKNRVKRLRE RPDGISNDFHFMHTSILCLMLIISINAALTGALKLIIGNLRPDFVDRCIPDLQKMSDS DSLVFGLDICKQTNKWILYEGLKSTPSGHSSFIVSTMGFTYLWQRVFTTRNTRSCIWC PLLALVVMVSRVIDHRHHWYDVVSGAVLAFLVIYCCWKWTFTNLAKRDILPSPVSV YDR504C MICYFLVVTINFLKEKTTICHYFVNIFSLFLFLFVFVFVFIFVY FFYVILFYRFCSLFTYFPANSIWYYLSIINIFFPLCFFLYENFTGRNRRKCSLFCLTL IKITYTSPNHGFMVTGKEKFEKLRD YDR505C MDLPTVNSTTSISDNVDLKNYYEDLLFKNNSGKSLSDLPRKLND NSNNSGSDTVDPLAGLNNLRNSIKSAGNGMENRRTFDDIDFMGRFPYLPPVPNQQQQP FSHQNGFIQEHPSSNLTSFQMSSSNSEPMSAPPISSNNNNLNSTQMGNYQAQQRSFPQ FNGNSFHSNGNDLMGNRMDSDYMRLMNKTNIGFTSNSGSNFAAPSHSAGNPSSMNNQQ VPSFNWQQPSHPESTIRRSSYISDTLINHQMPDARQKQTSQVQQQHAQGFNLFNSRFN YDNLNSTHLTAKGVPEFGNGVQPPYPYDNEPNNASISNSNNNNNSHNMVPMQQFRRNT QPVASFNPSLPTFQQQQQQPQQPQQPRNVNVPTSFNGERVDDVQLVQLQRSSSVPSST NSHNLQNENSNEGNVSLDNGLVLIQGKHLTSSKTLHDLYSDCGSGYFASSAVFEFTDN IKKMLKLHDSNESYDAKNMGLIDEEGNTYQSLLNFLDILRSCNMNYVNDPESNNGIVS NNGGNKNRRKGSFTTELSCRNANNSFLPYTPLVLVALKNGKLELLSTPQATNLLLKRG DLVIIDGDRGRDLVLVVEPSVDLNLALFINFLKKKIHFDSLITSESQHYRNDEFIQML IDSKNGQKKKLNPKLYDVVELTELIIPSKQVLRFATPWEVTTNLHNKFEDELKALHIA QSKLQALNDNSKSQNTNDSSSNNFTNAATYSKPKLNIKILNAEFQFDRKKLTFYYVCE ERNDFRDLIKELFKYYKTRIWLCAIPNNLSIDSKYYDKQQKELKLYQNIVKNYNAEDL MNVNEFSQNRGNNRVNFAPPLNEIELDNFQIAVYEELVHELFH YDR506C MKVILLKPVQLPMLLLILLKFIMAAKEGKIHVLEFNASSEYTLD KRRVISINGYSATFGPEIRVKSGDTLNLKLTNWICSEEEASKDSDVWKDYCSTALHFH GVVPLANEFDGIPGLTQPTIGYGESYWYNFTIDQSTCGTFWYHSHSSVQYGDGMRGVL IVECDDYDNHVANTINSVRDIETLDDGVVTMKKDKHTKELTDYEVQERIITLSDWYTN WNLDILNDKVLSSTGGTDPKFDGSLINGKSSDGETIKIGFNTEYLLLRIVNSGMSGTQ VFHLDGFQLIVLEADGIMIKPFIVQTINLAVGQRYTILVKLKSDTSFIRMINGCNKMM GYITKQWWFYKEGAHLDLPKNPNDVSIEHLPGFTKAELYRDIEPTQEENKKLRTKADP VAVFEFDYAYYKDESTKQKYGTGMYKVNERTFSEYVKDPVRFGFNETYDIVINSLDHM RHPWHMHGHHFQIISLGNKGDGPFHKDVQEGKAWSRYQNDLRHLARTGKAPMVRDSIN IAGNSYAVLRINTEMPGKWLLHCHVEWHMMKGLGIVFEVPTTTEDSTKQATTAVLSYP TKEPDPNTVVHTAALEQNKSKVIAVYILIMCAVDAIFYWLLM YDR507C MAINGNSIPAIKDNTIGPWKLGETLGLGSTGKVQLARNGSTGQE AAVKVISKAVFNTGNVSGTSIVGSTTPDALPYGIEREIIIMKLLNHPNVLRLYDVWET NTDLYLVLEYAEKGELFNLLVERGPLPEHEAIRFFRQIIIGVSYCHALGIVHRDLKPE NLLLDHKYNIKIADFGMAALETEGKLLETSCGSPHYAAPEIVSGIPYQGFASDVWSCG VILFALLTGRLPFDEEDGNIRTLLLKVQKGEFEMPSDDEISREAQDLIRKILTVDPER RIKTRDILKHPLLQKYPSIRDSKSIRGLPREDTYLTPLSESNSSIDATILQNLVILWH GRDPEGIKEKLREPGANAEKTLYALLYRFKCDTQKELIKQQQVKKRQSISSVSVSPSK KVSTTPQRRRNRESLISVTSSRKKPISFNKFTASSASSSNLTTPGSSKRLSKNFSSKK KLSTIVNQSSPTPASRNKRASVINVEKNQKRASIFSTTKKNKRSSRSIKRMSLIPSMK RESVTTKLMSTYAKLAEDDDWEYIEKETKRTSSNFATLIDEIFEYEKYEQIRKEKEEL ERKVREAKAREELERRRRKQEEKERARKLLEKEDLKRKQEELKKQIEIDISDLEQELS KHKEEKLDGNIRSISAPMENEEKNINHLEVDIDNILRRRNFSLQTRPVSRLDPGIMFS SPTEEVSPVEPKRTENERLTTEKKILETIRRSKFLGSSFNIDKELKLSKMEYPSIIAP QRLSEERVVSDSNDGYESLILPKDGNGVSQLKDSTATTAPVSDGRLRKISEIRVPQFT RKSRHFSESNKRLSVLSMYSTKESFTNLVDILKNGNLDVNNQQSQRIPTPRSADDSEF LFETVNEEAEYTGNSSNDERLYDVGDSTIKDKSALKLNFADRFNGSNEAKQTDNLHLP ILPPLNGDNELRKQNSQEGDQAHPKIKSMIPESGSSSHTEKEEENEEKEEKKPEQHKQ EEDQEKREKVVDDMEPPLNKSVQKIREKNAGSQAKDHSKDHLKEHKQDKNTAIGNGSF FRKFSKSSDKTMELYAKISAKQLFNGLEKLLRGWTQYGLKNIKSHPNNLTLTGKLSSD NIFSLRSTLFEVNIYPRGKMSVVQFKKVSGSFKAVKKLVNEVENVLNKEGVLQK YDR508C MTLGNRRHGRNNEGSSNMNMNRNDLDDVSHYEMKEIQPKEKQIG SIEPENEVEYFEKTVEKTIENMEYEGEHHASYLRRFIDSFRRAEGSHANSPDSSNSNG TTPISTKDSSSQLDNELNRKSSYITVDGIKQSPQEQEQKQENLKKSIKPRHTVMMSLG TGIGTGLLVGNSKVLNNAGPGGLIIGYAIMGSCVYCIIQACGELAVIYSDLIGGFNTY PLFLVDPALGFSVAWLFCLQWLCVCPLELVTASMTIKYWTTSVNPDVFVVIFYVLIVV INVFGAKGYAEADFFFNCCKILMIVGFFILAIIIDCGGAGTDGYIGSKYWRDPGAFRG DTPIQRFKGVVATFVTAAFAFGMSEQLAMTASEQSNPRKAIPSAAKKMIYRILFVFLA SLTLVGFLVPYTSDQLLGAAGSATKASPYVIAVSSHGVRVVPHFINAVILLSVLSVAN GAFYTSSRILMSLAKQGNAPKCFDYIDREGRPAAAMLVSALFGVIAFCASSKKEEDVF TWLLAISGLSQLFTWITICLSHIRFRRAMKVQGRSLGEVGYKSQVGVWGSAYAVLMMV LALIAQFWVAIAPIGGGGKLSAQSFFENYLAMPIWIALYIFYKVWKKDWSLFIPADKV DLVSHRNIFDEELLKQEDEEYKERLRNGPYWKRVLDFWC YDR510W MSDSEVNQEAKPEVKPEVKPETHINLKVSDGSSEIFFKIKKTTP LRRLMEAFAKRQGKEMDSLRFLYDGIRIQADQTPEDLDMEDNDIIEAHREQIGGATY YDR511W MNNKLIYRSVRFATHNSQLLLPPLVLYRRILRQHKLLPGPQREM GDQYVRNEFKLHKDIDNPLHIVGFLASWQDYLHMISNGKWKDATLSSETLEKLSPEQT VQLYELMKETQKLHQDNEIESSKDVKRNNKD YDR512C MIITIKFESKEKLVSMKQYITVVGVSVNQEGTFSWRPGTFYMKI HEESAAGPFAKGAEISDLSNSSAIGCGISINQEGGDGSIRVKESSLRKKQERMSTKYP TTMSCREAFDQLTSCYSIGGQFRSYYRYGDFTSCDKQVSKFKFCIIHGNDPVKVQEWY KDQVSNNKALENTSGVIWQERETTANK YDR513W METNFSFDSNLIVIIIITLFATRIIAKRFLSTPKMVSQETVAHV KDLIGQKEVFVAAKTYCPYCKATLSTLFQELNVPKSKALVLELDEMSNGSEIQDALEE ISGQKTVPNVYINGKHIGGNSDLETLKKNGKLAEILKPVFQ YDR514C MSSSTKCKKAYEAVVKMVTHRFNSKAVRNYHQPQGLNRSLATRN AARVRGMVPSRRGGVGLYKSSSSKLMNKLGRQKTWINEFEHFNSLHEIAYTPNIALSS EIQKYLKALETNYRSIYEKSSELLDKKLEEIDKKWIEKNGCIPDASKDDVEKNLRKQY LADVQDVKNEHIPVMNCEPGGSQFKYLCKTIELLSSNKTICFAIDVEAFEFDTDIVTE IGIAIYDPRENIYSLMPIIRSYHLIVAEALPLRNKKFVCDFKDCFLLGESLVLPLEQC VEFIQSLINFYMKCETDQDTTWERAFVGHAIAGDIKWLKKIGVHVPELDNELTKPEDS TESKGVRKHVKMLDTEKIYSMCYGKKGSSLGKLLRLFHLPHAFLHNAGNDAYYTLLLM LKLGDYNFRKQIGADDLETMGYRIREWFKREADEPKILPMSYVLSVMNANNSKPKVDD KGRKKPRDLVPQTEFSGSHWFQNARAAFKSTLV YDR515W MSSQNLNDNPKNTSSAAEDKKKQTSSLKLAPIPTTSPWKSSSPD SNTVIPVEELRDISKTAKPSKNGSGSIKLTSNTKWTPITPSVIISGSKDTNSKSGKNS KNSKTNKKMKKRGKYNNDINKKDFNGQTNSTSEISNVSNLESKPLDANAKVNIHSSSG ATANGNIKRITNNNNSTNGRQSRNYQNRNGKTRYNNNSRHSQAANNAISFPNNYQARP EYIPNASHWLNNNSRNSYKQLSYFRQQQYYNNINYQQQLQTPYYYSMEPIFKSIESIK NQIEFYFSEENLKTDEFLRSKFKKANDGFIPMSLIGKFYRMVNLSLGGDPNLILASMR EVLQHKETNHLEIALGSIEGAQKNMADDFNPLENYFIRRENWAEYAMESNFDENDDET EKYNIEKLLGPNDLDNYSYMGYPNFFPSNENGKKSQSYDQGEISRQFEQNLQIND YDR516C MSFENLHKVNAEALEDAVVEICSSLQVDAAKLDELTAYFIECME KGLNNTSVGEEKTVDKGLPMIPTYVTSLPNGTERGVLLAADLGGTHFRVCSVTLNGDG TFDMQQLKSKIPEEYLNDKDVTSEELFSYLGRRTRAFVRKHHPELLKSTGENIKPLKM GFTFSYPVDQTSLSSGTLIRWTKSFKIEDTVGKDVVRLYQEQLDIQGLSMINVVALTN DTVGTFLSHCYTSGSRPSSAGEISEPVIGCIFGTGTNGCYMEDIENIKKLPDELRTRL LHEGKTQMCINIEWGSFDNELKHLSATKYDIDIDQKFSPNPGYHLFEKRISGMYLGEL LRNILVDLHARGLILGQYRNYDQLPHRLKTPFQLCSEVLSRIEIDDSTNLRETELSFL QSLRLPTTFEERKAIQNLVRSITRRSAYLAAVPIAAILIKTNALNKRYHGEVEIGFDG YVIEYYPGFRSMLRHALALSPIGTEGERKIHLRLAKDGSGVGAALCALVA YDR517W MFRIAKNLVRTFEQSVQDTLALSQDSSNLDAFFQSIPPNLLSAQ LESPVDAVSEGVKHTNVNETLSGLRIVWVDEMQFQLQSFFDYIVGFNDDPVPVVSNQH GFSYPDYRRITSIFNEHCGRTLKVNIWSAKGGTFRDEYISIISKESDDLDDVSLNHDE RRPSSGEAHQFQALGFKVQWTPLIASTFTYHILNVNIPDGPAQSAGLIPDEDYIIGCQ DGLLATGGETLLQDIVRSRANYDLVLYVYNKVSDCVRPITVHIGPDGRLGCNVGYGFL HRIPTVKHCPQQAQQQGQDDNPVPVPVPVESETAFVPSAFTAPPVPTKKKSKNKKGTQ PLAMDDYFNEGRDKSSTAAKSAESDILAPPPQKQSSSD YDR518W MQVTTRFISAIVSFCLFASFTLAENSARATPGSDLLVLTEKKFK SFIESHPLVLVEFFAPWCLHSQILRPHLEEAASILKEHNVPVVQIDCEANSMVCLQQT INTYPTLKIFKNGRIFDGQVYRGVKITDEITQYMIQLYEASVIYLNSEDEIQPYLENA TLPVVINRGLTGLNETYQEVALDLAEDYVFLSLLDSEDKSLSIHLPNTTEPILFDGNV DSLVGNSVALTQWLKVVILPYFTDIEPDLFPKYISSNLPLAYFFYTSEEELEDYTDLF TQLGKENRGQINFIALNSTMFPHHVRFLNMREQFPLFAIHNMINNLKYGLPQLPEEEY AKLEKPQPLDRDMIVQLVKDYREGTAKPIVKSEEIPKEQKSNVYKIVGKTHDDIVHDD DKDVLVKYYATWCIHSKRFAPIYEEIANVLASDESVRDKILIAEVDSGANDILSFPVT GYPTIALYPAGNNSKPIIFNKIRNLEDVFEFIKESGTHHIDGQAIYDKLHQAKDSEVS TEDTVHDEL YDR519W MMFNIYLFVTFFSTILAGSLSDLEIGIIKRIPVEDCLIKAMPGD KVKVHYTGSLLESGTVFDSSYSRGSPIAFELGVGRVIKGWDQGVAGMCVGEKRKLQIP SSLAYGERGVPGVIPPSADLVFDVELVDVKSAA YDR520C MDINSNASVSPRPDGLPMTAGYNSASGKVRNSIRSIINHPEDSA RAKERSETNSPKNNGNKKPRKKRKTFSCDTCRRVKTRCDFEPFIGKCYRCNVLQLDCS LARNKDNEILNTLREDGLLKKINSINHNLGSFSHLNADSPNESQSSFEKNGTVNFDNY MIDKRLSSLEEHIKSLHQKMDLIITTAKMSYNSDIKGPGDDIQNVDFSSNKTYDSRLT SGSETIRKTGEYRKENLFLNGFKLKESPLKLLHDIDERLFPSKATSKAAKLAGQQRPY AVARVNFLHFYENNQELCHKLAKEFLVRSHFWIIPGGRKEIDVEYAHSHLFITSVFTI IAMSFADNDKYAAEQEILYPLVERLLTNTLTMFEKLTAFDIEAILYCCMFHISRKAKR YRQLKFNSLVLSNFALNSLLHVIDFYQIKDRVLVKEVYNPEDLYHLRILNSLTACYLE YSISYGDIREQDDMLKEFNKLVAKFPQANFGDDIKISEINLGDIVNGIFINLKNYFAQ CLDDFNNDRYGGNADTFIFVFPELNYWLKNWEELLAKDGAGVLLFTFDFYHIMICRTF ITEFSSTLKSNQRFLKLILNTMKEHSFSLLNGFLRLPPTLIRGAPIFTCHQLVYACLT LCDYLYWFDSSERQRVLSLCTKVYWHLSTIGEKMNEATDNVGKIIKSIIDTSKTRINF GSLSKENSDNDKMSTNANNYTGAGNLHAAKPATSPTNVGTLHENLSSSHFMIPDVDQF NSFEDFFQDFFDSLKPNSQKMFTSDKKTEQTT YDR522C MPIWKTQTFFTSISVIQIVNKETKVSTKKEKDSMLNQLNTILRF LFLFLQLIKSSAAVEPNGGPNILDHNIMLVNTNATIPKKEQTDFEVISPTKQTQVDED CKKGLYHIENAGNLIELQAKCWKVVGNIEISSNYSGSLIDLGLIREIEGDLIIKNNKH IFRIQGYNLESLGKLELDSLTSFVSLDFPALKEVETVDWRVLPILSSVVINGNIKKIK NIIISDTALTSIDYFNNVKKVDIFNINNNRFLENLFASLESVTKQLTVHSNAKELELD LSNLHTVENMTIKDVSEIKLAKLSSVNSSLEFIENQFSSLELPLLAKVQGTLGLIDNK NLKKLNFSNATDIQGGLMIANNTELAKIDFFPKLRQIGGAIYFEGSFDKIDLPELKLV KGSAYIKSSSEELNCEEFTSPKAGRSIIRGGKIECTSGMKSKMLNVDEEGNVLGKQET DNDNGKKEKGKNGAKSQGSSKKMENSAPKNIFIDAFKMSVYAVFTVLFSIIF YDR523C MESKEISIRSRTPPSKLYSIQSCIGRGNFGDVYKAVDRVTQEIV AIKVVNLEHSDEDIELLAQEIFFLAELKSPLITNYIATMLEDVSMWIVMEYCGGGSCS DLLKRSYVNGLPEEKVSFIIHEVTLGLKYLHEQRKIHRDIKAANILLNEEGMVKLGDF GVSGHIRSTLKRDTFVGTPYWMAPEVVCCEVDGYNEKADIWSLGITTYELLKGLPPLS KYDPMKVMTNLPKRKPPKLQGPFSDAAKDFVAGCLVKTPADRPSAYNLLSFEFVKNIT ITNLKSDVDLIKQKKVQERYTKVPKYPLQNRLYKNSNTVRGKEFWNFESTRLSTTQIS KEELSPITQDSPTSSLNMESPYLLHGQTVTPITNPSSSSFRKCTQPVFELDSGMDIDS GCPNAQAETEIVPLSNHNKKHKKNDIQALKIEKFDYLKNIVSHILNRMYDRARDDETR KYVNEMLKQFIKTEANVPGFNEVFIEEISLRIEAIKKGFV YDR524C MDFYTTDINKNVVPLFSKGTVARTASKAQYPSWCNNALKLTNIL LKSLRCKFQTNRCEDDRGFEVYCVILKSIALLMAAKESLILLQIPPSLPSGFPFRSPQ LSFTYLSTRLSGSQHKSTHSHHINHQTHPIHSSSSNSNSNNRIPTKTDSSKQHTQHFS FANAGASNRDELLSIVRKIDKSNLKCCDCGSTATVEWVSINLLCILCIKCSGVHRSLG SHISKIRSLTLDNFTSLELMHLLQNNVSNSNVNAIYESNLRNFPVKKITANSDDSERS KFIIDKYQFKKFVIDSNQGREASLKSLIKAIHLDSVFMMQRAIAQSKYSLRELTASEK EQNDLNHSSIFQYSLKHYEIVDGTPIFFITEFLLCNGIHIDNLPKITTNWSPKVLEYW ETKLEMYGTFQAVNTSRPRSGPHLNMHSNVDSASSYNKKHDLRVNIPERSASASKRWS LSSIPKSSQNLMSPTNLLTMHKSLKLAKKDKK YDR524W-C MKRSYKTLPTYFFSFFGPFKERAVFLLVL YDR524C-B MQFKTIVAAFATVAAVQAANVSTNGSNRTNGSNTTSTKISTGAA ASNALGAGVFGAAVAAGVAFLF YDR525W MEKKIKNFSSSLYMRRKARKTTAMTHSTISHVTNVKKAMIFFLL SFSHFSSGYSACKKKRRGSGLRYFGNKLWRPTPRSGQSGQSRPKTGPHGSQRVVFLEL KKAQRWA YDR525W-A MHARDWFLVFIAIFIPPLAVWLKRGFFTKDLLINFLLFLLGFFP GLIHALYVISCHPYEENEARYSHLSSSDDNYGSLA YDR527W MDLLGDIVEKDTSDSVESNDNGTLSTNNCGTGFPELYKPKKISS WKERLREKRAQKKKTSGKDAEKQQTSTDAPLSEAKSIHNENIKVLQGMSDEQIVQERE DLYNSLDPKLIAKLLKNINKRAKDENNTPLFAEIEGASGTWVGGNKQGIYDLPPLDDE DVDVALEIRPMLGKDAKHVQFEEAGKEKDVEEEAKTNDDVDDIAPLDFQMAQCIDHMK NEELFKDVHFIKEESQNEINLEKLDINDPNFNDKLHEKYFPDLPKEVDKLKWMQPVQQ KTDKNYIIEDVSECRFDFNGDLVPPTRQIDSTIHSGLHHHSDSPELAGYTIVELEHLA RSTFPSQRCIAIQTLGRILYKLGQKSYYQLVPEIDADTYKEDGSISNVMDKIYSMFWD LIKDGKVIESLEISSDEKFTRNLSVRNYAIDALWLWKQGGGDFRTKK YDR528W MENLCPPPPSQMKDFSTPPRNRHRHKRSFAISGDFEFLKQPASA PVLPSAYDSPTFENTPRRVSGMSVTMPDESQNESALLNSPSPRFFISEASTYSSPIKG VPDAIINLDDVLINKPKMCRSHRKTKSVPVKLDEFYSSHKCSSVPELTINEEIDEDDT NPQLLEPVKPLSSTSLSTDMNEDKKMTLKNARSHNSLKIQAQKQRYYNSARYLPLNSE DRATDPQILTKQSSVTSLFSSRSITPVSCNINNAGRINAISGNYLDDVLYDLDTPATT LIQDIDNLQTSINERVRLSPQSSSIKKYFSKDGKSVSSFNFQSQECDMVSFTEDFAHV TSLSSSILDSEKQTDDEEEESIPEEILRGEPLHVYNETSGSDKSVILPTKQKSAPINK DSKHSSTQYEEKSFKKNRKFKIFAKLFCTRK YDR529C MPQSFTSIARIGDYILKSPVLSKLCVPVANQFINLAGYKKLGLK FDDLIAEENPIMQTALRRLPEDESYARAYRIIRAHQTELTHHLLPRNEWIKAQEDVPY LLPYILEAEAAAKEKDELDNIEVSK YDR530C MIEENLKQKIHDKFVAAKKNGHLKVTHAESKKLKDPQTTTQYWV TFAPSLALKPDANKNSDSKAEDPFANPDEELVVTEDLNGDGEYKLLLNKFPVVPEHSL LVTSEFKDQRSALTPSDLMTAYNVLCSLQGDKDDDVTCERYLVFYNCGPHSGSSQDHK HLQIMQMPEKFIPFQDVLCNGKDHFLPTFNAEPLQDDKVSFAHFVLPLPESSDQVDED LLAMCYVSLMQRALTFFQDWTNESPELTKSYNVLLTKKWICVVPRSHAKSGPPLMLNI NSTGYCGMILVKDREKLENLTEDPHLVDKSLLQCGFPNTAGQKPTEYHY YDR531W MPRITQEISYNCDYGDNTFNLAIDIGGTLAKVVFSPIHSNRLMF YTIETEKIDKFMELLHSIIKEHNNGCYRMTHIIATGGGAFKFYDLLYENFPQIKGISR FEEMEGLIHGLDFFIHEIPDEVFTYNDQDGERIIPTSSGTMDSKAIYPYLLVNIGSGV SILKVTEPNNFSRVGGSSLGGGTLWGLLSLITGAQTYDQMLDWAQEGDNSSVDMLVGD IYGTDYNKIGLKSSAIASSFGKVFQNRMTSNKSLENNENKLYSSHESIEKNNGQMFKN PDICKSLLFAISNNIGQIAYLQAKINNIQNIYFGGSYTRGHLTTMNTLSYAINFWSQG SKQAFFLKHEGYLGAMGAFLSASRHSSTKKTST YDR532C MDTGSASIKDYETVLTDIEDSIAVSSEEVLNNQELRLKNTLHEI TSSILAINEENKFVNPLRNDESLDVEGKEVFVNPKILSAKIKEFNKLMELLKLTYLEQ ETLDYFFRFTLSSTKPLQLDSEKDPQFVKLNERVNDLKEEISNVQESKIEQIKAEIQE TGHNFAERQDLINELYLEATGDIENCWDSLNELKNLTNKEDKNMMGEKDTILNSSDSD DFVEETYTNWQKLLFLQKQNQRLTKELKEMHEVKNQIIRKGEQSKKEDSGHLMANESE LCQSINLLTKFWEKHFLLKGSKTTILNFEIFTQLGKVQFEIKDMQYIIAISLSDLKRP MIKDITILQKAGGNIVTDIEASSKFNNKYRNNTKVQIFEVMDDIISELTNE YDR533C MAPKKVLLALTSYNDVFYSDGAKTGVFVVEALHPFNTFRKEGFE VDFVSETGKFGWDEHSLAKDFLNGQDETDFKNKDSDFNKTLAKIKTPKEVNADDYQIF FASAGHGTLFDYPKAKDLQDIASEIYANGGVVAAVCHGPAIFDGLTDKKTGRPLIEGK SITGFTDVGETILGVDSILKAKNLATVEDVAKKYGAKYLAPVGPWDDYSITDGRLVTG VNPASAHSTAVRSIDALKN YDR534C MKLSSAFVLSAITVAALGESITTTITATKNGHVYTKTVTQDATF VWAGEGAAVTSAVTEASTVAATSAAAETSVAAETSIVEPSTSAQGTSADEGSGSSITT TITATKNGHVYTKTVTQDATFVWTGEGERAPASTVATVETSVAAETSVAEPSTSAQGT SADEGSGSSITTTITATKNGHVYTKTVTQDATFVWTGEGERAPVSTVATVETAASPVT SVAEPSASTDEGSGSSITTTITATKNGHVYTKTVTQDATFVWTGEGERAPASTVATSS ISAIEIPSTTEASIVEASSAVETSSAAETSSAVETSSAVETSSAVETSSAAETSSAAE TSSAVETSSAVEISSAVETSAVETSSSSSTIETTSVKSLSPTQTSLSSSVQASSPIET SSAAKTSSVVPTFSSTTTENSSNSKSTSAVVASTTTSSESSATIVTPTRIGQAYTESS SRDAQSVRTHESNNWSSSSSASTKMVSSITRVQTTTAGIFTNGKSSTTPQIVNYTGAA DSIAAGTGLMGAALAAVIFL YDR536W MKDLKLSNFKGKFISRTSHWGLTGKKLRYFITIASMTGFSLFGY DQGLMASLITGKQFNYEFPATKENGDHDRHATVVQGATTSCYELGCFAGSLFVMFCGE RIGRKPLILMGSVITIIGAVISTCAFRGYWALGQFIIGRVVTGVGTGLNTSTIPVWQS EMSKAENRGLLVNLEGSTIAFGTMIAYWIDFGLSYTNSSVQWRFPVSMQIVFALFLLA FMIKLPESPRWLISQSRTEEARYLVGTLDDADPNDEEVITEVAMLHDAVNRTKHEKHS LSSLFSRGRSQNLQRALIAASTQFFQQFTGCNAAIYYSTVLFNKTIKLDYRLSMIIGG VFATIYALSTIGSFFLIEKLGRRKLFLLGATGQAVSFTITFACLVKENKENARGAAVG LFLFITFFGLSLLSLPWIYPPEIASMKVRASTNAFSTCTNWLCNFAVVMFTPIFIGQS GWGCYLFFAVMNYLYIPVIFFFYPETAGRSLEEIDIIFAKAYEDGTQPWRVANHLPKL SLQEVEDHANALGSYDDEMEKEDFGEDRVEDTYNQINGDNSSSSSNIKNEDTVNDKAN FEG YDR538W MLLFPRRTNIAFFKTTGIFANFPLLGRTITTSPSFLTHKLSKEV TRASTSPPRPKRIVVAITGATGVALGIRLLQVLKELSVETHLVISKWGAATMKYETDW EPHDVAALATKTYSVRDVSACISSGSFQHDGMIVVPCSMKSLAAIRIGFTEDLITRAA DVSIKENRKLLLVTRETPLSSIHLENMLSLCRAGVIIFPPVPAFYTRPKSLHDLLEQS VGRILDCFGIHADTFPRWEGIKSK YDR539W MRKLNPALEFRDFIQVLKDEDDLIEITEEIDPNLEVGAIMRKAY ESHLPAPLFKNLKGASKDLFSILGCPAGLRSKEKGDHGRIAHHLGLDPKTTIKEIIDY LLECKEKEPLPPITVPVSSAPCKTHILSEEKIHLQSLPTPYLHVSDGGKYLQTYGMWI LQTPDKKWTNWSIARGMVVDDKHITGLVIKPQHIRQIADSWAAIGKANEIPFALCFGV PPAAILVSSMPIPEGVSESDYVGAILGESVPVVKCETNDLMVPATSEMVFEGTLSLTD THLEGPFGEMHGYVFKSQGHPCPLYTVKAMSYRDNAILPVSNPGLCTDETHTLIGSLV ATEAKELAIESGLPILDAFMPYEAQALWLILKVDLKGLQALKTTPEEFCKKVGDIYFR TKVGFIVHEIILVADDIDIFNFKEVIWAYVTRHTPVADQMAFDDVTSFPLAPFVSQSS RSKTMKGGKCVTNCIFRQQYERSFDYITCNFEKGYPKGLVDKVNENWKRYGYK YDR540C MREYTSKKELKEEIEKKYEKYDAEFETISESQKDEKVETVDRTP SENLSYQLGWVNLLLEWEAKEIAGYNVETPAPGYKWNNLGGLYQSFYKKYGIYSIKEQ RAKLREAVNEVYKWISTLSDDELFQAGNRKWATTKAMWPVYKWIHINTVAPFTNFRGK IRKWKRLVPEEQRIKRRKI YDR541C MSNTVLVSGASGFIALHILSQLLKQDYKVIGTVRSHEKEAKLLR QFQHNPNLTLEIVPDISHPNAFDKVLQKRGREIRYVLHTASPFHYDTTEYEKDLLIPA LEGTKNILNSIKKYAADTVERVVVTSSCTAIITLAKMDDPSVVFTEESWNEATWESCQ IDGINAYFASKKFAEKAAWEFTKENEDHIKFKLTTVNPSLLFGPQLFDEDVHGHLNTS CEMINGLIHTPVNASVPDFHSIFIDVRDVALAHLYAFQKENTAGKRLVVTNGKFGNQD ILDILNEDFPQLRGLIPLGKPGTGDQVIDRGSTTDNSATRKILGFEFRSLHESVHDTA AQILKKQNRL YDR542W MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKVGIYTIAN YDR545W MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVISVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDILHIILRACALNF GAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALLVS SCACTARDLDIFDDTNGVAMWKWIKILYHEVAQETTLKDSYRITLVPSSDGISVCGKL FNREYVRGFYFACKAQFDNLWGELNNCFYMPTVVDIASLILRNREVLFREPKRGIDEY LENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMVHFAVGY PYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVFYF VTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFLNTAKGC LVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMAN HSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAED VVAGEAASSDHDQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEI YMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLSR CGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKL GYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTG LAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEAL KLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFV TDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKN SWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIE RMDRLAEKQATASMSIIALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATT NSSTNATTTASTNVRTSATTTASINVRTSAITTESTNSSTNATTTASTNVRTSATTTA SINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATT TASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLER KKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCKGCQKMF ELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSVKR GEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLR ESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVK LQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKL EYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDII LLCRDSSREVGE YEL077C MTLGNSYDAFNHDPWMDVVGFEDPNQVTNRDISRIVLYSYMFLN TAKGCLVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATS ELMANHSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSST RNAEDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLR LCYEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCM IRLGRCGCLNVAPVRNFIEEGYDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRT NNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQR IGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIRKKVESQ PEEALKLLLALFESEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLDAAEKVSR TKEFVTDGNMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYL LSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADT VELIERMDRLAEKQATASMSIVALPSNFQESNSSDRYRKYCSSDEDSNTCIHGSANAS TNASTNAITTASTNVRTNATTNASTNATTNASTNATTNSSTNATTTASTNVRTSATTT ASINVRTSATTTESTNSSTNATTTASINVRTSATTTKSINSSTNATITESTNSNTNAT TTESTNSKTSATTTASTNSNTSATTTESTNSKTSATTTASTNSNTSATTTESTNSNTS ATTTASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVL LERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQ KMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFS VKRREIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWS NLRESKTEVLQYFLNWDEKKCQEEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQ YVKLQFSRHHRQLRSRYELSLGMHLRDQIALGVTPSKVPHWTAFLSMLIGLFYNKTFR QKLEYLLEQISEVWLLPHWLDLANVEVLAADNTKVPLYMLMVAVHKELDSDDVPDGRF DIILLCRDSSREVGE YEL076C-A MQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDR LGDILHIILRACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTP RRLRKTLDAVKALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETTLKDSYR ITLVPSSDGISDTLTVIQSFSYSLLPVLSATYTSMIQQDASNCTLITTRTVHRSLD YEL076C MQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDR LGDILHIILRACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTP RRLRKTLDAVKALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETTLKDSYR ITLVPSSDGISVCGKLWGELNNCFHMPASFCVIEKFCSENRSEELTSIWKTILFFK YEL075C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKVVISVMVGKNVKKFLTFVEDEPDFQGGPI PSNKPRDGLHVVSSAYFEIQ YEL073C MVNLANVLTNATAATLSAWSNTVPLETYFHFDEASGFGDYYLNV SVIWMNETLYETRIVPAIINVREWLDHMEANDPSPSVTNPYETSGYYAFSTVVPVLMG NMKVA YEL072W MSACPCNIVILPVEILKNSSKDTKYSLYTTINRGYDVPRLKYGI IVSPRVHSLETLFSDLGFDKNIEKSSLYLLLNDPTLAYPNFHEHFEQLKGETNKDLSL PTYYIPKVQFLTEAFDSEHTLATIGYKPNNKESYEITGFTSMGNGYGIKLFNYSVIHM MRSHKCKRVVADIIMEHDLLGYYEKKLGFVEVQRFKVLKEQHQVKVFDDKVDFTKDFH VIKMIKELGNHRL YEL071W MTAAHPVAQLTAEAYPKVKRNPNFKVLDSEDLAYFRSILSNDEI LNSQAPEELASFNQDWMKKYRGQSNLILLPNSTDKVSKIMKYCNDKKLAVVPQGGNTD LVGASVPVFDEIVLSLRNMNKVRDFDPVSGTFKCDAGVVMRDAHQFLHDHDHIFPLDL PSRNNCQVGGVVSTNAGGLNFLRYGSLHGNVLGLEVVLPNGEIISNINALRKDNTGYD LKQLFIGAEGTIGVVTGVSIVAAAKPKALNAVFFGIENFDTVQKLFVKAKSELSEILS AFEFMDRGSIECTIEYLKDLPFPLENQHNFYVLIETSGSNKRHDDEKLTAFLKDTTDS KLISEGMMAKDKADFDRLWTWRKSVPTACNSYGGMYKYDMSLQLKDLYSVSAAVTERL NAAGLIGDAPKPVVKSCGYGHVGDGNIHLNIAVREFTKQIEDLLEPFVYEYIASKKGS ISAEHGIGFHKKGKLHYTRSDIEIRFMKDIKNHYDPNGILNPYKYI YEL070W MTKSDETTATSLNAKTLKSFESTLPIPTYPREGVKQGIVHLGVG AFHRSHLAVFMHRLMQEHHLKDWSICGVGLMKADALMRDAMKAQDCLYTLVERGIKDT NAYIVGSITAYMYAPDDPRAVIEKMANPDTHIVSLTVTENGYYHSEATNSLMTDAPEI INDLNHPEKPDTLYGYLYEALLLRYKRGLTPFTIMSCDNMPQNGVTVKTMLVAFAKLK KDEKFAAWIEDKVTSPNSMVDRVTPRCTDKERKYVADTWGIKDQCPVVAEPFIQWVLE DNFSDGRPPWELVGVQVVKDVDSYELMKLRLLNGGHSAMGYLGYLAGYTYIHEVVNDP TINKYIRVLMREEVIPLLPKVPGVDFEEYTASVLERFSNPAIQDTVARICLMGSGKMP KYVLPSIYEQLRKPDGKYKLLAVCVAGWFRYLTGVDMNGKPFEIEDPMAPTLKAAAVK GGKDPHELLNIEVLFSPEIRDNKEFVAQLTHSLETVYDKGPIAAIKEILDQV YEL069C MSSAQSSIDSDGDVRDADIHVAPPVEKEWSDGFDDNEVINGDNV EPPKRGLIGYLVIYLLCYPISFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTGEYY LSNVRMGLLVAMFSIGCAIGGLIFARLADTLGRRLAIVIVVLVYMVGAIIQISSNHKW YQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCSVYG TRKYDNTAQWRVPLGLCFLWALIIIIGMLLVPESPRYLIECERHEEARASIAKINKVS PEDPWVLKQADEINAGVLAQRELGEASWKELFSVKTKVLQRLITGILVQTFLQLTGEN YFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAGMMA CMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAESFP SKVKSRAMSISTACNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFFLPE TIGLSLEEIQLLYEEGIKPWKSASWVPPSRRGISSEESKTEKKDWKKFLKFSKNSD YEL068C MKILALAVAFQGLALVCCTDTTDNYNNFTHNTSTFYRIADYDKR FWSVKLPPVNWTTCGNEDHPWITIHYDEIDKLVDDKLASWDKGPVPDREEFRNSILRQ ARCDRPHY YEL067C MAFTTIRSLTIFSGSSFDMPTFFVLAKGGDGSGVFFISLHSSIL YARFPLLNILSQHSPVYCSRRFPPDNDLITGLGNIRCGQLVKKALRRVCNVPPDHQLI ASVRSIGYARVAFVFCTCTSECRDNLQSVTRTSGHQTTISQPCTDIQSTRKCSTIFVS AVMQTGTITFFWTFHFPIPLQRIVNQKQPPPQSYV YEL066W MKKTPDPSPPFASTKNVGMSNEEPEKMVNDRIVVKAIEPKDEEA WNKLWKEYQGFQKTVMPPEVATTTFARFIDPTVKLWGALAFDTETGDAIGFAHYLNHL TSWHVEEVVYMNDLYVTERARVKGVGRKLIEFVYSRADELGTPAVYWVTDHYNHRAQL LYTKVAYKTDKVLYKRNGY YEL065W MDPGIANHTLPEEFEEVVVPEMLEKEVGAKVDVKPTLTTSSPAP SYIELIDPGVHNIEIYAEMYNRPIYRVALFFSLFLIAYAYGLDGNIRYTFQAYATSSY SQHSLLSTVNCIKTVIAAVGQIFFARLSDIFGRFSIMIVSIIFYSMGTIIESQAVNIT RFAVGGCFYQLGLTGIILILEVIASDFSNLNWRLLALFIPALPFIINTWISGNVTSAI DANWKWGIGMWAFILPLACIPLGICMLHMRYLARKHAKDRLKPEFEALNKLKWKSFCI DIAFWKLDIIGMLLITVFFGCVLVPFTLAGGLKEEWKTAHIIVPEVIGWVVVLPLYML WEIKYSRHPLTPWDLIQDRGIFFALLIAFFINFNWYMQGDYMYTVLVVAVHESIKSAT RITSLYSFVSVIVGTILGFILIKVRRTKPFIIFGISCWIVSFGLLVHYRGDSGAHSGI IGSLCLLGFGAGSFTYVTQASIQASAKTHARMAVVTSLYLATYNIGSAFGSSVSGAVW TNILPKEISKRISDPTLAAQAYGSPFTFITTYTWGTPERIALVMSYRYVQKILCIIGL VFCFPLLGCAFMLRNHKLTDSIALEGNDHLESKNTFEIEEKEESFLKNKFFTHFTSSK DRKD YEL064C MSELGEYSKLENKELRTEFELTNFPFPGTTDNDSDDGSQGQNSL NIITPDMDDTLVNDVLRENDKKSSMRMAFMNLANSILGAGIITQPFAIKNAGILGGLL SYVALGFIVDWTLRLIVINLTLAGKRTYQGTVEHVMGKKGKLLILFTNGLFAFGGCIG YCIIIGDTIPHVLRAIFSQNDGNVHFWLRRNVIIVMVTTFISFPLSMKRNIEALSKAS FLAVISMIIIVLTVVIRGPMLPYDWKGHSLKLSDFFMKATIFRSLSVISFALVCHHNT SFIFFSMRNRSVAKFTRLTHISIIISVICCALMGYSGFAVFKEKTKGNVLNSFPGTDT AINIARLCFGFNMLTTFPMEIFVLRDVVGNLLHECNLIKNYDEHTQLSGKQHVVITSS LVFITMGISLTTCNLGALFELIGATTASTMAYILPPYTNLLLTSKKKSWKERLPFYLC ICFGFMIMIISSTQTIIDAVNGSDGQHCQI YEL063C MTNSKEDADIEEKHMYNEPVTTLFHDVEASQTHHRRGSIPLKDE KSKELYPLRSFPTRVNGEDTFSMEDGIGDEDEGEVQNAEVKRELKQRHIGMIALGGTI GTGLFIGLSTPLTNAGPVGALISYLFMGSLAYSVTQSLGEMATFIPVTSSFTVFSQRF LSPAFGAANGYMYWFSWAITFALELSVVGQVIQFWTYKVPLAAWISIFWVIITIMNLF PVKYYGEFEFWVASIKVLAIIGFLIYCFCMVCGAGVTGPVGFRYWRNPGAWGPGIISK DKNEGRFLGWVSSLINAAFTFQGTELVGITAGEAANPRKSVPRAIKKVVFRILTFYIG SLLFIGLLVPYNDPKLTQSTSYVSTSPFIIAIENSGTKVLPHIFNAVILTTIISAANS NIYVGSRILFGLSKNKLAPKFLSRTTKGGVPYIAVFVTAAFGALAYMETSTGGDKVFE WLLNITGVAGFFAWLFISISHIRFMQALKYRGISRDELPFKAKLMPGLAYYAATFMTI IIIIQGFTAFAPKFNGVSFAAAYISIFLFLAVWILFQCIFRCRFIWKIGDVDIDSDRR DIEAIVWEDHEPKTFWDKFWNVVA YEL062W MLSYFQGFVPIHTIFYSVFHPTEGSKIKYEFPPNNLKNHGINFN TFKNYIIPKPILCHKLITFKYGTYRIVCYPVTINSPIYARNFFSFNFVFVFPYDCETS PYEPAITRLGKMFKVLEEQNQLLSKSERDPVFFDLKVLENSTTTPSTAGPSSTPNPSS NTTPTHPTSEKDTKDMRSSRYSDLIKDLGLPQSAFSIQDLLMRIFQDLNNYSECLIPI DEGNAVDIKIFPLLRPPTTCVSLEDVPLSSVNLKKIIDVNWDPTMMSIVPYIDGLNSI AKISKLSNSDPGLVIECIRHLIYYKCVTLSDIFQFSNIYAPSSLIRNFLTDPLMASDC QSYVTFPEVSKISNLPLNKSLGSGDQDSPSFSVRRKSKSSSIPSNPDSRTTSFSSTSR VSQNSSLNSSFSSIYKDWRQSQTSCSSSNIHVINNRNRFLPTRSCLFDLYRSLSQGQT LKTWYESKYMILKENNIDIRRFITFGLEKRIIYRCYSFPVMINAGSREPKEMTPIITK DLVNNDKLLEKRNHNHLLSATGSRNTAQSGNLKPERPSKVSFEMQRVSSLATGKSTMP KLSDEEEGILEESIRNAETFDKICVLLSKPKLEVESYLNELGEFKVINS YEL061C MPAENQNTGQDRSSNSISKNGNSQVGCHTVPNEELNITVAVRCR GRNEREISMKSSVVVNVPDITGSKEISINTTGDTGITAQMNAKRYTVDKVFGPGASQD LIFDEVAGPLFQDFIKGYNCTVLVYGMTSTGKTYTMTGDEKLYNGELSDAAGIIPRVL LKLFDTLELQQNDYVVKCSFIELYNEELKDLLDSNSNGSSNTGFDGQFMKKLRIFDSS TANNTTSNSASSSRSNSRNSSPRSLNDLTPKAALLRKRLRTKSLPNTIKQQYQQQQAV NSRNNSSSNSGSTTNNASSNTNTNNGQRSSMAPNDQTNGIYIQNLQEFHITNAMEGLN LLQKGLKHRQVASTKMNDFSSRSHTIFTITLYKKHQDELFRISKMNLVDLAGSENINR SGALNQRAKEAGSINQSLLTLGRVINALVDKSGHIPFRESKLTRLLQDSLGGNTKTAL IATISPAKVTSEETCSTLEYASKAKNIKNKPQLGSFIMKDILVKNITMELAKIKSDLL STKSKEGIYMSQDHYKNLNSDLESYKNEVQECKREIESLTSKNALLVKDKLKSKETIQ SQNCQIESLKTTIDHLRAQLDKQHKTEIEISDFNNKLQKLTEVMQMALHDYKKRELDL NQKFEMHITKEIKKLKSTLFLQLNTMQQESILQETNIQPNLDMIKNEVLTLMRTMQEK AELMYKDCVKKILNESPKFFNVVIEKIDIIRVDFQKFYKNIAENLSDISEENNNMKQY LKNHFFKNNHQELLNRHVDSTYENIEKRTNEFVENFKKVLNDHLDENKKLIMQNLTTA TSAVIDQEMDLFEPKRVKWENSFDLINDCDSMNNEFYNSMAATLSQIKSTVDTSSNSM NESISVMKGQVEESENAISLLKNNTKFNDQFEQLINKHNMLKDNIKNSITSTHSHITN VDDIYNTIENIMKNYGNKENATKDEMIENILKEIPNLSKKMPLRLSNINSNSVQSVIS PKKHAIEDENKSSENVDNEGSRKMLKIE YEL060C MKLENTLFTLGALGSISAALVIPNLENAADHHELINKEDHHERP RKVEFTKDDDEEPSDSEDKEHGKFHKKGRKGQDKESPEFNGKRASGSHGSAHEGGKGM KPKHESSNDDDNDDKKKKPHHKGGCHENKVEEKKMKGKKVKGKKHHEKTLEKGRHHNR LAPLVSTAQFNPDAISKIIPNRYIIVFKRGAPQEEIDFHKENVQQAQLQSVENLSAED AFFISTKDTSLSTSEAGGIQDSFNIDNLFSGYIGYFTQEIVDLIRQNPLVDFVERDSI VEATEFDTQNSAPWGLARISHRERLNLGSFNKYLYDDDAGRGVTSYVIDTGVNINHKD FEKRAIWGKTIPLNDEDLDGNGHGTHCAGTIASKHYGVAKNANVVAVKVLRSNGSGTM SDVVKGVEYAAKAHQKEAQEKKKGFKGSTANMSLGGGKSPALDLAVNAAVEVGIHFAV AAGNENQDACNTSPASADKAITVGASTLSDDRAYFSNWGKCVDVFAPGLNILSTYIGS DDATATLSGTSMASPHVAGLLTYFLSLQPGSDSEFFELGQDSLTPQQLKKKLIHYSTK DILFDIPEDTPNVLIYNGGGQDLSAFWNDTKKSHSSGFKQELNMDEFIGSKTDLIFDQ VRDILDKLNII YEL059C-A MAPPTTIRTRDQALAPLATLDSQTNCRLKELVQWECQFKGAEYV CSPFKRLFEHCIAPDKSATNYEVTDTYTNS YEL058W MKVDYEQLCKLYDDTCRTKNVQFSYGTAGFRTLAKNLDTVMFST GILAVLRSLKLQGQYVGVMITASHNPYQDNGVKIVEPDGSMLLATWEPYAMQLANAAS FATNFEEFRVELAKLIEHEKIDLNTTVVPHIVVGRDSRESSPYLLRCLTSSMASVFHA QVLDLGCVTTPQLHYITDLSNRRKLEGDTAPVATEQDYYSFFIGAFNELFATYQLEKR LSVPKLFIDTANGIGGPQLKKLLASEDWDVPAEQVEVINDRSDVPELLNFECGADYVK TNQRLPKGLSPSSFDSLYCSFDGDADRVVFYYVDSGSKFHLLDGDKISTLFAKFLSKQ LELAHLEHSLKIGVVQTAYANGSSTAYIKNTLHCPVSCTKTGVKHLHHEAATQYDIGI YFEANGHGTIIFSEKFHRTIKSELSKSKLNGDTLALRTLKCFSELINQTVGDAISDML AVLATLAILKMSPMDWDEEYTDLPNKLVKCIVPDRSIFQTTDQERKLLNPVGLQDKID LVVAKYPMGRSFVRASGTEDAVRVYAECKDSSKLGQFCDEVVEHVKASA YEL057C MANDGIQRNDNRKGFKTVQFSAYSKEIDVIMKKISFLERNITQQ LDTLPHFPKTLPPNHKDCVSRKHRARRGWSSQLKNLLGIYSKEEIFTLDNLAATLHDQ VLKLQATLFPNAILKQVHLDNANIENKRILKEITYKYLSNENCKEENKFGTFIVKRIF FGDLSLGVSVLINRIAFESATSSIMVVRSSFIESDFFYEDYLIFDCRAKRRKKLKRKI LFISTTMNFNYQTKV YEL056W MENQEKPLSVDEEYDLWKSNVPLMYDFVSETRLTWPSLTVQWLP TPVQELDGGFIKQELIIGTHTSGEEENYLKFAEINLPKEILSNEDPQEEAGEEYQSSL PAPRSNIRITAKYEHEEEITRARYMPQDPNIVATINGQGTVFLYSRSEGLQSTLKFHK DNGYALSFSTLVKGRLLSGSDDHTVALWEVGSGGDPTKPVRTWNDLHSDIINDNKWHN FNKDLFGTVSEDSLLKINDVRANNTTIDTVKCPQPFNTLAFSHHSSNLLAAAGMDSYV YLYDLRNMKEPLHHMSGHEDAVNNLEFSTHVDGVVVSSGSDNRLMMWDLKQIGAEQTP DDAEDGVPELIMVHAGHRSSVNDFDLNPQIPWLVASAEEENILQVWKCSHSLPIVGGP PKVNKDIIS YEL055C MTGKVNRDLFFKLASDLREERLHAAVALIKDLSALDLPDDAEEW SYVLNRLIKGLSSDRNSARLGFSLCLTEVINLAVNMPPGQRPKGLESTNEFLSTLSTI LNVNVNEGTKKSMKGKDERGILFGKLFGLKSLLNEPLFSEIFVKDLEKGNTEFFIRFT EQLIDLALKKNWIKEPCFFTLFQTMKMLLPFMDESSAEKILLIYDKYDLTLTNEGLST YLLLKYEGDESLIPSVLDLKNPGWKDNDPLARGNLPLLTKVLRNSSVIPDANGGLKET KKQKNTNWNPRLHFVWSVLLPLFGNGKLENTSHISKKRKKTNNKKVQNSIQFPEFWKM AVDESFFNEKASSERKYLGFLIIDAAFKAVPGSYIGFCFSQNVMRTLINQSIDSQRVL NKISQLTLDSIVKACEEDSANRLVPCLNAMLFGPHGSINFDKLTKSGTVSKLIAIKEL PSTVLAQLLDVFFLQLQDKKGVLSHTLFALDSILHIVRAHKVEINDMDIMKPVLRPIV YMAFFKHTSDDLKLEQLHELAKERLYSILGELTINKEIRCKDPEINSWQYLTLKLILD IENSHVGDLINPLDENLENIKNEAISCLSKVCRSRTAQSWGLSTLLSMCLVQLYAGDT DSISVIEELCEFSKHENNSMVGITEILLSLLAQKKALLRKLSLIIWQQFIEEVGLEEL QILLDILKARENKQGFAQLFEGEEEFEEIKEENDASEDESKTGSESESESESDSDDAD EKDEEDEANEDILNIDKEATSALVKALNLPDNIVNDKGEVDLDQLEGLSDDGGDDEDE ESMDDEKMMELDDQLSEIFKRRKEALSSISTGNQRKFEVKQSRENVISFKHRVVDMLA VYVKYCEKLTLANKSEHSNNLGGSLSKLVYFIIPMLKCVNETLDRPLADKISKLLKGK IFKIKVTAFKDMNKDIELMDLLKKTHKLMLTSKPGQHAAVFYSMCSTSSLFLSKLYVE IGGNDKLDELIDLYTATTKEWMQKGKCGPNIFIDFINWLSSKKQTVMDKE YEL054C MPPKFDPNEVKYLYLRAVGGEVGASAALAPKIGPLGLSPKKVGE DIAKATKEFKGIKVTVQLKIQNRQAAASVVPSASSLVITALKEPPRDRKKDKNVKHSG NIQLDEIIEIARQMRDKSFGRTLASVTKEILGTAQSVGCRVDFKNPHDIIEGINAGEI EIPEN YEL053C MEVDSILGSLSITDDFDQLVDVTSLFDELCSKLKPEAIVKDPRF DLFEGTHSLEVNNSKLDSSLIELTAEEIEFDVNVAYDPPLASVAAIADRLLRCVISWL NDYQTLPTTVLSCRYTESLLSSLVKGTTAGSSWCTGNILYDKVLGSCILGVCYLTKFV QKLLSAGIVFEEEDLNFNNMGFNTFDNLPGQDVVINSLTESLQILEAYSDDSLHLTML KHILKIIICLVHLEDHLTDYSTKTSHLDELIENANSVNGIFPQLQLSPPKGAFSTYIQ KHRSNQFPPRKITKLPTDYSGFITLANDVKTILLVDKAESALETYQFAKFFNKLEQRH VIARILFPLFFIRDDRTVLGKFSYTQFYLLHVKEFSAQTPSEFESSIGNELIQESSNM LLEWYQNCSQNTCRYRQGFNRQLILWDSLQAQFESVNSQVYCSWTYFMKLSSMIEFSL KGFDLDIYKPFEAYSMFWYVYYLSHHLETFLKDSQNDIESNINAIHSMNKKLKKLKAG EKKDQLRLKYRFAMDNEMEQLQATKQFLNYLLKEINITKSLCLIEVFQFAILKSFGLI DNKNSTPSKFSNERLIHNLRFKPFNSIGVPELPEYEVFQQTLKDFVIEEKGAAFDIKL ERATNFIETEVRNVVSSIDEIMQGIKGGDNNGVLVTGTRLVQELSLEYYCKLKHTSKA LSVNSKVIVNTLKKNIKNKDSHEYKVELVHTTEGWNYFPIQTLRIKQDRYK YEL052W MIALKPNAVRTFRQVQHCSFRICRYQSTKSNKCLTPLQEYDRLV KLGKLRDDTYQRGIISSLGDLYDSLVKYVPPVVKTPNAVDQVGGWLNGLKSVFSRGKP KNIGAYVDVSKIGNSIPRGVYLYGDVGCGKTMLMDLFYTTIPNHLTKKRIHFHQFMQY VHKRSHEIVREQNLKELGDAKGKEIDTVPFLAAEIANNSHVLCFDEFQVTDVADAMIL RRLMTALLSDDYGVVLFATSNRHPDELYINGVQRQSFIPCIELIKHRTKVIFLNSPTD YRKIPRPVSSVYYFPSDTSIKYASKECKTRRETHIKEWYNYFAQASHTDDSTDSHTVH KTFYDYPLTIWGREFKVPKCTPPRVAQFTFKQLCGEPLAAGDYLTLAKNFEAFIVTDI PYLSIYVRDEVRRFITFLDAVYDSGGKLATTGAADFSSLFVEPEQILNDFELRPTTKE PDSVDTGMVDEMVEKHGFSKEIAKKSQMFALDEERFAFARALSRLSQMSSTDWVTKPT Y YEL051W MSGNREQVFPTRMTLGLMKTKLKGANQGYSLLKRKSEALTKRFR DITKRIDDAKQKMGRVMQTAAFSLAEVSYATGENIGYQVQESVSTARFKVRARQENVS GVYLSQFESYIDPEINDFRLTGLGRGGQQVQRAKEIYSRAVETLVELASLQTAFIILD EVIKVTNRRVNAIEHVIIPRTENTIAYINSELDELDREEFYRLKKVQEKKQNETAKLD AEMKLKRDRAEQDASEVAADEEPQGETLVADQEDDVIF YEL050C MLVLGSLRSALSCSSTASLISKRNPCYPYGILCRTLSQSVKLWQ ENTSKDDSSLNITPRLLKIIPNDTDIVTLEKQDELIKRRRKLSKEVTQMKRLKPVSPG LRWYRSPIYPYLYKGRPVRALTVVRKKHGGRNNSGKITVRHQGGGHRNRTRLIDFNRW EGGAQTVQRIEYDPGRSSHIALLKHNTTGELSYIIACDGLRPGDVVESFRRGIPQTLL NEMGGKVDPAILSVKTTQRGNCLPISMIPIGTIIHNVGITPVGPGKFCRSAGTYARVL AKLPEKKKAIVRLQSGEHRYVSLEAVATIGVVSNIDHQNRSLGKAGRSRWLGIRPTVR GVAMNKCDHPHGGGRGKSKSNKLSMSPWGQLAKGYKTRRGKNQNRMKVKDRPRGKDAR L YEL049W MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYSFQAAHPTETYPIEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YEL048C MSLRPCFVSLIDESDKPILIYVPNEAENEMNDVLKYNVLSNISL DYFESALVEWHSLDSKPLLKSIFQLEGVSVFAMLIKQTGLKIVIGFEQKSLSGADDEF EAINQIFETVRKIYIRVKCNPLLVSGDEKSIIKSLERKFDELFISTEVEL YEL047C MSLSPVVVIGTGLAGLAAANELVNKYNIPVTILEKASSIGGNSI KASSGINGACTETQRHFHIEDSPRLFEDDTIKSAKGKGVQELMAKLANDSPLAIEWLK NEFDLKLDLLAQLGGHSVARTHRSSGKLPPGFEIVSALSNNLKKLAETKPELVKINLD SKVVDIHEKDGSISAVVYEDKNGEKHMVSANDVVFCSGGFGFSKEMLKEYAPELVNLP TTNGQQTTGDGQRLLQKLGADLIDMDQIQVHPTGFIDPNDRSSSWKFLAAESLRGLGG ILLNPITGRRFVNELTTRDVVTAAIQKVCPQEDNRALLVMGEKMYTDLKNNLDFYMFK KLVQKLTLSQVVSEYNLPITVAQLCEELQTYSSFTTKADPLGRTVILNEFGSDVTPET VVFIGEVTPVVHFTMGGARINVKAQVIGKNDERLLKGLYAAGEVSGGVHGANRLGGSS LLECVVFGRTAAESIANDRK YEL046C MTEFELPPKYITAANDLRSDTFTTPTAEMMEAALEASIGDAVYG EDVDTVRLEQTVARMAGKEAGLFCVSGTLSNQIAIRTHLMQPPYSILCDYRAHVYTHE AAGLAILSQAMVVPVVPSNGDYLTLEDIKSHYVPDDGDIHGAPTRLISLENTLHGIVY PLEELVRIKAWCMENGLKLHCDGARIWNAAAQSGVPLKQYGEIFDSISICLSKSMGAP IGSVLVGNLKFVKKATHFRKQQGGGIRQSGMMARMALVNINNDWKSQLLYSHSLAHEL AEYCEAKGIPLESPADTNFVFINLKAARMDPDVLVKKGLKYNVKLMGGRVSFHYQVTR DTLEKVKLAISEAFDYAKEHPFDCNGPTQIYRSESTEVDVDGNAIREIKTYKY YEL044W MSGSRGNSSNSSVSNNSNNNNNNDGGDERLLFLRSVGERNEIGF PSRFKSAHYKKPTRRHKSARQLISDENKRINALLTKANKAAESSTAARRLVPKATYFS VEAPPSIRPAKKYCDVTGLKGFYKSPTNNIRYHNAEIYQLIVKPMAPGVDQEYLKLRG ANFVLK YEL043W MPVSVITTVLACLWLSYRLYKFLTIPVSSIVSTLKIKTPPATKV SIDKIATDSVTIHWENEPVKAEDNGSADRNFISHYLLYLNNTQLAIFPNNPNSLYTCC SITGLEAETQYQLDFITINNKGFINKLPSIYCMTKAREANEALKTRKWRRNTITSSTA MQPRNSKSEPAPLPSHYSSVSLSTFSSNITNSATSNNGSNLPAYTSLTTLKDLDSFSI DDLKKILICAQEDLHDVLSQQTSLLQDFQESKLELELELDNLKTHWSHEIDLRKSLKS NIKSLENSKLLTDLKIEKLNKKIDKSKEKISKMRNDMQKWSQEDTELLSKDTIKEKYF KLLNESNASVANINKEIESLQNEISKMEESNKRLNASKKSLITSIVVNANVENDKPIA SGELSAVLKKLNDFTLEKNGFLSNAGEEFLSKLNADSSLIKMIKQELSIDQELEANWK LQRSNLLKKISALENQFNEMSLNNRNLKTKLMVQPYKNNGDSLAATNSNNSAEKNRSS GSIQLPLSNNMSRTGSIDLISNNNKSINNSNADSAPPLRLHNPVSYSPSNEPIQPSSS LLSQLTQDTDNRSMLSNHISSNNENKQQPSSYSHALPTTATANATATATATNGHSRSN LWTTAQFAQPSHQQVSTELDQAFEYDNANHLISGLQNMIYDETDYPDNISNYSKGFTT DELDNYWTKQQPQVRSTNESLFSTTGTPMSSYKANPVISPYSSSHLRQTSNATNTNPM HPQSLLAATLNDPSLQSFVRSGSFYSAPQPANSLQNNINGNETENISPRISSDFNLLV PNLSPRLSNDVPIVPGNNTTLTPSHSNILTMNHQPTADNITRRSFHASSPPFNSIWNS NTNQLSPPLEEQYHLDVPVGPKVPAKEPSPKPSHKRNQSNSSISSAWSKFKHKSASSP ANADTDIQDSSTPSTSPSGRRMSKLLSKSGMNNLFNPHSHDS YEL042W MAPIFRNYRFAIGAFAVIMLILLIKTSSIGPPSIARTVTPNASI PKTPEDISILPVNDEPGYLQDSKTEQNYPELADAVKSQTSQTCSEEHKYVIMIDAGST GSRVHIYKFDVCTSPPTLLDEKFDMLEPGLSSFDTDSVGAANSLDPLLKVAMNYVPIK ARSCTPVAVKATAGLRLLGDAKSSKILSAVRDHLEKDYPFPVVEGDGVSIMGGDEEGV FAWITTNYLLGNIGANGPKLPTAAVFDLGGGSTQIVFEPTFPINEKMVDGEHKFDLKF GDENYTLYQFSHLGYGLKEGRNKVNSVLVENALKDGKILKGDNTKTHQLSSPCLPPKV NATNEKVTLESKETYTIDFIGPDEPSGAQCRFLTDEILNKDAQCQSPPCSFNGVHQPS LVRTFKESNDIYIFSYFYDRTRPLGMPLSFTLNELNDLARIVCKGEETWNSVFSGIAG SLDELESDSHFCLDLSFQVSLLHTGYDIPLQRELRTGKKIANKEIGWCLGASLPLLKA DNWKCKIQSA YEL041W MKTDRLLINASPETCTKGDAEMDTMDTIDRMTSVKVLAEGKVLS NFEEPGLMRCGYHDAKNWVRRLSSETIVGEDTSNLYPFYVDTAYDVRRLRKDLINAKV DLQVENLIIICNINDISTVFLMREVVEWILRNFHSITVYVQDIFKKSTQFAVGDLCKD SNCSKNRVKYWSKEFVKKHDSFFDLMITLGGDGTVLFASSIFTKDVPPIVPFALGSLG FLTNFEFQNFKETLKHILTDEVRINLRMRLQCKLYRRNKPEIDAATGRKICYIDFISE HHVLNEVTIDRGPAPCLSLLELYGNDSLMTKVQGDGLIVATPTGSTAYSLSAGGSLIS PSVNAIAVTPICPHTLSFRPIILPDSMELKVRVDMNSRGTSWVNFDGKDRVELKQGDY VVITASPYSVPTIESSASEFFESISKNLNWNDREEQKPFAHILSPKNQEKYRLDSSKN GNDTISNPLESSCISSDAQDEERKSVTETETEIVVERTRQAHFAI YEL040W MAIVNSWLICLVSIFSFVVRVEAATFCNATQACPEDKPCCSQYG ECGTGQYCLNNCDVRYSFSHDSCMPVPICKSSSTKFKDYSSKLGNANTFLGNVSEADW LYTGDVLDYDDEESLILAMPKNSGGTVLSSTRAVWYGKVSARIKTSHLAGVVTGFILY SGAGDELDYEFVGADLETAQTNFYWESVLNYTNSANISTTDTFENYHTYELDWHEDYV TWSIDGVVGRTLYKNETYNATTQKYQYPQTPSKVDISIWPGGNSTNAPGTIAWSGGEI NWDASDISNPGYYYAIVNEVNITCYDPPSDTKKNGTSAYVYTSSSEFLAKDIAITDDE VMMDSDEGSGLDPHKGATTSSTQKSSSSTATSSSKTSSDHSSSTKKSSKTSSTASSSS SSSSSSSSSSSTATKNGDKVVSSVSSSVTSQTQTTSSVSGSASSSTSSMSGNNAGANV AANWRLTVLCVILGYVL YEL039C MAKESTGFKPGSAKKGATLFKTRCQQCHTIEEGGPNKVGPNLHG IFGRHSGQVKGYSYTDANINKNVKWDEDSMSEYLTNPKKYIPGTKMAFAGLKKEKDRN DLITYMTKAAK YEL038W MGDNYSTYLLDIEGTVCPISFVKETLFPYFTNKVPQLVQQDTRD SPVSNILSQFHIDNKEQLQAHILELVAKDVKDPILKQLQGYVWAHGYESGQIKAPVYA DAIDFIKRKKRVFIYSSGSVKAQKLLFGYVQDPNAPAHDSLDLNSYIDGYFDINTSGK KTETQSYANILRDIGAKASEVLFLSDNPLELDAAAGVGIATGLASRPGNAPVPDGQKY QVYKNFETL YEL037C MVSLTFKNFKKEKVPLDLEPSNTILETKTKLAQSISCEESQIKL IYSGKVLQDSKTVSECGLKDGDQVVFMVSQKKSTKTKVTEPPIAPESATTPGRENSTE ASPSTDASAAPAATAPEGSQPQEEQTATTERTESASTPGFVVGTERNETIERIMEMGY QREEVERALRAAFNNPDRAVEYLLMGIPENLRQPEPQQQTAAAAEQPSTAATTAEQPA EDDLFAQAAQGGNASSGALGTTGGATDAAQGGPPGSIGLTVEDLLSLRQVVSGNPEAL APLLENISARYPQLREHIMANPEVFVSMLLEAVGDNMQDVMEGADDMVEGEDIEVTGE AAAAGLGQGEGEGSFQVDYTPEDDQAISRLCELGFERDLVIQVYFACDKNEEAAANIL FSDHAD YEL036C MKYNNRKLSFNPTTVSIAGTLLTVFFLTRLVLSFFSISLFQLVT FQGIFKPYVPDFKNTPSVEFYDLRNYQGNKDGWQQGDRILFCVPLRDASEHLPMFFNH LNTMTYPHNLIDLSFLVSDSSDNTMGVLLSNLQMAQSQQDKSKRFGNIEIYEKDFGQI IGQSFSDRHGFGAQGPRRKLMARARNWLGSVALKPYHSWVYWRDVDVETIPTTIMEDL MHHDKDVIVPNVWRPLPDWLGNIQPYDLNSWKESEGGLQLADSLDEDAVIVEGYPEYA TWRPHLAYMRDPNGNPEDEMELDGIGGVSILAKAKVFRTGSHFPAFSFEKHAETEAFG RLSRRMNYNVIGLPHYVIWHIYEPSSDDLKHMAWMAEEEKRKLEEERIREFYNKIWEI GFEDVRDQWNEERDSILKNIDSTLNNKVTVDWSEEGDGSELVDSKGDFVSPNNQQQQQ QQQQQQQQQQQQQQQQQLDGNPQGKPLDDNDKNKKKHPKEVPLDFDPDRN YEL035C MSRYGKNLVHYIIVEHDDQRGQKPIDDDDEKNFYYHCSFTFETF FRATAFLLAPAVCAVREVPCRLTRTRYNATEYIEGYGWMISLQQGLGVAEFYRPWPLS VQLQRYTTPSRRSRFAVLTPQRKCHQNEANGQDLSLLILLSRIYPLCSNTSQTRRVAA RKGKLS YEL034W MSDEEHTFETADAGSSATYPMQCSALRKNGFVVIKSRPCKIVDM STSKTGKHGHAKVHLVAIDIFTGKKLEDLSPSTHNMEVPVVKRNEYQLLDIDDGFLSL MNMDGDTKDDVKAPEGELGDSLQTAFDEGKDLMVTIISAMGEEAAISFKEAARTD YEL033W MKKEKKTPTPLPSHHVLFAEPGFFLCNFFFVLLKHTQINPFFYF LFILLFIIYIAIIYFVFIRISHFSFSLCRQCNSLGRMIFMCAYLPAASSRSVANPALP PQKKKKKKKKGTLRTGEVEEQAKGNISFDLCGKQNFQ YEL032W MEGSTGFDGDATTFFAPDAVFGDRVRRFQEFLDTFTSYRDSVRS IQVYNSNNAANYNDDQDDADERDLLGDDDGDDLEKEKKAASSTSLNILPHRIIISLDD LREFDRSFWSGILVEPAYFIPPAEKALTDLADSMDDVPHPNASAVSSRHPWKLSFKGS FGAHALSPRTLTAQHLNKLVSVEGIVTKTSLVRPKLIRSVHYAAKTGRFHYRDYTDAT TTLTTRIPTPAIYPTEDTEGNKLTTEYGYSTFIDHQRITVQEMPEMAPAGQLPRSIDV ILDDDLVDKTKPGDRVNVVGVFKSLGAGGMNQSNSNTLIGFKTLILGNTVYPLHARST GVAARQMLTDFDIRNINKLSKKKDIFDILSQSLAPSIYGHDHIKKAILLMLMGGVEKN LENGSHLRGDINILMVGDPSTAKSQLLRFVLNTASLAIATTGRGSSGVGLTAAVTTDR ETGERRLEAGAMVLADRGVVCIDEFDKMTDVDRVAIHEVMEQQTVTIAKAGIHTTLNA RCSVIAAANPVFGQYDVNRDPHQNIALPDSLLSRFDLLFVVTDDINEIRDRSISEHVL RTHRYLPPGYLEGEPVRERLNLSLAVGEDADINPEEHSNSGAGVENEGEDDEDHVFEK FNPLLQAGAKLAKNKGNYNGTEIPKLVTIPFLRKYVQYAKERVIPQLTQEAINVIVKN YTDLRNDDNTKKSPITARTLETLIRLATAHAKVRLSKTVNKVDAKVAANLLRFALLGE DIGNDIDEEESEYEEALSKRSPQKSPKKRQRVRQPASNSGSPIKSTPRRSTASSVNAT PSSARRILRFQDDEQNAGEDDNDIMSPLPADEEAELQRRLQLGLRVSPRRREHLHAPE EGSSGPLTEVGTPRLPNVSSAGQDDEQQQSVISFDNVEPGTISTGRLSLISGIIARLM QTEIFEEESYPVASLFERINEELPEEEKFSAQEYLAGLKIMSDRNNLMVADDKVWRV YEL031W MTKKSFVSSPIVRDSTLLVPKSLIAKPYVLPFFPLYATFAQLYF QQYDRYIKGPEWTFVYLGTLVSLNILVMLMPAWNVKIKAKFNYSTTKNVNEATHILIY TTPNNGSDGIVEIQRVTEAGSLQTFFQFQKKRFLWHENEQVFSSPKFLVDESPKIGDF QKCKGHSGDLTHLKRLYGENSFDIPIPTFMELFKEHAVAPLFVFQVFCVALWLLDEFW YYSLFNLFMIISMEAAAVFQRLTALKEFRTMGIKPYTINVFRNKKWVALQTNELLPMD LVSITRTAEESAIPCDLILLDGSAIVNEAMLSGESTPLLKESIKLRPSEDNLQLDGVD KIAVLHGGTKALQVTPPEHKSDIPPPPDGGALAIVTKTGFETSQGSLVRVMIYSAERV SVDNKEALMFILFLLIFAVIASWYVWVEGTKMGRIQSKLILDCILIITSVVPPELPME LTMAVNSSLAALAKFYVYCTEPFRIPFAGRIDVCCFDKTGTLTGEDLVFEGLAGISAD SENIRHLYSAAEAPESTILVIGAAHALVKLEDGDIVGDPMEKATLKAVGWAVERKNSN YREGTGKLDIIRRFQFSSALKRSASIASHNDALFAAVKGAPETIRERLSDIPKNYDEI YKSFTRSGSRVLALASKSLPKMSQSKIDDLNRDDVESELTFNGFLIFHCPLKDDAIET IKMLNESSHRSIMITGDNPLTAVHVAKEVGIVFGETLILDRAGKSDDNQLLFRDVEET VSIPFDPSKDTFDHSKLFDRYDIAVTGYALNALEGHSQLRDLLRHTWVYARVSPSQKE FLLNTLKDMGYQTLMCGDGTNDVGALKQAHVGIALLNGTEEGLKKLGEQRRLEGMKMM YIKQTEFMARWNQPQPPVPEPIAHLFPPGPKNPHYLKALESKGTVITPEIRKAVEEAN SKPVEVIKPNGLSEKKPADLASLLLNSAGDAQGDEAPALKLGDASCAAPFTSKLANVS AVTNIIRQGRCALVNTIQMYKILALNCLISAYSLSIIYMAGVKFGDGQATVSGLLLSV CFLSISRGKPLEKLSKQRPQSGIFNVYIMGSILSQFAVHIATLVYITTEIYKLEPREP QVDLEKEFAPSLLNTGIFIIQLVQQVSTFAVNYQGEPFRENIRSNKGMYYGLLGVTGL ALASATEFLPELNEAMKFVPMTDDFKIKLTLTLLLDFFGSWGVEHFFKFFFMDDKPSD ISVQQVKIASK YEL030W MLPSWKAFKAHNILRILTRFQSTKIPDAVIGIDLGTTNSAVAIM EGKVPRIIENAEGSRTTPSVVAFTKDGERLVGEPAKRQSVINSENTLFATKRLIGRRF EDAEVQRDINQVPFKIVKHSNGDAWVEARNRTYSPAQIGGFILNKMKETAEAYLAKSV KNAVVTVPAYFNDAQRQATKDAGQIIGLNVLRVVNEPTAAALAYGLDKSEPKVIAVFD LGGGTFDISILDIDNGIFEVKSTNGDTHLGGEDFDIYLLQEIISHFKKETGIDLSNDR MAVQRIREAAEKAKIELSSTLSTEINLPFITADAAGPKHIRMPFSRVQLENITAPLID RTVDPVKKALKDARITASDISDVLLVGGMSRMPKVADTVKKLFGKDASKAVNPDEAVA LGAAIQAAVLSGEVTDVLLLDVTPLSLGIETLGGVFTKLIPRNSTIPNKKSQIFSTAA SGQTSVEVKVFQGERELVKDNKLIGNFTLAGIPPAPKGTPQIEVTFDIDANGIINVSA KDLASHKDSSITVAGASGLSDTEIDRMVNEAERYKNQDRARRNAIETANKADQLANDT ENSIKEFEGKLDKTDSQRLKDQISSLRELVSRSQAGDEVNDDDVGTKIDNLRTSSMKL FEQLYKNSDNPETKNGRENK YEL029C MPRLLATQSHVVHGYVGNKAATFPLQCLGWDVDCCNSVQFSNHT GYGLDKVFGTITRETDLKELLSGLFDNFSQDYQALLSGYLPNKNSVRCMGTYYAKFKE ANPEMIWLMDPVMGDEGQLYVSEDVIPEYRKLALSPKQLVDIITPNQFELEILYGGEI KTKEHLKKALKKLHQTIPVIIVTSCDCKMFDDKDFIYCVASMEGKTPIVYRVPFIDSY FTGVGDLFSALLLDRVYKILSNPTTTLKFEDQVNNVLNVIQKVLKITRSYASGKMKAK MGSALEMKEMELRLIESRDIYETINIHQTDYIYARL YEL028W MKITITSLLFFLVMIVELASAGTLLHNGANLPSLRDNTTLTDAR NVLKYLQVLGFPSNKIAATDTVGTFIIFSNRTEANTTAMTKTVSYCYRNYGHSFYFTH YKYDYFPSGISYMAKLGDATVNHTDLPHFRNNKRLTTQELNAFQHPIVEFQ YEL027W MTELCPVYAPFFGAIGCASAIIFTSLGAAYGTAKSGVGICATCV LRPDLLFKNIVPVIMAGIIAIYGLVVSVLVCYSLGQKQALYTGFIQLGAGLSVGLSGL AAGFAIGIVGDAGVRGSSQQPRLFVGMILILIFAEVLGLYGLIVALLLNSRATQDVVC YEL026W MSAPNPKAFPLADAALTQQILDVVQQAANLRQLKKGANEATKTL NRGISEFIIMAADCEPIEILLHLPLLCEDKNVPYVFVPSRVALGRACGVSRPVIAASI TTNDASAIKTQIYAVKDKIETLLI YEL025C MARQDETDCKKLDSNSAFLPLCITSYRSKDEAEKLVSCLHHEGS YFLCFSYGLYTGTSNELKAKVEFALDGPFVVNYATVPMFMSASFCHLLMFNDGSIKGF KCENNKFEIIYDSNVAPKLDTISLLTSSWLNASFEGVLYTCNKNISYDSDLHTLCTTI YSFDFREGIVERFYATDGEEIISFDFISREDLLDDATCTGKSHVYFLCLLKSCYSENL FLKEYNLAGKHGSRKFDLNEYRQYNLLPICDNEFCYMKVVCGHTIVVLTTNYTQIINI KASGLTNGAFFNNKGLPNVENYQFLKDSYDVIYERSVILLTISDVYANKYTAKIHTRS LHRDHVLKELQWTKERVFKPPKHDLCDVILQLPREKYIAVTRINGVNFISKDHRGSKL EKVKSGPAYTNKVYLASQVIGNKGTDIDSLLLGGSFNSRRGFLEKKILVYDKTLFKLV TSSKALVENVTDFWVTDLALNGGDEFAYESGGLIYKNGIFLMDEPYDYGLFVSRTGKV LKAGMDGSTGEFRQVDFLQSNHNSSTMFCYPVQNSKLRISILEAKSGFLRKKEEFFIH GLNSKESIVSCFSDGAEKYLFVIYLDGVFSVWNANQKKVATSHPDYSFIAYDQLIKIS WLSKKHKHDSIYVIASSYTGCVRVYKSESNFLRVDLEIHSLYDQKLELLDTIPSLPLV FLYNDKEIILLNLQNMSYGCIQLGLVPRRMRIRPGKALFSLCVLDYDSRISIFEFGST FYREGFTKQMTSLKPQLENHIFYLPSIPVELYTVPNNLNQAVVCLVDSNSRQYKLMLF NYASMKAVSTFSFSDEKYLHAVVKPLWPEQNSIYLSQRPFYGNKFVVCLGVGEKRTKF WLFEIRNNNITQLYANYLEDCIFSVFIYYECNLVLFSGDSGIAAYKINMLKEGAEILE AYSFPALSSINHIGLPAYMSGDYLVQFEILRDFLRTRFPIRTSIVYPSEYPECPYLGF KRLGSITQVATKIIPKKLREPKNDCLASDKNYLLGRLSDLSCATLKYSNRSYVATIGI DNTLTIYEDSKLSVDKGGLAMPYLKIRLPNKIISLAAIPDGFQNLQICPNFNSQRLEG VIPLFLLCGTEGQIYIISEFIGELWMRTLHDYKKIKLECKRAFRRSEKSMRNVTKQYS VSKETGINESGFDELARNSKRRHIDHSPYKTIDFFDPVKLKR YEL024W MLGIRSSVKTCFKPMSLTSKRLISQSLLASKSTYRTPNFDDVLK ENNDADKGRSYAYFMVGAMGLLSSAGAKSTVETFISSMTATADVLAMAKVEVNLAAIP LGKNVVVKWQGKPVFIRHRTPHEIQEANSVDMSALKDPQTDADRVKDPQWLIMLGICT HLGCVPIGEAGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEIPAYEFDGDKVIVG YEL023C MDSFNYIHGKYKKNGTGGDRSINPSSHSSSGKNIILCFDGTREN FGPQPFTNILKLYNLLENGDSSEQICYYQPGIGSVGFDAVVDVRRRLTISHLQNLLDS MFAFSLDNHICSAYLFLMKYFEPGDRIYMFGFSRGAFIARVLAGMIERVGLLSKGLEE MVKMAWQIYEKWEYDSQPNELQYTSTLAEEFKKTFSRDYEVKIHFQGLFDSVNSVGIL RDRLFPCTQRSNIVEHVRHCVSLDERRGKFKQLCFTPMPYIPKLFSLTYCNHITDQCS PVPTSNALMRDLTPENPLIKYTLKSGAHSISNPSPLIPDNPGRLLSSKSEETTELLLD LNSFLEGNSYARDTECSTRGIEAIFQLQSIQGSGTSSRMTMTPDLIEKWFPGDHSDVG GGWAPDCETEENLSNLTLRWILAEAIKFGVKFKPGAIHDFATKHTSIGSLFADTHDYL SFNSPKKCSLLGVSDNEDGAREDKSGRNERMEDCLKNIKETRLSLKDEKEKVKDAFTL KCGHANKFMRLVWWVLELLPIGIRMENKEGKWQNFHTPNLGRSRYVPEYVSLHWSVYW RIKFDRRYRPDNMPEYVRQLFQDLEGIDLKSNKVSNKYDKQDNSNGSEINGGFFDNEE GQELHMGQKASYFATTYNSRLFDSKYSQLKKKFMDWDSNSWTDIPDDLKIYLQQDESL YEL022W MSDREFVTVDPVTIIIKECINLSTAMRKYSKFTSQSGVAALLGG GSEIFSNQDDYLAHTFNNLNTNKHNDPFLSGFIQLRLMLNKLKNLDNIDSLTILQPFL LIVSTSSISGYITSLALDSLQKFFTLNIINESSQNYIGAHRATVNALTHCRFEGSQQL SDDSVLLKVVFLLRSIVDSPYGDLLSNSIIYDVLQTILSLACNNRRSEVLRNAAQSTM IAVTVKIFSKLKTIEPVNVNQIYINDESYTNDVLKADTIGTNVESKEEGSQEDPIGMK VNNEEAISEDDGIEEEHIHSEKSTNGAEQLDIVQKTTRSNSRIQAYADDNYGLPVVRQ YLNLLLSLIAPENELKHSYSTRIFGLELIQTALEISGDRLQLYPRLFTLISDPIFKSI LFIIQNTTKLSLLQATLQLFTTLVVILGNNLQLQIELTLTRIFSILLDDGTANNSSSE NKNKPSIIKELLIEQISILWTRSPSFFTSTFINFDCNLDRADVSINFLKALTKLALPE SALTTTESVPPICLEGLVSLVDDMFDHMKDIDREEFGRQKNEMEILKKRDRKTEFIEC TNAFNEKPKKGIPMLIEKGFIASDSDKDIAEFLFNNNNRMNKKTIGLLLCHPDKVSLL NEYIRLFDFSGLRVDEAIRILLTKFRLPGESQQIERIIEAFSSAYCENQDYDPSKISD NAEDDISTVQPDADSVFILSYSIIMLNTDLHNPQVKEHMSFEDYSGNLKGCCNHKDFP FWYLDRIYCSIRDKEIVMPEEHHGNEKWFEDAWNNLISSTTVITEIKKDTQSVMDKLT PLELLNFDRAIFKQVGPSIVSTLFNIYVVASDDHISTRMITSLDKCSYISAFFDFKDL FNDILNSIAKGTTLINSSHDDELSTLAFEYGPMPLVQIKFEDTNTEIPVSTDAVRFGR SFKGQLNTVVFFRIIRRNKDPKIFSKELWLNIVNIILTLYEDLILSPDIFPDLQKRLK LSNLPKPSPEISINKSKESKGLLSTFASYLKGDEEPTEEEIKSSKKAMECIKSSNIAA SVFGNESNITADLIKTLLDSAKTEKNADNSRYFEAELLFIIELTIALFLFCKEEKELG KFILQKVFQLSHTKGLTKRTVRRMLTYKILLISLCADQTEYLSKLINDELLKKGDIFT QKFFATNQGKEFLKRLFSLTESEFYRGFLLGNENFWKFLRKVTAMKEQSESIFEYLNE SIKTDSNILTNENFMWVLGLLDEISSMGAVGNHWEIEYKKLTESGHKIDKENPYKKSI ELSLKSIQLTSHLLEDNNDLRKNEIFAIIQALAHQCINPCKQISEFAVVTLEQTLINK IEIPTNEMESVEELIEGGLLPLLNSSETQEDQKILISSILTIISNVYLHYLKLGKTSN ETFLKILSIFNKFVEDSDIEKKLQQLILDKKSIEKGNGSSSHGSAHEQTPESNDVEIE ATAPIDDNTDDDNKPKLSDVEKD YEL021W MSKATYKERAATHPSPVAAKLFNIMHEKQTNLCASLDVRTTKEL LELVEALGPKICLLKTHVDILTDFSMEGTVKPLKALSAKYNFLLFEDRKFADIGNTVK LQYSAGVYRIAEWADITNAHGVVGPGIVSGLKQAAEEVTKEPRGLLMLAELSCKGSLA TGEYTKGTVDIAKSDKDFVIGFIAQRDMGGRDEGYDWLIMTPGVGLDDKGDALGQQYR TVDDVVSTGSDIIIVGRGLFAKGRDAKVEGERYRKAGWEAYLRRCGQQN YEL020W-A MDALNSKEQQEFQKVVEQKQMKDFMRLYSNLVERCFTDCVNDFT TSKLTNKEQTCIMKCSEKFLKHSERVGQRFQEQNAALGQGLGR YEL020C MTTTATQHFAQLLQKYGIDTVFGIVGIPIVQLADTMVANGIKFI PCRNEQAASYAASAYGYISDKPGVLLIVGGPGLIHALAGIYNSMSNRWPLLVIAGSSS QSDIHKGGFQELDQVSLLSPFLKFTGKLTPDNIDMITQKALNYCIQGTAGVSYIDVPA DFIEYEKPLEGNDRTGNELPMILTPNICGPDPSKIKKVVQLILQHKNKNILIVIGKGA VKNSHEIRRLVNTFNLPFLPTPMAKGIVPDSSPLNVSSARSQALKIADIVLVLGARLN WILHFGTSPKWNSESIFIQFDSNPETLGDNNVSPGADLSIWGDIGLSVTALVEELTRQ DSCWKYSGVKQEIREKIQLNQTRLLRKEKTRGAQLNYNQVYGTLRPLIDDYRTILVTE GANTMDIARISFPTDAPRRRLDAGTNATMGIGLGYALACKASHPELDVVLIQGDSAFG FSAMEIETAVRCQLALVIVVMNNSGIYHGEKDIEGDLPPTALSKNCRYDLVGKGLGAN DFFVNTISELSRCFQQAVQLSRTKRETSVINVIIEPGEQKQIAFAWQNKPRL YEL019C MALNDNPIPKSVPLHPKSGKYFHNLHARDLSNIYQQCYKQIDET INQLVDSTSPSTIGIEEQVADITSTYKLLSTYESESNSFDEHIKDLKKNFKQSSDACP QIDLSTWDKYRTGELTAPKLSELYLNMPTPEPATMVNNTDTLKILKVLPYIWNDPTCV IPDLQNPADEDDLQIEGGKIELTCPITCKPYEAPLISRKCNHVFDRDGIQNYLQGYTT RDCPQAACSQVVSMRDFVRDPIMELRCKIAKMKESQEQDKRSSQAIDVL YEL018W MDKEVSELVVLQLIHTLISNKNEELVRNGGGINMIGNNLRISLV KLTNEIQNNLLINELTNLRRQSNVANGNRKLGINDILTIVKNLFPEYRTTLNDGQLSL HGLEMHDIEKLLDEKYDRFKKTQVEQIRMMEDEILKNGIKTGASQLQPHANAGKSGSA GTSATITTTTPHMAHSMDPKREKLLKLYRDTVLNKLESKTGNFQKLFKSPDGSIIKNE INYEDIKNETPGSVHELQLILQKSITDGVMRKVIGTDDWKLARQVQFELDDTVQFMRR ALE YEL017C-A MLMSTLPGGVILVFILVGLACIAIISTIIYRKWQARQRGLQRF YEL017W MPTKSTFSRWKKADLIDLANKLEIDGFPNYAKKSDMIDYLESHL NHLEKPVDFKDDYPELRSFYESMTVDQSKDERNEYGSGSGNGSGSGSCDTATNDSDLE KAYIKEDDDEKPQSGDETSATKPLSSRNANSNAKTNFNLLDFSTDNDSSTSAFTKFKF NFQEYLSDIRYQTQKLNENVQDYLSTISAVDTIFSLLEFSFLVRNILAAGQPTSSSSL ASSLEAAVAAHNKYQYTLDFCLPILTWLLFFRGIPTLVSYYINFIRYDLNIELDPMTF NLTKFLISLAIFKTCNNKNIDFHSFRCVNQLWTQLCTVNRSLGMVPLVFSMVSCLLTL YVL YEL016C MLLFEQPVDLEKNNEDDTNIKPFAISRHFLLKLLLCGIILIELL LYSKCPKPIDNGPRTIANRSNTYFNGTHDFKTLTILISIDGFHPRLIDAKYTPFLYNL HNLRSPYDMNITTAPYMIPSFPTQTFPNHWSMVTGKYPIEHGIVSNIFWDNFTSSEFR PNNLDARIWSNTADPIWQLLQTESQGEYKVATHMWPGSEVVYEDHGDVPRERMPFYFG KFNQWEKLQDKLAQIFRYIDMPQLKDRPELVISYIPNVDSYGHSFGYDLRDKRLQKLI GEVDGFFLDLIEGLQKRNLLKISNVMIVSDHGMSNVNANDGEHVVVWERVFPADAMSA FISHLYNEGPMMMVCLKNPRDKQWICDLIEAQLEKAYGDEISRKFHVILKEDFDPSWK YFQYDNRKHRYDDRVGDIWILADEYYAIVKEMGDVPIGIMGTHGYNFNNCSDMASIFI GMGPMFNNEVVPPFENIEVYNMLIKASALLGEEKTKKEKSLLQ YEL015W MSQFVGFGVQVELKDGKLIQGKIAKATSKGLTLNDVQFGDGGKS QAFKVRASRLKDLKVLTVASQSGKRKQQRQQQQQNDYNQNRGEHIDWQDDDVSKIKQQ EDFDFQRNLGMFNKKDVFAQLKQNDDILPENRLQGHNRKQTQLQQNNYQNDELVIPDA KKDSWNKISSRNEQSTHQSQPQQDAQDDLVLEDDEHEYDVDDIDDPKYLPITQSLNIT HLIHSATNSPSINDKTKGTVINDKDQVLAKLGQMIISQSRSNSTSLPAANKQTTIRSK NTKQNIPMATPVQLLEMESITSEFFSINSAGLLENFAVNASFFLKQKLGGRARLRLQN SNPEPLVVILASDSNRSGAKALALGRHLCQTGHIRVITLFTCSQNELQDSMVKKQTDI YKKCGGKIVNSVSSLESAMETLNSPVEIVIDAMQGYDCTLSDLAGTSEVIESRIKSMI SWCNKQRGSTKVWSLDIPNGFDAGSGMPDIFFSDRIEATGIICSGWPLIAINNLIANL PSLEDAVLIDIGIPQGAYSQRTSLRKFQNCDLFVTDGSLLLDL YEL014C MTALFCLELRTNIFLIMNDCIIINYWKGFIFSFHSYFFPFRFES SLRAHYPGKRNYSDFSVIPLPYYIDVRSFHICESQHIIALPLQIPLPYRMLIRMYPV YEL013W MGSCCSCLKDSSDEASVSPIADNEREAVTLLLGYLEDKDQLDFY SGGPLKALTTLVYSDNLNLQRSAALAFAEITEKYVRQVSREVLEPILILLQSQDPQIQ VAACAALGNLAVNNENKLLIVEMGGLEPLINQMMGDNVEVQCNAVGCITNLATRDDNK HKIATSGALIPLTKLAKSKHIRVQRNATGALLNMTHSEENRKELVNAGAVPVLVSLLS STDPDVQYYCTTALSNIAVDEANRKKLAQTEPRLVSKLVSLMDSPSSRVKCQATLALR NLASDTSYQLEIVRAGGLPHLVKLIQSDSIPLVLASVACIRNISIHPLNEGLIVDAGF LKPLVRLLDYKDSEEIQCHAVSTLRNLAASSEKNRKEFFESGAVEKCKELALDSPVSV QSEISACFAILALADVSKLDLLEANILDALIPMTFSQNQEVSGNAAAALANLCSRVNN YTKIIEAWDRPNEGIRGFLIRFLKSDYATFEHIALWTILQLLESHNDKVEDLVKNDDD IINGVRKMADVTFERLQRSGIDVKNPGSNNNPSSNDNNSNNNDTGSEHQPVEDASLEL YNITQQILQFLH YEL012W MSSSKRRIETDVMKLLMSDHQVDLINDSMQEFHVKFLGPKDTPY ENGVWRLHVELPDNYPYKSPSIGFVNKIFHPNIDIASGSICLDVINSTWSPLYDLINI VEWMIPGLLKEPNGSDPLNNEAATLQLRDKKLYEEKIKEYIDKYATKEKYQQMFGGDN DSDDSDSGGDLQEEDSDSDEDMDGTGVSSGDDSVDELSEDLSDIDVSDDDDYDEVANQ YEL011W MYNIPDNVKGAVEFDPWLKPFADVLSERRYLADKWLYDITHATP DGSYQSLSKFARDSYKSYGLHANPETKEITYKEWAPNAERAFLVGDFNNWDTTSHELK NKDEFGNFTITLHPLPNGDFAIPHDSKIKVMFILPDGSKIFRLPAWITRATQPSKETS KQFGPAYEGRFWNPENPYKFVHPRPKFSESVDSLRIYEAHVGISSPEPKITTYKEFTE KVLPRIKYLGYDAIQLMAIMEHAYYASFGYQVTNFFAASSRFGTPEELKELIDTAHSM GILVLLDVVHSHASKNVEDGLNMFDGSDHQYFHSISSGRGEHPLWDSRLFNYGKFEVQ RFLLANLAFYVDVYQFDGFRFDGVTSMLYVHHGVGAGGSFSGDYNEYLSRDRSFVDHE ALAYLMLANDLVHEMLPNLAVTVAEDVSGYPTLCLPRSIGGTGFDYRLAMALPDMWIK LIKEKKDDEWEMGSIVYTLTNRRYGEKVVAYCESHDQALVGDKTLAFWLMDAAMYTDM TVLKEPSIVIDRGIALHKMIRLITHSLGGEAYLNFEGNEFGHPEWLDFPNVNNGDSYK YARRQFNLADDPLLRYQNLNEFDRSMQLCEKRHKWLNTKQAYVSLKHEGDKMIVFERN NLLFIFNFHPTNSYSDYRVGVEKAGTYHIVLNSDRAEFGGHNRINESSEFFTTDLEWN NRKNFLQVYIPSRVALVLALKE YEL009C-A MNTLLKKYRKQRYAWLRFLLFSKIEGSLPVALRILLSLQPFCCN IYRKYYQENKKVKSTSGNTALKIIEKLESLVSNLRLKYSQMFSLLFLHSYNCLQSLAT ETFRIIKKREKNLLSLLIPGSCIEIARHFVLKE YEL009C MSEYQPSLFALNPMGFSPLDGSKSTNENVSASTSTAKPMVGQLI FDKFIKTEEDPIIKQDTPSNLDFDFALPQTATAPDAKTVLPIPELDDAVVESFFSSST DSTPMFEYENLEDNSKEWTSLFDNDIPVTTDDVSLADKAIESTEEVSLVPSNLEVSTT SFLPTPVLEDAKLTQTRKVKKPNSVVKKSHHVGKDDESRLDHLGVVAYNRKQRSIPLS PIVPESSDPAALKRARNTEAARRSRARKLQRMKQLEDKVEELLSKNYHLENEVARLKK LVGER YEL008W MLMHFIPRRSSKAVQFNWLEIGVWRGGVYVKKGIVNARTNNSNF YKMPVVFGIVKGMGALCVHAWGMGYGGIIDCSPVTEHGNISVLQLEYMPWICLSKIMS CSVASRHSNVWIMDETIAPLVGCL YEL007W MDIEPTFKGYIEDEDDALLILQATLDGKLKHIPRRPYEIERPYL IVSGSIFVFIEEISGIKRWTDGVSWSPSRISGKFLIYKELDKENAGSNANATSSGSTD SAVITDGTSGARNNPSSSKIKLPPLKNHQFDLPPTMGHSSFESEQDTSISPSNRSNLP LKYTGLVKKTISVKLKRPPFNSIENLHIVSYYSVKDIKQNCLVTPKASPFLKDVRPSQ ELIVAMGNTTLGNVKNNSTTTGNGPNNINNKSNSSTPLNTVISTNNNSANINAAGSNQ FTSANKNYYYKNDESSGYPITQFAPALPSTTLMYTANPPYITQSPDNTNATGMNTHVN NNNNNSNNSSNSNNSNNNNNNNNNNNNNNNNNINNINNVNTNAGNGNNPNRFHNASFA YNTTGDFINPQQQGQISYPFYYTTIPINNPNYYTTQPPNPVTNASTNENQGYSTSSTQ HPYYGHPTESQSASAAAGATGTPGTAENVLPVSSMQPLLHQANNNSASSATSTAPYPV YSMNVNVPYYNSSASAYKRAQENTTSNTNAEPSGATSTNSGTMLSNPAYANSQYTPSQ VYYQGFPQYAMASAQNPSMYQHQHQHPLPTVYPIATPQQNIMSSGHTLSTIGSDPQHH HYQQEPNDHKNFAMGHANNNILNITNNDTMNNLNTNTSTTTQ YEL006W MNNGDNKTTLENSKNASLANGNYAIPTKLNRLKKNADPRVAAIS GALSGALSAMLVCPFDVAKTRLQAQGLQNMTHQSQHYKGFFGTFATIFKDEGAAGLYK GLQPTVLGYIPTLMIYFSVYDFCRKYSVDIFPHSPFLSNASSAITAGAISTVATNPIW VVKTRLMLQTGIGKYSTHYKGTIDTFRKIIQQEGAKALYAGLVPALLGMLNVAIQFPL YENLKIRFGYSESTDVSTDVTSSNFQKLILASMLSKMVASTVTYPHEILRTRMQLKSD LPNTVQRHLLPLIKITYRQEGFAGFYSGFATNLVRTVPAAVVTLVSFEYSKKYLTTFF Q YEL005C MVADLTKGILKWKSRIEFDAVGSSSYYEELKGLPPLASHKKLTQ AAIFNSTKYELLQVKKDILSIYEVVSRDIDEERNQMQQIELQLKKSLKKVEHSYKNVL KQRASTNCINGNDRLLANAEKKIGSLNEELACVNDIVSDIVNNLTALNANLPKKAQLL KDDSINVAHYPLLFDFLHKSCPKSIIATSEASIHENASPSPLLEHDELPAESINSFYG ENELQSDSLAPLQTHDDNISSCKKILPPKFNTTSGPSIETNFENISADGLTYTKCSLK NSISLT YEL004W MWNSLKAFALVFGGCCSNVITFETLMSNETGSINNLITFCQFLF VTCQGLPEFLDVHQPFPYFKPLKTPLHVYVITVVLFYISSTTNNNVFKYNISIPIHIV FRCFGTVITMFTCWLLNGRKYTKIQILSTLFLTIGAIIASLFKDADFRYQDLKLQAWK IGSDQSVDLTFIFGICILVLSSFTSSLLSAYNERTYQKYGKHWKENIFYSHFLSLPLF LFSRKQLIHEYRVMRKSERILCSNFGGKILVPREETLLLFNVLTQYFCVKGVNILASK TNALTLSITLLVRKFISLLLSVRLFDNNLSYTGYIGVYLVFFGAFIYSLGSIHPRQND KGAIKKSK YEL003W MEQRNNVFQAKYNEYKQILEELQTKIIELGHDKDEHTIVIKTLK DAEPTRKCYRMIGGALVESDVQTSLPILETKKENIEGTISKMKETLIQTAKEFEKWKK DNKIQVVKN YEL002C MRTDWNFFFCILLQAIFVVGTQTSRTLVLYDQSTEPLEEYSVYL KDLEQRNYKLEYLDINSTSTTVDLYDKEQRLFDNIIVFPTKGGKNLARQIPVKQLIKF FENEGNILCMSSPGAVPNTIRLFLNELGIYPSPKGHVIRDYFSPSSEELVVSSNHLLN KYVYNARKSEDFVFGESSAALLENREQIVPILNAPRTSFTESKGKCNSWTSGSQGFLV VGFQNLNNARLVWIGSSDFLKNKNQDSNQEFAKELLKWTFNEKSVIKSVHAVHSHADG TSYDEEPYKIKDKVIYSVGFSEWNGEEWLPHIADDIQFELRQVDPYYRLTLSPSGNDS ETQYYTTGEFILPDRHGVFTFLTDYRKIGLSFTTDKDVKAIRHLANDEYPRSWEISNS WVYISAICGVIVAWIFFVVSFVTTSSVGKKLETFKKTN YEL001C MRFSMLIGFNLLTALSSFCAAISANNSDNVEHEQEVAEAVAPPS INIEVKYDVVGKESENHDSFLEFYAEDTATLAYNVTNWEDTNITIFGVNGTIVTYPHG YPVADITGASIGPYEMEVNGTSKFGQDVTLNLPEGQYFLIPFLLASRFDEIVRIAAPP TLFEIVSPPISFFNPQFLSVQVIFLAIIGGVSYYYMKSKTNQRPSKKSATVKKVDESW LPETYKK YER001W MLALRRFILNQRSLRSCTIPILVGALIIILVLFQLVTHRNDALI RSSNVNSTNKKTLKDADPKVLIEAFGSPEVDPVDTIPVSPLELVPFYDQSIDTKRSSS WLINKKGYYKHFNELSLTDRCKFYFRTLYTLDDEWTNSVKKLEYSINDNEGVDEGKDA NGNPMDEKSERLYRRKYDMFQAFERIRAYDRCFMQANPVNIQEIFPKSDKMSKERVQS KLIKTLNATFPNYDPDNFKKYDQFEFEHKMFPFINNFTTETFHEMVPKITSPFGKVLE QGFLPKFDHKTGKVQEYFKYEYDPSKTFWANWRDMSAKVAGRGIVLSLGSNQFPLAVK FIASLRFEGNTLPIQVVYRGDELSQELVDKLIYAARSPDFKPVENNYDNSTNVPQEIW FLDVSNTIHPKWRGDFGSYKSKWLVVLLNLLQEFVFLDIDAISYEKIDNYFKTTEYQK TGTVFYRERALRENVNERCIARYETLLPRNLESKNFQNSLLIDPDHALNECDNTLTTE EYIFKAFFHHRRQHQLEAGLFAVDKSKHTIPLVLAAMIHLAKNTAHCTHGDKENFWLG FLAAGHTYALQGVYSGAIGDYVKKTDLNGKRQEAAVEICSGQIAHMSTDKKTLLWVNG GGTFCKHDNAAKDDWKKDGDFKKFKDQFKTFEEMEKYYYITPISSKYVILPDPKSDDW HRASAGACGGYIWCATHKTLLKPYSYNHRTTHGELITLDEEQRLHIDAVNTVWSHANK DNTRSFTEEEIKELENSRHEQS YER002W MTSVRKRKMNRSSVGKATRRNKDKQRKINIQSNPIIAANWDYSL TMAQNYKKLGLRAKLQTPAGGKEADLSKVVKRIPLTKPVLDEDEDEDEGEDEQNDYNA ATVELDENEIPEGGARIQRDKNGDVVRVVYGKKKNFDADEDVNEIKARDTTEETEVVK KLEELASRPVIRKERSQSEREEEWLEKLYKKHGDDYKKMFFDKKLNIYQQSEGDLKRR LLRWKKRNGIASK YER003C MSNKLFRLDAGYQQYDWGKIGSSSAVAQFAAHSDPSVQIEQDKP YAELWMGTHSKMPSYNHESKESLRDIISKNPSAMLGKDIIDKFHATNELPFLFKVLSI EKVLSIQAHPDKALGKILHAQDPKNYPDDNHKPEMAIAVTDFEGFCGFKPLQEIADEL KRIPELRNIVGEETSRNFIENIQPSAQKGSPEDEQNKKLLQAVFSRVMNASDDKIKIQ ARSLVERSKNSPSDFNKPDLPELIQRLNKQFPDDVGLFCGCLLLNHCRLNAGEAIFLR AKDPHAYISGDIMECMAASDNVVRAGFTPKFKDVKNLVSMLTYTYDPVEKQKMQPLKF DRSSGNGKSVLYNPPIEEFAVLETTFDEKLGQRHFEGVDGPSILITTKGNGYIKADGQ KLKAEPGFVFFIAPHLPVDLEAEDEAFTTYRAFVEPN YER004W MNGLVLGATGLCGGGFLRHAQEAPQFSKVYAILRRELPFPATDK VVAIVERDNSKWSQLITNEMNPQVLFTALATTRAAAGGLDKQYKIDHDLNLQLAQAAK EKGCETIVLVSSAGAHPDSRFGYMKMKGEIERDVIALDFKHIIILRPGPLLGERTNSK QSGFGGNLTAALGTRVYRSRFQRLLGYPVYGDEVGKVGVHLALNTSGKDKVQFVSSKD ILDISASLEKIAT YER005W MLIENTNDRFGIVIDAGSSGSRIHVFKWQDTESLLHATNQDSQS ILQSVPHIHQEKDWTFKLNPGLSSFEKKPQDAYKSHIKPLLDFAKNIIPESHWSSCPV FIQATAGMRLLPQDIQSSILDGLCQGLKHPAEFLVEDCSAQIQVIDGETEGLYGWLGL NYLYGHFNDYNPEVSDHFTFGFMDMGGASTQIAFAPHDSGEIARHRDDIATIFLRSVN GDLQKWDVFVSTWLGFGANQARRRYLAQLINTLPENTNDYENDDFSTRNLNDPCMPRG SSTDFEFKDTIFHIAGSGNYEQCTKSIYPLLLKNMPCDDEPCLFNGVHAPRIDFANDK FIGTSEYWYTANDVFKLGGEYNFDKFSKSLREFCNSNWTQILANSDKGVYNSIPENFL KDACFKGNWVLNILHEGFDMPRIDVDAENVNDRPLFQSVEKVEERELSWTLGRILLYA SGSILAGNDDFMVGIAPSERRTKLTGKKFIPGKLLESDQLRKQSSSLSNKGFLMWFAI ICCIFYLIFHRSHIIRRRFSGLYNITKDFKTGIRRRLKFLRRSDPFSRLEEGELGTDV DGFKDVYRMKSSSMFDLGKSSATMQREHEPQRTASQSANLAPSNLRPAFSMADFSKFK DSRLYD YER006W MRVRKRQSRRTSTKLKEGIKKKASAHRKKEKKMAKKDVTWRSRS KKDPGIPSNFPYKAKILEEIEAKKMKDLEERELAKQQRLEARKAAKEQGVDAMDEDMI EDDENGLAALVESAQQAAAEYEGTPSNDADVRDDELDVIDYNIDFYGEDVEGESELEK SRKAYDKIFKSVIDASDVILYVLDARDPESTRSRKVEEAVLQSQGKRLILILNKVDLI PPHVLEQWLNYLKSSFPTIPLRASSGAVNGTSFNRKLSQTTTASALLESLKTYSNNSN LKRSIVVGVIGYPNVGKSSVINALLARRGGQSKACPVGNEAGVTTSLREIKIDNKLKI LDSPGICFPSENKKRSKVEHEAELALLNALPAKHIVDPYPAVLMLVKRLAKSDEMTES FKKLYEIPPIPANDADTFTKHFLIHVARKRGRLGKGGIPNLASAGLSVLNDWRDGKIL GWVLPNTSAAASQQDKQNLSTINTGTKQAPIAANESTIVSEWSKEFDLDGLFSSLDKA IDASKDQDTMME YER007W MTYEIGDRLKIGGYFCTIKFIGVIKPWPSVKAYGVEWDDHSRGK HSGTIDDIHYFDVQIPNSGSFLKESKIKSPGVRRITFYEALSEKYGGSSNNINDLSIG NKRVEGLGFDELNARNKNYKKLRKIALRDSDVAILFQNQDELNRVIQNCVNVKDLDLS LNLFTNINSLCEFIEPLKNLESLNISQNKLLSGWDNLKEYDLSHIKTLRLSSCGLSYK HIGKLLKSFRTLKMLDLSYNNLTSAGIQNFENEIPCTLEELNISGNNLISFPLFPKNL TLKGLNVSNNQISRAPSIAIYSVESLDITDNKFKERSLIDDLNKTFPSLKNIHLSGNE FNYNGNYINVEEQATFYEVLARFDRVMVLNGSICDVKTRREAEMFFVSKVMNNELSYD TNLPRWSSLIKSYEIDMSKLSFNNERETRQSLVLKIKIRAGKKPSSDLDYWVLPSFTV RYVKSVICRKLNFDILNVKLFHENSEGMINEIKYNFRPISDFNVVNGDIIHVSSPVNN KSIQKVNSPS YER007C-A MFKKFTREDVHSRSKVKSSIQRTLKAKLVKQYPKIEDVIDELIP KKSQIELIKCEDKIQLYSVDGEVLFFQKFDELIPSLKLVHKFPEAYPTVQVDRGAIKF VLSGANIMCPGLTSAGADLPPAPGYEKGTIVVINAENKENALAIGELMMGTEEIKSVN KGHSIELIHHLGDPLWNFSVE YER008C MRSSKSPFKRKSHSRETSHDENTSFFHKRTISGSSAHHSRNVSQ GAVPSSAPPVSGGNYSHKRNVSRASNSSQTSNFLAEQYERDRKAIINCCFSRPDHKTG EPPNNYITHVRIIEDSKFPSSRPPPDSKLENKKKRLLILSAKPNNAKLIQIHKARENS DGSFQIGRTWQLTELVRVEKDLEISEGFILTMSKKYYWETNSAKERTVFIKSLITLYI QTFEGHVPELVNWDLSLFYLDERSYQRAVITNRPGSVSPIKSPTSNFTTNTTQSVGSV PFSAPTERTRRSETESVNPVSTPASVEYHAGMKSLNKAPYSSNSTLNEVNKRYELEQQ QQQEEAELRRLEEQKRLQLQKENEMKRLEEERRIKQEERKRQMELEHQRQLEEEERKR QMELEAKKQMELKRQRQFEEEQRLKKERELLEIQRKQREQETAERLKKEEQEALAKKE EEEKSKRNKVDNESYTQEINGKVDNLLEDLNAVLAEETETTPTMQNGTYVPERSTARA HDQLKKPLNIAKVESLGGSDLNDSISLSDEIAGLNTSNLSGEDQDEKNDLSFEKGDEV RYSNNFEGEAPHVYHEVSIIQEEAPAVSQKLILPEENNESEALIESKEEIKTMENIDD EVLLEILTDINWSIEDDADSMIERIDLRLAETEYLFNQNLLSLQKIGPNIRPYEDKVN DECHRIIPTLSLFLMEMSNFSNDIENVESQDNGLQVESANKKLLWNTLDELLKTVSLD EISLNQLLECPIREKNLPWMENQLNLLLKAFQAIGSDGNEVEYNLREISGLKQRLQFY EKVTKIFLNRIVEEMQKKFSNIRGQDISHDQMIRILTTLLIFSPLILFCKEISQKSYQ AIVENWNVSIQPVYMELWTKKISQLQGIDTNDEKMNELSLSQLLNEWDTFRKERKTND INPVFKNSFSLLTECLQTMRQECIVYQNFVEVFFHISSKHNFEEYIKHFNDPDAPPIL LDTVKVMQSDREAAVIETQLVSRIFQPIVTRLSSYFVELVKAEPTVAPALTFYLENEI KSLESSNHEFLLSAVTRMYTQIKQVWSDNVEEQVLHFERISNATTNGEILPGILDLPV GLKNSEDLFQFAKRSMDIKDTDEGYESIELMNSSFRKLSIAATRSITHKEVNSSINPN LSDTAALNNDYMETISLLVNSNWLTEMLSMLNFNKDGIFDTSLQNVKKVFDVEKESYA SFLLRDTMPKLTAFVYGVSNIIENTNNVNMTNPSRWAAYSRQNLENILLAYTSHEIET LVKRLHTHMVNDFGYHQENAINNVLCDKLWSCIQGQTVSLYLKLYTVIDKHYRGTNIR FTKNDIISAFEEYKNA YER009W MSLDFNTLAQNFTQFYYNQFDTDRSQLGNLYRNESMLTFETSQL QGAKDIVEKLVSLPFQKVQHRITTLDAQPASPNGDVLVMITGDLLIDEEQNPQRFSQV FHLIPDGNSYYVFNDIFRLNYSA YER010C MSDLQKLQRFSTCDISDGLLNVYNIPTGGYFPNLTAISPPQNSS IVGTAYTVLFAPIDDPRPAVNYIDSVPPNSILVLALEPHLQSQFHPFIKITQAMYGGL MSTRAQYLKSNGTVVFGRIRDVDEHRTLNHPVFAYGVGSCAPKAVVKAVGTNVQLKIL TSDGVTQTICPGDYIAGDNNGIVRIPVQETDISKLVTYIEKSIEVDLLVSEDIKNGIP AKQAQNDRRSVLKKYI YER011W MAYTKIALFAAIAALASAQTQDQINELNVILNDVKSHLQEYISL ASDSSSGFSLSSMPAGVLDIGMALASATDDSYTTLYSEVDFAGVSKMLTMVPWYSSRL EPALKSLNGDASSSAAPSSSAAPTSSAAPSSSAAPTSSAASSSSEAKSSSAAPSSSEA KSSSAAPSSSEAKSSSAAPSSSEAKSSSAAPSSTEAKITSAAPSSTGAKTSAISQITD GQIQATKAVSEQTENGAAKAFVGMGAGVVAAAAMLL YER012W MDIILGIRVQDSVILASSKAVTRGISVLKDSDDKTRQLSPHTLM SFAGEAGDTVQFAEYIQANIQLYSIREDYELSPQAVSSFVRQELAKSIRSRRPYQVNV LIGGYDKKKNKPELYQIDYLGTKVELPYGAHGYSGFYTFSLLDHHYRPDMTTEEGLDL LKLCVQELEKRMPMDFKGVIVKIVDKDGIRQVDDFQAQ YER013W MSDISKLIGAIVGSDDPVIIEFVLNIINKSGNLQEFIRNIQKLD AGISYEDSIKMYNAFLGKQEEEKVRNKVKSSPLSQKINQVLKDDVNLDDPVVTEFVLS ILNKSKSITEFQEQLNLMQSGLDNETIFKIYQIASPPVMKEEVSVLPSTKIPAKIEAK IEEEVQKIESLDPSPVLHKVYEGKVRNITTFGCFVQIFGTRMKNCDGLVHISEMSDQR TLDPHDVVRQGQHIFVEVIKIQNNGKISLSMKNIDQHSGEIRKRNTESVEDRGRSNDA HTSRNMKNKIKRRALTSPERWEIRQLIASGAASIDDYPELKDEIPINTSYLTAKRDDG SIVNGNTEKVDSKLEEQQRDETDEIDVELNTDDGPKFLKDQQVKGAKKYEMPKITKVP RGFMNRSAINGSNAIRDHREEKLRKKREIEQQIRKQQSFDDPTKNKKDSRNEIQMLKN QLIVTEWEKNRMNESISYGKRTSLPISAQRQTLPVYAMRSELIQAVRDNQFLVIVGET GSGKTTQITQYLDEEGFSNYGMIGCTQPRRVAAVSVAKRVAEEVGCKVGHDVGYTIRF EDVTGPDTRIKYMTDGMLQREALLDPEMSKYSVIMLDEAHERTVATDVLFALLKKAAI KRPELKVIVTSATLNSAKFSEYFLNCPIINIPGKTFPVEVLYSQTPQMDYIEAALDCV IDIHINEGPGDILVFLTGQEEIDSCCEILYDRVKTLGDSIGELLILPVYSALPSEIQS KIFEPTPKGSRKVVFATNIAETSITIDGIYYVVDPGFAKINIYNARAGIEQLIVSPIS QAQANQRKGRAGRTGPGKCYRLYTESAFYNEMLENTVPEIQRQNLSHTILMLKAMGIN DLLKFDFMDPPPKNLMLNALTELYHLQSLDDEGKLTNLGKEMSLFPMDPTLSRSLLSS VDNQCSDEIVTIISMLSVQNVFYRPKDRQLEADSKKAKFHHPYGDHLTLLNVYTRWQQ ANYSEQYCKTNFLHFRHLKRARDVKSQISMIFKKIGLKLISCHSDPDLIRKTFVSGFF MNAAKRDSQVGYKTINGGTEVGIHPSSSLYGKEYEYVMYHSIVLTSREYMSQVTSIEP QWLLEVAPHFYKAGDAESQSRKKAKIIPLHNKFAKDQNSWRLSSIRQSRERALGIKR YER014W MLLPLTKLKPRAKVAVVGGGVSGLCFTYFLSKLRPDVEITLFES QNRTGGWIYSCNTRDMSGNPIMLEKGPRTLRGVSDGTVLIMDTLKDLGKEAVIQSIDK GCIADKKFLLDPSDKLVQVPNSISTTVKFLLNPLGKGLITGMMGEWFRKKSPHPGQDE SVESICDRRFGNNYISNNMISALLRGIYGDDVSLLSAKRTFKKIYYNELKHGSNTQAM IDNMRGKSRSKKTENLHQSLTGCLNDYSNAFGKDRSKLLDLSNTLKKYPMLGLAGGLE TFPKIVRNALNEFKNVKIVTGNPVTQIMKRPANETTIGLKAKSGDQYETFDHLRLTIT PPKIAKLLPKDQNSLSKLLDEIQSNTIILVNYYLPNKDVIDADLQGFGYLVPKSNKNP GKLLGVIFDSVIERNFKPLFDKLSTNPNALNKYTKVTAMIGGCMLNEHGVPVVPSREV TINAVKDALNNHLGISNKDLEAGQWEFTIADRCLPRFHVGYDAWQERAERKLQESYGQ TVSVGGMGFSRSPGVPDVIVDGFNDALQLSK YER014C-A MFCTWDSWDTGTSRKSHSPHRNCLAVRFLQLPFSSFLPCVITYM KSWQTSIGDSEFPLTSFQILVTDAEVVVQRIFDCINGYLPGWHYRNTVFIEHTTSYHR SHFCIFVEGVWVCGEFVKKWFEIPFDNRIENYTKQFPWILIGFGNQVSKAL YER015W MAAPDYALTDLIESDPRFESLKTRLAGYTKGSDEYIEELYSQLP LTSYPRYKTFLKKQAVAISNPDNEAGFSSIYRSSLSSENLVSCVDKNLRTAYDHFMFS ARRWPQRDCLGSRPIDKATGTWEETFRFESYSTVSKRCHNIGSGILSLVNTKRKRPLE ANDFVVAILSHNNPEWILTDLACQAYSLTNTALYETLGPNTSEYILNLTEAPILIFAK SNMYHVLKMVPDMKFVNTLVCMDELTHDELRMLNESLLPVKCNSLNEKITFFSLEQVE QVGCFNKIPAIPPTPDSLYTISFTSGTTGLPKGVEMSHRNIASGIAFAFSTFRIPPDK RNQQLYDMCFLPLAHIFERMVIAYDLAIGFGIGFLHKPDPTVLVEDLKILKPYAVALV PRILTRFEAGIKNALDKSTVQRNVANTILDSKSARFTARGGPDKSIMNFLVYHRVLID KIRDSLGLSNNSFIITGSAPISKDTLLFLRSALDIGIRQGYGLTETFAGVCLSEPFEK DVGSCGAIGISAECRLKSVPEMGYHADKDLKGELQIRGPQVFERYFKNPNETSKAVDQ DGWFSTGDVAFIDGKGRISVIDRVKNFFKLAHGEYIAPEKIENIYLSSCPYITQIFVF GDPLKTFLVGIVGVDVDAAQPILAAKHPEVKTWTKEVLVENLNRNKKLRKEFLNKINK CTDGLQGFEKLHNIKVGLEPLTLEDDVVTPTFKIKRAKASKFFKDTLDQLYAEGSLVK TEKL YER016W MSAGIGESRTELLTWLNGLLNLNYKKIEECGTGAAYCQIMDSIY GDLPMNRVKFNATAEYEFQTNYKILQSCFSRHGIEKTVYVDKLIRCKFQDNLEFLQWL KKHWIRHKDESVYDPDARRKYRPIITNNSATKPRTVSNPTTAKRSSSTGTGSAMSGGL ATRHSSLGINGSRKTSVTQGQLVAIQAELTKSQETIGSLNEEIEQYKGTVSTLEIERE FYFNKLRDIEILVHTTQDLINEGVYKFNDETITGHGNGNGGALLRFVKKVESILYATA EGFEMNDGEDELNDKNLGEHGTVPNQGGYANSNGEVNGNEGSNHDVIMQNDEGEVGVS NNLIIDEETF YER017C MMMWQRYARGAPRSLTSLSFGKASRISTVKPVLRSRMPVHQRLQ TLSGLATRNTIHRSTQIRSFHISWTRLNENRPNKEGEGKNNGNKDNNSNKEDGKDKRN EFGSLSEYFRSKEFANTMFLTIGFTIIFTLLTPSSNNSGDDSNRVLTFQDFKTKYLEK GLVSKIYVVNKFLVEAELVNTKQVVSFTIGSVDIFEEQMDQIQDLLNIPPRDRIPIKY IERSSPFTFLFPFLPTIILLGGLYFITRKINSSPPNANGGGGGGLGGMFNVGKSRAKL FNKETDIKISFKNVAGCDEAKQEIMEFVHFLKNPGKYTKLGAKIPRGAILSGPPGTGK TLLAKATAGEANVPFLSVSGSEFVEMFVGVGASRVRDLFTQARSMAPSIIFIDEIDAI GKERGKGGALGGANDEREATLNQLLVEMDGFTTSDQVVVLAGTNRPDVLDNALMRPGR FDRHIQIDSPDVNGRQQIYLVHLKRLNLDPLLTDDMNNLSGKLATLTPGFTGADIANA CNEAALIAARHNDPYITIHHFEQAIERVIAGLEKKTRVLSKEEKRSVAYHEAGHAVCG WFLKYADPLLKVSIIPRGQGALGYAQYLPPDQYLISEEQFRHRMIMALGGRVSEELHF PSVTSGAHDDFKKVTQMANAMVTSLGMSPKIGYLSFDQNDGNFKVNKPFSNKTARTID LEVKSIVDDAHRACTELLTKNLDKVDLVAKELLRKEAITREDMIRLLGPRPFKERNEA FEKYLDPKSNTEPPEAPAATN YER018C MASIDAFSDLERRMDGFQKDVAQVLARQQNHARQQLQQFQAEMR QLHNQHQHLIDELQRLATQRTALQQQIHAAQQATNTTREQWRSYHERESELSRRQSTL AAQSRELDSLLQQRGKECVQLRARWAAQSGNDAAEVALYERLLQLRVLPGASDVHDVR FVFGDDSRCWIEVAMHGDHVIGNSHPALDPKSRATLEHVLTVQGDLAAFLVVARDMLL ASL YER019W MYNRKDRDVHERKEDGQSEFEALNGTNAIMSDNSKAYSIKFLTF NTWGLKYVSKHRKERLRAIADKLAGHSMLTPISDELLPNGGDSNENEDYDVIALQEIW CVEDWKYLASACASKYPYQRLFHSGILTGPGLAILSKVPIESTFLYRFPINGRPSAVF RGDWYVGKSIAITVLNTGTRPIAIMNSHMHAPYAKQGDAAYLCHRSCQAWDFSRLIKL YRQAGYAVIVVGDLNSRPGSLPHKFLTQEAGLVDSWEQLHGKQDLAVIARLSPLQQLL KGCTTCDSLLNTWRAQRQPDEACRLDYALIDPDFLQTVDAGVRFTERIPHLDCSVSDH FAYSCTLNIVPQGTESRPSTSVKRAKTHDRELILQRYSNYETMIECIHTYLKTAQRQK FFRGLHFWASILLLIASLVVTTFTANKAGWSSIFWVLFAIAVSISGTIDGAISFLFGR SEIRALIEVEQEVLDAEHHLQTFLSEK YER019C-A MAASVPPGGQRILQKRRQAQSIKEKQAKQTPTSTRQAGYGGSSS SILKLYTDEANGFRVDSLVVLFLSVGFIFSVIALHLLTKFTHII YER020W MGLCASSEKNGSTPDTQTASAGSDNVGKAKVPPKQEPQKTVRTV NTANQQEKQQQRQQQPSPHNVKDRKEQNGSINNAISPTATANTSGSQQINIDSALRDR SSNVAAQPSLSDASSGSNDKELKVLLLGAGESGKSTVLQQLKILHQNGFSEQEIKEYI PLIYQNLLEIGRNLIQARTRFNVNLEPECELTQQDLSRTMSYEMPNNYTGQFPEDIAG VISTLWALPSTQDLVNGPNASKFYLMDSTPYFMENFTRITSPNYRPTQQDILRSRQMT SGIFDTVIDMGSDIKMHIYDVGGQRSERKKWIHCFDNVTLVIFCVSLSEYDQTLMEDK NQNRFQESLVLFDNIVNSRWFARTSVVLFLNKIDLFAEKLSKVPMENYFPDYTGGSDI NKAAKYILWRFVQLNRANLSIYPHVTQATDTSNIRLVFAAIKETILENTLKDSGVLQ YER021W MASTAVMMDVDSSGVNDLHHSEKKYAEEDQVQELLKVLNEISKT TLTLDPRYIWRSLKDLSSLRNQELLNAETLCFTVNVLYPDSSSFKKNLLKFITSNHKS SVPGSAELRNSYPASFYSVNTEKKTIEVTAEINCFMHLLVQLFLWDSKELEQLVEFNR KVVIPNLLCYYNLRSLNLINAKLWFYIYLSHETLARSSEEINSDNQNIILRSTMMKFL KIASLKHDNETKAMLINLILRDFLNNGEVDSASDFISKLEYPHTDVSSSLEARYFFYL SKINAIQLDYSTANEYIIAAIRKAPHNSKSLGFLQQSNKLHCCIQLLMGDIPELSFFH QSNMQKSLLPYYHLTKAVKLGDLKKFTSTITKYKQLLLKDDTYQLCVRLRSNVIKTGI RIISLTYKKISLRDICLKLNLDSEQTVEYMVSRAIRDGVIEAKINHEDGFIETTELLN IYDSEDPQQVFDERIKFANQLHDEYLVSMRYPEDKKTQQNEKSENGENDDDTLDGDLM DDMSDISDLDDLGFL YER022W MTTEDPDSNHLSSETGIKLALDPNLITLALSSNPNSSLHSPTSD EPVPESAGKADTSIRLEGDELENKTKKDNDKNLKFLKNKDSLVSNPHEIYGSMPLEQL IPIILRQRGPGFKFVDLNEKELQNEIKQLGSDSSDGHNSEKKDTDGADENVQIGEDFM EVDYEDKDNPVDSRNETDHKTNENGETDDNIETVMTQEQFVKRRRDMLEHINLAMNES SLALEFVSLLLSSVKESTGMSSMSPFLRKVVKPSSLNSDKIPYVAPTKKEYIELDILN KGWKLQSLNESKDLLRASFNKLSSILQNEHDYWNKIMQSISNKDVIFKIRDRTSGQKL LAIKYGYEDSGSTYKHDRGIANIRNNIESQNLDLIPHSSSVFKGTDFVHSVKKFLRVR IFTKIESEDDYILSGESVMDRDSESEEAETKDIRKQIQLLKKIIFEKELMYQIKKECA LLISYGVSIENENKVIIELPNEKFEIELLSLDDDSIVNHEQDLPKINDKRANLMLVML RLLLVVIFKKTLRSRISSPHGLINLNVDDDILIIRPILGKVRFANYKLLLKKIIKDYV LDIVPGSSITETEVEREQPQENKNIDDENITKLNKEIRAFDKLLNIPRRELKINLPLT EHKSPNLSLMLESPNYCNALIHIKFSAGTEANAVSFDTTFSDFKEVEDFLHFIVAEYI QQKKV YER023W MTYTLAILGCGVMGQALLSAIYNAPKAADETAAAFYPSKIITCN HDEPSAQQVTDLVETFDESPNGIKVESTYGHNVSAVEEASVVLLGTKPFLAEEVLNGV KSVIGGKLLISLAAGWTIDQLSQYTSTVCRVMTNTPAKYGYGCAVVSYSADVSKEQKP LVNELISQVGKYVELPEKNMDAATALVGSGPAFVLLMLESLMESGLKLGIPLQESKEC AMKVLEGTVKMVEKSGAHPSVLKHQVCTPGGTTIAGLCVMEEKGVKSGIINGVEEAAR VASQLGQKKK YER024W MSSGSTIVSSDKSGRTFKHEEELPKLPLPKLCDTLQRLKESLEP LYYADGYYQHPLDPEQIEKLSSIIRDFEENPVSEKLQSKLQSYHDTRDCYLDELHLDI NNQTSTREIQDDVLPRNPFLVLADDALPNITQADRSAVLVHSAARFISALKQDLLPPD INATNGKPLSMAPFLNLFGTTRSPVFQRGEVENFDLNKPYTASDLEDPDYSSDEDDND EPTQKDFDDRKRKHEEDIFTGNGITIKRHPDSKHILIISRGQYYTLEVLDSTNKIIYT AAELTTIFNHIIKDSSGIEKSTALGSLTSHSFRNWKYARKRLQKRYPNELHRIDSALF VLVLDESQEETTNDGDDTADISQMFNRTITERDKKCTSANCKRVFYGTSIINSKGHQV GSCVSRWYDKLQLVVTADAKATVIWDSFTCDGSVVLRFTSEIYTESVLRLARDVNAGD PQFSLWPNVTQMDPETKKLMTATISADGGGPSEIDPKLVVNKIDWSFSNILNTHVHLS ETKLADLISKYDIVRASIPLGRRSAQRLGVKPDSMVQVALQIAHYALYGRMVFGLEPV STRGFKNSRSSFINIQSQALLELCQLFISSSIDGTDKLDKFIQTCETHNNMVKHAKSG VGYEKHFNALKYLFKFHDHFGIHLSGDESSAAKDLFENPLVLPFSQPELIVANCGNAA TTTFGITPAVPHGFGIGYIIKDDQVDLTVTSQFRQGDRLMFMLSWVLGEIRSYWRMSR GTSHNKTGVKISPVVDKLYEMDNAVNNPPKRNGHTVNGSRKTSSSSQVNLNRYGGFFD LEGHIDSRNISKTPSMKNLQKTFNGLTMSADNDHSSSAVSVPTEKEKLNTGHEILQIQ PREVASNGLEADDETDIEIVAGNADGTSSSASSATSLNSKKRNVINSRFDIDFDRSRV GRKVATLDQ YER025W MSDLQDQEPSIIINGNLEPVGEPDIVEETEVVAQETQETQDADK PKKKVAFTGLEEDGETEEEKRKREFEEGGGLPEQPLNPDFSKLNPLSAEIINRQATIN IGTIGHVAHGKSTVVRAISGVQTVRFKDELERNITIKLGYANAKIYKCQEPTCPEPDC YRSFKSDKEISPKCQRPGCPGRYKLVRHVSFVDCPGHDILMSTMLSGAAVMDAALLLI AGNESCPQPQTSEHLAAIEIMKLKHVIILQNKVDLMREESALEHQKSILKFIRGTIAD GAPIVPISAQLKYNIDAVNEFIVKTIPVPPRDFMISPRLIVIRSFDVNKPGAEIEDLK GGVAGGSILNGVFKLGDEIEIRPGIVTKDDKGKIQCKPIFSNIVSLFAEQNDLKFAVP GGLIGVGTKVDPTLCRADRLVGQVVGAKGHLPNIYTDIEINYFLLRRLLGVKTDGQKQ AKVRKLEPNEVLMVNIGSTATGARVVAVKADMARLQLTSPACTEINEKIALSRRIEKH WRLIGWATIKKGTTLEPIA YER026C MVESDEDFAPQEFPHTDTDVIVNEHRDENDGYASDEVGGTLSRR ASSIFSINTTPLAPPNATDIQKFTSDEHHFSMMRNLHMADYITMLNGFSGFYSIVSCL RFTLTGKPHYVQRAHFFILLGMCFDFLDGRVARLRNRSSLMGQELDSLADLVSFGVAP AAIAFAIGFQTTFDVMILSFFVLCGLARLARFNVTVAQLPKDSSTGKSKYFEGLPMPT TLALVLGMAYCVRKGLIFDNIPFGIFREDQILEFHPIILVFFIHGCGMISKSLKIPKP YER027C MAGDNPENKDASMLDVSDAASNTTINGKHSADSTNEASLAYTFS QMNVDNPNELEPQHPLRHKSSLIFNDDDDDEIPPYSNHAENGSGETFDSDDDIDASSS SSIDSNEGDIHDADMTGNTLQKMDYQPSQQPDSLQNQGFQQQQEQQQGTVEGKKGRAM MFPVDITWQQGGNKVYVTGSFTGWRKMIGLVPVPGQPGLMHVKLQLPPGTHRFRFIVD NELRFSDYLPTATDQMGNFVNYMEVSAPPDWGNEPQQHLAEKKANHVDDSKLSKRPMS ARSRIALEIEKEPDDMGDGYTRFHDETPAKPNLEYTQDIPAVFTDPNVMEQYYLTLDQ QQNNHQNMAWLTPPQLPPHLENVILNSYSNAQTDNTSGALPIPNHVILNHLATSSIKH NTLCVASIVRYKQKYVTQILYTPLQ YER028C MNYLRDRFPPDNDQRPFRCEICSRGFHRLEHKKRHGRTHTGEKP HKCTVQGCPKSFSRSDELKRHLRTHTKGVQRRRIKSKGSRKTVVNTATAAPTTFNENT GVSLTGIGQSKVPPILISVAQNCDDVNIRNTGNNNGIVETQAPAILVPVINIPNDPHP IPSSLSTTSITSIASVYPSTSPFQYLKSGFPEDPASTPYVHSSGSSLALGELSSNSSI FSKSRRNLAAMSGPDSLSSSKNQSSASLLSQTSHPSKSFSRPPTDLSPLRRIMPSVNT GDMEISRTVSVSSSSSSLTSVTYDDTAAKDMGMGIFFDRPPVTQKACRSNHKYKVNAV SRGRQHERAQFHISGDDEDSNVHRQESRASNTSPNVSLPPIKSILRQIDNFNSAPSYF SK YER029C MSKIQVAHSSRLANLIDYKLRVLTQDGRVYIGQLMAFDKHMNLV LNECIEERVPKTQLDKLRPRKDSKDGTTLNIKVEKRVLGLTILRGEQILSTVVEDKPL LSKKERLVRDKKEKKQAQKQTKLRKEKEKKPGKIAKPNTANAKHTSSNSREIAQPSSS RYNGGNDNIGANRSRFNNEAPPQTRKFQPPPGFKRK YER030W MSDEAKEKRELESQKESSHNKSEKSVEPKPKRRRRRNYDDYDAE VAKEETKAKNGLTKSENNGTVEDSESDMDDAKLDALMGNEGEEEEDDLAEIDTSNIIT SGRRTRGKVIDYKKTAEELDKKEPSTGSKDDVGYGEKEEDDEDEEDDDFKE YER031C MSSEDYGYDYDLLFKIVLIGDSGVGKSNLLSRFTKNEFNMDSKS TIGVEFATRTLEIDGKRIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDISKSSSYE NCNHWLSELRENADDNVAVGLIGNKSDLAHLRAVPTEESKTFAQENQLLFTETSALNS ENVDKAFEELINTIYQKVSKHQMDLGDSSANGNANGASAPNGPTISLTPTPNENKKAN GNNCC YER032W MSLPVTPVKSKVCSTLSIQHEMDHDQCRDVLCPRENLLAINRTN NIESVAIPRQRSSKNKKPHEHTQSQVRFSIPDPNEISQDSPLKIVFPKSGNETERRMS TSSLLMNSHGHLVDMHSKILVDVPKEVWKFHHNRRKKCESRHRKTRSDVRSNTSSSGK EPNHSRSKSLQSIIVDTMNTYRATEVETSINENTSNISQVSPLNLSFDRPPPLTPEKN LYLTPESPLNRYHLPVPLEISLPPYLSPQNKDKKRSSLVYDGDGYSQFQEGNTSSSTE SSLEQPSSSYSDEDDSIPYAHHDVSFELNNADADKLLGIDENANVNLKIQRRNLKNPQ HIKSKTDRECEEKNTEKNVSLKILSTPNKLIDIPDLEHMKSPPSTGLNGTLKFFQQFE PSEEPTSPTRQVNPESLDKLDMSFKFPSSTTNNNVDKVHENRNSGDTNNEDFLKVDTS PVNQSFESRRQMLIDLQKSPTNNNPRIHKHRRSRSVHNIDDISLNFEATSTPPAPTSA PSIPVEHSNPCTSIEIPKRSPLRFTSSPKTSDIPPEAQSPKNGSFLQEISVPSIQIIP DESISHTREPSPSLIECPEDENEAFSTEVADHSIAIISETKSVPSIEPFKPLSSFNSF GQEIQNKEPTPLNQTPTDLIGKQRNCVNPHSIPFSVLSSNSQSSQSGSSKSSYNSEFS SNTAITDTTSQPSVTINRSTLEHNFIEEKRSIKNLGHGPPSQKNNYSFPRNKNTPSNR HDLDFNTIYEKRDGKMVEVILLDEDEDVGLKNNDISRTRVCHAQKAKNEQQKKRLSHC NEILGMCDKTADDAKRIIYQLVNEKHKFSEKGQQTKPKKSRVLPPLPFPLYDEKGNSL IPNKYQSSIHNDIPSHRKLK YER033C MRSFIKAHKKSTSFDESPKRHSNFSGNTNNSSQRSSDDSLDFLP STPSQMNYDSIPPPAKHSPGFESFHRLANKTSKLFKKTSNSNLNSHLASTPTTSTNQT TSNSFVLQNPPTKNTGPPPPLPPPLFPSSSTSSFSRHDNESEYTAYKKTSPAKDFNRT TDSLPAIKGTITHSWGDSKVESHVIILNDPASPASNTSEATSSKQFKTPIIGNENLTS TTSPSNLEPAIRILNKNKGKQQENIDDAEDGSSKKEHHVYKALALAKNRNRQARIHSH DDIINLGKASQMDMSLLAAAFSGNSTTTINNDQSSNEQTDEKILDIERVTTTSTLTSS ETTSPINKSPCFYSQTLSLSPKIRHGDLQSSPSKVNKNDSQNETLNKKKVRISLNRKE EEKVYSLNNNSDEYSVNEKETHKANDCNDESSENGDGDNDHDDDYDDDDDDDDDDDES EFSFEYAGINVRTSSVKYYSKPEPAANVYIDDLYEDENFDDDMNCIEDDESGNEGNEI CGLSTRFEETSLKSNKVKKFNDLFNLSDDDEEEDGKDNSNNGDENESDNLYQKRLENG KETFNGNHGGHHDDASLGETVDNKEQFLINDNVKKPIQKYNDLFDLSDEDDNDDKEMS EAESYMFSDEAPSIESGPANAKSTRGIYSQSNKNIIRDGKPNYSFSLKRNNSDDETEH TSAIKASLTGTTGSTKPTVKSFSDIFNVDDSASDAESDSGTGGNNSNGLVSNDSERQV SLQSSLYETKSESHPPNHPHSQILQTPAKIVITPSVSDAQSQALAITDDDGEDDDDDT SSILRTPFQLIDSSHSQQPHYASPQYTAVLNSPPLPPPARSQSLKYHDLNCDLDSEVP RPMSNLFFIDEAEEDEYNQKSKFFDFDHYDIDEINGIPEDFNFSDSERDDLNRRTLKS PLRGGSKNREVSPFSSVSSSFRSTHSFNGKLTINQGAKELAPMKNKIELTNKTVTFFN SNNWNTYDCNSLSRKTSSQMRDSKYQNHNVGQNVEPSSVLSPQHQISNGLDGKCNDNY VISPNLPTTITPTNSFTKPTPEFSNDYSLSPIQETPSSVQSSPKRA YER034W MDAFSLKKDNRKKFQDKQKLKRKHATPSDRKYRLLNRQKEEKAT TEEKDQDQEQPALKSNEDRYYEDPVLEDPHSAVANAELNKVLKDVLKNRLQQNDDATA VNNVANKDTLKIKDLKQMNTDELNRWLGRQNTTSAITAAEPESLVVPIHVQGDHDRAG KKISAPSTDLPEELETDQDFLDGLL YER035W MGSETKHSAKVKIVTRESPPSAKEHMRPTKTQILVPPTQSLPNG KKPNFGKSTKQRREPRERTSKTGHEDDKATMVTVNIDAFLHDKAPKKKSCKYKKKKTR QYQDRAAASIDSKPHVAGHTAFAGASFTTDIPHEAALPKPSFV YER036C MPPVSASKAKRDAKKAEREAKKAAAGKTIRKLGRKKEAAAEESE VDAAAREIKMMKLQQDKDGLSDRVVTGVLSSLETSRDIKLSSVSLLFHGKVLIQDSGL ELNYGRRYGLLGENGCGKSTFLKALATREYPIPEHIDIYLLDEPAEPSELSALDYVVT EAQHELKRIEDLVEKTILEDGPESELLEPLYERMDSLDPDTFESRAAIILIGLGFNKK TILKKTKDMSGGWKMRVALAKALFVKPTLLLLDDPTAHLDLEACVWLEEYLKRFDRTL VLVSHSQDFLNGVCTNMIDMRAQKLTAYGGNYDSYHKTRSELETNQMKQYNKQQEEIQ HIKKFIASAGTYANLVKQAKSRQKILDKMEADGLVQPVVPDKVFSFRFPQVERLPPPV LAFDDISFHYESNPSENLYEHLNFGVDMDSRIALVGPNGVGKSTLLKIMTGELTPQSG RVSRHTHVKLGVYSQHSQDQLDLTKSALEFVRDKYSNISQDFQFWRGQLGRYGLTGEG QTVQMATLSEGQRSRVVFALLALEQPNVLLLDEPTNGLDIPTIDSLADAINEFNGGVV VVSHDFRLLDKIAQDIFVVENKTATRWDGSILQYKNKLAKNVVL YER037W MTIAKDYRTIYRNQIKKQIRLNQEHLQSLTHLGSQINFEVDPPK LPDPDPARKVFFFDIDNTLYRKSTKVQLLMQQSLSNFFKYELGFDDDEAERLIESYYQ EYGLSVKGLIKNKQIDDVLQYNTFIDDSLPLQDYLKPDWKLRELLINLKKKKLGKFDK LWLFTNSYKNHAIRCVKILGIADLFDGITYCHYDRPIEEEFICKPDPKFFETAKLQSG LSSFANAWFIDDNESNVRSALSMGMGHVIHLIEDYQYESENIVTKDHKNKQQFSILKD ILEIPLIMDVEVYRPSSIAIKEMEELEEEGEAVNWSNQQINVQSS YER038C MGSVNSSPNEEFETVPDSQISGFDSPLIPTSVGSYFRDDDDDEK VHPNFISDPENDSLNSDEEFSSLENSDLNLSGAKAESGDDFDPILKRTIISKRKAPSN NEDEEIVKTPRKLVNYVPLKIFNLGDSFDDTITTTVAKLQDLKKEILDSPRSNKSIVI TSNTVAKSELQKSIKFSGSIPEIYLDVVTKETISDKYKDWHFISKNCHYEQLMDLEMK DTAYSFLFGSSRSQGKVPEFVHLKCPSITNLLVLFGVNQEKCNSLKINYEKKENSRYD NLCTIFPVNKMLKFLMYFYSDDDNDDVREFFLKAFICLILDRKVFNAMESDHRLCFKV LELFNEAHFINSYFEIVDKNDFFLHYRLLQIFPHLQSALLRRRFSEKQGRTETIQQNI IKEFNEFFDCKNYKNLLYFILTMYGSKFIPFGPKCQVTEYFKDCILDISNETTNDVEI SILKGILNLFSKIR YER038W-A MYYFSRVAARTFCCCIFFCLATAYSRPDRNPRKIEKKDKKFFGA SKNTNPANAMGNLFKAPTIEYVVEEVTRTHQPEQYDIPTDMSPLMTIAASESADKFTD KFFVDQSSIMKEKTSSKGNARTLL YER039C MIYTSSKSLQYLAVPIYTIFKNLTIILIAYGEVLFFGGKVTSME LTSFIMMVLSSVVATWGDQQAIAIKASSLEDLDQELVESTIFVLNPGYLWMFTNCISS ALFVLIMRKRIRLTNFKDYDTMFYNNVLALPLLLVFSFIMEDWSTKNLSVNLSADSLA AMVISGLMSVGISYCSGWCVRVTSSTTYSMVGALNKLPIALAGLVFFDAPKNFLSFFS IFLGFLSGLLYAVAKQKKIQQQKVLAATLEK YER039C-A MSKHKHEWTESVANSGPASILSYCASSILMTVTNKFVVNLDNFN MNFVMLFVQSLVCTVTLCILRIVGVANF YER040W MQDDPENSKLYDLLNSHLDVHGRSNEEPRQTGDSRSQSSGNTGE NEEDIAFASGLNGGTFDSMLEALPDDLYFTDFVSPFTAAATTSVTTKTVKDTTPATNH MDDDIAMFDSLATTQPIDIAASNQQNGEIAQLWDFNVDQFNMTPSNSSGSATISAPNS FTSDIPQYNHGSLGNSVSKSSLFPYNSSTSNSNINQPSINNNSNTNAQSHHSFNIYKL QNNNSSSSAMNITNNNNSNNSNIQHPFLKKSDSIGLSSSNTTNSVRKNSLIKPMSSTS LANFKRAASVSSSISNMEPSGQNKKPLIQCFNCKTFKTPLWRRSPEGNTLCNACGLFQ KLHGTMRPLSLKSDVIKKRISKKRAKQTDPNIAQNTPSAPATASTSVTTTNAKPIRSR KKSLQQNSLSRVIPEEIIRDNIGNTNNILNVNRGGYNFNSVPSPVLMNSQSYNSSNAN FNGASNANLNSNNLMRHNSNTVTPNFRRSSRRSSTSSNTSSSSKSSSRSVVPILPKPS PNSANSQQFNMNMNLMNTTNNVSAGNSVASSPRIISSANFNSNSPLQQNLLSNSFQRQ GMNIPRRKMSRNASYSSSFMAASLQQLHEQQQVDVNSNTNTNSNRQNWNSSNSVSTNS RSSNFVSQKPNFDIFNTPVDSPSVSRPSSRKSHTSLLSQQLQNSESNSFISNHKFNNR LSSDSTSPIKYEADVSAGGKISEDNSTKGSSKESSAIADELDWLKFGI YER041W MGVSQIWEFLKPYLQDSRIPLRKFVIDFNKSQKRAPRIAIDAYG WLFECGFIQNIDISARSRSRSRSPTRSPRDSDIDSSQEYYGSRSYTTTGKAVINFISR LKELLSLNVEFLLVFDGVMKPSFKRKFNHEQNATTCDDEKEYYSSWEQHVKNHEVYGN CKGLLAPSDPEFISLVRKLLDLMNISYVIACGEGEAQCVWLQVSGAVDFILSNDSDTL VFGGEKILKNYSKFYDDFGPSSITSHSPSRHHDSKESFVTVIDLPKINKVAGKKFDRL SLLFFSVLLGADYNRGVKGLGKNKSLQLAQCEDPNFSMEFYDIFKDFNLEDLTSESLR KSRYRLFQKRLYLYCKDHSVELFGRNYPVLLNQGSFEGWPSTVAIMHYFHPIVQPYFD EEVLSDKYINMAGNGHYRNLNFNELKYFLQSLNLPQISSFDKWFHDSMHEMFLLREFL SIDESDNIGKGNMRITEEKIMNIDGGKFQIPCFKIRYTTFLPNIPISSQSPLKRSNSP SRSKSPTRRQMDIMEHPNSLWLPKYLIPQSHPLVIQYYETQQLIQKEKEKKGKKSNKS RLPQKNNLDEFLRKHTSPIKSIGKVGESRKEILEPVRKRLFVDTDEDTSLEEIPAPTR LTTVDEHSDNDDDSLIFVDEITNSQSVLDSSPGKRIRDLTQDEQVDVWKDVIEISPIK KSRTTNAEKNPPESGLKSRSSITINARLQGTKMLPPNLTAPRLEREHSSVLDQLVTDA QDTVDRFVACDSDSSSTIE YER042W MSSLISKTIKYDPAKDKLITLACGCFWGTEHMYRKYLNDRIVDC KVGYANGEESKKDSPSSVSYKRVCGGDTDFAEVLQVSYNPKVITLRELTDFFFRIHDP TTSNSQGPDKGTQYRSGLFAHSDADLKELAKIKEEWQPKWGNKIATVIEPIKNFYDAE EYHQLYLDKNPQGYACPTHYLREM YER043C MSAPAQNYKIADISLAAFGRKEIELAEHEMPGLMAIRKAYGDVQ PLKGARIAGCLHMTIQTAVLIETLVALGAEVTWSSCNIYSTQDHAAAAIAASGVPVFA WKGETEEEYLWCIEQQLFAFKDNKKLNLILDDGGDLTTLVHEKHPEMLEDCFGLSEET TTGVHHLYRMVKEGKLKVPAINVNDSVTKSKFDNLYGCRESLVDGIKRATDVMLAGKV AVVAGYGDVGKGCAAALRGMGARVLVTEIDPINALQAAMEGYQVVTMEDASHIGQVFV TTTGCRDIINGEHFINMPEDAIVCNIGHFDIEIDVAWLKANAKECINIKPQVDRYLLS SGRHVILLANGRLVNLGCATGHSSFVMSCSFSNQVLAQIALFKSNDKSFREKHIEFQK TGPFEVGVHVLPKILDEAVAKFHLGNLGVRLTKLSKVQSEYLGIPEEGPFKADHYRY YER044C MFSLQDVITTTKTTLAAMPKGYLPKWLLFISIVSVFNSIQTYVS GLELTRKVYERKPTETTHLSARTFGTWTFISCVIRFYGAMYLNEPHIFELVFMSYMVA LFHFGSELLIFRTCKLGKGFMGPLVVSTTSLVWMYKQREYYTGVAW YER044C-A MSRGKLEDMEQKETSEVDWIICFALIQSRNPTLWKRALSRKKGD VEDVGALKSEKNLKINPRENSKHIYKWVAPFENGFLNNKSLFAHLEPIYNFLCQNKYK SFEDAVGLKELQSFSKDVSTADINNWFLPRYKILLKILSLKTKEIDFRGLSQVFQTLQ ILLVSHYSHRIDSDSSFKRTLIDVHVFNFIAKFLFNRILLKKNQNDPKWLQNFYDQGD GKHLCDKVDYKRLCSLHFTLIYSIINIQLIKIKTNQTFEPQILKYVSVLKLIEHILII IESLIHVLIRFVSKHKLICINRKKAYCRVYLERELSLKKTYLKNFYSVISGVPEKELG GLLKILKIVILSLLETFESIEWQHLKPFLEKFPAHEISLQKKRKYIQAALLITAERNL IARFRLSRWFNETENI YER045C MDYKHNFATSPDSFLDGRQNPLLYTDFLSSNKELIYKQPSGPGL VDSAYNFHHQNSLHDRSVQENLGPMFQPFGVDISHLPITNPPIFQSSLPAFDQPVYKR RISISNGQISQLGEDLETVENLYNCQPPILSSKAQQNPNPQQVANPSAAIYPSFSSNE LQNVPQPHEQATVIPEAAPQTGSKNIYAAMTPYDSNIKLNIPAVAATCDIPSATPSIP SGDSTMNQAYINMQLRLQAQMQTKAWKNAQLNVHPCTPASNSSVSSSSSCQNINDHNI ENQSVHSSISHGVNHHTVNNSCQNAELNISSSLPYESKCPDVNLTHANSKPQYKDATS ALKNNINSEKDVHTAPFSSMHTTATFQIKQEARPQKIENNTAGLKDGAKAWKRARLLE RNRIAASKCRQRKKMSQLQLQREFDQISKENTMMKKKIENYEKLVQKMKKISRLHMQE CTINGGNNSYQSLQNKDSDVNGFLKMIEEMIRSSSLYDE YER046W MGKNHFLKDFSALPEDVLIENERGITLLGYPLFSPKILLPHVDP PQFQRLNTENGSLIALSKNTISNFIELYPIDLSTERTAGSSSSQMTKWFVLMDYKEKY DIDDQGWCYSWNFNNSRWKSKNGLVRRRVWVRLPTTSHGLD YER047C MDSQRSHHILTRLTKIRRRPQQPLTDFTELYSRIANETIYYLNL EEKKRYKEALQGWKALTTDVLFKQTLIEHNYPNTQSYTKDEVSLQNGIRELYHKSVMH LKRVKKLVREEPAPRNDMPSSKTYTNHSSSFTRSTEPPPVFQMVPGRMMKTLRNRNAC GYKTAYSNPSLSSYGNSTSIKRGEDAENIRVNFVPSKPLSNNASRQHKNPIEHNDPPL KKETELYSDKYISEPILIDLTNDEDDHDVGILKGHNVFDEEESDGFEFDVSDYYDNFS EVDVEEEEEEKEERRRIKTLEAIQQQMSDLSVTSSTSSNKSVSSSENVPGSCIQSLPT TAPALPSLPPPPLLNVDRASSTGALKPHSLETSTTMDSSKIRNPQISKLMKNNHVPYL KGTKSTPTLITKSTPTFITRSKSNTKPIIKSNASSPTSSLTVPNSVIQKPKTAAMAAK RVLNSKKVASNPALNTTKKSHPILKSKTAKVPNSSSKKTSSHPSRPVSNSKPYSHGAS QNKKPSKNQTTSMSKTNRKIPAQKKIGSPKIEDVGTEDATEHATSLNEQREEPEIDKK VLREILEDEIIDSLQGVDRQAAKQIFAEIVVHGDEVHWDDIAGLESAKYSLKEAVVYP FLRPDLFRGLREPVRGMLLFGPPGTGKTMLARAVATESHSTFFSISASSLTSKYLGES EKLVRALFAIAKKLSPSIIFVDEIDSIMGSRNNENENESSRRIKNEFLVQWSSLSSAA AGSNKSNTNNSDTNGDEDDTRVLVLAATNLPWSIDEAARRRFVRRQYIPLPEDQTRHV QFKKLLSHQKHTLTESDFDELVKITEGYSGSDITSLAKDAAMGPLRDLGDKLLETERE MIRPIGLVDFKNSLVYIKPSVSQDGLVKYEKWASQFGSSGS YER048C MVKETEYYDILGIKPEATPTEIKKAYRRKAMETHPDKHPDDPDA QAKFQAVGEAYQVLSDPGLRSKYDQFGKEDAVPQQGFEDASEYFTAIFGGDGFKDWIG EFSLFKELNEATEMFGKEDEEGTAATETEKADESTDGGMVKHDTNKAESLKKDKLSKE QREKLMEMEKKRREDMMKQVDELAEKLNEKISRYLIAVKSNNLEEFTRKLDQEIEDLK LESFGLELLYLLARVYKTKANNFIMSKKTYGISKIFTGTRDNARSVKSAYNLLSTGLE AQKAMEKMSEVNTDELDQYERAKFESTMAGKALGVMWAMSKFELERKLKDVCNKILND KKVPSKERIAKAKAMLFIAHKFASARRSPEEAEEARVFEELILGEQEKEHKKHTVAR YER048W-A MPGFTAPTRRQVLSLYKEFIKNANQFNNYNFREYFLSKTRTTFR KNMNQQDPKVLMNLFKEAKNDLGVLKRQSVISQMYTFDRLVVEPLQGRKH YER049W MKRKTAEVKGEKERNSKQISLEEDKIKGMFNPKIWDKTFQDGLK KEIEDSQPYNWGTIHELVNDDLLRAVRKEIETEIHFTKKETDIYRVNQSGDLANLSGL DWDDLSRLPNLFKLRQILYSKQYRDFFGYVTKAGKLSGSKTDMSINTYTKGCHLLTHD DVIGSRRISFILYLPDPDRKWKSHYGGGLRLFPSILPNVPHSDPSAKLVPQFNQIAFF KVLPGFSFHDVEEVKVDKHRLSIQGWYHIPQVGEEGYIPGEEEAWVRNNTSTLAQIES NVLEDFEFPKDERNILSFHEVKHFEKMLKGDAGAKTDNTPKESMTSVISDSVKLSEAE FTYLSQYISPEHLSSKGIEKLQKQFVENSSLQIESFLNDDKSELLKKVIKQKELEQEC PYHSKDVKAPWKTAIPPHKARYLYIDGKEYRNFQTEADILEALNNNDLPNFQFTKDAI KIISDASGNSRENNFDAELALIDLAVFHKSTIFKKYLALLTSLCPVSEQILIRRFRPG MDFTLATKCRFNELLKSNPDIIDAVLEGTLCLTPSAGWESGELGGYELYMMDDDEDNK QYLKEDVEDASVYRADDSGDSVLINDPPAWNTFNLVLRDESVLEFVKYVSWSAKSSRW DVKMKWDVKSCDEDGQEDEA YER050C MQPIIKGAVSSTFKRALYNFGIKEKKSVNIEMGRTQQTKKIDQS LSKKLPKGTIYDPFDFSMGRIHLDRKYQANKNSNRNDIMKSGANPLEFYARPRILSRY VTSTGRIQHRDITGLSAKNQRRLSKAIRRCQAIGLM YER051W MQDPNICQHCQLKDNPGALIWVKCDSCPQWVHVKCVPLKRIHYS NLTSSEVLSYPNSAKQIKSYRCPNHKEGEYLTAYALITQKGKRQRNKENPEDSHINKR YNFRKKKLLDYIALNEGESKRDKMNHPHKESFMKSFEKWKNGSNIINAADFAEKFDNI DVPYKIIDPLNSGVYVPNVGTDNGCLTVNYITEMIGEDYHVDVMDVQSQMNENWNLGS WNEYFTNTEPDRRDRIRNVISLEVSNIEGLELERPTAVRQNDLVDKIWSFNGHLEKVN GEKAEENDPKPKVTKYILMSVKDAYTDFHLDFAGTSVYYNVISGQKKFLLFPPTQSNI DKYIEWSLKEDQNSVFLGDILEDGIAMELDAGDLFMIPAGYIHAVYTPVDSLVFGGNF LTIRDLETHLKIVEIEKLTKVPRRFTFPKFDQVMGKLCEYLALDKNKITSDVSDGDLL SRTTNCAIQSLHAYVIKPEVKYKPLNFTSKKHLAKALADLIS YER052C MPMDFQPTSSHSNWVVQKFGGTSVGKFPVQIVDDIVKHYSKPDG PNNNVAVVCSARSSYTKAEGTTSRLLKCCDLASQESEFQDIIEVIRQDHIDNADRFIL NPALQAKLVDDTNKELELVKKYLNASKVLGEVSSRTVDLVMSCGEKLSCLFMTALCND RGCKAKYVDLSHIVPSDFSASALDNSFYTFLVQALKEKLAPFVSAKERIVPVFTGFFG LVPTGLLNGVGRGYTDLCAALIAVAVNADELQVWKEVDGIFTADPRKVPEARLLDSVT PEEASELTYYGSEVIHPFTMEQVIRAKIPIRIKNVQNPLGNGTIIYPDNVAKKGESTP PHPPENLSSSFYEKRKRGATAITTKNDIFVINIHSNKKTLSHGFLAQIFTILDKYKLV VDLISTSEVHVSMALPIPDADSLKSLRQAEEKLRILGSVDITKKLSIVSLVGKHMKQY IGIAGTMFTTLAEEGINIEMISQGANEINISCVINESDSIKALQCIHAKLLSERTNTS NQFEHAIDERLEQLKRLGI YER053C MESNKQPRKIQLYTKEFYATCTLGGIIACGPTHSSITPLDLVKC RLQVNPKLYTSNLQGFRKIIANEGWKKVYTGFGATFVGYSLQGAGKYGGYEYFKHLYS SWLSPGVTVYLMASATAEFLADIMLCPFEAIKVKQQTTMPPFCNNVVDGWKKMYAESG GMKAFYKGIVPLWCRQIPYTMCKFTSFEKIVQKIYSVLPKKKEEMNALQQISVSFVGG YLAGILCAAVSHPADVMVSKINSERKANESMSVASKRIYQKIGFTGLWNGLMVRIVMI GTLTSFQWLIYDSFKAYVGLPTTG YER053C-A MQDLEIFLSIFAFIFVFYFGAHRTVMNRNKSDVPYLQ YER054C MYIKAEQKPQQFERKNEKLDRNKNQQLPDLETDFKGYRVNSDLY NKERDGSTEETLNSLKFLHKPQRVTQMRANRFPEEEVQRNTDLNKRIFSAGNDENVDN ESGWSKIAAAKNHTSVESLNGSTRPPFKIELPPLSPKSTVPKSFQAEYPEAKSPGNDM NFEYDEEILIPFAPPVYKKSGELLKSSLKRRSKSLPTTPGIRSGNGVQARDGSPMLIR SKSVHFDQAAPVKYFAEDESPINVNKTEQHDNCLSFKHKPVNLMVDPEEETKMLSSGL ETTSIDDDLTTVAPKGFAHPAKISNPNNGKGTNNTKLRKSKRFQNLLKNRTDMPPSKS NKKFVNGGGAHEISDRNSKNYHVVGLYSKNFPILSNKNPKSLKLNIFINLSQNKKVFL QELSLYIHRDNNYFSNSSSFYNIPNSHNGNDCNGVAKGYNAGCTRLIAGRILVKNIFY DKRVVVRYTWDSWRTTHEVECVYISDGDGILPGTNMDIFHFIIDDVSKVDPRGKLEFC IHYSTRNDYEREEYWDNNNGNNYKVDVVMDGFNDPFAAAA YER055C MDLVNHLTDRLLFAIPKKGRLYSKSVSILNGADITFHRSQRLDI ALSTSLPVALVFLPAADIPTFVGEGKCDLGITGVDQVRESNVDVDLAIDLQFGNCKLQ VQVPVNGEYKKPEQLIGKTIVTSFVKLAEKYFADLEGTTVEKMTTRIKFVSGSVEASC ALGIGDAIVDLVESGETMRAAGLVDIATVLSTSAYLIESKNPKSDKSLIATIKSRIEG VMTAQRFVSCIYNAPEDKLPELLKVTPGRRAPTISKIDDEGWVAVSSMIERKTKGVVL DELKRLGASDIMVFEISNCRV YER056C MLEEGNNVYEIQDLEKRSPVIGSSLENEKKVAASETFTATSEDD QQYIVESSEATKLSWFHKFFASLNAETKGVEPVTEDEKTDDSILNAASMWFSANMVIA SYALGALGPMVFGLNFGQSVLVIIFFNIMGLIFVAFFSVFGAELGLRQMILSRYLVGN VTARIFSLINVIACVGWGIVNTSVSAQLLNMVNEGSGHVCPIWAGCLIIIGGTVLVTF FGYSVIHAYEKWSWVPNFAVFLVIIAQLSRSGKFKGGEWVGGATTAGSVLSFGSSIFG FAAGWTTYAADYTVYMPKSTNKYKIFFSLVAGLAFPLFFTMILGAASAMAALNDPTWK AYYDKNAMGGVIYAILVPNSLNGFGQFCCVLLALSTIANNIPNMYTVALSAQALWAPL AKIPRVVWTMAGNAATLGISIPATYYFDGFMENFMDSIGYYLAIYIAISCSEHFFYRR SFSAYNIDDWDNWEHLPIGIAGTAALIVGAFGVALGMCQTYWVGEIGRLIGKYGGDIG FELGASWAFIIYNILRPLELKYFGR YER056C-A MAQRVTFRRRNPYNTRSNKIKVVKTPGGILRAQHVKKLATRPKC GDCGSALQGISTLRPRQYATVSKTHKTVSRAYGGSRCANCVKERIIRAFLIEEQKIVK KVVKEQTEAAKKSEKKAKK YER057C MVTTLTPVICESAPAAAASYSHAMKVNNLIFLSGQIPVTPDNKL VEGSIADKAEQVIQNIKNVLEASNSSLDRVVKVNIFLADINHFAEFNSVYAKYFNTHK PARSCVAVAALPLGVDMEMEAIAAERD YER058W MSRASKITFAASCLITAATVVGVHYVQEMERETLHQGPIKDAKR VEEKRLRKTNGVASLDPTKERKRYFNMSEHEEQKELRKKYETMQPLSGEVVTKDGEVV KESKK YER059W MSIKGDSPSSTNASSSPKSTYSIQSDDKANLGSGNVDIRTDNSQ QDSNNRRDIVVVTRVASEETLESQSSTSSMGIRPESSFNYEDASNQARVEMNNRVHGS NMNTINKYYPVRFPKNNERQLSDTNNLNEKVQGTHTVQSSTQEDKILDGDTSNSQVTP SLNIAEFPTDKLLKMLTALLTKIIKSNDRTAATNPSLTQEIENGRCLALSDNEKKYLS PVLGFRGKHVPQIGLDQYFQRIQKYCPTTNDVFLSLLVYFDRISKRCNSVTTTPKTNT AKHESPSNESSLDKANRGADKMSACNSNENNENDDSDDENTGVQRDSRAHPQMFVMDS HNIHRLIIAGITVSTKFLSDFFYSNSRYSRVGGISLQELNHLELQFLVLCDFELLISV NELQRYADLLYRFWNNAKAQSQALVTGM YER060W MPQTHEMSLNGTQYLKYELKDLESRAHDAKTPSTNEFYDDVESH GTEELVEAKLSFLNRIAAGLSAETKGIEPITEDEKTDDSILNAASMWFSANMVLPAYA IGALGPMVFDLNFGQSVFVIIFFNLLGLVSVAFFSVFGAELGLRQMILSRYLVGNIAA RIFSFINFIACIGWGIVNTVASSQVLNMVNPGHQCPLWAGCIVIIGATVIVTFFGYGV IHAYEKWAWVPNFAVFLVIIARLARSKKFVLGEWTSGPTTAGNVLSFGSTVYGFAAGW TTYAADYTVYMPRKTNKYKIFFSLVVGLATPLYFTMILGAAVAMAAIGDPAWKTYYDE NSIGGLTFAVLVPNSVHGFGQFCCVLLSLSTIANNVPNMYTIALSVQATWEPLAKVPR VIWTLLGNAAALGIAIPACYYFSTFMNYFMDSIGYYLAIYIAIACSEHFIYRRSFSAY NVDDWDSWERLPIGIAGTAALIVGAFGVALGMCQTYWVGEISRLIGDYGGDIGFELGL SWAFIVYNIARPFELKYFGR YER060W-A MPEKLAMSMVDIKDAGSELRDLESGALDTKSSAADVYYEGVELH RTNEFIDNKPSFFNRIAAALNAETKGIEPVTEDEKNDDSILNAATIWFSANMVIVAYS VGALGPLVFGLNFGQSVLVIIFFNILGLIPVALFSLFGVELGLRQMILSRYLAGNITA RFFSLVNVIACVGWCVLNISVSAQLLNMVNEGSGHNCPIWAGCLIIAGGTVLVTFFGY SVVHAYEKWSWVPNFAAFLVIIAQLSRSGKFKGGEWVGGATTAGGVLSFGSSVFGSAA GWATYAADYTVYMPKTTSKYKIFFSVVAGLAFPLFFTMILGAACGMAALNDPTWKSYY DKNAMGGVIYAILVPNSLNGFGQFCCVLLALSTVANNVPGMYTVALSAQALWAPLAKI PRVVWTMAGNAATLGISIPATYYFDGFMENFMDSIGYYLAIYIAIACSEHFIYRRSFS AYNIDDWDNWEHLPIGIAGTAALIAGAFGVALGMCQTYWVGEISRLIGEYGGDIGFEL GGSWAFIIYNIVRPLELKYFGR YER061C MSRRVVITGLGCVTPLGRSLSESWGNLLSSKNGLTPITSLPNYN EDYKLREKSIPSTITVGKIPENFQNENSAINKLLFTSQDERRTSSFIKLALRTTYEAL HNAGLLNPNDITINTSLCNLDHFGCLIGSGIGSIQDIYQTSLQFHNDNKRINPYFVPK ILTNMAAGNVSIKFNLRGLSHSVSTACATGNNSIGDAFNFIRLGMQDICVAGASETSL HPLSLAGFIRAKSITTNGISRPFDTQRSGFVLGEGCGMIVMESLEHAQKRNANIISEL VGYGLSSDACHITSPPADGNGAKRAIEMALKMARLEPTDVDYVNAHATSTLLGDKAEC LAVASALLPGRSKSKPLYISSNKGAIGHLLGAAGAVESIFTICSLKDDKMPHTLNLDN VLTLENNEADKLHFIRDKPIVGANPKYALCNSFGFGGVNTSLLFKKWEGS YER062C MGLTTKPLSLKVNAALFDVDGTIIISQPAIAAFWRDFGKDKPYF DAEHVIQVSHGWRTFDAIAKFAPDFANEEYVNKLEAEIPVKYGEKSIEVPGAVKLCNA LNALPKEKWAVATSGTRDMAQKWFEHLGIRRPKYFITANDVKQGKPHPEPYLKGRNGL GYPINEQDPSKSKVVVFEDAPAGIAAGKAAGCKIIGIATTFDLDFLKEKGCDIIVKNH ESIRVGGYNAETDEVEFIFDDYLYAKDDLLKW YER063W MADYSSLTVVQLKDLLTKRNLSVGGLKNELVQRLIKDDEESKGE SEVSPQEQNQEQGSEPAAIEEPASQNITEKKEVSSEPKETNEPKEENKDVQKPSDGPS ATASENEQAAASTAAPALSPEEIKAKALDLLNKKLHRANKFGQDQADIDSLQRQINRV EKFGVDLNSKLAEELGLVSRKNEPESGNNGKFKNRNKNANNRSRVSKNRRGNRSGYRR YER064C MIDDTENSKIHLEGSHKTGKYTGYGTTHKIRAQLNFNDEKKWKK FSSRRLELIDSFGLSQHKASEQDDNIRQIATILRSEFEYPDTFSAEFEKLVTAAVQSV RRNRKRSKKKLLDSKKKIARGKVQKIPLSPPSSSNMGSCSASNASSSDEEASVKEEPA EHALPSLNTITSQKLLPYPNGRTLPPVPTQVRSLLKKNASLLRDPSAPYAHGGDEKLQ KFDIEDQPLESEQEYDFIAKSIIVEIVNNAIPLPEQIQRDKFIRPNLTKKKGCQSKVV ISNNLRKLILSKIHNSRTCLEMSKDERNLDSFANLETLGKNSLMASISLVVENSFSHL PSSTKQYLTERLSSIEFLTILSQRLFMPATRQLFADLSQEKIQVRVLNLILGSLVKDY GFDASLAPINEIIYHMTLHQYPLVCSNKQSNPMRPHSTSEVLSAHSSTKDASTPGKEE PRVTRSSTSADSTIITLPSIEVPNTYDDDRLKMLSAISLQIENSTFSKPFSTISK YER065C MPIPVGNTKNDFAALQAKLDADAAEIEKWWSDSRWSKTKRNYSA RDIAVRRGTFPPIEYPSSVMARKLFKVLEKHHNEGTVSKTFGALDPVQISQMAKYLDT IYISGWQCSSTASTSNEPGPDLADYPMDTVPNKVEHLFKAQLFHDRKQLEARSKAKSQ EELDEMGAPIDYLTPIVADADAGHGGLTAVFKLTKMFIERGAAGIHMEDQTSTNKKCG HMAGRCVIPVQEHVNRLVTIRMCADIMHSDLIVVARTDSEAATLISSTIDTRDHYFIV GATNPNIEPFAEVLNDAIMSGASGQELADIEQKWCRDAGLKLFHEAVIDEIERSALSN KQELIKKFTSKVGPLTETSHREAKKLAKEILGHEIFFDWELPRVREGLYRYRGGTQCS IMRARAFAPYADLVWMESNYPDFQQAKEFAEGVKEKFPDQWLAYNLSPSFNWPKAMSV DEQHTFIQRLGDLGYIWQFITLAGLHTNALAVHNFSRDFAKDGMKAYAQNVQQREMDD GVDVLKHQKWSGAEYIDGLLKLAQGGVSATAAMGTGVTEDQFKENGVKK YER066W MKCLYILSGHTDRIYSTIYDHERKRCISASMDTTIRIWDLENIR NNGECSYATNSASPCAKILGAMYTLRGHRALVGLLGLSDKFLVSASVDGSIRCWDANT YFLKHFFDHTQLNTITALHVSDEVLVSGSEGLLNIYDLNSGLLVRSDTLSGADNVWNV SFKDNTLVAAVERDKRNLLEILDFS YER067W MTKKDKKEVKVQTVTTEDGETVKVFEDLQGFETFIANETEDDDF DHLHCKLNYYPPFVLHESHEDPEKISDAANSHSKKFVRHLHQHIEKHLLKDIKQAVRK PELKFHEKSKEETFDKITWHYGEETEYHGRPFKIDVQVVCTHEDAMVFVDYKTHPVGA N YER068W MMNPHVQENLQAIHNALSNFDTSFLSEDEEDYCPLCIEPMDITD KNFFPCPCGYQICQFCYNNIRQNPELNGRCPACRRKYDDENVRYVTLSPEELKMERAK LARKEKERKHREKERKENEYTNRKHLSGTRVIQKNLVYVVGINPPVPYEEVAPTLKSE KYFGQYGKINKIVVNRKTPHSNNTTSEHYHHHSPGYGVYITFGSKDDAARCIAQVDGT YMDGRLIKAAYGTTKYCSSYLRGLPCPNPNCMFLHEPGEEADSFNKRELHNKQQAQQQ SGGTAFTRSGIHNNISTSTAGSNTNLLSENFTGTPSPAAMRAQLHHDSHTNAGTPVLT PAPVPAGSNPWGVTQSATPVTSINLSKNSSSINLPTLNDSLGHHTTPTTENTITSTTT TTNTNATSHSHGSKKKQSLAAEEYKDPYDALGNAVDFLDARLHSLSNYQKRPISIKSN IIDEETYKKYPSLFSWDKIEASKKSDNTLANKLVEILAIKPIDYTASVVQFLQSVNVG VNDNITITDNTKTPTQPIRLQTVSQQIQPPLNVSTPPPGIFGPQHKVPIQQQQMGDTS SRNSSDLLNQLINGRKIIAGN YER069W MPSASLLVSTKRLNASKFQKFVSSLNKSTIAGFASVPLRAPPSV AFTRKKVGYSKRYVSSTNGFSATRSTVIQLLNNISTKREVEQYLKYFTSVSQQQFAVI KVGGAIISDNLHELASCLAFLYHVGLYPIVLHGTGPQVNGRLEAQGIEPDYIDGIRIT DEHTMAVVRKCFLEQNLKLVTALEQLGVRARPITSGVFTADYLDKDKYKLVGNIKSVT KEPIEASIKAGALPILTSLAETASGQMLNVNADVAAGELARVFEPLKIVYLNEKGGII NGSTGEKISMINLDEEYDDLMKQSWVKYGTKLKIREIKELLDYLPRSSSVAIINVQDL QKELFTDSGAGTMIRRGYKLVKRSSIGEFPSADALRKALQRDAGISSGKESVASYLRY LENSDFVSYADEPLEAVAIVKKDTNVPTLDKFVCSDAAWLNNVTDNVFNVLRRDFPAL QWVVSENDANIAWHFDKSQGSYLKGGKVLFWYGIDDINTISELVENFVKSCDTASTLN SSASSGVFANKKSARSYSTRSTPRPEGVNTNPGRVALIGARGYTGKNLVSLINGHPYL EVAHVSSRELKGQKLQDYTKSEIIYESLQIQDIRKLEEQNAVDFWVMALPNKVCEPFV ETIQSVHGKSKIIDLSADHRFVSESDWAYGLPELNDRAKIANAAKIANPGCYATGSQL TISPLTKYINGLPTVFGVSGYSGAGTKPSPKNDPKFLNNNLIPYALSDHIHEREISAR IGHNVAFMPHVGQWFQGISLTVSIPIKKGSLSIDEIRKLYRNFYEDEKLVHVIDDIPL VKDIEGTHGVVIGGFKLNDAEDRVVVCATIDNLLKGAATQCLQNINLAMGYGEYAGIP ENKIIGV YER070W MYVYKRDGRKEPVQFDKITARISRLCYGLDPKHIDAVKVTQRII SGVYEGVTTIELDNLAAETCAYMTTVHPDYATLAARIAISNLHKQTTKQFSKVVEDLY RYVNAATGKPAPMISDDVYNIVMENKDKLNSAIVYDRDFQYSYFGFKTLERSYLLRIN GQVAERPQHLIMRVALGIHGRDIEAALETYNLMSLKYFTHASPTLFNAGTPKPQMSSC FLVAMKEDSIEGIYDTLKECALISKTAGGIGLHIHNIRSTGSYIAGTNGTSNGLIPMI RVFNNTARYVDQGGNKRPGAFALYLEPWHADIFDFIDIRKNHGKEEIRARDLFPALWI PDLFMKRVEENGTWTLFSPTSAPGLSDCYGDEFEALYTRYEKEGRGKTIKAQKLWYSI LEAQTETGTPFVVYKDACNRKSNQKNLGVIKSSNLCCEIVEYSAPDETAVCNLASVAL PAFIETSEDGKTSTYNFKKLHEIAKVVTRNLNRVIDRNYYPVEEARKSNMRHRPIALG VQGLADTFMLLRLPFDSEEARLLNIQIFETIYHASMEASCELAQKDGPYETFQGSPAS QGILQFDMWDQKPYGMWDWDTLRKDIMKHGVRNSLTMAPMPTASTSQILGYNECFEPV TSNMYSRRVLSGEFQVVNPYLLRDLVDLGIWDEGMKQYLITQNGSIQGLPNVPQELKD LYKTVWEISQKTIINMAADRSVYIDQSHSLNLFLRAPTMGKLTSMHFYGWKKGLKTGM YYLRTQAASAAIQFTIDQKIADQATENVADISNLKRPSYMPSSASYAASDFVPAAVTA NATIPSLDSSSEASREASPAPTGSHSLTKGMAELNVQESKVEVPEVPAPTKNEEKAAP IVDDEETEFDIYNSKVIACAIDNPEACEMCSG YER071C MSMQIEIKDGRSDNSPLPERKLVTLIQESYDSLKDDNEINLSTE STSNLLIKLVLEKLEKHSSLYKYIASVTTLNIEGLNEENANFSLKNDIGASWESKKDG IFNYKLEDKNNNECYLITILWLHK YER072W MSSAPLLQRTPGKKIALPTRVEPKVFFANERTFLSWLNFTVMLG GLGVGLLNFGDKIGRVSAGLFTFVAMGTMIYALVTYHWRAAAIRRRGSGPYDDRLGPT LLCFFLLVAVIINFILRLKYNDANTKL YER073W MLSRTRAAAPNSRIFTRSLLRLYSQAPLRVPITLPNGFTYEQPT GLFINGEFVASKQKKTFDVINPSNEEKITTVYKAMEDDVDEAVAAAKKAFETKWSIVE PEVRAKALFNLADLVEKHQETLAAIESMDNGKSLFCARGDVALVSKYLRSCGGWADKI YGNVIDTGKNHFTYSIKEPLGVCGQIIPWNFPLLMWSWKIGPALATGNTVVLKPAETT PLSALFASQLCQEAGIPAGVVNILPGSGRVVGERLSAHPDVKKIAFTGSTATGRHIMK VAADTVKKVTLELGGKSPNIVFADADLDKAVKNIAFGIFYNSGEVCCAGSRIYIQDTV YEEVLQKLKDYTESLKVGDPFDEEVFQGAQTSDKQLHKILDYVDVAKSEGARLVTGGA RHGSKGYFVKPTVFADVKEDMRIVKEEVFGPIVTVSKFSTVDEVIAMANDSQYGLAAG IHTNDINKAVDVSKRVKAGTVWINTYNNFHQNVPFGGFGQSGIGREMGEAALSNYTQT KSVRIAIDKPIR YER074W MSDAVTIRTRKVISNPLLARKQFVVDVLHPNRANVSKDELREKL AEVYKAEKDAVSVFGFRTQFGGGKSVGFGLVYNSVAEAKKFEPTYRLVRYGLAEKVEK ASRQQRKQKKNRDKKIFGTGKRLAKKVARRNAD YER074W-A MVLFGLGRLFYVILLLINAVAVLSEERFLRRIGLGRSNDETPVF GQDQNTTKSKVVQLIGAVQTLLRIPLIGINILVIVYELLLG YER075C MKDSVDCPSILPTDRTSVLSETSTLVGSSSHVYSRHAPMNSYHN SMNSNIYHSPKASSPLVSYKTSSPVLLKRATAPVLPSFKPKEQRYNKPQGCSLITAVE LGKIIETLPDEKVLLLDVRPFTEHAKSIITNSIHVCLPSTLLRRKNFTFSKLLDNLTP SEQSVLKSKLAIDNLRIIIYDSTANQTESSVSLPCYGIASKLIEFDTNVKKTVSILMC GFPQFKILFPDHINTNTFNSDCISSAEPKSPKTNLMNSLHNTAPHMTATTPLSSPQMN LKLKVPDDSRSDHSNFSSSPSPRNVLSDSPMSSSSPISALFKFQLPAPQTNINQMFKF SQNEEIMGLETYLSAVNIKEEHERWYNNDSAKKSLQNFQFPKNQNSLEKDTNKDKLGF QIRYENLSKNYEKEVIDSVIPEWFQHLMSIPKIELVSQFQKLDFLEKRRLNHSVSFRK KENSFILEKPSSYPEQLTSTSSSTIMPPKFPDVNKVQKRSHSQPIFTQYSKYKSMLSL ESDSDSESDDVIISSGVELGAKNRYKDIFPYEHSRVILKKGLQSSKGIKHSHSTSDGG ILDNYINANYLSLPRFSVEQNSSFQTTTTTTRRVRYIATQAPMPSTVHDFYTCILNNG VPLVLSLTNDFENGIEKCYRYWQEGNYNGIHVKLLEKKILKMPSTTSMRKNTMGTQNS SLYSAGVQGNSSNYSTDNDNDNDNNNNNNNNSNIAVTAAACDDDDDDDDDAILIRKIL LTYHDQEKPYELLQIQVKNWPDLGTLLNPISILQAINVKNHIIDTLFARNYYQNDQLP TILVHCSAGCGRTGTLCTIDSILSNFEMFEMLQKEFVKLKYPAKLFDPISWTINIFRK QRISMVQNINQFIFIYDCLLFYFRLRLDDITERTDGDGSNKDNISLSALIEQIEKLEI LQTFVDDKLKELPQ YER076C MTSGYYTVSFFYAILLLCACTRAEIYLTGGESQGLPSGFWQMDD DLAIAPVSMVEFYQTIGLTANGTVPESFNKRDATEYPNIISNITTQAANFTQHSLVEQ LQNDVTAISISNAINVAVDGSVETPADLQYNRNQETGESTLCRAKFYGVEVRTWSRLY NRAVSSTTLTTNLNSYIAQWVAWAVHGSGDKKFCGSQEFTNIFFDGQEGWSLFVKTWS TNSSCDITASEGNLTCAVRVSVSSMHNHGKTAFCVTYSHGDSWRAELRVVANDAWSHY YPWSIDCPEVDKNNMAINDCFDQAQG YER077C MGLKITKGQLRTKDLNQSSSKSSQSSRIGVDTCIFTRMLPRINT AINLTEHLLRRSFHSLTNLQKTQVKERLHELERHGFILNKTSKQLERINSKKRRQLKK LQKTAYPKDQAFHILRKFHKINNEALADTKLGPTSQSDLKFLSLTKDKRLFYTILGVN GEQLRDSKLIANDVQKFLKRGQLEKAVFLARLAKKKGVVGMNLIMKYYIEVVQSQQSA VDIFNWRKKWGVPIDQHSITILFNGLSKQENLVSKKYGELVLKTIDSLCDKNELTEIE YNTALAALINCTDETLVFKLLNKKCPGLKKDSITYTLMIRSCTRIADEKRFMVVLNDL MNKIPDYCVDSKLLFEYCEVICSQKSPKIEKQGMGLWALCEYFQFDKTIFKKYLTQSD FPTLVPLSHWNINKPFPLNKHVVGLFMNYCLKNKEYDLAMEIFKTLEAQNNQMLDQSI YHKYMETVITTRPITCGDECLDIYERVASSAQISITRRTLILVYNAFQRQSLKAVINK DASNAEATLHKIRGFIDSVEATYSSKLNGKVYRFNSWKFLFPIVKNLNMNDKVSTVEL KSILDEYLKSLLNGEFGKEFKASIEDKRFVTLEGIRLVKVLTERIKLPSLDSEEIASL KGTERKKFLARRHLLRLKQILLEDLADIEGNSRRKGDSENTSTSEERIMEDLAELILE TSYDKF YER078C MLHRINPVRFSMQSCQRYFSKLVSPLEQHKSNTFTNRVRIPIEA GQPLHETRPFLIKSGELTPGISALEYYERRIRLAETLPPKSCVILAGNDIQFASGAVF YPFQQENDLFYLSGWNEPNSVMILEKPTDSLSDTIFHMLVPPKDAFAEKWEGFRSGVY GVQEIFNADESASINDLSKYLPKIINRNDFIYFDMLSTSNPSSSNFKHIKSLLDGSGN SNRSLNSIANKTIKPISKRIAEFRKIKSPQELRIMRRAGQISGRSFNQAFAKRFRNER TLDSFLHYKFISGGCDKDAYIPVVATGSNSLCIHYTRNDDVMFDDEMVLVDAAGSLGG YCADISRTWPNSGKFTDAQRDLYEAVLNVQRDCIKLCKASNNYSLHDIHEKSITLMKQ ELKNLGIDKVSGWNVEKLYPHYIGHNLGLDVHDVPKVSRYEPLKVGQVITIEPGLYIP NEESFPSYFRNVGIRIEDDIAIGEDTYTNLTVEAVKEIDDLENVMQNGLSTKFEEDQV APL YER078W-A MVRLSYLRLILPPCRLSELSSLAILYQPVIPILISTITFQHFFK CVHTPCNVYI YER079W MPDSSHSISSKDVASAISLYDQSIYTNNRSTNLDLDQRSMSPSN IASGEDRITRTNSGCSITSGASMIATKDGIQGINVKRDGIPKYSLNLLNSMVRKQYDH NNGTKSPTPKTSNMVDPKNKKKNKKKKNDKDDKYKVSHDQTEKFYKLNTTSNSNLTSD STTSLSDQFYFQKSNADSAPLDNANYPLSDHSPSLNSMDNTTKHSSNVHT YER080W MIRYTVAGHSRRCVVGASKRVGAIKCITVAATKRFISNKPNEVF TKLTNDNDPKRDAFFKYTWGSWLKNDKQEKEKRFTKFSIEGLNRILNDIYIQSNEMAK APDGKILPPVFNKNLTVSLVNNVVPKNIGKINPNEKVQVTTLSSIHEGKHHRIYKVDT NLNKAFILRIPYPLENENTLSYRIRSEVATMDFADLKLGIKVPKIFCYGVNSLNPVRQ PFVLQEFIEGELLMKDWDPLIEDGSSNQKKYDNVIKQVSDFQSKLVSLKLNAFGSIYF NNDLKDGNEKEFVKEDIYDGETNPDLQNRWKIGPSVERCLWRHKSHLDFHKQMKPFLG PWPKKSPMDIIKNTGLLEAENAKTRIAMKEAGSSAELMYPRTLKEQITTYENLAKIAP DLFNVKTKAIPNMQELLSPRLFHPDLDPMNIIVNKEAQEAYLLDFEGACTKPFILQNS PQFIAYDGPKIYDLKEDITDFDKLSEAEKVQYQFMYKRTRNQHQWEKKLNDNNPKLIT AVAPPVKLLRSPYIAAVERKTEEEYLLIDESLLQLKEVWDIFAQNELVNQKKFPLNYS KEDIERHVEDLQKLHEKLISTPFAATQGWIPQDMFDQLLNSGSIVKQENGDYTVKQPE ATK YER081W MTSIDINNLQNTFQQAMNMSGSPGAVCTSPTQSFMNTVPQRLNA VKHPKILKPFSTGDMKILLLENVNQTAITIFEEQGYQVEFYKSSLPEEELIEKIKDVH AIGIRSKTRLTSNVLQHAKNLVCIGCFCIGTNQVDLDYATSRGIAVFNSPFSNSRSVA ELVIAEIISLARQLGDRSIELHTGTWNKVAARCWEVRGKTLGIIGYGHIGSQLSVLAE AMGLHVLYYDIVTIMALGTARQVSTLDELLNKSDFVTLHVPATPETEKMLSAPQFAAM KDGAYVINASRGTVVDIPSLIQAVKANKIAGAALDVYPHEPAKNGEGSFNDELNSWTS ELVSLPNIILTPHIGGSTEEAQSSIGIEVATALSKYINEGNSVGSVNFPEVALKSLSY DQENTVRVLYIHQNVPGVLKTVNDILSNHNIEKQFSDSNGEIAYLMADISSVDQSDIK DIYEQLNQTSAKISIRLLY YER082C MGHKKNGHRRQIKERENQNKFERSTYTNNAKNNHTQTKDKKLRA GLKKIDEQYKKAVSSAAATDYLLPESNGYLEPENELEKTFKVQQSEIKSSVDVSTANK ALDLSLKEFGPYHIKYAKNGTHLLITGRKGHVASMDWRKGQLRAELFLNETCHSATYL QNEQYFAVAQKKYTFIYDHEGTELHRLKQHIEARHLDFLPYHYLLVTAGETGWLKYHD VSTGQLVSELRTKAGPTMAMAQNPWNAVMHLGHSNGTVSLWSPSMPEPLVKLLSARGP VNSIAIDRSGYYMATTGADRSMKIWDIRNFKQLHSVESLPTPGTNVSISDTGLLALSR GPHVTLWKDALKLSGDSKPCFGSMGGNPHRNTPYMSHLFAGNKVENLGFVPFEDLLGV GHQTGITNLIVPGAGEANYDALELNPFETKKQRQEQEVRTLLNKLPADTITLDPNSIG SVDKRSSTIRLNAKDLAQTTMDANNKAKTNSDIPDVKPDVKGKNSGLRSFLRKKTQNV IDERKLRVQKQLDKEKNIRKRNHQIKQGLISEDHKDVIEEALSRFG YER083C MSELTEAEKRRLLRERRQKKFSNGGASSRLNKITGQASSHLNAE SPLDAPSAAKTTPPASVHSATPDIKEDSNVAPQLDLLKQLAAMQGQGTGKSTPQDSST PDLLSLLSSMNTGMPSAEGTPSFGQAAPAAPINQAALDYHDYLLNRLKAWTILVKWVF FLLPYLYLITRPNSSVWPAYAFTQSAWFAPLRNPSNFTRIFATFEFLSISIYYQLLKN VEHKSKIKNLQDTNKLVKLVSLVPEGVIPVANLKGKLITLLQYWDLLSMLITDISFVL IVLGLLTYL YER084W MEELICTYPYHSNLFMFLFLFFCPSKRARRGHPKFLFTLCYKSN HLIPKLLPPSLFTKRVMLNPSSHPPSPDFPTGSSASPRVKLRPSTLWAPPLTVSSDFA ASSSSTAPVTVTDKPVTPAVSKRYQP YER085C MNFKEPLVNFLKCVLNNINSAFSHRIDQLQLQLLRETNILRVLN RGIERLFSENPRTHSVSRIVVEKDVGCRIGRYVEGSKYELVESRAKEIQIYYERMVFE ITQELKGDKRVFALIANLSQRYSAERKEIRNVKVHGGRPCNENEFQVIPIRFKPINLE RRARLIRKKKTIN YER086W MSATLLKQPLCTVVRQGKQSKVSGLNLLRLKAHLHRQHLSPSLI KLHSELKLDELQTDNTPDYVRLVLRSSVYDVINESPISQGVGLSSRLNTNVILKREDL LPVFSFKLRGAYNMIAKLDDSQRNQGVIACSAGNHAQGVAFAAKHLKIPATIVMPVCT PSIKYQNVSRLGSQVVLYGNDFDEAKAECAKLAEERGLTNIPPFDHPYVIAGQGTVAM EILRQVRTANKIGAVFVPVGGGGLIAGIGAYLKRVAPHIKIIGVETYDAATLHNSLQR NQRTPLPVVGTFADGTSVRMIGEETFRVAQQVVDEVVLVNTDEICAAVKDIFEDTRSI VEPSGALSVAGMKKYISTVHPEIDHTKNTYVPILSGANMNFDRLRFVSERAVLGEGKE VFMLVTLPDVPGAFKKMQKIIHPRSVTEFSYRYNEHRHESSSEVPKAYIYTSFSVVDR EKEIKQVMQQLNALGFEAVDISDNELAKSHGRYLVGGASKVPNERIISFEFPERPGAL TRFLGGLSDSWNLTLFHYRNHGADIGKVLAGISVPPRENLTFQKFLEDLGYTYHDETD NTVYQKFLKY YER087W MLKYRTLSRSCHIFHPKSLSNNTLKSETTQELLQTVGFVRRSQV GLFQWLPLGLRSLNKVSNAIRNRMDSDGGAIEVSLSAISSKALWQATDRWNNSELFKL KDSKGKQYCLTATCEEDITDLMKNYIASYKDMPITIYQMTRKYRDEIRPRGGILRGRE FLMKDAYSFASNEEDAFASFQKLDDTYNKIFKDLKIPFVSAWADSGDIGGEFSKEFHL IHESGEDTLMSCKHCGDISTLDMSQSYPEKDGQYSGDVDCKYALTKDHSTLICFYYPK DRQLNWNLALNAMDKDIDLTLRNKPNDHVLQVYEKDNEDIMFSKILRVMDCRLNSKSN FPDFPLKKYLKNNFGQISDVSIVDAQENEICGKCEEGRLEPLKSIEVGHIFLLGNKYS KPLNVKFVDKENKNETFVHMGCYGIGVSRLVGAIAELGRDSNGFRWPAIMAPYKVSIC TGPNNPENSQRLQDVKSELLNDPTMQNLQNDILDQFNEKLGIGARIKLSHAMGIPLCV IVGSKSWPNVEIEVRGIRWGEKDLWRKQFEKRCSELQWKCTKNEHGIEKHTVPIQHLA EVIGVLLKDM YER087C-B MSSPTPPGGQRTLQKRKQGSSQKVAASAPKKNTNSNNSILKIYS DEATGLRVDPLVVLFLAVGFIFSVVALHVISKVAGKLF YER088C MSISTSLNSASIHLSSMDTHPQLHSLTRQPHSSSTAMSKNEAQE SSPSLPASSSSSTSASASASSKNSSKNPSSWDPQDDLLLRHLKEVKKMGWKDISQYFP NRTPNACQFRWRRLKSGNLKSNKTALIDINTYTGPLKITHGDETANAQQKPSKKVEEN VLTEDTAEFTTTSSIPIPSRKTSLPSFHASMSFSQSPSNVTPTTIVSNAASSMPFAPP TLPAALPHHPHQHLHHHPHHKTLKPRSNSHSFTNSLNQDPIVRSNDEEKYGFIPKVFV RSRRSSFAYPQQVAITTTPSSPNSSHVLLSSKSRRGSLANWSRRSSFNVSSNNTSRRS SMILAPNSVSNIFNVNNSGSNTASTSNTNSRRESVIKKEFQQRLNNLSNSGGPTSNNG PIFPNSYTFMDLPHSSSVSSSSTLHKSKRGSFSGHSMKSSCNPTNLWSKDEDALLMEN KKRNLSVMELSILLPQRTEVEIQWRLNALSSDADMLSPTHSPQKTLSKKTCPRMFKSG STTDDDKGSDKEDVMGDGSNDDDEDNVDPLHRAKQSSNKTVFSSSSSNISSKDVSPDP IFSPDPADDSSNTSDAGSRCTITSDTSSSAATMNRTPNSKNPQDIALLNNFRSEAITP RPKPSSTTTSITTETTNNMINHSSSTTTTTNNSPLPSINTIFKDML YER089C MGQILSNPVIDKESHSGADSLTAFGLCAMQGWRMSMEDSHILEP NVLTKSDKDHIAFYGIFDGHGGAKVAEYCGNKIVEILQEQKSFHEGNLPRALIDTFIN TDVKLLQDPVMKEDHSGCTATSILVSKSQNLLVCGNAGDSRTVLATDGNAKALSYDHK PTLASEKSRIVAADGFVEMDRVNGNLALSRAIGDFEFKSNPKLGPEEQIVTCVPDILE HSLDYDRDEFVILACDGIWDCLTSQDCVDLVHLGLREGKTLNEISSRIIDVCCAPTTE GTGIGCDNMSIVVVALLKEGEDVAQWSDRMKSKAHRTSVRSFADKRRRVFSYYDFSKC NDEQVFAITTKKPQDKFTRDHEAAVASVTAADNDDPMDIDDTDADTDAENLDPSSQSK SKTSGPIDLASLEALLGATGGVKTDSNGNKVTYTLPQSALAQLLQTMGHDPASSHPEN DSNTDHKAGRSHLQ YER090W MTASIKIQPDIDSLKQLQQQNDDSSINMYPVYAYLPSLDLTPHV AYLKLAQLNNPDRKESFLLESAKTNNELDRYSFIGISPRKTIKTGPTEGIETDPLEIL EKEMSTFKVAENVPGLPKLSGGAIGYISYDCVRYFEPKTRRPLKDVLRLPEAYLMLCD TIIAFDNVFQRFQIIHNINTNETSLEEGYQAAAQIITDIVSKLTDDSSPIPYPEQPPI KLNQTFESNVGKEGYENHVSTLKKHIKKGDIIQGVPSQRVARPTSLHPFNIYRHLRTV NPSPYLFYIDCLDFQIIGASPELLCKSDSKNRVITHPIAGTVKRGATTEEDDALADQL RGSLKDRAEHVMLVDLARNDINRICDPLTTSVDKLLTIQKFSHVQHLVSQVSGVLRPE KTRFDAFRSIFPAGTVSGAPKVRAMELIAELEGERRGVYAGAVGHWSYDGKTMDNCIA LRTMVYKDGIAYLQAGGGIVYDSDEYDEYVETMNKMMANHSTIVQAEELWADIVGSA YER091C MVQSAVLGFPRIGPNRELKKATEGYWNGKITVDELFKVGKDLRT QNWKLQKEAGVDIIPSNDFSFYDQVLDLSLLFNVIPDRYTKYDLSPIDTLFAMGRGLQ RKATETEKAVDVTALEMVKWFDSNYHYVRPTFSKTTQFKLNGQKPVDEFLEAKELGIH TRPVLLGPVSYLFLGKADKDSLDLEPLSLLEQLLPLYTEILSKLASAGATEVQIDEPV LVLDLPANAQAAIKKAYTYFGEQSNLPKITLATYFGTVVPNLDAIKGLPVAALHVDFV RAPEQFDEVVAAIGNKQTLSVGIVDGRNIWKNDFKKSSAIVNKAIEKLGADRVVVATS SSLLHTPVDLNNETKLDAEIKGFFSFATQKLDEVVVITKNVSGQDVAAALEANAKSVE SRGKSKFIHDAAVKARVASIDEKMSTRAAPFEQRLPEQQKVFNLPLFPTTTIGSFPQT KDIRINRNKFNKGTISAEEYEKFINSEIEKVIRFQEEIGLDVLVHGEPERNDMVQYFG EQINGYAFTVNGWVQSYGSRYVRPPIIVGDLSRPKAMSVKESVYAQSITSKPVKGMLT GPITCLRWSFPRDDVDQKTQAMQLALALRDEVNDLEAAGIKVIQVDEPALREGLPLRE GTERSAYYTWAAEAFRVATSGVANKTQIHSHFCYSDLDPNHIKALDADVVSIEFSKKD DANYIAEFKNYPNHIGLGLFDIHSPRIPSKDEFIAKISTILKSYPAEKFWVNPDCGLK TRGWEETRLSLTHMVEAAKYFREQYKN YER092W MPSKDPESVIDKEIRKISARNDELIKQDGTLKREYTTLLRKVSS VITVLNSIDDADTGSAETELPRLISQATVEKVPELKWYNDQISLITEKLEDDEDIEVP EELMDAYTLYKETPLLYNDTHTP YER093C MSIPHSAKQSSPLSSRRRSVTNTTPLLTPRHSRDNSSTQISSAK NITSSSPSTITNESSKRNKQNLVLSTSFISTKRLENSAPSPTSPLMARRTRSTMTKAL LNLKAEINNQYQELARLRKKKDDIEHLRDSTISDIYSGSYSTNHLQKHSMRIRANTQL REIDNSIKRVEKHIFDLKQQFDKKRQRSLTTSSSIKADVGSIRNDDGQNNDSEELGDH DSLTDQVTLDDEYLTTPTSGTERNSQQNLNRNSTVNSRNNENHSTLSIPDLDGSNKVN LTGDTEKDLGDLENENQIFTSTTTEAATWLVSDYMQSFQEKNVNPDFIAQKANGLVTL LKEHSEIRKDLVLTSFMSSIQNLLLNGNKLIAASAYRVCRYLINSSIFIDELLELRLD AFIIISLAKDNSFQIEREQALKMVRRFIEYNNGVTQGIMQAIISCVEKPEDSLRHMAL ETLLELCFVAPEMVKECRGMRVIEGFLQDYTSFSLASVILDTILQLMATHKTRQHFLE DFNVSVLTTVFSDTNTKSNVNVEKMQNASTLISITLNSYNGFMLFSNNNFKPLKQLVS FFQIPICAQYLIDIFLDVLKIKPLPYKPRGRHSHSFKPIPSQYYKECMSVNQRLALIV LILENSEFVPHLLELLNEEDRDDHLVAKGRYLLTEYFNLRMNLVDKKYTSVSKPIYKE NFTYVNETFQFKKIAYKMNRNRNTIGMSGIDYAQNIKSFSKNIKENTLLREVDDFRFR RMVYDSKVLQTKDFTRWNWNIINELLEGPLLNKKQLEELVKSTKFIRRLLVFYRPLRL RFSNVNKGAKLSQKYVQVGCQFFKTLTATPEGMKILMDDTKIIPQLASLMFRAMEGNI SGNIFNKNKLREKIIFGYFKFIGILTQSKNGVHILTRWNFFTVIYKMFQFESKLGLEF LLLTIPELDLKYSSHCRVIIGKALVVANEKVRIEATKHIGDKLKELLSTKESDLKLKA NKVKLQQFKMEMLTRQLYDLSPSVVAVADQALYECIVAGNGSEELGTSFRMFLNQMVF IRSPILFELLSRPYGFQLLNEINFVKEERDSWLSKKNIEYVHIVEEFLKKNESINAKS LTFQQKSRLPLHFYESLTKTEDGILLLSQTGDLVTFMNVIKKYVNGNNMATVENAKEI LDLKAALWCVGFIGSTELGIGLLDNYSLVEDIIEVAYNASVTSVRFTAFYVLGLISMT REGCEILDEMGWNCCVSVQDEPIGIALPNRLDRFLSYNEHKWSAFGEYSDEMIVFNKS DGDLIEKCLPIEFDLDKLLKEKDTAENPLNEKIITNKYDNDITSQTITVSGENSSLFA NEGLSSPYVTQYRNDDDSIESKVLHIVSQLGNHILSNHAVKEITEINNKYGPRLFENE KMFFKVFNMMSKYRFKPHVRKFLCGLFINNRALENVIRHDNKRDKRPANFTR YER093C-A MIEEKKELKKRRVLQMARFYGAAAFTLITMRLISRAIKVRKYVP SIFQQNYKLPPFSQRNEAMSALTYASAASIGTFSTLIFGFCWALDISTAREFVFKTRE FMSLPQALETDTSMDEETSKLTKQLQDLLSSENNK YER094C MSDPSSINGGIVVAMTGKDCVAIACDLRLGSQSLGVSNKFEKIF HYGHVFLGITGLATDVTTLNEMFRYKTNLYKLKEERAIEPETFTQLVSSSLYERRFGP YFVGPVVAGINSKSGKPFIAGFDLIGCIDEAKDFIVSGTASDQLFGMCESLYEPNLEP EDLFETISQALLNAADRDALSGWGAVVYIIKKDEVVKRYLKMRQD YER095W MSQVQEQHISESQLQYGNGSLMSTVPADLSQSVVDGNGNGSSED IEATNGSGDGGGLQEQAEAQGEMEDEAYDEAALGSFVPIEKLQVNGITMADVKKLRES GLHTAEAVAYAPRKDLLEIKGISEAKADKLLNEAARLVPMGFVTAADFHMRRSELICL TTGSKNLDTLLGGGVETGSITELFGEFRTGKSQLCHTLAVTCQIPLDIGGGEGKCLYI DTEGTFRPVRLVSIAQRFGLDPDDALNNVAYARAYNADHQLRLLDAAAQMMSESRFSL IVVDSVMALYRTDFSGRGELSARQMHLAKFMRALQRLADQFGVAVVVTNQVVAQVDGG MAFNPDPKKPIGGNIMAHSSTTRLGFKKGKGCQRLCKVVDSPCLPEAECVFAIYEDGV GDPREEDE YER096W MSMTICSNTPGAYPEIGAYNEVDKQLESSGFSSDSSLILNKPEV RQYWSSVSSHISRSGDVFTNDKEKISSSIGEDAMDIDASPSLIEKYNSFPTRKILPEQ DEFENDVEDDASSSLKEKSQGSCEIEIASEISSEILNGTSADGNSEFHDFAEPPPSQN ESVALSFSQSNDLDFLNNPSGSGSSNDINRSTSSISLPRHVSLDFNVYNSLCLTNEVT ASESHNVAKFHLGKKNKKSLLPRWKTIEMYGEVVKKTQDIYSNFQYAQYILRVGLDTE KLHELVKELEDESNSFSVDSLKEYLVNDAKVILKKLSAVGYPDAQYLLGDAYSSGVFG KIKNRRAFLLFSAAAKRMHIESVYRTAICYECGLGVTRNAPKAVNFLTFAATKNHPAA MYKLGVYSYHGLMGLPDDILTKMDGYRWLRRATSMASSFVCGAPFELANIYMTGYKDL IISDPDYAMALYEKAAALGHTESARILEDARRSGGFVSRGHPPSAQKYHKTSHEAVAA KKLI YER098W MIKRWLSVNRKKSHPEKNTQGNDEINRKATSLKKTKGSGDPSIA KSPSAKSSTSSIPSNLASHERRSKFSSQTDNLAGNKHYHEHYHNMASTSDEREYDSST TYEDRAFDTESSILFTTITDLMPYGDGSNKVFGYENFGNTCYCNSVLQCLYNIPEFRC NVLRYPERVAAVNRIRKSDLKGSKIRVFTNESFETSTNSGNSNTGYQSNDNEDAHNHH HLQQSDQDNSSSSTQEKQNNFERKRNSFMGFGKDKSNYKDSAKKDDNNEMERPQPVHT VVMASDTLTEKLHEGCKKIIVGRPLLKQSDSLSKASTTDCQANSHCQCDSQGSRITSV DDDVLVNPESCNDAVNNSNNNKENTFPTSEQRKKAALIRGPVLNVDHLLYPTEEATLY NGLKDIFESITENLSLTGIVSPTEFVKILKKENVLFNTMMQQDAHEFLNFLLNDFSEY IQRNNPRMRFGPQKTDNSNDNFITDLFKGTLTNRIKCLTCDNITSRDEPFLDFPIEVQ GDEETDIQKMLKSYHQREMLNGVNKFYCNKCYGLQEAERMVGLKQLPHILSLHLKRFK YSEEQKSNIKLFNKILYPLTLDVSSTFNTSVYKKYELSGVVIHMGSGPQHGHYVCICR NEKFGWLLYDDETVESIKEETVLQFTGHPGDQTTAYVLFYKETQADKTENQNENIDTS SQDQMQTDNNIEQLIKCDDWLRDRKLRAAANIERKKTLGNIPEVKTAETKTPLNDKKR NKQKRKSRILSFIK YER099C MSTNSIKLLAGNSHPGLAELISQRLGVPLSKVGVYQYSNKETSV TIGESIRDEDVYIIQTGYGEHEINDFLMELLILIHACKTASVRRITAVIPNFPYARQD KKDKSRAPITAKLIANLLETAGCDHVITMDLHASQIQGFFHIPVDNLYGEPSVLNYIR TKTDFNNAILVSPDAGGAKRVASLADKLDMNFALIHKERQKANEVSRMLLVGDVAGKS CLLIDDMADTCGTLVKACDTLMDHGAKEVIAIVTHGIFSGSAREKLINSRLSRIVCTN TVPVDLDLDIVDQVDISPTIAEAIRRLHNGESVSYLFTHAPV YER100W MATKQAHKRLTKEYKLMVENPPPYILARPNEDNILEWHYIITGP ADTPYKGGQYHGTLTFPSDYPYKPPAIRMITPNGRFKPNTRLCLSMSDYHPDTWNPGW SVSTILNGLLSFMTSDEATTGSITTSDHQKKTLARNSISYNTFQNVRFKLIFPEVVQE NVETLEKRKLDEGDAANTGDETEDPFTKAAKEKVISLEEILDPEDRIRAEQALRQSEN NSKKDGKEPNDSSSMVYIGIAIFLFLVGLFMK YER101C MAEKILENKDPKLEAMTVDHEVSAPKPIPVDEPTLTRVARPLRH VRHIPVKSLVFHSKHGPITFSYENKIKLPISKNKLVVQVNYVGLNPVDMKIRNGYTKP IYGEAGIGREYSGVITHVGDNLTNRWNVGDDVYGIYYHPKLAIGALQSSLLIDPRVDP ILMRPKNTLSPEKAAGSLFCLGTALNLLAQLKEKDQLNTESNVLINGGTSSVGMFAIQ LLKRYYKVSKKLVVVTSGNGAAVLSEHFPDLKDEIIFINYLSCRGKSSKPLRRMLDTG KVVDYDDFNTLKETEDYTQGKFNVVLDFIGGYDILSHSSSLIHAKGAYITTVGDYVGN YKKDVFDSWDNPSANARKMFGSMLWSYDYSHFYFDPNIKIIPKKNDWIHECGKLLNEG VVDCVVDKVYSWKNFKEAFSYMATQRAQGKLIMKVEGF YER102W MGISRDSRHKRSATGAKRAQFRKKRKFELGRQPANTKIGAKRIH SVRTRGGNKKYRALRIETGNFSWASEGISKKTRIAGVVYHPSNNELVRTNTLTKAAIV QIDATPFRQWFEAHYGQTLGKKKNVKEEETVAKSKNAERKWAARAASAKIESSVESQF SAGRLYACISSRPGQSGRCDGYILEGEELAFYLRRLTAKK YER103W MSKAVGIDLGTTYSCVAHFANDRVEIIANDQGNRTTPSYVAFTD TERLIGDAAKNQAAMNPHNTVFDAKRLIGRKFDDPEVTNDAKHYPFKVIDKGGKPVVQ VEYKGETKTFTPEEISSMILTKMKETAENFLGTEVKDAVVTVPAYFNDSQRQATKDAG TIAGLNVLRIINEPTAAAIAYGLDKKSQKEHNVLIFDLGGGTFDVSLLSIDEGVFEVK ATAGDTHLGGEDFDSRLVNFLAEEFKRKNKKDLTTNQRSLRRLRTAAERAKRTLSSSA QTSIEIDSLFEGIDFYTSITRARFEELCADLFRSTLEPVEKVLADSKLDKSQIDEIVL VGGSTRIPKVQKLVSDFFNGKEPNRSINPDEAVAYGAAVQAAILTGDQSSTTQDLLLL DVAPLSLGIETAGGIMTKLIPRNSTIPTKKSEVFSTYADNQPGVLIQVFEGERTRTKD NNLLGKFELSGIPPAPRGVPQIEVTFDIDANGILNVSAVEKGTGKSNKITITNDKGRL SKEDIDKMVAEAEKFKAEDEQEAQRVQAKNQLESYAFTLKNSVSENNFKEKVGEEDAR KLEAAAQDAINWLDASQAASTEEYKERQKELEGVANPIMSKFYGAAGGAPGAGPVPGA GAGPTGAPDNGPTVEEVD YER104W MYSNHNLNSDDCCFDWNEEKAAELQRTGVSFDRSLTPQSLRTST RRLSEENKQQSGTMHIDTSPSVVSDIISSRRDRSQDFFGPHSSSPIAPSERQRADQRS RLESMRLTRRRDKMTKVRGGLEKMEEMIMQGEHLREMQRLKQEAQKNALPSDMAEYME WQNNEDLEDDELLAFIEKQETYKNELEHFLNNANKNVYENNSYPNSHT YER105C MYSTPLKKRIDYDRETFTASASLGGNRLRNRPRDDQNNGKPNLS SRSFLSERKTRKDVLNKYGEAGNTIESELRDVTTHVKISGLTSSEPLQLASEFVQDLS FRDRNTPILDNPDYYSKGLDYNFSDEVGGLGAFTPFQRQQVTNIPDEVLSQVSNTEIK SDMGIFLELNYCWITSDNKLILWNINNSSEYHCIDEIEHTILKVKLVKPSPNTFVSSV ENLLIVATLFDIYILTISFNDRTHELNIFNTGLKVNVTGFNVSNIISYERTGQIFFTG ATDGVNVWELQYNCSENLFNSKSNKICLTKSNLANLLPTKLIPSIPGGKLIQKVLEGD AGTEEETISQLEVDQSRGVLHTLSTKSIVRSYLITSNGLVGPVLIDAAHIRRGMNALG VKNSPLLSNRAFKIAKIVSISMCENNDLFLAVITTTGVRLYFKGSISRRSIGSLKLDS VKFPPTSISSSLEQNKSFIIGHHPLNTHDTGPLSTQKASSTYINTTCASTIISPGIYF TCVRKRANSGELSKGITNKALLENKEEHKLYVSAPDYGILKNYGKYVENTALLDTTDE IKEIVPLTRSFNYTSTPQGYANVFASQYSAEPLKVAVLTSNALEIYCYRTPDEVFESL IENPLPFIHSYGLSEACSTALYLACKFNKSEHIKSSALAFFSAGIPGVVEIKPKSSRE SGSVPPISQNLFDKSGECDGIVLSPRFYGSALLITRLFSQIWEERVFVFKRASKTEKM DAFGISITRPQVEYYLSSISVLADFFNIHRPSFVSFVPPKGSNAITASDAESIAMNAL ILLINSIKDALSLINVFYEDIDAFKSLLNTLMGAGGVYDSKTREYFFDLKFHDLFTPN AKTKQLIKEILIEVVNANIASGTSADYIVNVLKERFGSFCHSADILCYRAGEHLEAAQ KFEMIDSKISRNHLDTAIDLYERCAENIELCELRRVVDIMVKLNYQPKTVGFLLRFAD KIDKGNQAQEYVSRGCNTADPRKVFYDKRINVYTLIFEIVKSVDDYTSIEQSPSIANI SIFSPASSLKKRVYSVIMNSNNRFFHYCFYDWLVANKRQDYLLRLDSQFVLPYLKERA EKSLEISNLLWFYLFKEEHFLEAADVLYALASSDFDLKLSERIECLARANGLCDSSTS FDQKPALVQLSENIHELFDIASIQDDLLNLVRNETRIDEDYRKQLTLKLNGRVLPLSD LFNDCADPLDYYEIKLRIFKVSQFKDEKVIQGEWNRLLDSMKNAPSPDVGSVGQESFL SSISNTLIRIGKTTRDTDVVFPVHFLMNKILESFIDKSSAADGSVCSMFLLAGVSHLK LYYILSRIIENSEGNVELAKKEMVWLIKDWYQSDSDLRGSIAPEQIKKLEKYDPNTDP VQDYVKDRHHGLK YER106W MREKRTISNKDTNYLKFPNKLQRYSRFLSRKISNTSPEKQPKKN IKEHCLSSYHKEHSVKPKQNSGNVAAKEDKDTQHLQNNVANEEATECLTRSNLKKLQE KIFDRELNDIACDHCLCSTENRRDIKYSRLWFLFELEMSENWNENLRLSCYNKYVYSA IDESWKMENILLKEQEKHYEYFPIGQLLIPNNIDYTNKQKRKENIEDLTIEIDSIIET NHQKKRFLPQSVLIKREDEIAFDDFHLDARKVLNDLSATSENPFSSSPNTKKIKSKGK TLEVVPKKKNKKIIGALERKLHIDEN YER107C MSFFNRSNTTSALGTSTAMANEKDLANDIVINSPAEDSISDIAF SPQQDFMFSASSWDGKVRIWDVQNGVPQGRAQHESSSPVLCTRWSNDGTKVASGGCDN ALKLYDIASGQTQQIGMHSAPIKVLRFVQCGPSNTECIVTGSWDKTIKYWDMRQPQPV STVMMPERVYSMDNKQSLLVVATAERHIAIINLANPTTIFKATTSPLKWQTRCVACYN EADGYAIGSVEGRCSIRYIDDGMQKKSGFSFKCHRQTNPNRAPGSNGQSLVYPVNSIA FHPLYGTFVTAGGDGTFNFWDKNQRHRLKGYPTLQASIPVCSFNRNGSVFAYALSYDW HQGHMGNRPDYPNVIRLHATTDEEVKEKKKR YER110C MDQQFLSQLEQTLHAITSGVGLKEATKTLQTQFYTQPTTLPALI HILQNGSDDSLKQLAGVEARKLVSKHWNAIDESTRASIKTSLLQTAFSEPKENVRHSN ARVIASIGTEELDGNKWPDLVPNLIQTASGEDVQTRQTAIFILFSLLEDFTSSLSGHI DDFLALFSQTINDPSSLEIRSLSAQALNHVSALIEEQETINPVQAQKFAASIPSVVNV LDAVIKADDTMNAKLIFNCLNDFLLLDSQLTGNFIVDLIKLSLQIAVNSEIDEDVRVF ALQFIISSLSYRKSKVSQSKLGPEITVAALKVACEEIDVDDELNNEDETGENEENTPS SSAIRLLAFASSELPPSQVASVIVEHIPAMLQSANVFERRAILLAISVAVTGSPDYIL SQFDKIIPATINGLKDTEPIVKLAALKCIHQLTTDLQDEVAKFHEEYLPLIIDIIDSA KNIVIYNYATVALDGLLEFIAYDAIAKYLDPLMNKLFYMLESNESSKLRCAVVSAIGS AAFAAGSAFIPYFKTSVHYLEKFIQNCSQIEGMSEDDIELRANTFENISTMARAVRSD AFAEFAEPLVNSAYEAIKTDSARLRESGYAFIANLAKVYGENFAPFLKTILPEIFKTL ELDEYQFNFDGDAEDLAAFADSANEEELQNKFTVNTGISYEKEVASAALSELALGTKE HFLPYVEQSLKVLNEQVDESYGLRETALNTIWNVVKSVLLASKVEPESYPKGIPASSY VNADVLAVIQAARETSMGNLSDEFETSMVITVMEDFANMIKQFGAIIIMDNGDSSMLE ALCMQVLSVLKGTHTCQTIDIEEDVPRDEELDASETEATLQDVALEVLVSLSQALAGD FAKVFDNFRPVVFGLFQSKSKNKRSSAVGAASELALGMKEQNPFVHEMLEALVIRLTS DKSLEVRGNAAYGVGLLCEYASMDISAVYEPVLKALYELLSAADQKALAAEDDEATRE IIDRAYANASGCVARMALKNSALVPLEQTVPALLAHLPLNTGFEEYNPIFELIMKLYQ ENSPVITNETPRIIEIFSAVFTKENDRIKLEKESTLGREENMERLKQFQTEEMKHKVI ELLKYLNTTYNGIVAQNPVLAAVIA YER111C MPFDVLISNQKDNTNHQNITPISKSVLLAPHSNHPVIEIATYSE TDVYECYIRGFETKIVMRRTKDDWINITQVFKIAQFSKTKRTKILEKESNDMQHEKVQ GGYGRFQGTWIPLDSAKFLVNKYEIIDPVVNSILTFQFDPNNPPPKRSKNSILRKTSP GTKITSPSSYNKTPRKKNSSSSTSATTTAANKKGKKNASINQPNPSPLQNLVFQTPQQ FQVNSSMNIMNNNDNHTTMNFNNDTRHNLINNISNNSNQSTIIQQQKSIHENSFNNNY SATQKPLQFFPIPTNLQNKNVALNNPNNNDSNSYSHNIDNVINSSNNNNNGNNNNLII VPDGPMQSQQQQQHHHEYLTNNFNHSMMDSITNGNSKKRRKKLNQSNEQQFYNQQEKI QRHFKLMKQPLLWQSFQNPNDHHNEYCDSNGSNNNNNTVASNGSSIEVFSSNENDNSM NMSSRSMTPFSAGNTSSQNKLENKMTDQEYKQTILTILSSERSSDVDQALLATLYPAP KNFNINFEIDDQGHTPLHWATAMANIPLIKMLITLNANALQCNKLGFNCITKSIFYNN CYKENAFDEIISILKICLITPDVNGRLPFHYLIELSVNKSKNPMIIKSYMDSIILSLG QQDYNLLKICLNYQDNIGNTPLHLSALNLNFEVYNRLVYLGASTDILNLDNESPASIM NKFNTPAGGSNSRNNNTKADRKLARNLPQKNYYQQQQQQQQPQNNVKIPKIIKTQHPD KEDSTADVNIAKTDSEVNESQYLHSNQPNSTNMNTIMEDLSNINSFVTSSVIKDIKST PSKILENSPILYRRRSQSISDEKEKAKDNENQVEKKKDPLNSVKTAMPSLESPSSLLP IQMSPLGKYSKPLSQQINKLNTKVSSLQRIMGEEIKNLDNEVVETESSISNNKKRLIT IAHQIEDAFDSVSNKTPINSISDLQSRIKETSSKLNSEKQNFIQSLEKSQALKLATIV QDEESKVDMNTNSSSHPEKQEDEEPIPKSTSETSSPKNTKADAKFSNTVQESYDVNET LRLATELTILQFKRRMTTLKISEAKSKINSSVKLDKYRNLIGITIENIDSKLDDIEKD LRANA YER112W MLPLYLLTNAKGQQMQIELKNGEIIQGILTNVDNWMNLTLSNVT EYSEESAINSEDNAESSKAVKLNEIYIRGTFIKFIKLQDNIIDKVKQQINSNNNSNSN GPGHKRYYNNRDSNNNRGNYNRRNNNNGNSNRRPYSQNRQYNNSNSSNINNSINSINS NNQNMNNGLGGSVQHHFNSSSPQKVEF YER113C MRVRPKRSVITLMAIVVVMLILRNQFYSSRTRGHGQEPVISSSQ KNLYDGWITPNFYRKGDPLELIVNKVESDLTQLPYAYYDLPFTCPPTMHKKPLHLSLN EIIRGDRKWESDYKLKFGEDNPCETLCARKTTKEGMQTLDKLVREGYVVQWLIDDELP AATTFISTTDHKKYYASGFPLGFIDPDTDKTYLHNHVMLVIRFHASDNDKNTIVGFEV YPRSVSDYHCPGASKNYEQYEIVIPEDENELTYLPFTYSVYWREEFEVDWNHRWDYFL NAGELSDEQSIQFHWMSLANSVGIVLSISFITLIIYVRVMYTDKSNSKSPKYMINIEG IETEDDLDDDKYGKYSVYTVAKDWIQNGRPNLFGLKVLILLVSFGVQFLFTIIGSLTI SCSMNKLHNVRNSVLTMAILFFVLGAFMASFVGTRLSMVTKTKRTKANYLDDNRYLKD YKKFSPIFTILCGSSLPGIVMVSTFLLNSIVWAHDSTSALPFKTIVFFMSIYFIVCIP LSLFGGIVANNIPLPQYWLSGITKDESNSDGNGLFVPKSRAKFNPLVYCGIYLCGIFP LLVIYVEMQYVYKSLWLEKTTFYYFYGFLFLSIILLCVLTMEISIIGSYLLMRFCFED KVVRNNWRWKCFEMGFSGGVYMELYSLYYIFAVLNIHGFSSILISICYSLIFNVMCSL GLGALSYLTASWFINKIYHQKVNL YER114C MSNDREVPTLSQLNTTVSRDKDVSDTLSPDFDSKGSATGRDGGN FPMYIAINEYFKRMEDELDMKPGDKIKVITDDEEYKDGWYFGRNLRTNEEGLYPVVFT QKITVEKAPTLMRAKSTKRIYSPLTNEDPLLSSTFISENDSNSELPTPQPIETAASIS RTANGKIERNLSLKNTMSDIDNALLEFKDDSIGPPDRFINSGRDEEHSITHETILSAT DGLDVVESNSKPTTSSSTGFLNGDLENQATLINGIDTTKLNPVEAEFWSPEEITAYFI MEGYDVQSASRFQKHKISGKILLELELVHLKELDINSFGTRFEIFKEIEKIKEAIRTN GRSLNRASKTNNANIYNQLMPPANVDQRASYRGHVRKTSQSLEDLPSQQNFIPTPRNT RNSSASKHRPKSLVFDSQEANANIAPDVQIPQVVEEMAGNENLFVSPRRAPKPPSYPS PAQPPKSPLLNNTRTSPSPAQLYSWQSPTLSFSGPKRTSYIDQYSSSDSNFNSRSALP KNNQGGGKALSPIPSPTRNSVRNEDSEGKLTSSSKRNSVPYYGYAPESSSDRKSSCSS HEEEQFQETMNTFERPTSSIYADGSTIASISNDKLAHEKEGKKKPTRHSSSLSSKSKS DSRRNSSLKRSSSASRTSSFKKSSFMLSPFRQQFTDNAARSSSPEENPITSMPSEKNS SPIVDKKSSKKSRSKRRSVSAKEAEIFTETVKDDKNKRSASEAIKGETLKGKSLRQMT ARPVAKKKQTSAFIEGLRSISVKEAMKDADFSGWMSKKGSGAMSTWKTRFFTLHGTRL SYFSSTTDTRERGLIDITAHRVVPAKEDDKLVSLYAASTGKGRYCFKLLPPQPGSKKG LTFTQPRTHYFAVDNKEEMRGWMAALIKTTIDIDTSVPIISSYTTPTVSLSKAQEMLA EAREETKLREQQMLENEEDEDQFLWDQQQLQQQQHDNNQGQADRTISASTQRTSDEDN TISTPNLSSANNTTIGSNGFSSPFLLASGLLSPGVARNSSMRGTEKKGKFSTEEDYFG DNSKHKTDKI YER115C MAVSNIWQSYSSSNLHWIYPLYTNNCSQNVKSSFTAEILLKRRC NDIQDILNDRMIELLLQGACDPNKQQNYLQGMSPSRKKKTHVKKFLKKQKKSRKPITL EHGCLSGPVTLRFGNFAGIRDLRGTRCPLHGIKHGVHPKPGERCACQQATLFPSPLAR FSCDQSAVLGCAASSTRVYDSIADEFSSLYF YER116C MARRPDNQNPEGENLRIKRVRLESVRQNDEEEENEVSRTQNIVT DNRHDSPEAVVEIIGERALENTSEEDGDDDLSLFRALEEDPGSDHNTSNNDSGNHDRE TMHTEEPEASSGNNITLTNNVEELHTMDVLSQTANTPSASPMLDAAPPTTKPGTNSKE QTVDLTADAIDLDAEEQQVLQISDDDFQEETKEAPKEYGAAKDYRCPICFEPPETALM TLCGHVFCCPCLFQMVNSSRTCRQFGHCALCRSKVYLKDVRLIILRKKQVKKKVKS YER117W MSGNGAQGTKFRISLGLPVGAIMNCADNSGARNLYIIAVKGSGS RLNRLPAASLGDMVMATVKKGKPELRKKVMPAIVVRQAKSWRRRDGVFLYFEDNAGVI ANPKGEMKGSAITGPVGKECADLWPRVASNSGVVV YER118C MSISSKIRPTPRKPSRMATDHSFKMKKFYADPFAISSISLAIVS WVIAIGGSISSASTNESFPRFTWWGIVYQFLIICSLMLFYCFDLVDHYRIFITTSIAV AFVYNTNSATNLVYADGPKKAAASAGVILLSIINLIWILYYGGDNASPTNRWIDSFSI KGIRPSPLENSLHRARRRGNRNTTPYQNNVYNDAIRDSGYATQFDGYPQQQPSHTNYV SSTALAGFENTQPNTSEAVNLHLNTLQQRINSASNAKETNDNSNNQTNTNIGNTFDTD FSNGNTETTMGDTLGLYSDIGDDNFIYKAKALYPYDADDDDAYEISFEQNEILQVSDI EGRWWKARRANGETGIIPSNYVQLIDGPEEMHR YER119C MVASIRSGVLTLLHTACGAGILAMPYAFKPFGLIPGVIMIVLCG ACAMQSLFIQARVAKYVPQGRASFSALTRLINPNLGIVFDLAIAIKCFGVGVSYMIVV GDLMPQIMSVWTRNAWLLNRNVQISLIMLFFVAPLSFLKKLNSLRYASMVAISSVAYL CVLVLLHYVAPSDEILRLKGRISYLLPPQSHDLNVLNTLPIFVFAYTCHHNMFSIINE QRSSRFEHVMKIPLIAISLALILYIAIGCAGYLTFGDNIIGNIIMLYPQAVSSTIGRI AIVLLVMLAFPLQCHPARASIHQILQHFAEENVSISATSADEPTVATESSPLIRDSSL DLNEVIEEESIYQPKETPLRGKSFIVITCSILVASYLVAISVSSLARVLAIVGATGST SISFILPGLFGYKLIGTEHKTAVPLTTKIFKYTGLLLFIWGLIIMITCLTAALKLN YER120W MSAVEISPDVLVYKSPLTEQSTEYASISNNSDQTIAFKVKTTAP KFYCVRPNAAVVAPGETIQVQVIFLGLTEEPAADFKCRDKFLVITLPSPYDLNGKAVA DVWSDLEAEFKQQAISKKIKVKYLISPDVHPAQNQNIQENKETVEPVVQDSEPKEVPA VVNEKEVPAEPETQPPVQVKKEEVPPVVQKTVPHENEKQTSNSTPAPQNQIKEAATVP AENESSSMGIFILVALLILVLGWFYR YER121W MGLSRWHDKNSRPAEEKSEEMQQDAHYYALAASDSLNASVSNEY GNQVMNSFWKVGIDSPYVDDEAIRNRDVENNLPSLKQSVYNANEPNATSSAFSTASYA HETFDFRNLKLR YER122C MSNDEGETFATEQTTQQVFQKLGSNMENRVCFDCGNKNPTWTSV PFGVMLCIQCSAVHRNMGVHITFVKSSTLDKWTINNLRRFKLGGNHKARDFFLKNNGK QLLNTANVDAKTKYTSPVAKKYKIHLDKKVQKDMELYPSELVLNGQDSSDSPLDTDSD ASRSTSKENSVDDFFSNWQKPSSNSSSKLNVNTGSLAPKNNTTGSTPKTTVTKTRSSI LTASRKKPVLNSQDKKKHSILSSSRKPTRLTAKKVDKSQAEDLFDQFKKEAQQEKEDE FTNSSSSTKIRQNDYDSQFMNNSKGNNNNSIDDINTQPDEFNDFLNDTSNSFDTTRKE QQDTLTPKFAKLGFGMTMNDANDLAKQQKESQKIAQGPRYTGRIAERYGTQKAISSDQ LFGRGSFDEAANREAHDKLKTFDNATSISSSSYFGEDKEVDEFGNPINSSGSGAGNFD GRNSNNGFIDFNASADDELQMLRDVVEQGAEKLGSYLRDYLRK YER123W MSQRSSQHIVGIHYAVGPKIGEGSFGVIFEGENILHSCQAQTGS KRDSSIIMANEPVAIKFEPRHSDAPQLRDEFRAYRILNGCVGIPHAYYFGQEGMHNIL IIDLLGPSLEDLFEWCGRKFSVKTTCMVAKQMIDRVRAIHDHDLIYRDIKPDNFLISQ YQRISPEGKVIKSCASSSNNDPNLIYMVDFGMAKQYRDPRTKQHIPYRERKSLSGTAR YMSINTHFGREQSRRDDLESLGHVFFYFLRGSLPWQGLKAPNNKLKYEKIGMTKQKLN PDDLLLNNAIPYQFATYLKYARSLKFDEDPDYDYLISLMDDALRLNDLKDDGHYDWMD LNGGKGWNIKINRRANLHGYGNPNPRVNGNTARNNVNTNSKTRNTTPVATPKQQAQNS YNKDNSKSRISSNPQSFTKQQHVLKKIEPNSKYIPETHSNLQRPIKSQSQTYDSISHT QNSPFVPYSSSKANPKRSNNEHNLPNHYTNLANKNINYQSQRNYEQENDAYSDDENDT FCSKIYKYCCCCFCCC YER124C MQDTKYYEPTNIFRQPAINIKKRSDKKRILQSMMTLSTYKKTWQ NNTSKMNSPILRKASDNFNDYYTTKKLKSDYWKLYGVDESELSIPSDMSIVDNILLVS TMNEKDNLKLFEISAEKKLKELQTITVPGKPITCICLLPMVDFPPQIFPSSQINPNHN QLILTGHQDGIVNLIATSTYKGCAKIIKRFNHNKFLKSTVSTSIPILEITPKTAPILK VSPWNKTGFVSLLNDSLFIYDLKSNLDCIKTPIFLQSYPGINSFAVNEFHDPFLLALV GSKFGPNGISLLDLRTNLYIPDILDNSISAGCGKDHLQRKNTSLDCVWISNHHVAQSL NDKIQIWDIQSCDGKPVCELYAKKGYIESLKFNENTGALYSSDDQGFVICWDLQNLQN MKYGELVHGFNSISLDSESELLLTKQVFQCGNIIVSGMSDKNICLKSNDTKANGKGCG FLFLDMANDGSLVTLDNFCELGLHQICQVQFNVDTGKIIDGNGTGKSGISDSSMLSLS NESDHSMTETSDDMFSNSGNWDCSSANTVSEGRLNDDQEDIVFTKRMYSVNDVHLSGS TIDTTVV YER125W MPSSISVKLVAAESLYKRDVFRSPDPFAVLTIDGYQTKSTSAAK KTLNPYWNETFKFDDINENSILTIQVFDQKKFKKKDQGFLGVVNVRVGDVLGHLDEDT ATSSGRPREETITRDLKKSNDGMAVSGRLIVVLSKLPSSSPHSQAPSGHTASSSTNTS STTRTNGHSTSSTRNHSTSHPSRGTAQAVESTLQSGTTAATNTATTSHRSTNSTSSAT RQYSSFEDQYGRLPPGWERRTDNFGRTYYVDHNTRTTTWKRPTLDQTEAERGNQLNAN TELERRQHRGRTLPGGSSDNSSVTVQVGGGSNIPPVNGAAAAAFAATGGTTSGLGELP SGWEQRFTPEGRAYFVDHNTRTTTWVDPRRQQYIRTYGPTNTTIQQQPVSQLGPLPSG WEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQNVPQYKRDFRRKVIYFRSQPALRI LPGQCHIKVRRKNIFEDAYQEIMRQTPEDLKKRLMIKFDGEEGLDYGGVSREFFFLLS HEMFNPFYCLFEYSAYDNYTIQINPNSGINPEHLNYFKFIGRVVGLGVFHRRFLDAFF VGALYKMMLRKKVVLQDMEGVDAEVYNSLNWMLENSIDGVLDLTFSADDERFGEVVTV DLKPDGRNIEVTDGNKKEYVELYTQWRIVDRVQEQFKAFMDGFNELIPEDLVTVFDER ELELLIGGIAEIDIEDWKKHTDYRGYQESDEVIQWFWKCVSEWDNEQRARLLQFTTGT SRIPVNGFKDLQGSDGPRRFTIEKAGEVQQLPKSHTCFNRVDLPQYVDYDSMKQKLTL AVEETIGFGQE YER126C MPQNDYIERHIKQHGKRLDHEERKRKREARESHKISERAQKLTG WKGKQFAKKRYAEKVSMRKKIKAHEQSKVKGSSKPLDTDGDALPTYLLDREQNNTAKA ISSSIKQKRLEKADKFSVPLPKVRGISEEEMFKVIKTGKSRSKSWKRMITKHTFVGEG FTRRPVKMERIIRPSALRQKKANVTHPELGVTVFLPILAVKKNPQSPMYTQLGVLTKG TIIEVNVSELGMVTAGGKVVWGKYAQVTNEPDRDGCVNAVLLV YER127W MSELNALLKDINGSLTATSESLERLSGIYSNSATDEIPESNQLH EHLFYDAKKPAEKVSLLSLKNGSMLGYINSLLMLIGNRLDDECKDPSAMDARERSIQH RVVLERGVKPLEKKLAYQLDKLTRAYVKMEKEYKDAEKRALEKSTLVNHSGNDDSEDD ESSEDEIAYRPNTSGIINTNKKSSAYRVEETAKQENGEENDDNETGVYKPPKITAVLP PQQTHFEDRFDAREHKDRSNKSRMQAMEEYIRESSDQPDWSASIGADIVNHGRGGIKS LRDTEKERRVTSFEEDNFTRLNITNKAEKRKQKQRERNARMNVIGGEDFGIFSSKRKL EDSTSRRGAKKTRSAWDRAQRRL YER128W MINEYVARKVALKDMQPCAICSKPSTTVLYNASGPDWLYTCEIH LQDNPQFVIPLYSTEYNEAVAQLKLVKGKMDSLTSAQTQLGSWDGWVTKIFSKKEKET NNSKDPDPTTTDSTDTSPQAKNDAEILSETKKQYSKILDKVTELQRKNRKYELAKIMF ESRLLRKRTEQVNRERYLKEQENYSNTDPEELLRKHVFPSVPK YER129W MDRSDKKVNVEEVNVPSNLQIELEKSGTSSSVSLRSPTKSSATN LAGMAEGARDNASIASSSVDSLNMLLERQRVRQLNHPQHQQHISSSLAKTPTTTSSFC SSGSSKNKVKETNRISLTYDPVSKRKVLNTYEIIKELGHGQHGKVKLARDILSKQLVA IKIVDRHEKKQRKFFTFIKSSKISENDKIKREIAIMKKCHHKHVVQLIEVLDDLKSRK IYLVLEYCSRGEVKWCPPDCMESDAKGPSLLSFQETREILRGVVLGLEYLHYQGIIHR DIKPANLLISGDGTVKISDFGVSLAASSTNSSDSSESLDELELAKTVGTPAFFAPEMC LGEDAFTRYNLTKENLFRGSCISFMIDIWAVGVTLYCLLFGMLPFFSDFELKLFEKIV NDPLKFPTFKEIQSNKVSKVSCEEEYEMAKDLLLKLLEKNPQKRMTIPAIKKHPFVSW DFDHVPENDEKLLSSVLEQKLRFQCNQTDQFEPISISKHELKNAVSGVGKKIKESVLK SIPLKDPSDLSNKNYLHPTETTRGRGDANVIVSEGSVLSNIKELSANDGCLNTDSDTN ININDDDHYSGDDNDGHLTKRELERELNKFDDKHEAGNMVNLPINSSFASLDSFYIDN FAMARMGMSSPEAGDSVSSVPNLPSAPSSTRLGRSPVFSGVTNQPSPIRPVLPQQKSS FCATGRYDKSHNSLLRNSSSHLTSYNSGRPSSRTGRMNSRNQNLPKIPNSLSKISTTK LTELRVPKDSEIPSPAKNPNADRLRRFPVKKNTKTPAIKDPPRININSSDKSGSKNSP IKSLYQRMKQSKDNSKTFEVRRGNFFSHFNGDDDDSSSQSSVTSSGSESDSELSSTSS SCTSGTQSRNSSNNNAYSETESLPFEFGVDSEDGSGVLLRDLPNEDQIRPFLDIQPCR RMKVKSSLNLEPPSVSSSSSSSSDEDELILNVGTAGHRRRHNSSKLSELSNSPQKGSN NFMYSNGSVHDSETTITPQNMDDLTLHQALSRSQPISKPGPLVLPKRLDQKKATTETS NLTDIVEFNGNNDHRKDKNFDKVLYSRDLLKDALSSTNAGRRRSIPSNKIRGRKDASI TMSTNVGNDEHARNTSCHGDKGQENGAIKQRTHERSRSLTVAELNEEKRRSALP YER130C MSLYPLQRFESNDTVFSYTLNSKTELFNESRNNDKQHFTLQLIP NANANAKEIDNNNVEIINDLTGNTIVDNCVTTATSSNQLERRLSISDYRTENGNYYEY EFFGRRELNEPLFNNDIVENDDDIDLNNESDVLMVSDDELEVNERFSFLKQQPLDGLN RISSTNNLKNLEIHEFIIDPTENIDDELEDSFTTVPQSKKKVRDYFKLNIFGSSSSSN NNSNSLGCEPIQTENSSSQKMFKNRFFRSRKSTLIKSLPLEQENEVLINSGFDVSSNE ESDESDHAIINPLKLVGNNKDISTQSIAKTTNPFKSGSDFKMIEPVSKFSNDSRKDLL AAISEPSSSPSPSAPSPSVQSSSSSHGLVVRKKTGSMQKTRGRKPSLIPDASKQFGCE FCDRRFKRQEHLKRHVRSLHMCEKPFTCHICNKNFSRSDNLNQHVKTHASL YER131W MPKKRASNGRNKKGRGHVKPVRCVNCSKSIPKDKAIKRMAIRNI VEAAAVRDLSEASVYPEYALPKTYNKLHYCVSCAIHARIVRVRSREDRKNRAPPQRPR FNRDNKVSPAAAAKKAL YER132C MTVLQPPSSVCYPLNLPIVPNPNLDEATRKKLTLECRTGAAVEL ARSGVFVHGGLTLPLNLTIINSLQLQKELILYFGKQKDRNADFKTLADWISPEIFFLD LISRTWQRINTTIDTTSENELNNGLSFKERLFHSMCFTESNIYIFGGLMVSPHNGYEL IATNELWKLDLKTKCWSLISENPQITRRFNHSMHVLNENNENQDTKLIIVGGLDNMDI PVKKIDIFNLRTSLWESESKSDENPASKGSSKILVNIDGMPISLSHDSNFSVLIENNQ AEIPTLALYYPQREANTSRRGTDDGSFSTYAHDLDDKSKLPKHHHHHHGDLKYFESDD ADENAVKTLMSPIVILPLLGNSQGARMTSNPTQNNKENSILQVPFHLQYPSGNYFNYN IVVIGFYPDPQPSNLHCFIYNIASGKWIRVNIACTECSISMHRFWKLLIWKSHHQALL LGTRTDDFCSPSVQKFDHILSFSLPMLNGYNKLVNTKHTRTNNGIANSHNLNVNLSLY DHLPYSNSSTIEHTNPYTVTQGYSLDDSGIPRLTSTATSQFENYSRYITVPLEMESTS SIFPPYAMVLGKDALEIFGKTLSDFEFITADGDSIGVPVYLLRKRWGRYFDSLLSNGY ANTSFNYEFNGDTSNIISFSPHTASKTTKFGNSSQSSNGSLEKYFSKNGNSKSNSNTS LKKPHSVDFTSSTSSPKQRAISHNKLSPSEPILCADEEDSRSNTLKQHATGDTGLKET GTSNKRPISTTCSSTGMVFRVPFQDMKNSKLGLSEQSGRSTRASSVSPPPVYKKSTND GNDSNCTLSNTPLVYRRASTVGTTTNSSVDDGFSSIRRASHPLQSYIIAKSSPSSISK ASPAEKAFSRRKSSALRFIASPNQSRQTSFASTASTASVVSSTSGRRRNSNQISHLGS SASLPNSPILPVLNIPLPPQEKIPLEPLPPVPKAPSRRSSSLAEYVQFGRDSPVASRR SSHSTRKSSSSDARRISNSSLLRNTLDSQLLSNSYGSDIPYEASIQEYGMNNGRDEEE DGDNQDYGCISPSNIRPIFSTINAININGNFKEGEFFSSKSYINNEKSRRLSYISNPE SVESTNSNNNAIIELEPLLTPRSLYMPWSTASVRAFAEFFYTAQINGKWLLAPVTLDL LIMAKIYEIPILYELITEVLYKIISKKEEGLSVTCEALLNLFQQKVSRYCNENEGKIR KQLDSSESYQDTLEIKRSLANIDNGYVDSYLLRNTSMAQSIHYTDDSNGETEIDMHHT GISSIGSLANRAVPTVFAGGPRDSHNSIGSIAFPSNSGVQNIRRSVSLFSPATKKKSS LSRETDPLDTSDQFTDDVPDSGPVSRQQNFPRRSSSFTETVPTEPTRYNYQNLDSSKS NRASDDKEEQNEQATLQDISNFDKYKVETLQKRNSNDGKDLDRTNDPLKNRGTEIPQN SSNLETDPFIRDSFDSDSGSSFRSDSDDLDSQLGILPFTKMNKKLQEQTSQEFDDSID PLYKIGSSTPGSSRLHGSFSKYIRPNSQREDGSEYVNISSLENMVSPNALPPVDYVMK SIYRTSVLVNDSNLMTRTKEAIELSKVLKKLKKKVLQDISQMDDEMRETGKPIFARGS SSPTLSRQHSDVATPLKQQENTRPALKFASSSPISEGFRKSSIKFSQAPSTQISPRTS VTDFTASQQRRQHMNKRFSTQTTHSTSALFMNPAFMPSAVNTGRKESEGHCEDRSATA NRTNRKEDATTNDNDNIAPFPFFGKRR YER133W MDSQPVDVDNIIDRLLEVRGSKPGQQVDLEENEIRYLCSKARSI FIKQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPESNYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFCMHGGLSPDLNSMEQIRRVMRPTDIPDVGLLCDLLWSDPDKDIVGWSEN DRGVSFTFGPDVVNRFLQKQDMELICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAQKSLPRQAGGRKKK YER134C MTGYPDVAAFDLDYTIWPCYCDTHLHGPFKPVKSSNGEVLTIIC RDGYELTIYKDIPRILGDLKDNGVKLMTASRTWAPEIAQEILKIFKVKYAGVVTPLAN LFDEFQWGERSKIGHLRDGLKDLYNTSDLKSKKICLFDDESRNKEVEKYGVKFVYVRD PENGPSWKLYQDYLSGKV YER135C MTWTLRNLHNSQKKVLVDITIWLALSPYGDMALFTSFENIIGEY YRYSILPLHQNLHKLLFTLFLICLASWKEGNRSCRYKWQKFVCNKLNSPETNFVPIRT SVNKNSKMIVEKQISIHISLKCIVYKEY YER136W MDQETIDTDYDVIVLGTGITECILSGLLSVDGKKVLHIDKQDHY GGEAASVTLSQLYEKFKQNPISKEERESKFGKDRDWNVDLIPKFLMANGELTNILIHT DVTRYVDFKQVSGSYVFKQGKIYKVPANEIEAISSPLMGIFEKRRMKKFLEWISSYKE DDLSTHQGLDLDKNTMDEVYYKFGLGNSTKEFIGHAMALWTNDDYLQQPARPSFERIL LYCQSVARYGKSPYLYPMYGLGELPQGFARLSAIYGGTYMLDTPIDEVLYKKDTGKFE GVKTKLGTFKAPLVIADPTYFPEKCKSTGQRVIRAICILNHPVPNTSNADSLQIIIPQ SQLGRKSDIYVAIVSDAHNVCSKGHYLAIISTIIETDKPHIELEPAFKLLGPIEEKFM GIAELFEPREDGSKDNIYLSRSYDASSHFESMTDDVKDIYFRVTGHPLVLKQRQEQEK Q YER137C MCESSNKTENDIVRLSQAMDVLAKLIISKQKDGSQLQVEYEHKL KELEKFINLLLGLHESTVGSMMNTSVLDMVLRNGIEIMEKDDQKYALIPIKAKEEADK TTSTIQGVTSKKSSKKKKNKIKCSFCHEAGHTRAHCGARLTVIPKK YER138C MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YER137C-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YER138W-A MIIIFIELCRIADSLLWIPKSSRRTSSISTYLIL YER139C MATIEDIKETALIPFQKHRQLSMHEAEVITLEIIGLLCDSECKD EKTLKYLGRFLTPDMYQDLVDERNLNKRCGYPLCGKSPERIRDPFSMNDTTKKFLLEN NPYAYLSHYCSKFHFRCSQFYQVQLSDEALFARTGVHLFEDPEQDKHDIDFKVTLFEE LLREKASEEDIKSLISGLKKLGLNPDSGTTEKDDTELEDDLSKWLAQIKIVENDNPSI LGDFTRED YER140W MQHKDTAVAKDTAKKRLLRRNSAPSAIHIISRLDKKWSFLWNTI DRHNIVEEQDESSAAKSEEEHEDDYELEQLLNMIRIPMFLEKFMLFALLTSLDCFLYY FTVLPIRLIKGYVKQFKSYRQHYRLQQRSGHKNKIPFRYRITSREYKERCMIFIIVIS SILLSKLDTSKLYHRIKRQSTMKLYMLFSVLEMADKMLASLGQSLLTVMLSRKNSERI LLHKCLLVSMSLTYVTIHGYVLVYQAISLNIAVNSYSNALLTLLLSMQFAEIKSSVLK KFDKEGFFQITIADVVERFKLTLLLSITGLRNLQSWSSSLSNTSINFWSPRSTLSIVI NILCGPMVSVVGSEVLVDWAKHAYITKFNRIRPQIYDKFYYIIYKDYSTRTHKLEDRL GLPLPAFVVLFIVMVRPTLFKSSEPSYLPSLFRILFMGASVFLLALLAKFTLDLILIK WSKRIEQRFRDQAFNTVVTEEEYVPGLLSGGMGKVDVSTRIALHSDYNKENRIETESV SPMRKRKTTLTAECTPPSLNDIRRQKDSKNPRSLENVARYKMVSKRIW YER141W MLFRNIEVGRQAAKLLTRTSSRLAWQSIGASRNISTIRQQIRKT QLYNFKKTVSIRPFSLSSPVFKPHVASESNPIESRLKTSKNVAYWLIGTSGLVFGIVV LGGLTRLTESGLSITEWKPVTGTLPPMNQKEWEEEFIKYKESPEFKLLNSHIDLDEFK FIFFMEWIHRLWGRAIGAVFILPAVYFAVSKKTSGHVNKRLFGLAGLLGLQGFVGWWM VKSGLDQEQLDARKSKPTVSQYRLTTHLGTAFFLYMGMLWTGLEILRECKWIKNPVQA ISLFKKLDNPAIGPMRKISLALLAVSFLTAMSGGMVAGLDAGWVYNTWPKMGERWFPS SRELMDENFCRREDKKDLWWRNLLENPVTVQLVHRTCAYVAFTSVLAAHMYAIKKKAV IPRNAMTSLHVMMGVVTLQATLGILTILYLVPISLASIHQAGALALLTSSLVFASQLR KPRAPMRNVIITLPHSSKVTSGKILSEASKLASKPL YER142C MKLKREYDELIKADAVKEIAKELGSRPLEVALPEKYIARHEEKF NMACEHILEKDPSLFPILKNNEFTLYLKETQVPNTLEDYFIRLASTILSQQISGQAAE SIKARVVSLYGGAFPDYKILFEDFKDPAKCAEIAKCGLSKRKMIYLESLAVYFTEKYK DIEKLFGQKDNDEEVIESLVTNVKGIGPWSAKMFLISGLKRMDVFAPEDLGIARGFSK YLSDKPELEKELMRERKVVKKSKIKHKKYNWKIYDDDIMEKCSETFSPYRSVFMFILW RLASTNTDAMMKAEENFVKS YER143W MDLTISNELTGEIYGPIEVSEDMALTDLIALLQADCGFDKTKHD LYYNMDILDSNRTQSLKELGLKTDDLLLIRGKISNSIQTDAATLSDEAFIEQFRQELL NNQMLRSQLILQIPGLNDLVNDPLLFRERLGPLILQRRYGGYNTAMNPFGIPQDEYTR LMANPDDPDNKKRIAELLDQQAIDEQLRNAIEYTPEMFTQVPMLYINIEINNYPVKAF VDTGAQTTIMSTRLAKKTGLSRMIDKRFIGEARGVGTGKIIGRIHQAQVKIETQYIPC SFTVLDTDIDVLIGLDMLKRHLACVDLKENVLRIAEVETSFLSEAEIPKSFQEGLPAP TSVTTSSDKPLTPTKTSSTLPPQPGAVPALAPRTGMGPTPTGRSTAGATTATGRTFPE QTIKQLMDLGFPRDAVVKALKQTNGNAEFAASLLFQ YER144C MGSEQALSEVVESAKERFGRLRHLVQKFLDDDDVPQECLPLLQE CAEIWSSYVDACQDITMQAPKEDANRLSKGFLRLNETAFLYYMIVYTLLEDTLPRLKE FSSNKDQNVRNLYGERIQLLHNDPNIERIRNVIENYPKFIQLQTIEPGKLSSMLHFHG DALLLIDVRPRSEFVRAHIKCKNIICIDPASFKDSFTDQQIESVSLITSPHSDITFFS NRDKFKFIILYTDTQLHNNFQQRQTRILAKILSQNSVIKPLSGTKILILENGFSNWVK LGGAYQSSVSETAHLTSSSSTPAFGSPQVPTGLFNQKSLSPNKDKSMPMVSMNTQPLL TTVQRPQLPLYYSDLPIIPQPSPNRNSPTVQKFSPHPPTTLSKLNTPSTIQNKANTVE RISPDIRAAQAHAYLPPASNVFSPRIPPLPQQNLSSSRQTILNNSQVLDLDLIVGLEN IGNCCYMNCILQCLVGTHDLVRMFLDNTYLNFINFDSSRGSKGLLAKNFAILVNNMHR HGAFTPPNVRTIPVQTIQFKKICGHINPMYSDSMQQDCQEFCQFLLDGLHEDLNQNGS KKHLKQLSDEEERMREKMSIRKASALEWERFLLTDFSAIIDLFQGQYASRLQCQVCEH TSTTYQTFSVLSVPVPRVKTCNILDCFREFTKCERLGVDEQWSCPKCLKKQPSTKQLK ITRLPKKLIINLKRFDNQMNKNNVFVQYPYSLDLTPYWARDFNHEAIVNEDIPTRGQV PPFRYRLYGVACHSGSLYGGHYTSYVYKGPKKGWYFFDDSLYRPITFSTEFITPSAYV LFYERIF YER145C MPNKVFNVAVFFVVFRECLEAVIVISVLLSFLKQAIGEHDRALY RKLRIQVWVGVLLGFIICLAIGAGFIGAYYSLQKDIFGSAEDLWEGIFCMIATIMISM MGIPMLRMNKMQSKWRVKIARSLVEIPHRKRDYFKIGFLSRRYAMFLLPFITVLREGL EAVVFVAGAGITTQGSHASAYPLPVVVGLICGGLVGYLLYYGASKSSLQIFLILSTSI LYLISAGLFSRGAWYFENYRFNLASGGDASEGGDGNGSYNIRKAVYHVNCCNPELDNG WDIFNALLGWQNTGYLSSMLCYNIYWLVLIIVLSLMIFEERRGHLPFTKNLQLKHLNP GYWIKNKKKQELTEEQKRQLFAKMENINFNEDGEINVQENYELPEQTTSHSSSQNVAT DKEVLHVKADSL YER145C-A MAILLPLKSILPWCCITFSFLLSSSGSISHSTASSSITLTKSSK PTNVPSNSRFDCSTINTFWLIVLSMTSKGKISGRLILRASVYACECTCIRYACCETIY PPRKPFSLSLYFFYFNKKASILFCYPDAKTKPEHPGNKRAGSG YER146W MSLPEILPLEVIDKTINQKVLIVLQSNREFEGTLVGFDDFVNVI LEDAVEWLIDPEDESRNEKVMQHHGRMLLSGNNIAILVPGGKKTPTEAL YER147C MENLGDKLSISQVYHLAQEYRDHAYSIANKIGSEEGLKQYYGLM NMSIQMFQLLKTKCTLSVLEDSKVTFEMVELLIQETYNFDLAELYISSLKERLQTHQS DTDLVEEIMRCEFLLLHDLPLMRDSKFHYKIALRNCNELVQYMVNLQDELYQNWASVF QYVGVMLCIKLKQHRRVKTSFHGLLSQCREKSQWKWFLNLCYVNYLLNERFPIPEDAL QELRSTELHTVGPELYAWKLALEMVIQLCKDGNITDHLNEFKNFFDTNKQSLVTNEGK GCVIKIMPRIALKVELPMIFHYKELKNILLLLQSVSYIVNCYDEKGNFSRKFLPKVYS TTQKLIKNIAAGGVSMNELDSRIQTYKSILEFCEFYKVWEQTLLKGAVVTTESPKLGP SPGYVRLLQAMKVQFEGGGAVEEYTRLAQSGGTSSEVKMISLLNCYTVQAARVSRCSG DKQGELVEQCNKVWLQVEKLLQETDLQFNPIWECTVTILWLFSHFEPFSWNPLPCSDK QRAEYVSKLREFYSSNKFVAGEAVADNRFKLKKALLLQILVNYLGGRMLEHDLGEIYA ISAKCFDMCRQQGGMRKVQYVIGIWHLMNCTVAMRGKDVALTNAKLEALVKQITSVKQ YER148W MADEERLKEFKEANKIVFDPNTRQVWENQNRDGTKPATTFQSEE DIKRAAPESEKDTSATSGIVPTLQNIVATVTLGCRLDLKTVALHARNAEYNPKRFAAV IMRIREPKTTALIFASGKMVVTGAKSEDDSKLASRKYARIIQKIGFAAKFTDFKIQNI VGSCDVKFPIRLEGLAFSHGTFSSYEPELFPGLIYRMVKPKIVLLIFVSGKIVLTGAK QREEIYQAFEAIYPVLSEFRKM YER149C MHKFDLELSRRANPLLFSAERYEEYPLKYDELKQYLLSQNPSHP HHNSRPYTSIDYFDYLLYRSKNDESEIDLDKKLVSEFALYYVQKEHLNSDDLNPTLNE LLKLQPKSADWYEMMLRILESINTTGIDQLTKENNNSFPNSKRARSSTNMGGTDKFNK GAYHTDKADDDKNEILQELTSFLMSNSIQKGIDIKPIPLDDPVKFLKNGINSILDTCV SLEKNTSSPPISPNAAAIQEEDSSKKLEELETAFSDLQLAHNFLTKQFENDRAEYVQD IEKLTRTNRELQDKLLNNHSNLSKTEKKLHELEQENKELEKANNKLNSSRHNFGMSSP ASSPVTWDPSSPSSVGSPTSGSGSRSLSIMTSEFKKVLTSTQRKYEKELSDEREHRFK LERELALLKNAEANTSLALNRDDPPDML YER150W MLSNAKLLLSLAMASTALGLVSNSSSSVIVVPSSDATIAGNDTA TPAPEPSSAAPIFYNSTATATQYEVVSEFTTYCPEPTTFVTNGATFTVTAPTTLTITN CPCTIEKPTSETSVSSTHDVETNSNAANARAIPGALGLAGAVMMLL YER151C MNMQDANKEESYSMYPKTSSPPPPTPTNMQIPIYQAPLQMYGYT QAPYLYPTQIPAYSFNMVNQNQPIYHQSGSPHHLPPQNNINGGSTTNNNNINKKKWHS NGITNNNGSSGNQGANSSGSGMSYNKSHTYHHNYSNNHIPMMASPNSGSNAGMKKQTN SSNGNGSSATSPSYSSYNSSSQYDLYKFDVTKLKNLKENSSNLIQLPLFINTTEAEFA AASVQRYELNMKALNLNSESLENSSVEKSSAHHHTKSHSIPKHNEEVKTETHGEEEDA HDKKPHASKDAHELKKKTEVKKEDAKQDRNEKVIQEPQATVLPVVDKKEPEESVEENT SKTSSPSPSPPAAKSWSAIASDAIKSRQASNKTVSGSMVTKTPISGTTAGVSSTNMAA ATIGKSSSPLLSKQPQKKDKKYVPPSTKGIEPLGSIALRMCFDPDFISYVLRNKDVEN KIPVHSIIPRGIINRANICFMSSVLQVLLYCKPFIDVINVLSTRNTNSRVGTSSCKLL DACLTMYKQFDKETYEKKFLENADDAEKTTESDAKKSSKSKSFQHCATADAVKPDEFY KTLSTIPKFKDLQWGHQEDAEEFLTHLLDQLHEELISAIDGLTDNEIQNMLQSINDEQ LKVFFIRNLSRYGKAEFIKNASPRLKELIEKYGVINDDSTEENGWHEVSGSSKRGKKT KTAAKRTVEIVPSPISKLFGGQFRSVLDIPNNKESQSITLDPFQTIQLDISDAGVNDL ETAFKKFSEYELLPFKSSSGNDVEAKKQTFIDKLPQVLLIQFKRFSFINNVNKDNAMT NYNAYNGRIEKIRKKIKYGHELIIPEESMSSITLKNNTSGIDDRRYKLTGVIYHHGVS SDGGHYTADVYHSEHNKWYRIDDVNITELEDDDVLKGGEEASDSRTAYILMYQKRN YER152C MKYKEINFFKGHPSSRLLPREAVIQATAAILGPETREYDNDPYN RHPLTYGSDEGALWVREQICTFLNDQLFKFENGARSRTRADYLNLNSGASYGMLNILL QTTLPHNGYTRQAFIITPTYFLINNCFTDAGFKGKMTAINEQGHDSIDFESLISALEQ HEAEPQPHSTTEMIQGPKLTKKVYRYVMYCIPTFANPSGNTYSLETRRRLIDIARKYD MLIITDDVYDILDYTTPSDELPSPPLRMVHIDRSTAPSGEDSFGNTVSNATFSKLIAP GLRFGYHESINANLARQLSKGGANVSGGTPSQLNSMIVGEMLRSGAAQRCIAHLRSVY SERATVLTSALKKYMPLGTEIMPLKGGYFTWITLPPAYNAMEISTILAKKFNVILADG SNFEVIGDEKNWGQSCFRLSISFLEVDDIDRGIELFGAVCKSHAITNNITM YER153C MLTITKRLVTTDVRSRILLSSLNGKMSDALALLRQQQQTSVDVE LLHTMLARAAALAHADTIAYMWYQHVMPRRLPVEGRLLCEMAGVALYQDRLFLPAQFL QHYQAMNRDRRTSPEDELIEYELRRIKVEAFARGTMHSTALREKWKVFLQEMDTLPGQ PPLRLRDFPQMTKAMGIALMQQDEQAAALALFGRQPLVIKNEWSLPLLLAGVLWHVPG PAQARRVLAEFRQSYRGLPLLDAELVIKRRGFEINT YER154W MFKLTSRLVTSRFAASSRLATARTIVLPRPHPSWISFQAKRFNS TGPNANDVSEIQTQLPSIDELTSSAPSLSASTSDLIANTTQTVGELSSHIGYLNSIGL AQTWYWPSDIIQHVLEAVHVYSGLPWWGTIAATTILIRCLMFPLYVKSSDTVARNSHI KPELDALNNKLMSTTDLQQGQLVAMQRKKLLSSHGIKNRWLAAPMLQIPIALGFFNAL RHMANYPVDGFANQGVAWFTDLTQADPYLGLQVITAAVFISFTRLGGETGAQQFSSPM KRLFTILPIISIPATMNLSSAVVLYFAFNGAFSVLQTMILRNKWVRSKLKITEVAKPR TPIAGASPTENMGIFQSLKHNIQKARDQAERRQLMQDNEKKLQESFKEKRQNSKIKIV HKSNFINNKK YER155C MKGLLWSKNRKSSTASASSSSTSTSHKTTTASTASSSSPSSSSQ TIRNSTSGASPYMHSHHHHGQGHSHHRGEDNNRDKRKSSVFPPSKQYTSTSSSQVNLG MYHSDTNTRSSRSIASTLKDDSPSVCSEDEISNSSSQKSNAQDETPIAYKKSAHSKDS LLPSRSSSLSPPQSRCSTGTTLEKSLNTSGISNSSGTNNNNSNNNNDNEQKQRNVIHL NSENYDTTVFKTGWVNKSHGQTVATNYNSSMTAPSSSSSSSSQNLRNDAYSRNRESRF YGNDGSSLKNDDSSSTTATNSGNDVASARSSMAIDPQMLVPDYRLYRAQLKGCVLNLY KSGLNSNIKFFDPTLPASNSSIANENHQQKKQQTNNQAQAEALHQKQSFGQMGEPITL DLKYLSEVYPHPDLRQDSDGKIISGTIESLCHTVLFYPGPKQSDVPNEKSLSKTHRAV INLLLMFPLLDHFIKFLKVFNQFGLSFTKNKSRLTNNSTQFYNISPAVDDSMTQRLAL TAKTILDVFPGFLLDEPMLKTIISLLDTISLHNDEISNNLKIKIANKHNELMKLTAFT RSLPMATSSTHELEIILDPSHFLSLDITTLADEVHHINLKFDKVWAPKFDYSLLYDSK FINRRIVSLNPLVFNNDQNIHFLGRLLISHLFPTNPEFSKKVTPKVRAELLDKWVQIG CRFEHLGDMVSWLAVATIICSIPVLRSSSWKYVPDQSLKTIFKDWVPTIIQLERRQRT SKSTSSVFILAPPNLDDDFTRANVISYFGDLLIHADDLPSDTKFKYLEKKINRTKNAF HKWQQRLQAIDSTRHKTNSTENVRDNDSPNNVVYQLWKFHLSQPPLNIEGIMKLSVQH EPPIIDQKAYSTIGSQRSALVTGSYLPILFNELFPNYSLFPKNTLVGAASDAKLPPPR SSARLSKSLSISEPIPIASNSHTMGSLTDDAMSSKNDNNKVTGVGKIDGPVIKEMSSK QSNKQRLLKSVRDVFNIDMDVFHISDELVFKSVYDNDGKSRPASMVIETPKRFSQHSS MLINNPATPNQKMRDSLDTTGRLSKTLENMDFFNNIGQVSDSLKESIIRVVLKSSSLE KIFDLLVLTSNIFSKLVDTKDLENYYYHQRQRGHSTRGLSDDNIGLLDYAFVKLTMDN DIFTETFFNTYKSFTTTTTVLENMAKRYVGAKSCSVSISKILDRSDDSKMKINEDTNL VSSSLYDQNFPVWDMKVTDDENINLIYMAKIQIGAAEAILHLVKNHYSDFTDDLCNNS TLLDIIKIMEQEVSTEWPTRIANSKLQKSLPENFVIETENLLTTLTDLFHGIKSAYQK QLYRPIGVNRTQKRITDILNSFNTFSFTDLNNIIDDPSFSDDMIRSFQKLHSTNYEDI LEWIYQLDNFISKKFNLVSKKDWIVLFQELELLSKESLVSFFNYPLHFKSSKLINPGY LQLHEFEISNLFTWISTLILKDDNGTESLFFEKLPQSIKLLIKLHTSLTTFFVMEISN VNKSSSERLTTCKVILQILNYIRWKNGSLDLFDSEEDESPHAICPHIPAFIETAIAHA IISPESRNYELSWIKASEKLSDPTKGTQNLRSISNVLEKIDDIHIKRFIEIDDVFSKN CKNLCPCPGWFISRLLEISQFVPNMSITNSKLINFDKRRFVNNIISNVLDLIPNEREF PLDIEMSDENPSKRTTFGRILFNNFEDVNKVYRKKTKKVSESEAISERFQEQGVFNEI LVNEIEKIKREARKLEVLLDQEKILKNSAALHQAVPKKNRKSVIISGTHSDNDHSYNI NKNTGQTPSLGSVMESNNSARNRRDSRASFSTNRSSVVSNSSHNGVSKKIGGFFRRPF SIGGFNTSSSNYSLNSILSQEVSSNKSILPSILPEVDSMQLHDLKPSYSLKTFEIKSI MEIINHRNIPAYYYAFKIVMQNGHEYLIQTASSSDLTEWIKMIKASKRFSFHSKKYKG KTHNKIFGVPLEDVCERENTLIPTIVVKLLEEIELRGLDEVGLYRIPGSIGSINALKN AFDEEGATDNSFTLEDDRWFEVNAIAGCFKMYLRELPDSLFSHAMVNDFTDLAIKYKA HAMVNEEYKRMMNELLQKLPTCYYQTLKRIVFHLNKVHQHVVNNKMDASNLAIVFSMS FINQEDLANSMGSRLGAVQTILQDFIKNPNDYFKQ YER156C MNSVKRVKLNSKMSKQICTHSGSFHADESLAVYMLRLLPEFKDA KLVRSRNPKDWEASDILVDVGAQYDGVKFFDHHQRGFFETFNEKYKTKLSSAGLIFKH YGRDIIKTILNNKVSSSDLDLLYDKVYKQFVEALDANDNGISKYTIPKDSNLEPNFRD NAISIPGIISGMNPNWNEDTSDESFDRCFARASEFIGGVFVTLVRGYGQSWLPAKALV AQAIDERMDVDKSGKIIVLPQFCPWKEHLYELEREKNIEKQIEFVLFTDSSGAWRVST VPINSTSFQFRRGLPEPLRGLRDEELSTKSGVPGCIFIHAAGFIGGAKSKEAVYELAK MSLA YER157W MARSRKNSLVRDIASHPTIPESQTIVGLLDDSYLFDKLKKLSLA VENSDSLQRTDVSEGCSEVNGSEATTSADVKKTNKYLYYTTYLDQLNIKIDEYKVVLD QTRQVNDQLDSSIKKFRKISQDTGAFIEETKTIYEKQSKLSNLTESIPKALHYFEVLD PIMRRLNHATSPAIVKKSSFTTMLATIDESLRFLDENSDLKDAAAYRIKFKQCLIRAC ELISHFLTNLLKQTNQEILDKTKNKNSLTGLPSTTRDAFLYSKFYTIADTFKIQVSEI VKRSNEKAYNKYHDELNSILYECFNHYFQTRLRLLTPVIWSHIDEIVVKDKDQGLVKF IQDGKVYFQQLCADEYKLFVEFFPEKECRFKINQWFLQLCEPLYDSIRVRVLKETDIC TLCDSVTLFAPYYEFEEGSEEYVKQFTDIQYDKLFEPIVQKVQARLILRVQIYVQQNI LSYRPTRDVFMISNRRRKSKTSLQGGNEDATTSDDNPDPLLESYLSSFKNRSILPISP NDADDKSIDSEESTDKISQLQTYYPPLLKTLALLSKIYEMINSVVFDDLAHHVVHDCI VSLRNAYDMVIKSSAGKSDFNNLDISLAYLKNLLMLRDSIQNFNIQYTVNETYLDFSG VEGFFKSLKENGRNVLKKTKSSSILTLARELVPKVVNNMVDARTELISELRNVIKDFT ESTSLELIDDTLDINSDEDLLSKNVKLRENIKARLPRIYEQILNYIDDQEIVTNLLDA VQELITQSYSKYYETITELAENGKFAKDQVADVMYLDVFTDFFAKEVADLLRNGDIDT ITK YER158C MLQQGSSSRRSLHGNDFHTLTSPSRRDSLSIPRAVDARSASTID LFYIPDATVSRRHSTLVANRSDNNGNGAPMRQYNKPNFASSSTSSLPSTRNRPSRYDN MNMNMNMNMNMNMNMNMNMNNHTTSDHNAHPQYRCRPNPSRRHSLMTIPEKYSGSRYS LRSSPPTYSNPRVRKELTPFQLQRKQMKSAFQFPNGENFTPRNQIARLPPSSTFPDSP SSSSLPLTQTGGPSSADNDSIATGTNNRSPQQTKAADANQKSESESPKAIRSNSKKIS RFFRKIWSSKSSNSADSVEENSKTKQKRKNPERVVPEPITSLDQPVEIIKQSFSTVNN HETAVPSIKDSGIVQELTALGDNNRIPVLPPPRSPNRPTLSDKRTTKLYYCSQDSSNE DIAPEEKSTVFLKRLQDEWSTVYLNKLPLTASVPSSLSTTTDAANSSFINSSISSPAP SSSSSSSLVSRGPMQSISSSPTPAPSSGSSKSKNAVKSLRFADEIYVNDTWSAADYCR CDNTFLNNFFKGKSQDITNPSTFVGNNLSSTKNISNIEIKMEVNEFKRKEMRVHQDSA KYTHYYL YER159C MADQVPVTTQLPPIKPEHEVPLDAGGSPVGNMGTNSNNNNELGD VFDRIKTHFPPAKVKKIMQTDEDIGKVSQATPVIAGRSLEFFIALLVKKSGEMARGQG TKRITAEILKKTILNDEKFDFLREGLCVEEGQTQPEEESA YER160C MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQQSNDLNIESDHDFQSDIELHPEQLRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISDIESTGSGGMHRLDVPLLAP MSQSNTHESSHASKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKR IIHRSPSIDTSSSESNSLHHVVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTELSD SFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIQAYHKEVNQLL KMKTWDTDRYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDPGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSH LVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YER159C-A MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YER161C MSFLSKLSQIRKSTTASKAQVQDPLPKKNDEEYSLLPKNYIRDE DPAVKRLKELRRQELLKNGALAKKSGVKRKRGTSSGSEKKKIERNDDDEGGLGIRFKR SIGASHAPLKPVVRKKPEPIKKMSFEELMKQAENNEKQPPKVKSSEPVTKERPHFNKP GFKSSKRPQKKASPGATLRGVSSGGNSIKSSDSPKPVKLNLPTNGFAQPNRRLKEKLE SRKQKSRYQDDYDEEDNDMDDFIEDDEDEGYHSKSKHSNGPGYDRDEIWAMFNRGKKR SEYDYDELEDDDMEANEMEILEEEEMARKMARLEDKREEAWLKKHEEEKRRRKKGIR YER162C MNEDLPKEYFELIRKALNEKEAEKAPLSRRRRVRRKNQPLPDAK KKFKTGLNELPRESVVTVNLDSSDDGVVTVPTDDSVEEIQSSEEDYDSEEFEDVTDGN EVAGVEDISVEIKPSSKRNSDARRTSRNVCSNEERKRRKYFHMLYLVCLMVHGFIRNE WINSKRLSRKLSNLVPEKVFELLHPQKDEELPLRSTRKLLDGLKKCMELWQKHWKITK KYDNVGLYMRTWKEIEMSANNKRKFKTLKRSDFLRAVSKGHGDPDISVQGFVAMLRAC NVNARLIMSCQPPDFTNMKIDTSLNGNNAYKDMVKYPIFWCEVWDKFSKKWITVDPVN LKTIEQVRLHSKLAPKGVACCERNMLRYVIAYDRKYGCRDVTRRYAQWMNSKVRKRRI TKDDFGEKWFRKVITALHHRKRTKIDDYEDQYFFQRDESEGIPDSVQDLKNHPYYVLE QDIKQTQIVKPGCKECGYLKVHGKVGKVLKVYAKRDIADLKSARQWYMNGRILKTGSR CKKVIKRTVGRPKGEAEEEDERLYSFEDTELYIPPLASASGEITKNTFGNIEVFAPTM IPGNCCLVENPVAIKAARFLGVEFAPAVTSFKFERGSTVKPVLSGIVVAKWLREAIET AIDGIEFIQEDDNRKEHLLGALESWNTLLLKLRIRSKLNSTYGKIAEEEPNVTKEQNI ADNHDNTETFMGGGFLPGIANHEARPYSEPSEPEDSLDYVSVDKAEESATDDDVGEDY SDFMKELEMSEESD YER163C MTNDNSGIWVLGYGSLIYKPPSHYTHRIPAIIHGFARRFWQSST DHRGTPANPGRVATLIPYEDIIRQTAFLKNVNLYSESAPIQDPDDLVTIGVVYYIPPE HAQEVREYLNVREQNGYTLHEVEVHLETNREHEAELGEALEQLPRHNKSGKRVLLTSV YIGTIDNEAFVGPETVDETAKVIAVSHGPSGSNYEYLAKLEQALAQMPIMKERGRITD HYLTALLETVNKYR YER164W MAAKDISTEVLQNPELYGLRRSHRAAAHQQNYFNDSDDEDDEDN IKQSRRKRMTTIEDDEDEFEDEEGEEDSGEDEDEEDFEEDDDYYGSPIKQNRSKPKSR TKSKSKSKPKSQSEKQSTVKIPTRFSNRQNKTVNYNIDYSDDDLLESEDDYGSEEALS EENVHEASANPQPEDFHGIDIVINHRLKTSLEEGKVLEKTVPDLNNCKENYEFLIKWT DESHLHNTWETYESIGQVRGLKRLDNYCKQFIIEDQQVRLDPYVTAEDIEIMDMERER RLDEFEEFHVPERIIDSQRASLEDGTSQLQYLVKWRRLNYDEATWENATDIVKLAPEQ VKHFQNRENSKILPQYSSNYTSQRPRFEKLSVQPPFIKGGELRDFQLTGINWMAFLWS KGDNGILADEMGLGKTVQTVAFISWLIFARRQNGPHIIVVPLSTMPAWLDTFEKWAPD LNCICYMGNQKSRDTIREYEFYTNPRAKGKKTMKFNVLLTTYEYILKDRAELGSIKWQ FMAVDEAHRLKNAESSLYESLNSFKVANRMLITGTPLQNNIKELAALVNFLMPGRFTI DQEIDFENQDEEQEEYIHDLHRRIQPFILRRLKKDVEKSLPSKTERILRVELSDVQTE YYKNILTKNYSALTAGAKGGHFSLLNIMNELKKASNHPYLFDNAEERVLQKFGDGKMT RENVLRGLIMSSGKMVLLDQLLTRLKKDGHRVLIFSQMVRMLDILGDYLSIKGINFQR LDGTVPSAQRRISIDHFNSPDSNDFVFLLSTRAGGLGINLMTADTVVIFDSDWNPQAD LQAMARAHRIGQKNHVMVYRLVSKDTVEEEVLERARKKMILEYAIISLGVTDGNKYTK KNEPNAGELSAILKFGAGNMFTATDNQKKLEDLNLDDVLNHAEDHVTTPDLGESHLGG EEFLKQFEVTDYKADIDWDDIIPEEELKKLQDEEQKRKDEEYVKEQLEMMNRRDNALK KIKNSVNGDGTAANSDSDDDSTSRSSRRRARANDMDSIGESEVRALYKAILKFGNLKE ILDELIADGTLPVKSFEKYGETYDEMMEAAKDCVHEEEKNRKEILEKLEKHATAYRAK LKSGEIKAENQPKDNPLTRLSLKKREKKAVLFNFKGVKSLNAESLLSRVEDLKYLKNL INSNYKDDPLKFSLGNNTPKPVQNWSSNWTKEEDEKLLIGVFKYGYGSWTQIRDDPFL GITDKIFLNEVHNPVAKKSASSSDTTPTPSKKGKGITGSSKKVPGAIHLGRRVDYLLS FLRGGLNTKSPSADIGSKKLPTGPSKKRQRKPANHSKSMTPEITSSEPANGPPSKRMK ALPKGPAALINNTRLSPNSPTPPLKSKVSRDNGTRQSSNPSSGSAHEKEYDSMDEEDC RHTMSAIRTSLKRLRRGGKSLDRKEWAKILKTELTTIGNHIESQKGSSRKASPEKYRK HLWSYSANFWPADVKSTKLMAMYDKITESQKK YER165W MADITDKTAEQLENLNIQDDQKQAATGSESQSVENSSASLYVGD LEPSVSEAHLYDIFSPIGSVSSIRVCRDAITKTSLGYAYVNFNDHEAGRKAIEQLNYT PIKGRLCRIMWSQRDPSLRKKGSGNIFIKNLHPDIDNKALYDTFSVFGDILSSKIATD ENGKSKGFGFVHFEEEGAAKEAIDALNGMLLNGQEIYVAPHLSRKERDSQLEETKAHY TNLYVKNINSETTDEQFQELFAKFGPIVSASLEKDADGKLKGFGFVNYEKHEDAVKAV EALNDSELNGEKLYVGRAQKKNERMHVLKKQYEAYRLEKMAKYQGVNLFVKNLDDSVD DEKLEEEFAPYGTITSAKVMRTENGKSKGFGFVCFSTPEEATKAITEKNQQIVAGKPL YVAIAQRKDVRRSQLAQQIQARNQMRYQQATAAAAAAAAGMPGQFMPPMFYGVMPPRG VPFNGPNPQQMNPMGGMPKNGMPPQFRNGPVYGVPPQGGFPRNANDNNQFYQQKQRQA LGEQLYKKVSAKTSNEEAAGKITGMILDLPPQEVFPLLESDELFEQHYKEASAAYESF KKEQEQQTEQA YER166W MSGTFHGDGHAPMSPFEDTFQFEDNSSNEDTHIAPTHFDDGATS NKYSRPQVSFNDETPKNKREDAEEFTFNDDTEYDNHSFQPTPKLNNGSGTFDDVELDN DSGEPHTNYDGMKRFRMGTKRNKKGNPIMGRSKTLKWARKNIPNPFEDFTKDDIDPGA INRAQELRTVYYNMPLPKDMIDEEGNPIMQYPRNKIRTTKYTPLTFLPKNILFQFHNF ANVYFLVLIILGAFQIFGVTNPGLSAVPLVVIVIITAIKDAIEDSRRTVLDLEVNNTK THILEGVENENVSTDNISLWRRFKKANSRLLFKFIQYCKEHLTEEGKKKRMQRKRHEL RVQKTVGTSGPRSSLDSIDSYRVSADYGRPSLDYDNLEQGAGEANIVDRSLPPRTDCK FAKNYWKGVKVGDIVRIHNNDEIPADIILLSTSDTDGACYVETKNLDGETNLKVRQSL KCTNTIRTSKDIARTKFWIESEGPHSNLYTYQGNMKWRNLADGEIRNEPITINNVLLR GCTLRNTKWAMGVVMFTGGDTKIMLNSGITPTKKSRISRELNFSVVINFVLLFILCFV SGIANGVYYDKKGRSRFSYEFGTIAGSAATNGFVSFWVAVILYQSLVPISLYISVEII KTAQAAFIYGDVLLYNAKLDYPCTPKSWNISDDLGQVEYIFSDKTGTLTQNVMEFKKC TINGVSYGRAYTEALAGLRKRQGIDVETEGRREKAEIAKDRDTMIDELRALSGNSQFY PEEVTFVSKEFVRDLKGASGEVQQRCCEHFMLALALCHSVLVEANPDNPKKLDLKAQS PDEAALVATARDVGFSFVGKTKKGLIIEMQGIQKEFEILNILEFNSSRKRMSCIVKIP GLNPGDEPRALLICKGADSIIYSRLSRQSGSNSEAILEKTALHLEQYATEGLRTLCIA QRELSWSEYEKWNEKYDIAAASLANREDELEVVADSIERELILLGGTAIEDRLQDGVP DCIELLAEAGIKLWVLTGDKVETAINIGFSCNLLNNEMELLVIKTTGDDVKEFGSEPS EIVDALLSKYLKEYFNLTGSEEEIFEAKKDHEFPKGNYAIVIDGDALKLALYGEDIRR KFLLLCKNCRAVLCCRVSPSQKAAVVKLVKDSLDVMTLAIGDGSNDVAMIQSADVGIG IAGEEGRQAVMCSDYAIGQFRYLARLVLVHGRWSYKRLAEMIPEFFYKNMIFALALFW YGIYNDFDGSYLYEYTYMMFYNLAFTSLPVIFLGILDQDVNDTISLVVPQLYRVGILR KEWNQRKFLWYMLDGLYQSIICFFFPYLVYHKNMIVTSNGLGLDHRYFVGVYVTTIAV ISCNTYVLLHQYRWDWFSGLFIALSCLVVFAWTGIWSSAIASREFFKAAARIYGAPSF WAVFFVAVLFCLLPRFTYDSFQKFFYPTDVEIVREMWQHGHFDHYPPGYDPTDPNRPK VTKAGQHGEKIIEGIALSDNLGGSNYSRDSVVTEEIPMTFMHGEDGSPSGYQKQETWM TSPKETQDLLQSPQFQQAQTFGRGPSTNVRSSLDRTREQMIATNQLDNRYSVERARTS LDLPGVTNAASLIGTQQNN YER167W MPKNSHHHRSSSVNSTKSRSTESTNKWKIPHYYRRSASGSTQAS PDRNSSTGSCSTPVLPTMNVMSSPKKVLLEDPRDNHTKAKKSSRKKSGEMVFVNYTVQ DTANENDTDLQTQPVSVPAPKAKLKKKSSKRRMLKIFGSSKNEHIEDIVEEQPMVLQM DSESKPLSGTPISESGIDASSLTTKRSYNSFLKHNRLNGKTPFSGNLSFPSLNMMGNT TDLPIDNNDFCSEKEVVPKSTHDPSLAKPPSRFTESETNSTPNLSSIPLMNTKNTRLK YNKVAPQSSDRQKSQESGLYHSTESFNFKDQNYSNNKSSLSLNSDLSTPHFAKHSPDS PRTSRSFNCGDSQSKVKLPEENDASIAFSKMFTRKRANTGGSTCSLASPTIAQTIQQS NIKVNKLPTQRTTSVGSLSSMSNRYSPIRVASPGRARSATRGSSLYRLSRDLNSLPSV TDLPEMDSTTPVNEIFLDGQPQHKSGSVKGGHRKKQESISDAQRIQHSNSYITTPSSS LVTPPYYMTGYTLPSSASASSTPNVLETHNMNFVPSTSTVTSYRPSSNFSSFDKEYSN ENDASGEFSAFNTPMENIPALKGIPRSTLEENEEEDVLVQDIPNTAHFQRRDIMGMDT HRKDDSLDFNSLMPHGSTTSSSIVDSVMTNSISTTTSNATGNYFQDQDKYTLVNTGLG LSDANLDHFIRSQWKHASRSESNNNTGNRVSYSGSTPNNVDTTKTNLQVYTEFDFENP ESFFHEQSKLLGEMGHSNNNSNSAINMNEPKSADTYIGNISPDTSATVSLGDLMGSNV SNNSERNFYDGHTFVPQYQANSSVENSNNQNAAPIANNDIDNNLQSFYFDNSN YER168C MLRSTISLLMNSAAQKTMTNSNFVLNAPKITLTKVEQNICNLLN DYTDLYNQKYHNKPEPLTLRITGGWVRDKLLGQGSHDLDIAINVMSGEQFATGLNEYL QQHYAKYGAKPHNIHKIDKNPEKSKHLETATTKLFGVEVDFVNLRSEKYTELSRIPKV CFGTPEEDALRRDATLNALFYNIHKGEVEDFTKRGLQDLKDGVLRTPLPAKQTFLDDP LRVLRLIRFASRFNFTIDPEVMAEMGDPQINVAFNSKISRERVGVEMEKILVGPTPLL ALQLIQRAHLENVIFFWHNDSSVVKFNEENCQDMDKINHVYNDNILNSHLKSFIELYP MFLEKLPILREKIGRSPGFQQNFILSAILSPMANLQIIGNPKKKINNLVSVTESIVKE GLKLSKNDAAVIAKTVDSICSYEEILAKFADRSQLKKSEIGIFLRNFNGEWETAHFAS LSDAFLKIPKLETKKIELLFQNYNEFYSYIFDNNLNNCHELKPIVDGKQMAKLLQMKP GPWLGKINNEAIRWQFDNPTGTDQELITHLKAILPKYL YER169W MTKLIAPSEIVGGVPVFKPTYEQFEDFYAYCKAINKYGMKSGVV KVIPPKEWKDKLDLPYSAETLQKIKIKSPIQQHISGNKGLFMVQNVEKNKTYNIIQWK DLSKDYVPPEDPKARRNSRKGSVSKSTKLKLKNFESSFNIDDFEQFRTEYTIDLSDFQ NTERLKFLEEYYWKTLNFTTPMYGADTPGSIFPEGLNVWNVAKLPNILDHMETKVPGV NDSYLYAGLWKASFSWHLEDQDLYSINYIHFGAPKQWYSIPQEDRFKFYKFMQEQFPE EAKNCPEFLRHKMFLASPKLLQENGIRCNEIVHHEGEFMITYPYGYHAGFNYGYNLAE SVNFALEEWLPIGKKAGKCHCISDSVEIDVKKLAKSWRDNNKESKGTPPLNQLPNPAM PLLHRPTLKEMESSSLRSTSPDVGHFSNFKSKSSGVSSPLLSRMKDYSNIVEPTLEDP TLKLKRISSFQEQPLNKLLKRETSQTAMLTDHEDNIVAMSLTSMANSAASSPRLPLSR LNSSNELSNAQPLLDMTNNTLAFPRPNGPSGLNPLLYISNKNISGISHSAPHSPVNPN ISLIKRVKSPNIVTLNISRESSRSPIALNYEARQQHSQQHSFSTPSTVSNLSTSVLGP LSDTNDIKTPHPERPNHKTANRILKKESPVETSKSNLILSKVASTRQEDSFTSRNDDL DKEQGSSPLNSKFAPEEIVLSGKNKIYICKECQRKFSSGHHLTRHKKSVHSGEKPHSC PKCGKRFKRRDHVLQHLNKKIPCISNETTVDAPIMNPTVQPQDGKAAINQQSTPLN YER170W MKADAKQITHLLKPLRLLLLGAPGSGKGTQTSRLLKQIPQLSSI SSGDILRQEIKSESTLGREATTYIAQGKLLPDDLITRLITFRLSALGWLKPSAMWLLD GFPRTTAQASALDELLKQHDASLNLVVELDVPESTILERIENRYVHVPSGRVYNLQYN PPKVPGLDDITGEPLTKRLDDTAEVFKKRLEEYKKTNEPLKDYYKKSGIFGTVSGETS DIIFRNY YER171W MKFYIDDLPVLFPYPKIYPEQYNYMCDIKKTLDVGGNSILEMPS GTGKTVSLLSLTIAYQMHYPEHRKIIYCSRTMSEIEKALVELENLMDYRTKELGYQED FRGLGLTSRKNLCLHPEVSKERKGTVVDEKCRRMTNGQAKRKLEEDPEANVELCEYHE NLYNIEVEDYLPKGVFSFEKLLKYCEEKTLCPYFIVRRMISLCNIIIYSYHYLLDPKI AERVSNEVSKDSIVIFDEAHNIDNVCIESLSLDLTTDALRRATRGANALDERISEVRK VDSQKLQDEYEKLVQGLHSADILTDQEEPFVETPVLPQDLLTEAIPGNIRRAEHFVSF LKRLIEYLKTRMKVLHVISETPKSFLQHLKQLTFIERKPLRFCSERLSLLVRTLEVTE VEDFTALKDIATFATLISTYEEGFLLIIEPYEIENAAVPNPIMRFTCLDASIAIKPVF ERFSSVIITSGTISPLDMYPRMLNFKTVLQKSYAMTLAKKSFLPMIITKGSDQVAISS RFEIRNDPSIVRNYGSMLVEFAKITPDGMVVFFPSYLYMESIVSMWQTMGILDEVWKH KLILVETPDAQETSLALETYRKACSNGRGAILLSVARGKVSEGIDFDHQYGRTVLMIG IPFQYTESRILKARLEFMRENYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGVMVLA DRRFSRKRSQLPKWIAQGLSDADLNLSTDMAISNTKQFLRTMAQPTDPKDQEGVSVWS YEDLIKHQNSRKDQGGFIENENKEGEQDEDEDEDIEMQ YER172C MTEHETKDKAKKIREIYRYDEMSNKVLKVDKRFMNTSQNPQRDA EISQPKSMSGRISAKDMGQGLCNNINKGLKENDVAVEKTGKSASLKKIQQHNTILNSS SDFRLHYYPKDPSNVETYEQILQWVTEVLGNDIPHDLIIGTADIFIRQLKENEENEDG NIEERKEKIQHELGINIDSLKFNELVKLMKNITDYETHPDNSNKQAVAILADDEKSDE EEVTEMSNNANVLGGEINDNEDDDEEYDYNDVEVNSKKKNKRALPNIENDIIKLSDSK TSNIESVPIYSIDEFFLQRKLRSELGYKDTSVIQDLSEKILNDIETLEHNPVALEQKL VDLLKFENISLAEFILKNRSTIFWGIRLAKSTENEIPNLIEKMVAKGLNDLVEQYKFR ETTHSKRELDSGDDQPQSSEAKRTKFSNPAIPPVIDLEKIKFDESSKLMTVTKVSLPE GSFKRVKPQYDEIHIPAPSKPVIDYELKEITSLPDWCQEAFPSSETTSLNPIQSKVFH AAFEGDSNMLICAPTGSGKTNIALLTVLKALSHHYNPKTKKLNLSAFKIVYIAPLKAL VQEQVREFQRRLAFLGIKVAELTGDSRLSRKQIDETQVLVSTPEKWDITTRNSNNLAI VELVRLLIIDEIHLLHDDRGPVLESIVARTFWASKYGQEYPRIIGLSATLPNYEDVGR FLRVPKEGLFYFDSSFRPCPLSQQFCGIKERNSLKKLKAMNDACYEKVLESINEGNQI IVFVHSRKETSRTATWLKNKFAEENITHKLTKNDAGSKQILKTEAANVLDPSLRKLIE SGIGTHHAGLTRSDRSLSEDLFADGLLQVLVCTATLAWGVNLPAHTVIIKGTDVYSPE KGSWEQLSPQDVLQMLGRAGRPRYDTFGEGIIITDQSNVQYYLSVLNQQLPIESQFVS KLVDNLNAEVVAGNIKCRNDAVNWLAYTYLYVRMLASPMLYKVPDISSDGQLKKFRES LVHSALCILKEQELVLYDAENDVIEATDLGNIASSFYINHASMDVYNRELDEHTTQID LFRIFSMSEEFKYVSVRYEEKRELKQLLEKAPIPIREDIDDPLAKVNVLLQSYFSQLK FEGFALNSDIVFIHQNAGRLLRAMFEICLKRGWGHPTRMLLNLCKSATTKMWPTNCPL RQFKTCPVEVIKRLEASTVPWGDYLQLETPAEVGRAIRSEKYGKQVYDLLKRFPKMSV TCNAQPITRSVMRFNIEIIADWIWDMNVHGSLEPFLLMLEDTDGDSILYYDVLFITPD IVGHEFTLSFTYELKQHNQNNLPPNFFLTLISENWWHSEFEIPVSFNGFKLPKKFPPP TPLLENISISTSELGNDDFSEVFEFKTFNKIQSQVFESLYNSNDSVFVGSGKGTGKTA MAELALLNHWRQNKGRAVYINPSGEKIDFLLSDWNKRFSHLAGGKIINKLGNDPSLNL KLLAKSHVLLATPVQFELLSRRWRQRKNIQSLELMIYDDAHEISQGVYGAVYETLISR MIFIATQLEKKIRFVCLSNCLANARDFGEWAGMTKSNIYNFSPSERIEPLEINIQSFK DVEHISFNFSMLQMAFEASAAAAGNRNSSSVFLPSRKDCMEVASAFMKFSKAIEWDML NVEEEQIVPYIEKLTDGHLRAPLKHGVGILYKGMASNDERIVKRLYEYGAVSVLLISK DCSAFACKTDEVIILGTNLYDGAEHKYMPYTINELLEMVGLASGNDSMAGKVLILTSH NMKAYYKKFLIEPLPTESYLQYIIHDTLNNEIANSIIQSKQDCVDWFTYSYFYRRIHV NPSYYGVRDTSPHGISVFLSNLVETCLNDLVESSFIEIDDTEAEVTAEVNGGDDEATE IISTLSNGLIASHYGVSFFTIQSFVSSLSNTSTLKNMLYVLSTAVEFESVPLRKGDRA LLVKLSKRLPLRFPEHTSSGSVSFKVFLLLQAYFSRLELPVDFQNDLKDILEKVVPLI NVVVDILSANGYLNATTAMDLAQMLIQGVWDVDNPLRQIPHFNNKILEKCKEINVETV YDIMALEDEERDEILTLTDSQLAQVAAFVNNYPNVELTYSLNNSDSLISGVKQKITIQ LTRDVEPENLQVTSEKYPFDKLESWWLVLGEVSKKELYAIKKVTLNKETQQYELEFDT PTSGKHNLTIWCVCDSYLDADKELSFEINVK YER173W MDSTNLNKRPLLQYSLSSLGSQITKWSSSRPTSPVRKARSTEND FLSKQDTSSILPSINDDGGEQWYEKFKPNCLEQVAIHKRKLKDVQEALDAMFLPNAKH RILLLSGPSGCSKSTVIKELSKILVPKYRQNSNGTSFRSTPNEHKVTEFRGDCIVNDL PQMESFSEFLKGARYLVMSNLSLILIEDLPNVFHIDTRRRFQQLILQWLYSSEPLLPP LVICITECEIPENDNNYRKFGIDYTFSAETIMNKEILMHPRLKRIKFNPINSTLLKKH LKFICVQNMKMLKEKNKWNKRQEVIDYIAQETGDIRSAITTLQFWATSSGSLPISTRE STISYFHAIGKVIHGSHSTNNDNEMINNLFENSNNLLSKEDFKLGILENYNTFNKGEF SISDASSIVDCLSECDNMNGLPESNEYGLREVRKTFRNISKQGHNHGTVYFPREWKVR KLQNSFKVQAEDWLNVSLYKYNAVHSFRNITLEFGYYAPLIRKCQSYKKKYILYYLKN LPSGSSGPKQTMDKFSDIMKVENGIDVVDRIGGPIEALSVEDGLAPLMDNDSNNCDHL EDQKKERDRRLRMLIDQYERNVMMANDDLEDEETSFNDDPIVDSDSDNSNNIGNETFG RSDEDESLCEILSQRQPRKAPVISESLSDSDLEIL YER174C MTVVEIKSQDQFTQLTTTNAANKLIVLYFKAQWADPCKTMSQVL EAVSEKVRQEDVRFLSIDADEHPEISDLFEIAAVPYFVFIQNGTIVKEISAADPKEFV KSLEILSNASASLANNAKGPKSTSDEESSGSSDDEEDETEEEINARLVKLVQAAPVML FMKGSPSEPKCGFSRQLVGILREHQIRFGFFDILRDENVRQSLKKFSDWPTFPQLYIN GEFQGGLDIIKESIEEDPEYFQHALQ YER175C MSTFSASDFNSERYSSSRPSYPSDFYKMIDEYHDGERKLLVDVG CGPGTATLQMAQELKPFEQIIGSDLSATMIKTAEVIKEGSPDTYKNVSFKISSSDDFK FLGADSVDKQKIDMITAVECAHWFDFEKFQRSAYANLRKDGTIAIWGYADPIFPDYPE FDDLMIEVPYGKQGLGPYWEQPGRSRLRNMLKDSHLDPELFHDIQVSYFCAEDVRDKV KLHQHTKKPLLIRKQVTLVEFADYVRTWSAYHQWKQDPKNKDKEDVADWFIKESLRRR PELSTNTKIEVVWNTFYKLGKRV YER175W-A MRLHKTFICFSQNKRGCRNILQENSRMIFENKILIMILRQGIFF NISVSTKISF YER176W MDFQCRTCSQAYDAEQMMKHLSSTRHKTVFDTSNDEDICCEECQ DKNIHQLQIIRFGGEDMVLLCNSCFRKEYSETERPSTSYSLQNGSILKFWEKYVKVRE CCCDECGEESNLNANRNGEVLCDKCLPKSNRAKDFVSEKSGRFLYIYLGLNETQNSTR KPRKKGGRRVGRGKKGRKGAKIKKEKKETFEAKISRIAYEVKKENSTIQSSSSSNLRN FKGFKAVESDPVVAAKVSKSETSRSNPGPSNRNKGKGNKANHKKNSGNGIGKEKERKT NIRNNVRNSQPIPEDRKNTNSHVTTNSGGKGKNESVDKHQLPQPKALNGNGSGSTNTT GLKKGKKDHAGQKTKGNDKTGNKNPREAKLNSAGRKNALGKKSNNQPNKGTSRWTIGS DTESSREPSISPNENTTSITKSRNRNKKASKPTLNEKSKTTTMPKKLETKNQEKNNGK TKDGKLIYEEGEPLTRYNTFKSTLSYPDLNTYLNDYSFALFLEQKLENEFVQNFNILW PRNEKDTAFIINVEKNNNSELEKLLPANLLALGRPAFNERQPFFFCTQDEQKVWYIFI KELSIQRGKYVLLVELFSWNNLSLPTKNGSSQFKLLPTSAQTSRILFAMTRITNPKFI DLLLGQKPIKEIYFDNRLKFSSDKLNRSQKTAVEHVLNNSITILQGPPGTGKTSTIEE IIIQVIERFHAFPILCVAASNIAIDNIAEKIMENRPQIKILRILSKKKEQQYSDDHPL GEICLHNIVYKNLSPDMQVVANKTRRGEMISKSEDTKFYKEKNRVTNKVVSQSQIIFT TNIAAGGRELKVIKECPVVIMDEATQSSEASTLVPLSLPGIRNFVFVGDEKQLSSFSN IPQLETSLFERVLSNGTYKNPLMLDTQYRMHPKISEFPIKKIYNGELKDGVTDEQKAW PGVQHPLFFYQCDLGPESRVRSTQRDIVGFTYENKHECVEIVKIIQILMLDKKVPLEE IGVITPYSAQRDLLSDILTKNVVINPKQISMQQEYDEIELFNAAGSQGTAGSLQNNVI NIINGLHVATVDSFQGHEKSFIIFSCVRNNTENKIGFLRDKRRLNVALTRAKHGLIVV GNKNVLRKGDPLWKDYITYLEEQEVIFTDLTAY YER177W MSTSREDSVYLAKLAEQAERYEEMVENMKTVASSGQELSVEERN LLSVAYKNVIGARRASWRIVSSIEQKEESKEKSEHQVELICSYRSKIETELTKISDDI LSVLDSHLIPSATTGESKVFYYKMKGDYHRYLAEFSSGDAREKATNASLEAYKTASEI ATTELPPTHPIRLGLALNFSVFYYEIQNSPDKACHLAKQAFDDAIAELDTLSEESYKD STLIMQLLRDNLTLWTSDMSESGQAEDQQQQQQHQQQQPPAAAEGEAPK YER178W MLAASFKRQPSQLVRGLGAVLRTPTRIGHVRTMATLKTTDKKAP EDIEGSDTVQIELPESSFESYMLEPPDLSYETSKATLLQMYKDMVIIRRMEMACDALY KAKKIRGFCHLSVGQEAIAVGIENAITKLDSIITSYRCHGFTFMRGASVKAVLAELMG RRAGVSYGKGGSMHLYAPGFYGGNGIVGAQVPLGAGLAFAHQYKNEDACSFTLYGDGA SNQGQVFESFNMAKLWNLPVVFCCENNKYGMGTAASRSSAMTEYFKRGQYIPGLKVNG MDILAVYQASKFAKDWCLSGKGPLVLEYETYRYGGHSMSDPGTTYRTRDEIQHMRSKN DPIAGLKMHLIDLGIATEAEVKAYDKSARKYVDEQVELADAAPPPEAKLSILFEDVYV KGTETPTLRGRIPEDTWDFKKQGFASRD YER179W MSVTGTEIDSDTAKNILSVDELQNYGINASDLQKLKSGGIYTVN TVLSTTRRHLCKIKGLSEVKVEKIKEAAGKIIQVGFIPATVQLDIRQRVYSLSTGSKQ LDSILGGGIMTMSITEVFGEFRCGKTQMSHTLCVTTQLPREMGGGEGKVAYIDTEGTF RPERIKQIAEGYELDPESCLANVSYARALNSEHQMELVEQLGEELSSGDYRLIVVDSI MANFRVDYCGRGELSERQQKLNQHLFKLNRLAEEFNVAVFLTNQVQSDPGASALFASA DGRKPIGGHVLAHASATRILLRKGRGDERVAKLQDSPDMPEKECVYVIGEKGITDSSD YER180C MDVDERLHQDENQTHPFSQKKSSSFLIKEKAATKSKDLEHIRLR DLNFNHRKKLDDKKLAKQIPVKANFKKPNEIEARANLNSNELTDINLDYIPDSPSIEK ISGPEDSIVVTPRNIIHLQSDSDIILEECEHNYDCSPFYRLFNYENRIEPDDYEAIIN AIITDEIAGTYPVFERELEYQELKSLVRKRDYIMYYFLSRDYRGFFQLKEERTLFYRY PSIAYTSPLRYLDNGSETEQFTGDDDEELQSFDFENTSSVRTLDSNIWR YER180C-A MSAENISTGSPTGKQPSSEVNLGEREAGTKNERMMRQTKLLKDT LDLLWNKTLEQQEVCEQLKQENDYLEDYIGNLMRSSNVLEK YER181C MLILLRLSEVCVNFVIIIGIPLLIEASILCIQNILELLLKGIGI LKFNRYLHTIILRLFFLSFYMLHFPITLSILAFQLPLNLLTLSQASFHLPRSHMILYQ QQECY YER182W MFKRIAIAQIRTYTNGVVFKTASKPKRRWIPWTIFGGSFLGGWY LTQHMTFTDLLAYWRYDALPKNADEVVKYHADLNRRLNGLPIVKQLENAGFVQVIANE EENLLVSRALNTPGGVAIPPRVYYNPSRRETVGLYHLGMKLTGYPFLIHGGILATVIE DLMKEAIRLEKGTKNINQETKNLSISYKFPTLANQFVVVRTTDLQQYGNKTKLKAELM DQSGNRTLVKANATFSSEQGNPKEEK YER183C MATKQLLRRQIKRVINALDYDIIAAESHTISQAVRSLIASANSR RVACYMSMDKGEVTTGEIIKNLFQDGQEVFLPRCTHTSESKHFKLREDHHPHLIFHRM SSLKMVRDLKPQGPYQLKEPEPHIEESDILDVVLVPGVAFDIKTGARMGHGAGYYDDF FQRYKILHEGQKPLLVGLCLMEQVASPIPLEKHDYSMDCIVCGDGSIHWFQ YER184C MAAKRGLAKQKSRVTKACDRCHRKKIKCNSKKPCFGCIGSQSKC TYRNQFREPIEAFFNYTGSLSNDLDNAKCSIAKLKAQLPPSAPASLQKGLANICTELE KIQPQLYLNLDSKEISSYGGLKSIETEIIGKQSKSLNRFSNAFESNTAQNVSMYFGVY SPLLYFASTGISWITKKLISCSNDRETRETIYLFLKFLDASSASHAGPKVTSISPLEY YSKLNGLSCGNDVLIQHIMSNISNEIKGNTNINQTIKFNKPTDWFMYGVQLMEQHHKA LDRKSSKKLLPLKYFLEQDELIFCLCLEYFERSLFSTMYDLTILKGLVSLMKHRYWID DPFVLGRIISTMSRRSLDAGLNRWEYYIGQDEDTAEEYRKLWWDCYWWDRWYSLVTGK QPLIPHEMTSCLFPKDVVGLGVDDSMDCFTLINLVELDPSKFDICISFGYILLTKIIT AVFSGLLYNRHFTDYRLFATPNAKDLNGTARQLMVEFSKICKIFQCIQDKLIPFLKQY SENSNVFELYTHFGFAKVCCFQGMESLILRIQNLLQERERIELDSCVKDIRLQTFEAS VDILTDVLKHEDTFYIFRCSWFIYAILMNITLNFIETPRRNSICYLSLMCRMIASYND LFVSSGNVNFKGNNAFSKKLENGTAVSFILTRICCQMYTRSQKMAKESLFCELKKYGQ ACSDAGQAALDIECIWYRNIIGEHKESSFRKEILSILDREMGDLVNNRVIGVQGKNQE GACYEKLSPSSTSVSVGMDFCSLENFVTAESLPDLLNLFWEDTEFGITKENLGE YER185W MSTTDSGFVLYHYTPSKAAAIVFVVLFIIMTVIFAVQTLYAARK SSKALKNNPFESSDDKVDSLEDAEYKQLKITPTVFAFIPFFTGCIMEAVGYIGRALSS SNPERTTPYIIQSVLLLVAPALIAATIYMIFGRLLHVMRCQSLILISARFGTTFFVVG DVFSFFLQAAGGGLMSKAGSTKTGSGLITAGLFVQVIFFGFFIINEIRFTVNVKRRCL FYEDISRKWIFVNATLLLSSMLILLRSIVRIVEFIQGFNGYIISHEYFIYVFDAVPML LVIIAFSVGSFFGNVFDVIKECQTLSN YER186C MLWHPDGYEPRVKAIEEEIYANEDRKDVPDKFKFDTVTKTGMVK LRVFKDDLIFKSQRSINLFASRKHPFKSFTADGEGLPLFAFRTKKPFFVRRDYVGFLF YQYEILKNGDFPEESDYEVKGECDGFTLFKVLFCTVKVKKTSYYRNKERISHILELNF GKKEDFRILTLVRCSEIRSVYVVEDKKVIMKWVFTSESKFNLNSSLFIIKAAIGCLPE VGDSIEDIPKFDWDSCPTIGCMCRTKEALFQPESRKDMHICPQLFLGETGPPHYNESS VPWLTKMNICISVLINFLEYTDFMSWMQDN YER187W MLIDQIVAAVPGVPDISGNASALSLQRRSQEFATSWISMTYSQS YGDLAQNWQNDEGGAGNFDSYAEEKLQNFFSGNRDWKYCFAAEDTKNGEPLDYDDIPG DGAGTGSAFKSEIYFNTYGGIDNYCNDEHIGAQNTGDGR YER188W MMPTYLGKLTWSYFFTTLGLACAYNVTEQMEFDQFKSDYLACLA PEHRNIVVDLASNGFITISPMANATIDFEDVTSDYFNCTDVNTNVQVTIASFYNEYGF GPDDNGYYHAMEEPSEFERHDMDVRLYRPYYPGEFVMGRRSDALGVTGFDQKDCAGEG FYDEQTAATSCQNIGSTQYAKSVRSYNYGCCGGAVWIRIWPHHNCSKGHDHHFKIRPG QMLCWNVNPYSWMQPESGWNP YER188C-A MAHLSLNQYKCTHIIMHGTCLSGLYPVPFTHKAHDYPHFNIYIS FGGPKYCITALNTYVIPLFHHLLSTQFIYTYVNITKKSPLKSPKHKNILSFNDNT YER189W MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVISVMVGKNVQKFLTFVEDEPDFQGGPI PSNKPRDGLHVVSSAYFEIQ YER190W MVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHE KASARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSA YQASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDILHIILRACAL NFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALL VSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETALKDSYRITLVPSSDGVSVCG KLFNREYVRGFYFACKAQFDNLWEELNDCFYMPTVVDIASLILRNREVLFREPKRGID EYLENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMIHFAV GYPYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVF YFVTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFLNTAK GCLVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELM ANHSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNA EDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCH EIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRL SRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNV KLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGL TGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEE ALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKE FVTDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSR KNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVEL IERMDRLAEKQATASMSIVALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNA TTNSSTNATTTASTNVRTSATTTASINVRTSATTTESTNSSTNATTTASTNVRTSATT TASINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNA TTTASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLL ERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCKGCQK MFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSV KRGEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSN LRESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQY VKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFCNKTFRQ KLEYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFD ILLCRDSSREVGE YER190C-B MMPAKLQLDVLRTLQSSARHGTQTLKNSNFLERFHKDRIVFCLP FFPALFFVPVQKVLQHLCLRFTQVAPYFIIQLFDLPSRHAENLAPLLASCRIQYTNCF SSSSNGQVPSIISLYLRVDLSPFYAKIFQISYRVPMIWLDVFQVFFVFLVISQHSLHS YFL068W MMPAKLQLDVLRTLQSSARHGTQTLKNSNFLERFHKDRIVFCLP FFPALFLVPVQKVLQHLCLRFTQVAPYFIIQLFDLPSRHAENLAPLLASCRIQYTNCF SSSSNGQVPSIISLYLRVDLSPFYAKKFQIPYRVPMIWLDVFQVFFVFLVISQHSLHS YFL067W MESIILSIAIFIGVLLGTSVGAGSGSSISPDVDAGSGSRTSPDV DAGSGSRISAGVGTFSGSSTSPDVDAGSGSSTSPDVGAGSGSSISAGVGSRIGTGIGS RIGTGIGTGIGSRISTSIGSRISPDVGTSSGNRISTGVSTGISTTMNARVAVLITAAI LSAPVTAIALLEARR YFL066C MADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPY TVLLANCMIRLGRRGCLNVAPVRNFIEEGYDGVTDLYVGIYDDLASTNFTDRIAAWEN IVECTFRTNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEA VADAALQRIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHK IWKKVESQPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKL GAAEKVSRTKEFVTDGSMQVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRL RDGGLCYLLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCVC YFL065C MRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPHMFLLLHVDELSI FSAYQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDVLRVCCPS YFL064C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMNRKRSFEKIVISVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFPCPGTLSRNS ECQAERQLYDAYFH YFL062W MKENELKNEKSVDVLSFKQLESQKIVLPQDLFRSSFTWFCYEIY KSLAFRIWMLLWLPLSVWWKLSNNWIYPLMVSLLVLFWGPVFVLVIFRLSRKRSLSKQ LTQFCKEITKSTPSSDPHDWEVVAANLNSYLYENKAWNIRYFFFNAMGCQEAFRTTLL EPFSLKKDEAAKVKSFKDSVPYIEEALGVYFREVEKQWKLFNSEKSWSPVGLEDAKLP KEAYRFKLTWFLKRISNIFMLIPFLNFLCCIYVSRGMCLLLRTLYLGWILFMLVQGFQ NIRVLIMSMEHKMQFLSTIINEQESGANGWDEIARKMNRYLFEKKVWKNEEFFFDGID CEWFFSHFFYRVLSAKKSMRALSLNVELWPYIKEAQLSCSEESLA YFL061W MSQYGFVRVPREVEKAIPVVNAPRPRAVVPPPNSETARLVREYA AKELTAPVLNHSLRVFQYSVAIIRDQFPAWDLDQEVLYVTCLLHDIATTDKNMRATKM SFEYYGGILSRELVFNATGGNQDYADAVTEAIIRHQDLTGTGYITTLGLILQIATTLD NVGSNTDLIHIDTVSAINEQFPRLHWLSCFATVVDTENSRKPWGHTSSLGDDFSKKVI CNTFGYN YFL060C MTVVIGVLALQGAFIEHVRHVEKCIVENRDFYEKKLSVMTVKDK NQLAQCDALIIPGGESTAMSLIAERTGFYDDLYAFVHNPSKVTWGTCAGLIYISQQLS NEAKLVKTLNLLKVKVKRNAFGRQAQSSTRICDFSNFIPHCNDFPATFIRAPVIEEVL DPEHVQVLYKLDGKDNGGQELIVAAKQKNNILATSFHPELAENDIRFHDWFIREFVLK NYSK YFL059W MSEFKVKTGLAQMLKGGVIMDVVTPEQAIIAERAGACAVMALER IPADMRKSGQVCRMSDPRMIKEIMEAVSIPVMAKVRIGHFVEAQILEELQVDYIDESE VLTPADWTHHIEKHNFKVPFVCGAKDLGEALRRINEGAAMIRTKGEAGTGDVSEAVKH ITKIKAEIQQYKENLKTESDFAAKATELRVPVDLLKTTLSEGKLPVVNFAAGGVATPA DAALLMQLGCEGVFVGSGIFKSSDPEKLACAIVEATTHYDNPAKLLQVSSDLGDLMGG ISIQSINEAGGKNGARLSEIGW YFL058W MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDMAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLKAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRQEGTFK RLALPA YFL055W MAVLNLKRETVDIEETAKKDIKPYFASNVEAVDIDEDPDVSRYD PQTGVKRALKNRHISLLALGGVIGPGCLVGAGNALNKGGPLALLLGFSIIGIIAFSVM ESIGEMITLYPSGGGFTTLARRFHSDALPAVCGYAYVVVFFAVLANEYNTLSSILQFW GPQVPLYGYILIFWFAFEIFQLVGVGLFGETEYWLAWLKIVGLVAYYIFSIVYISGDI RNRPAFGFHYWNSPGALSHGFKGIAIVFVFCSTFYSGTESVALAATESKNPGKAVPLA VRQTLWRILVVYIGIAVFYGATVPFDDPNLSASTKVLKSPIAIAISRAGWAGGAHLVN AFILITCISAINGSLYIGSRTLTHLAHEGLAPKILAWTDRRGVPIPAITVFNALGLIS LMNVSVGAANAYSYIVNLSGVGVFIVWGVISYTHLRIRKAWVAQGRSIEELPYEALFY PWTPVLSLAANIFLALIQGWSYFVPFDAGNFVDAYILLPVGILLYIGICVFKSNHFRT VDLRSINLDEGRRKDMEADLSDQESSLASSETMKDYKSATFFRYLSNIFT YFL054C MSYESGRSSSSSESTRPPTLKEEPNGKIAWEESVKKSRENNEND STLLRRKLGETRKAIETGGSSRNKLSALTPLKKVVDERKDSVQPQVPSMGFTYSLPNL KTLNSFSDAEQARIMQDYLSRGVNQGNSNNYVDPLYRQLNPTMGSSRNRPVWSLNQPL PHVLDRGLAAKMIQKNMDARSRASSRRGSTDISRGGSTTSVKDWKRLLRGAAPGKKLG DIEAQTQRDNTVGADVKPTKLEPENPQKPSNTHIENVSRKKKRTSHNVNFSLGDESYA SSIADAESRKLKNMQTLDGSTPVYTKLPEELIEEENKSTSALDGNEIGASEDEDADIM TFPNFWAKIRYHMREPFAEFLGTLVLVIFGVGGNLQATVTKGSGGSYESLSFAWGFGC MLGVYVAGGISGGHINPAVTISMAIFRKFPWKKVPVYIVAQIIGAYFGGAMAYGYFWS SITEFEGGPHIRTTATGACLFTDPKSYVTWRNAFFDEFIGASILVGCLMALLDDSNAP PGNGMTALIIGFLVAAIGMALGYQTSFTINPARDLGPRIFASMIGYGPHAFHLTHWWW TWGAWGGPIAGGIAGALIYDIFIFTGCESPVNYPDNGYIENRVGKLLHAEFHQNDGTV SDESGVNSNSNTGSKKSVPTSS YFL053W MSHKQFKSDGNIVTPYLLGLARSNPGLTVIKHDRVVFRTASAPN SGNPPKVSLVSGGGSGHEPTHAGFVGEGALDAIAAGAIFASPSTKQIYSAIKAVESPK GTLIIVKNYTGDIIHFGLAAERAKAAGMKVELVAVGDDVSVGKKKGSLVGRRGLGATV LVHKIAGAAASHGLELAEVAEVAQSVVDNSVTIAASLDHCTVPGHKPEAILGENEYEI GMGIHNESGTYKSSPLPSISELVSQMLPLLLDEDEDRSYVKFEPKEDVVLMVNNMGGM SNLELGYAAEVISEQLIDKYQIVPKRTITGAFITALNGPGFGITLMNASKAGGDILKY FDYPTTASGWNQMYHSAKDWEVLAKGQVPTAPSLKTLRNEKGSGVKADYDTFAKILLA GIAKINEVEPKVTWYDTIAGDGDCGTTLVSGGEALEEAIKNHTLRLEDAALGIEDIAY MVEDSMGGTSGGLYSIYLSALAQGVRDSGDKELTAETFKKASNVALDALYKYTRARPG YRTLIDALQPFVEALKAGKGPRAAAQAAYDGAEKTRKMDALVGRASYVAKEELRKLDS EGGLPDPGAVGLAALLDGFVTAAGY YFL052W MARNRQACDCCCIRRVKCDRKKPCKCCLQHNLQCTYLRPLKKRG PKPVKVRNLKKVDDVQVFSKSSSGGIMKVPKALIDQCLRLYNDKLYVIWPLLCYDDLY ELLEKRYDETCVYWFLVSLSAATLSDLQTEIESEGGVTFTGIQLSSFCMSSRQEFDDF NGSDIFKIMTYYCLNRCYAQMSNSRTSYRLSCEAVGLIKLAGFHREETLKLLPFDEQQ LGRKVYYLLLLTERYFSVYTHCATSLDTTIAPPQPENVTDPRLSLDSFLEMIRVFTVP GKCFFDALATDSANVTCTEDSLKKIWRELHTVPLEIEPWSYGYVDISFSRHWIRTLAW KLVLQISGMRISFLSNSKNTHIPVEIARDMLEDTFLIPKNLYAVHGPGISVKALEIAD ALVDVVNQYDQNAESEAWNFLFDISKFVFSLKHCDSTLVDKFTTKCQCALITLPLSNP LESTDGSKEDVDALP YFL051C MSIPHSVFSALLVFVALATTTLASTEACLPTNKREDGMNINFYE YTIGDQTTYLEPEYMGYEYSNTKKLGSVSGQTNLSIYYSPPCESTPTCVTYAVLKRDE DGYDPCGPLYETKKRDTEYCDPNTAYWSSDLFGFYTTPTNVTVEMTGYLIWSMGNRRR YFL050C MSSLSTSFDSSSDLPRSKSVDNTAASMKTGKYPKLENYRQYSDA QPIRHEALALKVDETKDSRHKFSSSNGENSGVENGGYVEKTNISTSGRMDFEGEAEAE AVKRYQLRSFALLSSNARPSRLAKSETHQKQIHVESIAPSLPKNAALERGHDTALPAG TSSNRCNLEASSSARTFTSARKASLVSAIFETSAESEHGTHPKQAKLKRRTYSTISTH SSVNPTTLLTRTASQKSDMGNDTRRIKPLRMDSRVSFHSEISQASRDSQETEEDVCFP MFRLLHTRVNGVDFDELEEYAQISNAERNLSLANHQRHSERTYNHTDQDTGFTNSAST SGSSAALKYTPEISRTLEKNCSVNEMYVSENNESVREDDKPDLHPDVTFGRNKIEGEK EGNDSSYSRAYYTLQNTEYQIPSRFSFFRSESDETVHASDIPSLISEGQTFYELFKGG DPTWWLDCSCPTDDEMRCIAKTFGIHPLTAEDIRMQETREKVELFKSYYFVCFHTFEN DKESENYLEPINVYIVVFRSGVLTFHFDPISHCANVRRRVRQLRDYVSVNSDWLCYAL IDDITDSFAPVIQSIEYEADSIDDSVFMTRDMDFAAMLQRIGESRRKTMTLMRLLSGK ADVIKMFAKRCQDETNGIGPVLKSQTNMVNLQAEQENVNQNNSNNQISLSNSYMQTTS QPRGDIALYLGDIQDHLLTMFQNLLAYEKIFSRSHANYLAQLQVESFNSNNKVTEMLG KVTMLGTMLVPLNVITGLFGMNVKVPGRNGSIAWWYGILGVLLLLAVISWFLASYWIK KIDPPATLNEAAGSGAKSVISSFLPKRDKRFNDDSKNGNARVGVRRKSTVSLPSRYSR YN YFL049W MLGEDEGNTVLEKGNNPSVKQGEVGAVFIVPKILIREHERVILK QILQILDQDELVQPPLDKFPYKKLELPKYIDELKTRDATNTSYKMIQLDAYGEKKVGS NGELFGGRHYLFNTFTFTAHMGVLLVLLQDVIKVLYQSNATHDEDEFIVQHDQILVME TSEEQTKFLAKNGVIPEESKGSFKYITARSAFVEFGASVIAGGQRIVDDYWESLAKKQ NLSSHQRVFKLSTNLISKISLLRPSFQNNRISNANEISANTNNTCTISTSKFESQYPI VTEQPSAEIREAYIENFAKGEHISAIVPGQSISGTLELSAQFRVPRYHSKNSFQQALQ MKAMDIPIGRHEELLAQYESQAPDGSASISLPNHIPSVNPSNKPIKRMLSSILDINVS SSKNKKSEENEMIKPMNKGQHKNNTSLNINGWKFESLPLKSAENSGKQQYYRGLPLYE KNTLLERLKQLTPNEIKELEHLHDAVFVNTGLQNVRKVRTKKWKKYWQYKAGIPIGLK RSQLDEFKNKYLKDVLAQTSVTTNFNEITNTDETITTKRVPNPNFLGNCNIKDFKPPY IYSHVNKVPQNVAGDKTAVKLDTEVKNTNANPVVATDPVAAKPDNLANFSNEVAMNN YFL048C MMMLITMKSTVLLSVFTVLATWAGLLEAHPLGDTSDASKLSSDY SLPDLINARKVPNNWQTGEQASLEEGRIVLTSKQNSKGSLWLKQGFDLKDSFTMEWTF RSVGYSGQTDGGISFWFVQDSNVPRDKQLYNGPVNYDGLQLLVDNNGPLGPTLRGQLN DGQKPVDKTKIYDQSFASCLMGYQDSSVPSTIRVTYDLEDDNLLKVQVDNKVCFQTRK VRFPSGSYRIGVTAQNGAVNNNAESFEIFKMQFFNGVIEDSLIPNVNAMGQPKLITKY IDQQTGKEKLIEKTAFDADKDKITNYELYKKLDRVEGKILANDINALETKLNDVIKVQ QELLSFMTTITKQLSSKPPANNEKGTSTDDAIAEDKENFKDFLSINQKLEKVLVEQEK YREATKRHGQDGPQVDEIARKLMIWLLPLIFIMLVMAYYTFRIRQEIIKTKLL YFL047W MLSFCDYFWSEDLVSGLDVLFDRLYHGCEQCDLFIQLFASRMQF EVSHGRQLFGIEAGMDNLKAVQEDEDEGVTVSRALRGILQEMSQEGTHHLTIASNIES LVLQPFSKWCIEHRERIQYSEKTLLTNVNNFRKSKKYVGKLEKEYFNKCRQLEEFKRT HFNEDELANAMKSLKIQNKYEEDVAREKDHRFFNRIAGIDFDYKTMKETLQLLLTKLP KTDYKLPLISYSLSNTNNGGEITKFLLDHMSLKDIDQAETFGQDLLNLGFLKYCNGVG NTFVNSKKFQYQWKNTAYMFANVPMPGSEEPTTGESLISRFNNWDGSSAKEIIQSKIG NDQGAAKIQAPHISDNERTLFRMMDALAASDKKYYQECFKMDALRCSVEELLIDHLSF MEKCESDRLNAIKKATLDFCSTLGNKISSLRLCIDKMLTLENDIDPTADLLQLLVKYK TGSFKPQAIVYNNYYNPGSFQNFGVDLETRCRLDKKVVPLIISSIFSYMDKIYPDLPN DKVRTSIWTDSVKLSLTHQLRNLLNKQQFHNEGEIFDILSTSKLEPSTIASVVKIYLL ELPDPLIPNDVSDILRVLYLDYPPLVETALQNSTSSPENQQDDDNEEGFDTKRIRGLY TTLSSLSKPHIATLDAITTHFYRLIKILKMGENGNEVADEFTVSISQEFANCIIQSKI TDDNEIGFKIFYDLLTHKKQIFHELKRQNSKN YFL046W MLKRIVGLPARRCFHRTSFLLGSDFETVHIPNTNHFKDLLIENG KFQEDQATTIVEIMTDAIRGGVNHVSQDLAKREKLTQLSYQQRVDFAKLRDQLLSADR SEFHNIQNEYESVKNDLEKLRNKLREEITKTNAGFKLDLSLEKGRIREESSHHDLQIK EIDTKIEQEVTNMKMQIDSVKTQVMQWLIGVCTGTFALVLAYMRLLT YFL045C MSIAEFAYKEKPETLVLFDVDGTLTPARLTVSEEVRKTLAKLRN KCCIGFVGGSDLSKQLEQLGPNVLDEFDYSFSENGLTAYRLGKELASQSFINWLGEEK YNKLAVFILRYLSEIDLPKRRGTFLEFRNGMINVSPIGRNASTEERNEFERYDKEHQI RAKFVEALKKEFPDYGLTFSIGGQISFDVFPAGWDKTYCLQHVEKDGFKEIHFFGDKT MVGGNDYEIFVDERTIGHSVQSPDDTVKILTELFNL YFL044C MKLKVTGAGINQVVTLKQDATLNDLIEHINVDVKTMRFGYPPQR INLQGEDASLGQTQLDELGINSGEKITIESSDSNESFSLPPPQPKPKRVLKSTEMSIG GSGENVLSVHPVLDDNSCLFHAIAYGIFKQDSVRDLREMVSKEVLNNPVKFNDAILDK PNKDYAQWILKMESWGGAIEIGIISDALAVAIYVVDIDAVKIEKFNEDKFDNYILILF NGIHYDSLTMNEFKTVFNKNQPESDDVLTAALQLASNLKQTGYSFNTHKAQIKCNTCQ MTFVGEREVARHAESTGHVDFGQNR YFL042C MSDVDNWEPVSDNEDSTDSVKQLGPPFEHASNNDNAGDTEAESL QEVPLNTETNDVRKNLVVITNQSAADEHPTEIKHDQSRTSSTSSFFSGMISSFKSNVP SPVSRSTTPTSPVSQPSIISHRREPSMGSKRRSSRRISNATIAEIGSPLQQVEKPDEV KTRLTPSQMKEDNYDHRRFVEERYMDTPYHYASEQRNKDFHETFKSVPKDDRLLDDFN CGLNRELLYQGKLYITETHLCFNSNVLGWIAKVLIAFEDVTFMEKTSAAGLFPSAISI ETKMGKTLFNGFISRDAAFGLMKEVWSRTLLQKDMASENINTKAEKSGNGKEIDDAIN SIDEENNDKDANDNDTNENDDENISTNETTPNSTSSSPDKEKEKAYKLRADSSYQYDG PIYHHSTSFPAEPMANNEFVLKELPFDCAPGILFEIMFNSEQNEFLLDFLRGQEGSQI TTIPNFTSIDGSSMTLKREYSYEKALHFPAGPKSTTCYVAEVIKRKDPDTYYEVISSI RTPNVPSGGSFSTKTRYLIRWNDEITCLLRVSFWVEWTGSSWIKGMVENGCKNGQLEA AQLMERILSKFIKNNVEECQITISKEEEEQDDKEVKNKLKEVDLEQPREAVVTAPAIA EQQGLKVTMETWLFLYLIVVVLLLFNLFYIRSIAVSLHQLVKLQLVELKL YFL041W-A MKMWGPSQRDSFREITYKVKFKYDDGDYSLAIDLMSRDCINVYE LITDRLLVDFLSKKLLKLR YFL041W MLFYSFVWSVLAASVALAKTHKLNYTASWVTANPDGLHEKRMIG FNGEWPLPDIHVEKGDRVELYLTNGFQDNTATSLHFHGLFQNTSLGNQLQMDGPSMVT QCPIVPGQTYLYNFTVPEQVGTFWYHAHMGAQYGDGMRGAFIIHDPEEPFEYDHERVI TLSDHYHENYKTVTKEFLSRYNPTGAEPIPQNILFNNTMNVTLDFTPGETYLFRFLNV GLFVSQYIILEDHEMSIVEVDGVYVKPNFTDSIYLSAGQRMSVLIKAKDKMPTRNYAM MQIMDETMLDVVPPELQLNQTIQMRYGHSLPEARALNIEDCDLDRATNDFYLEPLIER DLLAHYDHQIVMDVRMVNLGDGVKYAFFNNITYVTPKVPTLTTLLTSGKLASDPRIYG DNINAQLLKHNDIIEVVLNNYDSGRHPFHLHGHNFQIVQKSPGFHVDEAYDESEQDEM TVPYNESAPLQPFPERPMVRDTVVLEPSGHVVLRFRADNPGVWYFHCHVDWHLQQGLA SVFIEAPVLLQEREKLNENYLDICKAADIPVVGNAAGHSNDWFDLKGLPRQPEPLPKG FTTEGYLALIISTIIGVWGLYSIAQYGIGEVIPNDEKVYHTLREILAENEIEVSRG YFL040W MTAMKAIVWRLPKMPKIKITKTYEVTKITAILTLVGFIMGLEVP SLATFLTNKTFNEYFKYPTPLQQGLLMGSTPLGGIMGCFICCIMNDRFSRIYQFQSGI IIWNIVTLLNFCIWDILGLLICRMIKGMILGNFSILVASYANEVIPRGKRGSTMSYIQ LCLTIGILVMHYLCIALSLWDSHFAFRIAWCIGIIPGLLFWMASYALPESYHWLVLHG KMSEAQEIQHNLAKKFNESQPRDAVPEMSKIELAGDFWIGVNDLDFSKKLPRGSFKPL ILGMTLQLLVQFSGINIILGYITYICEIVGLEGNVKLFTSSIPYFINMVLSLLPITFI DYTSRKLITLLGGFPISGLLITIGALFVKYGQDTKPIDGNRSLVWSIGENPFVGGWIL TLCFLIVGIFAMSLSSIPWVYTNEMLPSRVKVKGFAICVTFGWLGNFILTFLCPVMIE RLKGTTFIIFGSLTFLISLSVLIWFPETKGMSIEDIDKFFEFESKEGTNLHGEKGIKT PDSNSNGGSTRSSQEGQLHKPIKLKSDEEMII YFL039C MDSEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHQGIM VGMGQKDSYVGDEAQSKRGILTLRYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPMNPKSNREKMTQIMFETFNVPAFYVSIQAVLSLYSSGRTTGIVLDSGDGVT HVVPIYAGFSLPHAILRIDLAGRDLTDYLMKILSERGYSFSTTAEREIVRDIKEKLCY VALDFEQEMQTAAQSSSIEKSYELPDGQVITIGNERFRAPEALFHPSVLGLESAGIDQ TTYNSIMKCDVDVRKELYGNIVMSGGTTMFPGIAERMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLTTFQQMWISKQEYDESGPSIVHHKCF YFL038C MNSEYDYLFKLLLIGNSGVGKSCLLLRFSDDTYTNDYISTIGVD FKIKTVELDGKTVKLQIWDTAGQERFRTITSSYYRGSHGIIIVYDVTDQESFNGVKMW LQEIDRYATSTVLKLLVGNKCDLKDKRVVEYDVAKEFADANKMPFLETSALDSTNVED AFLTMARQIKESMSQQNLNETTQKKEDKGNVNLKGQSLTNTGGGCC YFL037W MREIIHISTGQCGNQIGAAFWETICGEHGLDFNGTYHGHDDIQK ERLNVYFNEASSGKWVPRSINVDLEPGTIDAVRNSAIGNLFRPDNYIFGQSSAGNVWA KGHYTEGAELVDSVMDVIRREAEGCDSLQGFQITHSLGGGTGSGMGTLLISKIREEFP DRMMATFSVLPSPKTSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICQRTLKLN QPSYGDLNNLVSSVMSGVTTSLRYPGQLNSDLRKLAVNLVPFPRLHFFMVGYAPLTAI GSQSFRSLTVPELTQQMFDAKNMMAAADPRNGRYLTVAAFFRGKVSVKEVEDEMHKVQ SKNSDYFVEWIPNNVQTAVCSVAPQGLDMAATFIANSTSIQELFKRVGDQFSAMFKRK AFLHWYTSEGMDELEFSEAESNMNDLVSEYQQYQEATVEDDEEVDENGDFGAPQNQDE PITENFE YFL036W MLRPAYKSLVKTSLLQRRLISSKGSKLFKPSPDSTSTILISEDP LVTGSSPTSSTTSGIISSNDFPLFNKNRKDAKSSISYQWKNPSELEFDPFNKSHASAV TSMTRTRDVMQLWSLLEACLQSNLMKRAFSILESLYLVPEHKQRFIEDYNMYLNSFSK NDPNFPILKMNEKLTNDLETSFKDVNYNDKTLAIMIHHALNFHSTTSSMLLKPIISAY LKMSVNGIREIFSCLDILTISDLNILMNDLKVITPSQLPNSVRPILESLTLSPTPVNN IENEEGLNKVEAENDSKLHKASNASSDSIKKPSLDPLREVSFHGSTEVLSKDAEKLIA VDTIGMRVIRHTLLGLSLTPEQKEQISKFKFDANDNVLKMKPTKNDDNNNSINFFEIY NSLPTLEEKKAFESALNIFNQDRQKVLENRATEAARERWKHDFEEAKARGDISIEKNL NVKLWKWYNEMLPLVKEEINHCRSLLSEKLSDKKGLNKVDTNRLGYGPYLTLIDPGKM CVITILELLKLNSTGGVIEGMRTARAVISVGKAIEMEFRSEQVLKSESQAFRDVNKKS PEFKKLVQNAKSVFRSSQIEQSKILWPQSIRARIGSVLISMLIQVAKVSVQGVDPVTK AKVHGEAPAFAHGYQYHNGSKLGVLKIHKTLIRQLNGERLIASVQPQLLPMLVEPKPW VNWRSGGYHYTQSTLLRTKDSPEQVAYLKAASDNGDIDRVYDGLNVLGRTPWTVNRKV FDVVSQVWNKGEGFLDIPGAQDEMVLPPAPPKNSDPSILRAWKLQVKTIANKFSSDRS NRCDTNYKLEIARAFLGEKLYFPHNLDFRGRAYPLSPHFNHLGNDMSRGLLIFWHGKK LGPSGLKWLKIHLSNLFGFDKLPLKDRVAFTESHLQDIKDSAENPLTGDRWWTTADKP WQALATCFELNEVMKMDNPEEFISHQPVHQDGTCNGLQHYAALGGDVEGATQVNLVPS DKPQDVYAHVARLVQKRLEIAAEKGDENAKILKDKITRKVVKQTVMTNVYGVTYVGAT FQIAKQLSPIFDDRKESLDFSKYLTKHVFSAIRELFHSAHLIQDWLGESAKRISKSIR LDVDEKSFKNGNKPDFMSSVIWTTPLGLPIVQPYREESKKQVETNLQTVFISDPFAVN PVNARRQKAGLPPNFIHSLDASHMLLSAAECGKQGLDFASVHDSYWTHASDIDTMNVV LREQFIKLHEVDLVLRLKEEFDQRYKNYVKIGKLKRSTDLAQKIIRIRKDLSRKLGRS TTLADEIYFEKKRQELLNSPLIEDRNVGEKMVTTVSLFEDITDLDALELENGGDENSG MSVLLPLRLPEIPPKGDFDVTVLRNSQYFFS YFL034C-B MSFFNFKAFGRNSKKNKNQPLNVAQPPAMNTIYSSPHSSNSRLS LRNKHHSPKRHSQTSFPAQKSTPQSQQLTSTTPQSQQQEASERSESQQIMFLSEPFVR TALVKGSFKTIVQLPKYVDLGEWIALNVFEFFTNLNQFYGVVAEYVTPDAYPTMNAGP HTDYLWLDANNRQVSLPASQYIDLALTWINNKVNDKNLFPTKNGLPFPQQFSRDVQRI MVQMFRIFAHIYHHHFDKIVHLSLEAHWNSFFSHFISFAKEFKIIDRKEMAPLLPLIE SFEKQGKIIYN YFL034C-A MAPNTSRKQKVIKTLTVDVSSPTENGVFDPASYSKYLIDHIKVD GAVGNLGNAIEVTEDGSIVTVVSSAKFSGKYLKYLTKKYLKKNQLRDWIRFVSIRQNQ YKLVFYQVTPEDADEEEDDE YFL034W MSDSEEDLGVQLKGLKIARHLKESGEHTDEESNSSPEHDCGLSN QDDLTVMHTQAKEEVFKRREEDGTRTEDALHEGEAGKEGTGFPSSQSVCSPNEADSGI DRADKPILLDPFKSVHDTDPVPGTKSRSNSDSDSDSDDGGWQEMPAVSSFNIYNHRGE LELTSKVRNSEQASETSPTVPPGKNCKSVNDSRFDYTKMAAEQQAQRSYRTNKKTDFL FDHKVLKKKINSSQTSVNLTSSPSTTSLNNEKNNDDDDDDSYDEYEDDVEPVNDLNRD SQLNITKNLLSDMEKFAYVGAINILANQMCTNLATLCLCIDIKSHKKLAHRLQFTQKD MAAWKTVVLSRLYDHLGISQEEIVMIEKLSLHKIQLEDLCKCLKTTQSIDNPWENDRD HEEDGIEETTERMSPNEQNGSVQASTPDPEQSATPETPKAKQSPLSSDVPGKVLDPEN VKSQDKLNIDVAWTIICDLFLICLQSSTYDSRSRTLLINFAKVLNMTSLEICEFERRV TDSLDMEQSTEDQVWDEQDHMRNRRRSKRRKKMAYVALAMVGGSLVLGLSGGLLAPVI GGGIAAGLSTIGITGATSFLTGVGGTTVVAVSSTAIGANIGARGMSKRMGSVRTFEFR PLHNNRRVNLILTVSGWMVGNEDDVRLPFSTVDPVEGDLYSLYWEPEMLKSIGQTVSI VATEIFTTSLQQILGATVLTALISSIQWPMALSKLGYILDNPWNVSLDRAWSAGKILA DTLIARNLGARPITLVGFSIGARVIFSCLIELCKKKALGLIENVYLFGTPAVMKKEQL VMARSVVSGRFVNGYSDKDWFLAYLFRAAAGGFSAVMGISTIENVEGIENINCTEFVD GHLNYRKSMPKLLKRIGIAVLSEEFVEIEEMMNPEEVKRKRKLINDVDAAQKKLSERK KHNSWVPKWLKPKKSKWKVMVEEAVEEGRDMQDLPENDVNNNENENPDEHEGIARQKR RDAALVDHGALMHELQLIKQAMHEDEIKNKACLPGEDKEVESSNDFLGESHYKPPSTP KINPPQSPNNFQLLSAGRTILPEDDDFDPRGKKKVEFSFPDDI YFL033C MFNRSNTAGGSQAMKEGLGINKLSPISSNSNPSSLTSSNYEKYL QLATEKNPCMILELELDGKVRYGSPQWNTITGVADDSGSSPTYIADLILGSDQDKGVF QKATDMLLMNDDTSCTITFKIKAADYEGSAGCDDESTITTLEARGILIRDGHTQLPSH TMWIVKPRTNDWSDFYANEDAQDDMVIQLSDNCDDIDIQLPEEFAKTLGFGAKIFVQY LKRIRLEMIIDEFNLPLPKMELCRVCENFVPVWWLETHSQSCVCEHRTESLIQLLHDN LLEQQAILANFTKDSEYKGSQIQVRSNNFLNQVLDSLRELCQDAIDINPSEMVPDLYH SLSTFPQDNGNNNNNNNNNNNNNNALLDQFPIQKDTVSLNSYFQFSPRTNHNIQNVTS WQSRFFLNDDQDPGLALLIHDTLDLARKKVDAVLRLDNAMTYSLKIKNEVNNYVVQLI REQIEINKHAILTHPMNLRSSSIFHSPLPQIHSQQPEAENLIYSSSTPLQVQHDQCAS FEAPSKSHLEPIPFPVSSIEETPTANDIRHPSPLPRSCSNTVMKLPTPRRKLDSNGLF SDAYLNADIIPNPSIESTISIDRDNNTNSRGSSMKQYGIGEATDSRTSNSERPSSSSS RLGIRSRSITPRQKIEYSHVDNDDRTNEMLSRDKDSLQPQPSVDTTITSSTQATTTGT KTNSNNSTNSVLPKLMTSISLTPRRGSPSFGNLASHSMQQTNSFKLIHDKSPISSPFT FSKDFLTPEQHPSNIARTDSINNAMLTSPNMPLSPLLLATNQTVKSPTPSIKDYDILK PISKGAYGSVYLARKKLTGDYFAIKVLRKSDMIAKNQVTNVKSERAIMMVQSDKPYVA RLFASFQNKDNLFLVMEYLPGGDLATLIKMMGYLPDQWAKQYLTEIVVGVNDMHQNGI IHHDLKPENLLIDNAGHVKLTDFGLSRAGLIRRHKFVPHKSSLSISSTLPIDNPANNF TMNNNNSNHSQLSTPDSFTSDHKQYNRSKKSSLGQQYEHSEYSSTSNSHSMTPTPSTN TVVYPSYYRGKDRSHGSSNIDLPASLRRSESQLSFSLLDISRSSTPPLANPTNSNANN IMRRKSLTENKSFSNDLLSSDAIAATNTNINSNNNISLSPAPSDLALFYPDDSKQNKK FFGTPDYLAPETIEGKGEDNKQCDWWSVGCIFFELLLGYPPFHAETPDAVFKKILSGV IQWPEFKNEEEEREFLTPEAKDLIEKLLVVDPAKRLGAKGIQEIKDHPYFKNVDWDHV YDEEASFVPTIDNPEDTDYFDLRGAELQDFGDDIENDNANILFGKHGINTDVSELSAA NLSPPLNHKNILSRKLSMSNTTNRSSNNSNSSVHDFGAHTPVNKLSIASVLESVPQET GYITPNGTGTTTTSAKNSPNLKNLSLAIPPHMRDRRSSKLNDSQTEFGSFNFRNLSAL DKANKDAINRLKSEHFSEQPGVHRRTSSASLMGSSSDGSVSTPGSNASNTTSGGKLKI HKPTISGSPSTFGTFPKTFLRSDSFSTRSYSPERSISIDSSTLSRKGSIIGDNQQTTA NSSDSPTMTKFKSPLSPANTTTVSSYFSRQRVLSKSFSQRTNSSDLSAEESDRLQAIS RVNSLRNRRRSGRKSSSTSEIGYHMDVLVCEPIPIHRYRVTKDLENLGCTVVSVGAGD ELVSRATSGVSFDLIMTALKLPKLGAIDIVQLLKQTNGANSTTPIVAITNYFQEAATS RVFDDVLEKPVKLDELKKLVAKYALKKSQEDEEHTILSDSDETH YFL031W MEMTDFELTSNSQSNLAIPTNFKSTLPPRKRAKTKEEKEQRRIE RILRNRRAAHQSREKKRLHLQYLERKCSLLENLLNSVNLEKLADHEDALTCSHDAFVA SLDEYRDFQSTRGASLDTRASSHSSSDTFTPSPLNCTMEPATLSPKSMRDSASDQETS WELQMFKTENVPESTTLPAVDNNNLFDAVASPLADPLCDDIAGNSLPFDNSIDLDNWR NPEAQSGLNSFELNDFFITS YFL030W MTKSVDTLLIPGPIILSGAVQKALDVPSLGHTSPEFVSIFQRVL KNTRAVFKSAAASKSQPFVLAGSGTLGWDIFASNFILSKAPNKNVLVVSTGTFSDRFA DCLRSYGAQVDVVRPLKIGESVPLELITEKLSQNSYGAVTVTHVDTSTAVLSDLKAIS QAIKQTSPETFFVVDAVCSIGCEEFEFDEWGVDFALTASQKAIGAPAGLSISLCSSRF MDYALNDSKNGHVHGYFSSLRRWTPIMENYEAGKGAYFATPPVQLINSLDVALKEILE EGLHKRWDLHREMSDWFKDSLVNGLQLTSVSRYPSNMSAHGLTAVYVADPPDVIAFLK SHGVVIAGGIHKDIGPKYIRIGHMGVTACNKNLPYMKNCFDLIKLALQRKK YFL029C MKLDSIDITHCQLVKSTRTARIYRSDTYAIKCLALDFDIPPHNA KFEVSILNKLGNKCKHILPLLESKATDNNDLLLLFPFEEMNLYEFMQMHYKRDRRKKN PYYDLLNPSIPIVADPPVQKYTNQLDVNRYSLSFFRQMVEGIAFLHENKIIHRDIKPQ NIMLTNNTSTVSPKLYIIDFGISYDMANNSQTSAEPMDSKVTDISTGIYKAPEVLFGV KCYDGGVDVWSLLIIISQWFQRETSRMGHVPAMIDDGSDDMNSDGSDFRLICSIFEKL GIPSIQKWEEVAQHGSVDAFVGMFGADGDGKYVLDQEKDVQISIVERNMPRLDEIADV KVKQKFINCILGMVSFSPNERWSCQRILQELEKP YFL028C MVSQFAIEVRNLTYKFKESSDPSVVDINLQIPWNTRSLVVGANG AGKSTLLKLLSGKHLCLDGKILVNGLDPFSPLSMNQVDDDESVEDSTNYQTTTYLGTE WCHMSIINRDIGVLELLKSIGFDHFRERGERLVRILDIDVRWRMHRLSDGQKRRVQLA MGLLKPWRVLLLDEVTVDLDVIARARLLEFLKWETETRRCSVVYATHIFDGLAKWPNQ VYHMKSGKIVDNLDYQKDVEFSEVVNAKVNGQVAFENDNNKVVISKVNSLHPLALEWL KRDNQIPDKEIGI YFL027C MPLRSLFHTNQSSHDKDALTRGGYNAYLESLSRCDSGKAEEQKG KVISKLLEKKDVRALRYIGLGPLGFVNNSLRKDCWYELLASQLLIDDATEYITPVEKH KDEGQVILDAERSFGGIVDKNLKLQLRKLLVELITRVLRKYPTLNYYQGYHDIVSVFI MCFSWNVTKENGLELENLSLQEEIDMERLFYCIEAFTLLYLRDFMMNSLDFSFEQLRV ISSLIKESNMKFYNLFKFDENEPLFAIGSILTIFAHNLKPIDSGDNNLHKILFQIFDM TISMQSMRLPLIIYKNLLLQNASEISKQIEANSDFFENDFDLRHGAIQTVLQKKLYDE ALWEEVLQITRKDATTASKKALKRVSLNKYSALLNTACGKPGCFDMSTIIFYLSEQTK MNEHYKEEKYHGVAARSKTRALVQRLGHFLPSKYNRWSKISLLIGIVAILYQLRTTRS LSLVLNLRYMISTKLKDLSHININLHHVSHIWVDPIRDILKLGHPTR YFL026W MSDAAPSLSNLFYDPTYNPGQSTINYTSIYGNGSTITFDELQGL VNSTVTQAIMFGVRCGAAALTLIVMWMTSRSRKTPIFIINQVSLFLIILHSALYFKYL LSNYSSVTYALTGFPQFISRGDVHVYGATNIIQVLLVASIETSLVFQIKVIFTGDNFK RIGLMLTSISFTLGIATVTMYFVSAVKGMIVTYNDVSATQDKYFNASTILLASSINFM SFVLVVKLILAIRSRRFLGLKQFDSFHILLIMSCQSLLVPSIIFILAYSLKPNQGTDV LTTVATLLAVLSLPLSSMWATAANNASKTNTITSDFTTSTDRFYPGTLSSFQTDSINN DAKSSLRSRLYDLYPRRKETTSDKHSERTFVSETADDIEKNQFYQLPTPTSSKNTRIG PFADASYKEGEVEPVDMYTPDTAADEEARKFWTEDNNNL YFL025C MGIRRLVSVITRPIINKVNSSGQYSRVLATREDQDKASPKYMNN DKIAKKPYTYRLFSILGILSICSLLLISLLKPFNGADAPQCESIYMFPSYARIDGFDE RYTPLAHKYHLYLYREQSVDREPLNGDELQLDGIPVLFIPGNAGSFRQCRSIASACSN IYFDSNTRATLRNENVRNLDFFTADFNEDFTAFHGETMLDQAEYLNDAIKYILSLYER TPDYPHPKPQSVIIVGHSMGGIVSRVMLTLKNHVPGSISTILTLSSPHAASPVTFDGD ILKLYKNTNEYWRKQLSQNDSFFSKNISLVSITGGILDTTLPADYASVEDLVSLENGF TSFTTTIPDVWTPIDHLAIVWCKQLREVLARLLLESIDASKPEKVKPLNQRLQIARKL LLSGFEDYSWMNSKLNYPQENLQEFSDNFFSDYATLEMNDVLDFEMFNLEKWHNNYTK INIPSNISSTEHLHFTLLTSLDMPMIYFCTESRVNLSCITAVDSILTVPRSSKDTQFA ADSSFGEAKNPFKAVSVGKNILQKYDYLMISKPTYGEFSEQEGMEDNQGFLLALLRNV SNVQIVNTTPSQILLFGEQLHLDGKDIEQVISFSNLWDSLLSYKLETKIEASNEGIAS EETLFQPFIRQWVYEPFESKWHLNIINKSLDINMHNVAPFIPLNESEPRSLQLSFFIP PGMSLEAKMTINWSLTLKMLFIRYRLALASFPVAFIALVLSYQFYWYNKTSEFPSFDS TLGYILRKHGILMFFTLFLASPVVNNKLVQRILYLLDPVGLNYPFLLSERNMHANFYY LGIRDWFMSTIGILFGVMTVGLLALVSKIFGSLEILVIFLQRKLSKKNTEDKEAFDTI EHKAYGKGRLMASVLLLLLVFFHIPYQMAFVISLVIQIATCIRVALLKLSNNEQKLNL LNYNMTLLLLLLFVSAINIPIIIVFLHNVAIKWETSFRSHHNILAVAPIIFLVGNNSI FKMPNSVPLDTWDGKVTIILFVYLTVFSFIYGIRNLYWIHHLVNIICAWLLFFETIH YFL024C MPTPSNAIEINDGSHKSGRSTRRSGSRSAHDDGLDSFSKGDSGA GASAGSSNSRFRHRKISVKQHLKIYLPNDLKHLDKDELQQREVVEIETGVEKNEEKEV HLHRILQMGSGHTKHKDYIPTPDASMTWNEYDKFYTGSFQETTSYIKFSATVEDCCGT NYNMDERDETFLNEQVNKGSSDILTEDEFEILCSSFEHAIHERQPFLSMDPESILSFE ELKPTLIKSDMADFNLRNQLNHEINSHKTHFITQFDPVSQMNTRPLIQLIEKFGSKIY DYWRERKIEVNGYEIFPQLKFERPGEKEEIDPYVCFRRREVRHPRKTRRIDILNSQRL RALHQELKNAKDLALLVAKRENVSLNWINDELKIFDQRVKIKNLKRSLNISGEDDDLI NHKRKRPTIVTVEQREAELRKAELKRAAAAAAAAKAKNNKRNNQLEDKSSRLTKQQQQ QLLQQQQQQQQNALKTENGKQLANASSSSTSQPITSHVYVKLPSSKIPDIVLEDVDAL LNSKEKNARKFVQEKMEKRKIEDADVFFNLTDDPFNPVFDMSLPKNFSTSNVPFASIA SSKFQIDRSFYSSHLPEYLKGISDDIRIYDSNGRSRNKDNYNLDTKRIKKTELYDPFQ ENLEIHSREYPIKFRKRVGRSNIKYVDRMPNFTTSSTKSACSLMDFVDFDSIEKEQYS REGSNDTDSINVYDSKYDEFVRLYDKWKYDSPQNEYGIKFSDEPARLNQISNDTQVIR FGTMLGTKSYEQLREATIKYRRDYITRLKQKHIQHLQQQQQQQQQQQQQAQQQKQKSQ NNNSNSSNSLKKLNDSLINSEAKQNSSITQKNSS YFL023W MDLLAASVESTLKNLQDKRNFLSEQREHYIDIRSRLVRFINDND DGEEEGEGQGMVFGDIIISTSKIYLSLGYEYYVEKTKEEAITFVDDKLKLMEDAIEQF NLKIEEAKKTLDNLNHMEDGNGIEEDEANNDEDFLPSMEIREELDDEGNVISSSVTPT TKQPSQSNSKKEQTPAVGPKEKGLAKEKKSKSFEENLKGKLLKRNDEVKKKVQPSKVD TENVYTFADLVQQMDQQDELEDGYIETDEINYDYDAFENSNFKVNDNYEEDDEDEDEE EYLNHSIIPGFEAQSSFLQQIQRLRAQKQSQDHEREEGDVNKSLKPILKKSSFAENSD KKQKKKQVGFASSLEIHEVENLKEENKRQMQSFAVPMYETQESTGIANKMTSDEFDGD LFAKMLGVQEADEVHEKYKEELINQERLEGEASRSNRRTRVSRFRKDRASKKENTLST FKQETTRSVENEVVEKEPVVGDIIEKEPVVGDVIEKEPVVGDVIEKEPAVTDIVEREP AVNDIVERKPVVGDIIEKEPTINDIVEKEPEINSKSEFETPFKKKKLKSLQKPRSSKS MKKKFDPKILENISDDDYDDDDDGNKKLLSNKSKNNTDEQDKFPSKIQEVSRSMAKTG ATVGSEPVRITNVDYHALGGNLDDMVKAYSLGLYDDDLEEDPGTIVEKLEDFKEYNKQ VELLRDEIRDFQLENKPVTMEEEENDGNVMNDIIEHEFPESYTNDEDEVALHPGRLQE EVAIEYRRLKEATASKWQSSSPAAHTEGELEPIDKFGNPVKTSRFRSQRLHMDSKP YFL022C MSDFQLEILKKLDELDEIKSTLATFPQHGSQDVLSALNSLKAHN KLEFSKVDTVTYDLTKEGAQILNEGSYEIKLVKLIQELGQLQIKDVMSKLGPQVGKVG QARAFKNGWIAKNASNELELSAKLQNTDLNELTDETQSILAQIKNNSHLDSIDAKILN DLKKRKLIAQGKITDFNVTKGPEFSTDLTKLETDLTSDMVSTNAYKDLKFKPYNFNSQ GVQISSGALHPLNKVREEFRQIFFSMGFTEMPSNQYVETGFWNFDALYVPQQHPARDL QDTFYIKDPLTADLPDDKTYMDNIKAVHEQGRFGSIGYRYNWKPEECQKLVLRTHSTA ISARMLHDLAKDPKPTRLFSIDRVFRNEAVDATHLAEFHQVEGVLADYNITLGDLIKF MEEFFERMGVTGLRFKPTYNPYTEPSMEIFSWHEGLQKWVEIGNSGMFRPEMLESMGL PKDLRVLGWGLSLERPTMIKYKVQNIRELLGHKVSLDFIETNPAARLDEDLYE YFL021W MHVFFPLLFRPSPVLFIACAYIYIDIYIHCTRCTVVNITMSTNR VPNLDPDLNLNKEIWDLYSSAQKILPDSNRILNLSWRLHNRTSFHRINRIMQHSNSIM DFSASPFASGVNAAGPGNNDLDDTDTDNQQFFLSDMNLNGSSVFENVFDDDDDDDDVE THSIVHSDLLNDMDSASQRASHNASGFPNFLDTSCSSSFDDHFIFTNNLPFLNNNSIN NNHSHNSSHNNNSPSIANNTNANTNTNTSASTNTNSPLLRRNPSPSIVKPGSRRNSSV RKKKPALKKIKSSTSVQSSATPPSNTSSNPDIKCSNCTTSTTPLWRKDPKGLPLCNAC GLFLKLHGVTRPLSLKTDIIKKRQRSSTKINNNITPPPSSSLNPGAAGKKKNYTASVA ASKRKNSLNIVAPLKSQDIPIPKIASPSIPQYLRSNTRHHLSSSVPIEAETFSSFRPD MNMTMNMNLHNASTSSFNNEAFWKPLDSAIDHHSGDTNPNSNMNTTPNGNLSLDWLNL NL YFL020C MVKLTSIAAGVAAIAAGASAAATTTLSQSDERVNLVELGVYVSD IRAHLAEYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPADQVTRVITGVPWYSS RLKPAISSALSADGIYTIAN YFL019C MIVELYSNIIEVRRYTTKDSLCSIFESGSTSHFEINQLQVKRLN LLQNQFASVFTSFHPKDNTKGIHINFFSPVTRITDLQYSFFYTNQILFGTRYLIKMIQ NFVTGKVFTRTNQSK YFL018C MLRIRSLLNNKRAFSSTVRTLTINKSHDVVIIGGGPAGYVAAIK AAQLGFNTACVEKRGKLGGTCLNVGCIPSKALLNNSHLFHQMHTEAQKRGIDVNGDIK INVANFQKAKDDAVKQLTGGIELLFKKNKVTYYKGNGSFEDETKIRVTPVDGLEGTVK EDHILDVKNIIVATGSEVTPFPGIEIDEEKIVSSTGALSLKEIPKRLTIIGGGIIGLE MGSVYSRLGSKVTVVEFQPQIGASMDGEVAKATQKFLKKQGLDFKLSTKVISAKRNDD KNVVEIVVEDTKTNKQENLEAEVLLVAVGRRPYIAGLGAEKIGLEVDKRGRLVIDDQF NSKFPHIKVVGDVTFGPMLAHKAEEEGIAAVEMLKTGHGHVNYNNIPSVMYSHPEVAW VGKTEEQLKEAGIDYKIGKFPFAANSRAKTNQDTEGFVKILIDSKTERILGAHIIGPN AGEMIAEAGLALEYGASAEDVARVCHAHPTLSEAFKEANMAAYDKAIHC YFL017W-A MVSTPELKKYMDKKILLNINGSRKVAGILRGYDIFLNVVLDDAM EINGEDPANNHQLGLQTVIRGNSIISLEALDAI YFL017C MSLPDGFYIRRMEEGDLEQVTETLKVLTTVGTITPESFSKLIKY WNEATVWNDNEDKKIMQYNPMVIVDKRTETVAATGNIIIERKIIHELGLCGHIEDIAV NSKYQGQGLGKLLIDQLVTIGFDYGCYKIILDCDEKNVKFYEKCGFSNAGVEMQIRK YFL016C MAFQQGVLSRCSGVFRHHVGHSRHINNILYRHAIAFASIAPRIP KSSFHTSAIRNNEAFKDPYDTLGLKKSATGAEIKKAYYKLAKKYHPDINKEPDAEKKF HDLQNAYEILSDETKRQQYDQFGPAAFGGGGAAGGAGGGSGSPFGSQFHDFSGFTSAG GSPFGGINFEDLFGAAFGGGGRGSGGASRSSSMFRQYRGDPIEIVHKVSFKDAVFGSK NVQLRFSALDPCSTCSGTGMKPNTHKVSCSTCHGTGTTVHIRGGFQMMSTCPTCNGEG TMKRPQDNCTKCHGEGVQVNRAKTITVDLPHGLQDGDVVRIPGQGSYPDIAVEADLKD SVKLSRGDILVRIRVDKDPNFSIKNKYDIWYDKEIPITTAALGGTVTIPTVEGQKIRI KVAPGTQYNQVISIPNMGVPKTSTIRGDMKVQYKIVVKKPQSLAEKCLWEALADVTND DMAKKTMQPGTAAGTAINEEILKKQKQEEEKHAKKDDDNTLKRLENFITNTFRKIKGD KKN YFL015C MLAYTFPSFNFYVNGFFSFLFLFLFLFPSLLRFYVILCRPLQVA TYPLNRCQQYSSLAIFTASGFWLLVLVPRAKGPSTRRHCYRQLAPTHHRPFFSIFGWA VSGIRPLPEIFTWICASPFFLHSLTPPTFSHFSVYQEEKKEKRRTPKNTEQEGNRMCI WMSG YFL014W MSDAGRKGFGEKASEALKPDSQKSYAEQGKEYITDKADKVAGKV QPEDNKGVFQGVHDSAEKGKDNAEGQGESLADQARDYMGAAKSKLNDAVEYVSGRVHG EEDPTKK YFL013C MGKRVYDPIHDTFQLREDNSDETKADSPMQSVKSGSQEEASPSS IQSETETVTTKSIPVIHEIEIDDKNDDDSTQSEEENTNILLNFEPSTVPEATGASTAT GPVTTNTVRRKPKESNASKYNRHLKKPDGEPFNRKDIQFSFMQELLMDKRQIFTNVLK PLYKNSIVPINIDGDKLSINVTDKEYDARTFVFNDKLTFAQLYVLTIATSIKCSKILR DKLLLDQQVAFSTCVLALLVNIGRLNTTINFYLEMTSQLRTFHSVPVLQLHANDPKLL QDTPRLKSILKNLPWGNEQLSLMETYKKVDQNDGEVDTVNKFNIINMLFSICDNSGLI DKRFLSKYVEVESKAQEQDMVDEQNEVKETEAENEKQESKAAYATTLFDILDYSKYEP KDRSNILIWLLYIHLETNLSQEEVEESVRFFNGLEDGAPAGKFILRCTERSYDTDPED ELEFGANQRIKRREFMSKMEEGRKRERTNVTEVKKPSIGGDKSEEDGEGEDDKSEETV EETRSLLTPTPILESSSPMTLNRKKVTPQLPKVTPAAPTETEEEITSAAIIDKNDLNL TPLKKYNSSATVNKVDKLISLDLNKHVSENGKTQEEFLADLKKSQVPNRLKRRDIGLI KIFNEFEDIPVASVLGIRGKKRKKFKDNLLGFETDFMKNLGASKKVLLNKIERAEIDD EEATAMFKLE YFL012W MPKSRPKRTIASSSSVFYGSSPFQNDGYIKVMELVSHIVIEINH SPTATTDETRKQNNPELKVKEPVCNLKKWENNTNFILEDHTKNKTKLSSTDRIRKWFR RHILKEEIEILSHGKQLSSIDEDYCPSNVLVGCSRDLNKLRSFQNF YFL011W MVSSSVSILGTSAKASTSLSRKDEIKLTPETREASLDIPYKPII AYWTVMGLCLMIAFGGFIFGWDTGTISGFINQTDFKRRFGELQRDGSFQLSDVRTGLI VGIFNIGCALGGLTLGRLGDIYGRKIGLMCVILVYVVGIVIQIASSDKWYQYFIGRIV SGMGVGGVAVLSPTLISEISPKHLRGTCVSFYQLMITLGIFLGYCTNYGTKKYSNSIQ WRVPLGLCFAWAIFMVIGMVMVPESPRYLVEKGKYEEARRSLAKSNKVTVTDPGVVFE FDTIVANMELERAVGNASWHELFSNKGAILPRVIMGIVIQSLQQLTGCNYFFYYGTTI FNAVGMQDSFETSIVLGAVNFASTFVALYIVDKFGRRKCLLWGSASMAICFVIFATVG VTRLWPQGKDQPSSQSAGNVMIVFTCFFIFSFAITWAPIAYVIVAETYPLRVKNRAMA IAVGANWMWGFLIGFFTPFITRSIGFSYGYVFMGCLIFSYFYVFFFVCETKGLTLEEV NEMYEERIKPWKSGGWIPSSRRTPQPTSSTPLVIVDSK YFL010W-A MDRSLQVYICMYPYLDGSKQYRFDELISFYRPCPKSLDNIKSHY RQIHHQIRRRTHQHHQIRRRTHQHHHRSNCSRQRQCLVRHSCGRQMRVLA YFL010C MAQSKSNPPQVPSGWKAVFDDEYQTWYYVDLSTNSSQWEPPRGT TWPRPKGPPPGVNNEKSSRQQADQAPPPYSSQSTPQVQAGAQAQQPRYYQPQQPQYPQ YPQQQRYYPQQAPMPAAAPQQAYYGTAPSTSKGSGHGGAMMGGLLGVGAGLLGGAMLE HAFDDHNYDGPDTVVVENNYYGDDAGGSDGGFDDAGGFDGGFDDGFDGSDF YFL009W MGSFPLAEFPLRDIPVPYSYRVSGGIASSGSVTALVTAAGTHRN SSTAKTVETEDGEEDIDEYQRKRAAGSGESTPERSDFKRVKHDNHKTLHPVNLQNTGA ASVDNDGLHNLTDISNDAEKLLMSVDDGSAAPSTLSVNMGVASHNVAAPTTVNAATIT GSDVSNNVNSATINNPMEEGALPLSPTASSPGTTTPLAKTTKTINNNNNIADLIESKD SIISPEYLSDEIFSAINNNLPHAYFKNLLFRLVANMDRSELSDLGTLIKDNLKRDLIT SLPFEISLKIFNYLQFEDIINSLGVSQNWNKIIRKSTSLWKKLLISENFVSPKGFNSL NLKLSQKYPKLSQQDRLRLSFLENIFILKNWYNPKFVPQRTTLRGHMTSVITCLQFED NYVITGADDKMIRVYDSINKKFLLQLSGHDGGVWALKYAHGGILVSGSTDRTVRVWDI KKGCCTHVFKGHNSTVRCLDIVEYKNIKYIVTGSRDNTLHVWKLPKESSVPDHGEEHD YPLVFHTPEENPYFVGVLRGHMASVRTVSGHGNIVVSGSYDNTLIVWDVAQMKCLYIL SGHTDRIYSTIYDHERKRCISASMDTTIRIWDLENIWNNGECSYATNSASPCAKILGA MYTLQGHTALVGLLRLSDKFLVSAAADGSIRGWDANDYSRKFSYHHTNLSAITTFYVS DNILVSGSENQFNIYNLRSGKLVHANILKDADQIWSVNFKGKTLVAAVEKDGQSFLEI LDFSKASKINYVSNPVNSSSSSLESISTSLGLTRTTIIP YFL008W MGRLVGLELSNFKSYRGVTKVGFGESNFTSIIGPNGSGKSNMMD AISFVLGVRSNHLRSNILKDLIYRGVLNDENSDDYDNEGAASSNPQSAYVKAFYQKGN KLVELMRIISRNGDTSYKIDGKTVSYKDYSIFLENENILIKAKNFLVFQGDVEQIAAQ SPVELSRMFEEVSGSIQYKKEYEELKEKIEKLSKSATESIKNRRRIHGELKTYKEGIN KNEEYRKQLDKKNELQKFQALWQLYHLEQQKEELTDKLSALNSEISSLKGKINNEMKS LQRSKSSFVKESAVISKQKSKLDYIFKDKEKLVSDLRLIKVPQQAAGKRISHIEKRIE SLQKDLQRQKTYVERFETQLKVVTRSKEAFEEEIKQSARNYDKFKLNENDLKTYNCLH EKYLTEGGSILEEKIAVLNNDKREIQEELERFNKRADISKRRITEELSITGEKLDTQL NDLRVSLNEKNALHTERLHELKKLQSDIESANNQEYDLNFKLRETLVKIDDLSANQRE TMKERKLRENIAMLKRFFPGVKGLVHDLCHPKKEKYGLAVSTILGKNFDSVIVENLTV AQECIAFLKKQRAGTASFIPLDTIETELPTLSLPDSQDYILSINAIDYEPEYEKAMQY VCGDSIICNTLNIAKDLKWKKGIRGKLVTIEGALIHKAGLMTGGISGDANNRWDKEEY QSLMSLKDKLLIQIDELSNGQRSNSIRAREVENSVSLLNSDIANLRTQVTQQKRSLDE NRLEIKYHNDLIEKEIQPKITELKKKLDDLENTKDNLVKEKEALQNNIFKEFTSKIGF TIKEYENHSGELMRQQSKELQQLQKQILTVENKLQFETDRLSTTQRRYEKAQKDLENA QVEMKSLEEQEYAIEMKIGSIESKLEEHKNHLDELQKKFVTKQSELNSSEDILEDMNS NLQVLKRERDGIKEDIEKFDLERVTALKNCKISNINIPISSETTIDDLPISSTDNEAI TISNSIDINYKGLPKKYKENNTDSARKELEQKIHEVEEILNELQPNARALERYDEAEG RFEVINNETEQLKAEEKKILNQFLKIKKKRKELFEKTFDYVSDHLDAIYRELTKNPNS NVELAGGNASLTIEDEDEPFNAGIKYHATPPLKRFKDMEYLSGGEKTVAALALLFAIN SYQPSPFFVLDEVDAALDITNVQRIAAYIRRHRNPDLQFIVISLKNTMFEKSDALVGV YRQQQENSSKIITLDLSNYAE YFL007W MTANNDDDIKSPIPITNKTLSQLKRFERSPGRPSSSQGEIKRKK SRLYAADGRPHSPLRARSATPTLQDQKLFNGMDSTSLLNERLQHYTLDYVSDRAQHMK NIYDPSSRWFSRSVRPEFPIEEFLPYKTESHEDQAKYLCHVLVNLYIAISSLDIQGLI SISSKDLADLKKEVDDLALKTDLFRLSNNTAENDLLGNDIADYDDAEGLEDELDEYFD LAGPDFNATGKITAKSATIVNVNHWTNELKNCLHFDFPVALRKSLATVYYYLSLVQGQ KVYRQMHVDMFERLVSLDDDRTNFTELLQKQGLLLDHQIMLNFLCEFLPYPDPDYARY ELSSKEDLQLFRLLLKHAHNAKPFFDKSKESLLVDTMNFLLSSLAPSTMMAVMPIVTS VVPYHYHIHSKIIDYFPFCYSIWSSVSANVAIDTHMYDFVGSISKDVHNKILSSEHEK DVVGVEFGEFGIFTDDQMTFMFNRLQGHLRTDGQIHSYSRTVKPFVYAINGSKKDRFF EKLVSLAKAIETFIHPSNNGFWTKPNAKFVHAFIKSYHGRVKYEEDICARGVTNGICL TSFCHEEIVEIFLNIISLGSQNKNPDIANYYISCFAYLLELDPSNAYLIYDKILIDLY DTLADQFINSRHRIISSLKQFTRVIRFIVMDKLYRVHITNVLSMLVSKLDMNDTNLTS NLINGIVSIAAFIPIQDLTGEDDYISFESDTLPLVQQHFYHIKCGESSKTFRVDDELL NNAFKASTTVFQSMLKVYVEKIFQLVDVDLEDSLVTKINQTTMILQESMDDKIFNYFA SLLQRNFWSNDSFKEKDPNYELVTIPLAALVRRNNGLSKELVRTLLFHIKEQIKRGAG SVRSTSEIQQRDVKLVLYLTALNDVLRQCHESLLEYSDELITFMKYLYDNVTNPPLDV ITSIVIHSALATLCTTEITDCRLFPEDSKIPEKDRWGGLQFDPRRFDKQHLSFQWHVP SSDEITLSISILESLSEYCINNVEELMKAPRHDSEYGDMIQKYVLVMTHTLSGSSLLF DPDFNKYRTQSNLSYREKLILLKNIRENNCDPQELDIDIEQIRSGKDDEDYIESKDIE AGLNAGVSDVVQLRDEFPDELIVDEEVVSEMPSGVNTPIAGTHGTDNSAMSSDLAFRD LDIYTCNYYFGNTTEEKLQNPQYLQVHRVRARIGHFFHKLYVFLSTNFENNTNMFQIL LHGLKVWFTDLGQETVFNEDPNAFIDVDFLENVQSLSHVNEPFTRTNFAIRANSLHQS RVLLHSTNRKASKLENLLLVDIIQLATSLYPDIYKPAQGTLVHCMKQLVGSYGVVINK IIPSLEKAIKDHDYMKIQVILNVLLIKKIHRKLMTDYKDIGRLIFLLIECCRVNELEI GMYADKILTDIVIGIKIPSSVCVISDQAFLPLAPPDGTINLQVEAVKLAKKKKREYYL SLLVDLQDKLLDKLDNEKDMGWKIRMFILRFVTQIQSNLESKPDKRAVFSIISQISTK HPEIIHLVVKSLLSTCNKIISLSDYEYDITRAYKNEFNPSFVEILDTSTTSFPKTFTE EMNNFDNPKYFIDLRAYVGWLCWGRLMYVMSPKALKLNLRENELEVLKTAGHLLTREF LRDVTMNLVQDNETRGVFSSGNVSFFSLVILLISSGFCELNMSDLFELCESYYNKDDK ASMIMSVEIVAGLVCGSKFMSVSDLDKRDTFIENFLAKCLDYELNHDAFEIWSTLAWW LPAVVDLRRSKTFFCHFINADGMFDRESDAATHQTSKIYMLRSILMSMEFRAPDVGKL FDELVFDHPYDQVRQAVAKLLTTLVQNQSNPSISDPTTLLEAERNDPDGLGLPLKSVP EKVDAYIKKQFEIIKNLEDSVVGLNPQQFIKTDYFYRTSTIFYWIKEMARGPNKVLLV PYLVDYVLPFLIGLVKHKDVCALASLDPVRLYAGLGYMPIRKNHVAAIVDYVCSSNVA LSSNQTKLQLAFIQHFLSAELLQLTEEEKNKILEFVVSNLYNEQFVEVRVRAASILSD IVHNWKEEQPLLSLIERFAKGLDVNKYTSKERQKLSKTDIKIHGNVLGLGAIISAFPY VFPLPPWIPKQLSNLSSWARTSGMTGQAAKNTISEFKKVRADTWKFDRASFNTEELED LEGVLWRSYYA YFL005W MSGLRTVSASSGNGKSYDSIMKILLIGDSGVGKSCLLVRFVEDK FNPSFITTIGIDFKIKTVDINGKKVKLQLWDTAGQERFRTITTAYYRGAMGIILVYDV TDERTFTNIKQWFKTVNEHANDEAQLLLVGNKSDMETRVVTADQGEALAKELGIPFIE SSAKNDDNVNEIFFTLAKLIQEKIDSNKLVGVGNGKEGNISINSGSGNSSKSNCC YFL004W MLFGVKLANEVYPPWKGSYINYEGLKKFLKEDSVKDGSNDKKAR WDDSDESKFVEELDKELEKVYGFQLKKYNNLMERLSHLEKQTDTEAAIKALDADAFQR VLEELLSESTELDNFKRLNFTGFAKIVKKHDKLYPKYPSVKSLLEVRLKELPSHSEEY SPLLYRISFLYNILRSNFNTASEPLASASKFSSIVSNDIDMNFRSFKFWVHNDNLMEV KTRILRHLPVLVYANVPSENDDLVNRFESDISNNDEIVGSSSSTSSVEHGLGARSFDP LINTLYFDNEHFELYNDKLLKLNSAPTLRLRWTGQLSDKPDIFLEKKTLIEDEATGKS EFDLTKLQLKQKFINGFIFEGDKKFKEQTLKKLKESGTAGRDLERLEEDFSEIQNFII KNELQPVFRTVYTRTAFQIPGDDKIRVTIDSNIVFIKEDSFDRERPIRDPNTWHRTDI DANVANPLKFLRGGEYAKFPYSVMEIKVKSSLDSSMSASSMISNVKLPKKHGQWLNDL TNSHLVKEIPKFSIFVQGVASLYGDDEKLDILPFWLPDLETDIRQDPKQAYEEEKKKL LKQKEIQKKIDGMRRLSNLKEPQHQAAVPVSQEENERITSQGDLEADGSSDEETEQEP HSKRSKKVRRRKPKATFLRILAGRDPKLMGVDSEEEEIELPPGVKKPLNLLKNAGPVN VEAKVWLANERTFNRWLSVTSLLSVLTFSIYNSVKKAEYPTLANYMAYVYFGLTIFCA LWSYSIYMKRVDIIQQRSGQHLDAPLGPVLVSIVLFVTLVVNFVMAFRNAAKSRQELQ IQNLEVPERIPEVLRPLQNYLFKLMGPSSD YFL003C MSESNLSSFISTNYFNLRSAANSSNSISKPSTKKSIRNQKSPTN ISSWALKKKTLQIAETTWENNEKDSTHSHYLMTGSMASRTATSLSRYSTNASLLGPSI DCVLCCIYEVPRDISTRIGLCIINCNTGQMYLSDFMDSQIYIRVVHKLQIYQPTEILI PSSSLAPTVSKLATMIKFNVAETVKIEEGSRKCFNSQDGLAAITKYLMDDTKKDLKIE EIIDKTFALCAASAAISYMEEIISKSSRNLNAFRKLRIQFEGTENTMLIDSKTVRGLE LVENKLDKNGISLWKFLDTTSTKMGQRSLRNSILQPLTDRGSIEMRLEALEELKANDD LLQKLRLEMKSLPDLDKLFSRLLCINHSAIKPDQRINYVLLLKETLQSVKSLKDALND QLIQSRLISETKKIFNNDAIMEIEKLINSCINEDCVWASSAIQLLNQRSYAVKSDSNG LLDVSRQIYKEVKEEFFREVEDLTAKNKINLDHNYDSARGFYLRIKRQEFTDDVATLP DVFISRTIKKNYIECTTLNIIKKNARLKEVMEEILLLSEETVDELLDKIATHISELFM IAEAVAILDLVCSFTYNLKENNYTIPIFTNNLLIRDSRHPLLEKVLKNFVPNTISSTK HSSSLQIITGCNMSGKSVYLKQVALICIMAQMGSGIPALYGSFPVFKRLHARVCNDSM ELTSSNFGFEMKEMAYFLDDINTETLLILDELGRGSSIADGFCVSLAVTEHLLRTEAT VFLSTHFQDIPKIMSKKPAVSHLHMDAVLLNDNSVKMNYQLTQKSVAIENSGIRVVKK IFNPDIIAEAYNIHSLLKIAKARTENEDSNGVVDQKTINQMKRIHNLVAILKECAGNE KEPLTLGKLKEINSDFIENFEE YFL002W-A MESQQLHQNPHSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAETK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKSQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTHTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDKQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSINPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTVESDTTSPRHSSTFTARNQNRPG STNEMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAVCDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YFL002W-B MESQQLHQNPHSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAETK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKSQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YFL002C MSKSLEWDNLGFSLLPWIRTGLDVMGFETMTPVQASTIPMLAGN KDVVVDSVTGSGKTAAFVIPVLEKVVKEEANTSKFKKAHFHSLIIAPTRELSRQIESV VLSFLEHYPSDLFPIKCQLLVGTNEATVRDDVSNFLRNRPQILIGTPGRVLDFLQMPA VKTSACSMVVMDEADRLLDMSFIKDTEKILRLLPKQRRTGLFSATMRSAGSDIFKTGL RNPVRITVNSKNQAPSSLKLNYCVVNPAEKLQLLVSILNNYKFKKCIVYFPTCVSVSY FYSFIQYLGKRNILVNEVEIFSLHGKLQTSARTKTLTAFTDSLSNSVLFTTDVAARGI DIPDVDLVIQLDPPTNTDMFMHRCGRTGRANRVGKAITFLNEGREEDFIPFMQVKNVE LEELDLEVKGITTNFYEDFRNWILEDRDRFDKGVKAYVAFIKYYSNHSATSIFRLQSL DYVGIAKLYGLFRLPRMPEITKYLATEKQEGIFPGNWLVDPPVNMDEYKYKDKKREKE RQETLKNISLINDKKKLKSELKKKNLAWSDKTLTKERKLERKEKMSLKRKAIEEELKA EELDENAEEERIKEDWKEIVLQNKRKKVSSKAIQGNFDDL YFL001W MSNFIRRLVGKMKAISTGTNAIVSKKDSIYANWSKEQLIRRITE LENANKPHSEKFQHIEDNKKRKISQEEVTRSKAKKAPKKFDFSKHNTRFIALRFAYLG WNYNGLAVQKEYTPLPTVEGTILEAMNKCKLVPSMVLQDYKFSRCGRTDKGVSAMNQV ISLEVRSNLTDEEQRDPTNDSREIPYVHVLNQLLPDDIRISAVCLRPPPNFDARFSCV HRHYKYIFNGKNLNIEKMSKAASYFVGERDFRNFCKLDGSKQITNFKRTIISSKILPL SETFYCFDLVGSAFLWHQVRCMMAILFLVGQSLEVPEIVLRLTDIEKTPQRPVYEMAN DIPLLLYDCKFPEMDWQEPTVDDYKAIKFTTATEALTLHYELKAAVCNIFKDVLPTAN TNNFSKTIINLGDGRGKVVGTYVKLEDRSVMEPVEVVNAKYSKKKNNKNK YFR001W MAPKKPSKRQNLRREVAPEVFQDSQARNQLANVPHLTEKSAQRK PSKTKVKKEQSLARLYGAKKDKKGKYSEKDLNIPTLNRAIVPGVKIRRGKKGKKFIAD NDTLTLNRLITTIGDKYDDIAESKLEKARRLEEIRELKRKEIERKEALKQDKLEEKKD EIKKKSSVARTIRRKNKRDMLKSEAKASESKTEGRKVKKVSFAQ YFR002W MLETLRGNKLHSGTSKGANKKLNELLESSDNLPSASSELGSIQV SINELRRRVFQLRSKNKASKDYTKAHYLLANSGLSFEDVDAFIKDLQTNQFLEPNPPK IIESEELEFYIRTKKEENILMSIEQLLNGATKDFDNFINHNLNLDWAQHKNEVMKNFG ILIQDKKTVDHKKSISSLDPKLPSWGNKGNNILNSNESRLNVNENNILREKFENYARI VFQFNNSRQANGNFDIANEFISILSSANGTRNAQLLESWKILESMKSKDINIVEVGKQ YLEQQFLQYTDNLYKKNMNEGLATNVNKIKSFIDTKLKKADKSWKISNLTVINGVPIW ALIFYLLRAGLIKEALQVLVENKANIKKVEQSFLTYFKAYASSKDHGLPVEYSTKLHT EYNQHIKSSLDGDPYRLAVYKLIGRCDLSRKNIPAVTLSIEDWLWMHLMLIKEKDAEN DPVYERYSLEDFQNIIISYGPSRFSNYYLQTLLLSGLYGLAIDYTYTFSEMDAVHLAI GLASLKLFKIDSSTRLTKKPKRDIRFANILANYTKSFRYSDPRVAVEYLVLITLNEGP TDVELCHEALRELVLETKEFTVLLGKIGRDGARIPGVIEERQPLLHVRDEKEFLHTIT EQAARRADEDGRIYDSILLYQLAEEYDIVITLVNSLLSDTLSASDLDQPLVGPDDNSE TNPVLLARRMASIYFDNAGISRQIHVKNKEICMLLLNISSIRELYFNKQWQETLSQME LLDLLPFSDELSARKKAQDFSNLDDNIVKNIPNLLIITLSCISNMIHILNESKYQSST KGQQIDSLKNVARQCMIYAGMIQYRMPRETYSTLINIDVSL YFR003C MSGNQMAMGSEQQQTVGSRTVSVEEVPAVLQLRATQDPPRSQEA MPTRHNVRWEENVIDNENMNKKKTKICCIFHPQNEDEEECNHHSDDDGSSSSGSSSSE SENEKDLDFNERRQRRLERRHRKLEKKRSYSPNAYEIQPDYSEYRRKQQEKKD YFR004W MERLQRLMMNSKVGSADTGRDDTKETVYISSIALLKMLKHGRAG VPMEVMGLMLGEFVDDYTVNVVDVFAMPQSGTGVSVEAVDDVFQAKMMDMLKQTGRDQ MVVGWYHSHPGFGCWLSSVDVNTQKSFEQLNSRAVAVVVDPIQSVKGKVVIDAFRLID TGALINNLEPRQTTSNTGLLNKANIQALIHGLNRHYYSLNIDYHKTAKETKMLMNLHK EQWQSGLKMYDYEEKEESNLAATKSMVKIAEQYSKRIEEEKELTEEELKTRYVGRQDP KKHLSETADETLENNIVSVLTAGVNSVAIK YFR005C MEVDNKRRHSEDELKQEAVKKIKSQEPNYAYLETVVREKLDFDS EKICCITLSPLNVYCCLVCGHYYQGRHEKSPAFIHSIDENHHVFLNLTSLKFYMLPQN VQILHDGEVQLLNSIKFAAYPTYCPKDLEDFPRQCFDLSNRTYLNGFIGFTNAATYDY AHSVLLLISHMVPVRDHFLLNHFDNQGEFIKRLSICVKKIWSPKLFKHHLSVDDFVSY LKVREGLNLNPIDPRLFLLWLFNKICSSSNDLKSILNHSCKGKVKIAKVENKPEASES VTGKVIVKPFWVLTLDLPEFSPFEDGNSVDDLPQINITKLLTKFTKSRSSSTSTVFEL TRLPQFLIFHFNRFDRNSDHPVKNRNQTLVEFSSELEILHVKYRLKANVVHVVIKQPS TDGNAFNGDEKSHWITQLYDNKSEKWIEIDGINTTEREAELLFLKETFIQVWEKQE YFR006W MCLEPISLVVFGSLVFFFGLVKYFKRGERQRTRGILQPEYKDKY YYSKEKGEEMGEVANVNEIPVKIRNHKYPAKEHNLRVKDLLLNRNPKLSKISTAFFIA GEELEGNKYCDTNKDFRQNRYFYHLSGVDIPASAILFNCSTDKLTLFLPNIDEEDVIW SGMPLSLDEAMRVFDIDEALYISDLGKKFKELQDFAIFTTDLDNVHDENIARSLIPSD PNFFYAMDETRAIKDWYEIESIRKACQISDKSHLAVMSALPIELNELQIQAEFEYHAT RQGGRSLGYDPICCSGPACGTLHYVKNSEDIKGKHSILIDAGAEWRQYTSDITRCFPT SGKFTAEHREVYETVLDMQNQAMERIKPGAKWDDLHALTHKVLIKHFLSMGIFKKEFS EDEIFKRRASCAFYPHGLGHMLGLDVHDVGGNPNYDDPDPMFRYLRIRRPLKENMVIT NEPGCYFNQFLIKEFLEKHPERLEVVDMSVLKRYMYVGGVRIEDDILVTKDGYENLTG ITSDPDEIEKIVQKGLKKPRSGFHVIV YFR007W MVDTHKLADDVLQLLDNRIEDNYRVCVILVGSPGSGKSTIAEEL CQIINEKYHTFLSEHPNVIEVNDRLKPMVNLVDSLKTLQPNKVAEMIENQGLFKDHVE DVNFQPVKYSALTSNNEECTAVVARGGTANAIRIAAVDNPVNVNKLAQDSINIAQIVP MDGFHLSRRCLDLFKDPQTAHKRRGSPSTFDSNNFLQLCKILAKTSLCKVSSHHKFYS TSSVFEKLSKTFSQTIPDIFVPGFNHALKDPTPDQYCISKFTRIVILEGLYLLYDQEN WKKIYKTLADTGALLVYKIDIDYEATEERVAKRHLQSGLVTTIAEGREKFRSNDLLNG RDIDNHLIKVDNIVHIRND YFR008W MSDQINVLSMQQQQQQQQQQQQVYMSPQAENLNHMYLLVNKLVK QLRENQAEKAKILRNIDILSGSLNKYETSEEPHDTTENIALFNRFLEQRGKAPITEKE QLSNNLDENAKDDVMLGVLKRQNSMLRKSLQESKQVTLESMDLLSYSEDSLNYIVAQL RGNILMHHKETIKLIRQKFQTETIPLEDEEFKMYLENVNGLQKLTDISHTYRLLLRLH AQD YFR009W MASIGSQVRKAASSIDPIVTDYAVGYFNHLSGITFDAVQSKQVD LSTEVQFVSDLLIDAGASKAKVKELSESILKQLTTQLKENEAKLELTGDTSKRLLDIN VLKSHNSKSDINVSLSMLGVNGDIEHTGRKMETRVDLKKLAKAEQKIAKKVAKRNNKF VKYEASKLINDQKEEDYDSFFLQINPLEFGSSAGKSKDIHIDTFDLYVGDGQRILSNA QLTLSFGHRYGLVGQNGIGKSTLLRALSRRELNVPKHVSILHVEQELRGDDTKALQSV LDADVWRKQLLSEEAKINERLKEMDVLRQEFEEDSLEVKKLDNEREDLDNHLIQISDK LVDMESDKAEARAASILYGLGFSTEAQQQPTNSFSGGWRMRLSLARALFCQPDLLLLD EPSNMLDVPSIAYLAEYLKTYPNTVLTVSHDRAFLNEVATDIIYQHNERLDYYRGQDF DTFYTTKEERRKNAQREYDNQMVYRKHLQEFIDKYRYNAAKSQEAQSRIKKLEKLPVL EPPEQDKTIDFKFPECDKLSPPIIQLQDVSFGYDENNLLLKDVNLDVQMDSRIALVGA NGCGKTTLLKIMMEQLRPLKGFVSRNPRLRIGYFTQHHVDSMDLTTSAVDWMSKSFPG KTDEEYRRHLGSFGITGTLGLQKMQLLSGGQKSRVAFAALCLNNPHILVLDEPSNHLD TTGLDALVEALKNFNGGVLMVSHDISVIDSVCKEIWVSEQGTVKRFEGTIYDYRDYIL QSADAAGVVKKH YFR010W MSGETFEFNIRHSGKVYPITLSTDATSADLKSKAEELTQVPSAR QKYMVKGGLSGEESIKIYPLIKPGSTVMLLGTPDANLISKPAKKNNFIEDLAPEQQVQ QFAQLPVGFKNMGNTCYLNATLQALYRVNDLRDMILNYNPSQGVSNSGAQDEEIHKQI VIEMKRCFENLQNKSFKSVLPIVLLNTLRKCYPQFAERDSQGGFYKQQDAEELFTQLF HSMSIVFGDKFSEDFRIQFKTTIKDTANDNDITVKENESDSKLQCHISGTTNFMRNGL LEGLNEKIEKRSDLTGANSIYSVEKKISRLPKFLTVQYVRFFWKRSTNKKSKILRKVV FPFQLDVADMLTPEYAAEKVKVRDELRKVEKEKNEKEREIKRRKFDPSSSENVMTPRE QYETQVALNESEKDQWLEEYKKHFPPNLEKGENPSCVYNLIGVITHQGANSESGHYQA FIRDELDENKWYKFNDDKVSVVEKEKIESLAGGGESDSALILMYKGFGL YFR011C MGSNTSKVGAGAEKQQVYTPLTQIDFSQSLVSQLDSSKESDYVT KQNAEKFIEKKVSQRLSNLEVETLKKFEDTLNNSLLSDDDKDAVDGISSSSLNNQIES LNKKLTLFDQLELQKLEKYGGAKGKSDKKTDNGSISIKAKLTECLLANKGKPLNCYEE MEEFKKLVMG YFR012W MLNYKLILLFSSFLQLISFSGFMICCLTSPIIRNWGLAQAAGVS YGTFGYCKTLNSFSCSRVRLIYNTSKEILPGPSLERWWLSPKARHTIGGLLISIPVAT CLTFISFALPLVIIFLFQTGGTNVSLITSNAILHILTLLSTIFACTVILLLCMHRDPV TISSLYDLVWLANCSLFPLLVIGVHFLSFRFDTSAQSDRKHS YFR012W-A MLPRKYKPAYKKQAHRVKSNPQPAYTFQ YFR013W MDSPSNSIQNLQQEAQGSSSAQLADHDHDRVSMAMPLQTDQSVS VSQSSDNLRRSRRVPKPRTSIYDEYEEELKERANKPKRKRPAPPKKKAPSTQNSKSND KVEKKKTTSIAKDGKPTLKTNDKKVAPKPKPAHEQVEPALIPSNWTSVIPLLTSDFKN QYSVISRLKNPNMKPVPYAGDIIKLMAFINKFSSFFHSDLQNLSFQDFEVGLDLYPGD PNGSAAGIVKGPEDTSLLLYPDFMAIKDIVYCQDKMNLLFLSLLDLTFTENFDGKSAK KKGPLTTWENLKSSSKKVFSNPLYRLRLVAREWGYPREWRQQLPSDQDISKPKTALFE QDEQTPVVDPSHPEILTPNIYTWNANEPLPLESNPLYNREMDKNGILALKPMDRVVLL RALTDWCASHSSAIHDEIYKLTHGKKDPVFGIQTQQVPRYTIEGVDNTINQFKKLCSL IQSRYEIRSKKKHFVKQLKEGKKPDLSRKLEILKEIKAELKNAVKSEKDELLFSLYDK WVPLFEGELPDQPLANPFSERLYKLRLQEFFLGRVPHIGDFYMPRLHSYGDSLEMSTF TDLRNLQALLSKFKNNEYNAFTLFENDGQSMSAQFKLFYHDTPSLAHDVARGRNTSGK VYWYELCHDSATLLEFLEFLDYKIVKPQDEKKEGNEKEKEALNNEAHILEQKSTTDNN PSINTNPLPKDAKYNTARKKLQILKEFLSDYYFILRQFEQMKVQFADMKPGKRQLRRI QRQTVNYNTEYDSEEYVDDEEDDEADIYDDNDNDSSFDDGRVKRQRT YFR014C MDDKVSEKESSPKQTEEDSEGKMAHVQPASYVNKKKYVFGKTLG AGTFGVVRQAKNTETGEDVAVKILIKKALKGNKVQLEALYDELDILQRLHHPNIVAFK DWFESKDKFYIITQLAKGGELFDRILKKGKFTEEDAVRILVEILSAVKYMHSQNIVHR DLKPENLLYIDKSDESPLVVADFGIAKRLKSDEELLYKPAGSLGYVAPEVLTQDGHGK PCDIWSIGVITYTLLCGYSAFRAERVQDFLDECTTGEYPVKFHRPYWDSVSNKAKQFI LKALNLDPSKRPTAAELLEDPWIICTELKTHNLLPGLKEGLDARQKFRNSVERVRLNM KIQKLRDLYLEQTESDSDFDEGSQANGSVPPLKATDTSQLSKKLSEEEQSKLKSELTS KAFAQLVNTVLAEKEKFLNINRVCSSDSDLPGSDIKSLDEAKEKPEGKDTKTEE YFR015C MARDLQNHLLFEVATEVTNRVGGIYSVLKSKAPVTVAQYGDNYT LLGPLNKATYESEVEKLDWEDESIFPEELLPIQKTLMSMREKGVNFVYGNWLIEGAPR VILFELDSVRHFLNEWKADLWSLVGIPSPEHDHETNDAILLGYVVVWFLGEVSKLDSS HAIIGHFHEWLAGVALPLCRKKRIDVVTIFTTHATLLGRYLCAAGDVDFYNNLQYFDV DQEAGKRGIYHRYCIERAAAHTADVFTTVSQITALEAEHLLKRKPDGILPNGLNVVKF QAVHEFQNLHALKKDKINDFVRGHFHGCFDFDLDNTVYFFIAGRYEYKNKGADMFIES LARLNYRLKVSGSKKTVVAFLIMPAKTNSFTVEALKSQAIVKSLENTVNEVTASIGKR IFEHTMRYPHNGLESELPTNLDELLKSSEKVLLKKRVLALRRPYGELPPVVTHNMCDD ANDPILNQIRHVRLFNDSSDRVKVIFHPEFLNANNPILGLDYDEFVRGCHLGVFPSYY EPWGYTPAECTVMGVPSITTNVSGFGAYMEDLIETDQAKDYGIYIVDRRFKSPDESVE QLADYMEEFVNKTRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLGLRRAYPEQFKQ LVGETISDANMNTLAGGKKFKIARPLSVPGSPKVRSNSTVYMTPGDLGTLQDANNADD YFNLSTNGAIDNDDDDNDTSAYYEDN YFR016C MVESLTVENQEHNVQPPSVTSAGDSYSTLATDLPLPSTNDIIES RDQLTESDLDEAINATENFAQELSSQRKSSKLKGHKKKNQGQIKANRDRDTIVKLSSS VGETEEASTRDAISHDLERKDDVIEIATDTINDATESPTQIPIDVNVVIKETSTNNVA EGTENVPPIKESTGIEVGNSPITRRKKNKKKKTTNRRGRNSSNPADTTDLSKQSTLDS ILVGIEEYLQEDGSKNEDIKVNIVQDEPVNVEKMDIRTRNESSDKTFDIDVPNKDNVD ETSSKSENNINEEKAEHTLPREENEILNVNEGNAASFKHQLEPHGLEAGDENGQASTK DVESESLTKNGFNFKENESKHLKAGEKQQTESDRDGISPSVLAKNQKETEIGKEDHVF EQKDKEDEKCRKELSVNHENNMSHNFNAAGSDSIIPPETERETYDDETMGPTKRISDN EKNLQHGTNDISVEVEKEEEEEEEEEENSTFSKVKKENVTGEQEAVRNNEVSGTEEES TSKGEEIMGGDEKQSEAGEKSSIIEIEGSANSAKISKDNLVLEDEAEAPTQENKPTEV VGEIDIPDAPRDDVEIVEAVEKNIIPEDLEVAKEDQEGEQVKLDEPVKAMKDDKIAMR GAESISEDMKKKQEGTAELSNEKAKKEVDETARESAEGVEVEKSKTPESPKVVKRCTS GRPEDLQINERDPEVLKEDVRVPDEDVKPEIATTIENSEEEDPKSQRVQISTEQAETT QKDMGDVGSTTSFKEEEKPKRFEITQEGDKITGKDTNHEHGEATEAASENSKASDVGT AEKYIEPSSESVKKDTEEDAEVENSEKTEFIKVKAELENLDAPKEAEVTAELNKENED VEVDTEEDAEVENSEKTEFIKVKAELGNLDAPKEAEVTAELNKENEDVEVAATSKEDI ETKCSEPAETPIEDGTCTEAEVSKKDAEAVTKEDENMENSKIAEALKDVTGDQEIDDI NISDEFQRTVELPELEKQDIKDNKGEDKELEVEETEKETSLPDLVVEENITEEKNEIK QEEEEVSQLDFNETESISKEAPNNDENGFEDQSTRENPKKASADDIFKDILDETNEFL EQLKIVDDSELNALLQSLDAKDSTTQTTEQSKKNNDKPQDVITTSEIRKLNEKEPVYI YTSLAGGGFHMIPRTNRLSTILTANRIPFTYRDLGTDDEARKVWKTFSKGRSLPGVVR GHNDLIGNWEEIEEANEDYKLRELIYDTI YFR017C MTDPHLNTPQVSTSPTFERSQDFLNIDEPPCAQETPSVSTFNLP GPSAPAQSVDKPVPMIRRRSTNYMDALNSREQARERESSIGEHAPGAERRSSGPMDFQ NTIHNMQYRTTNDSDLSHAGVDMGDSISHTPICSRAGNRPIFKNSYLDNNSNGNSARV PHGSPPQLGTRRKSSFKYEDFKKDIYNQLHMFGEK YFR018C MGMKYVLPLRLIGLAYLLVLFQVHRVTGWELSYEQYHAAHLNEA INPDSGWNKSTKNLLLPFNRTRVPGSEGSREIQRFIIEHFNNTLAGEWAVETQAFEEN GYRFNNLVMTLQNNASEYLVLAAHYDTKIAPTGMVGAIDSAASCAALLYTAQFLTHIA CHERTKEYNDLESNTVVSNSTLGVKIVFFDGEEAIEEWGPEDSIYGARRLAAQWLADG TMTRIRLLFLLDLLGSGEEEPLVPSYYAETHQEYQLLNRIEDDLLFRRGDEINGESAL AAEVARQRKHLDPTDYRFLGLGHSVIGDDHTPFLAAGVPVLHAIPLPFPSTWHTVDDD FRHLDAAETRHWALLVCEFVVQSLRSRNQ YFR019W MSSEEPHASISFPDGSHVRSSSTGTSSVNTIDATLSRPNYIKKP SLHIMSTSTTSTTTDLVTNPILSNISVPKISPPTSSSIATATSTSHVTGTASHSNIKA NANTSTSVNKKNLPPTTSGRIPSSTIKRYPSRYKPSHSLQLPIKNDSNFKRSSIYASK STVTAIPIRNNRPISMQNSYARTPDSDHDDVGDEVSSIKSASSSLTASLSKSFLFAFY NNRKKDKTSNNGVLSKEYWMKDESSKECFSCGKTFNTFRRKHHCRICGQIFCSSCTLL IDGDRFGCHAKMRVCYNCYEHADTYEDSSDEENDSTMQLNEPRSRSRSRSSNTNPYSH SHSHLHLISQDNHNGTDLHDPVAATDNPQQQNEVYLLNDDDVQSIMTSGEDSKLFIST PPPPPKMAIPATKQGGSLEISFDSENDRALHYQDDNPGRHHHLDSVPTRYTIRDMDNI SHYDTNSNSTLRPHYNTNNSTITINNLNNTTSNNSNYNNTNSNSNINNPAHSLRRSIF HYVSSNSVNKDSNNSSATPASSAQSSSILDPANRIIGNYAHRNYKFKFNYNSKGPSQQ NDTANGNNDNNNNNNNNNNNNNNNSASGIADNNNIPSNDNGTTFTLDKKKRNPLTKSK STSAYLEYPLNEEDSSEDEGSMSIYSVLNDDHKTDNPIRSMRNSTKSFQRAQASLQRM RFRRKSKSKHFPNNSKSSIYRDLNFLTNSTPNLLSVVSDDNLYDDSSPLQDKASSSAA SRLTDRKFSNSSGSNNNSNSNSNINTDPWKRIASISGFKLKKEKKRELNEVSLLHMHA LLKQLLNDQEISNLQEWITLLDGALRKVLRTILNARDLNTLDFRQTYVKIKRISGGSP QNSEYIDGVVFSKALPSKTMPRHLKNPRILLIMFPLEYQKNNNHFLSIESVFRQEREY LDKLVSRLKSLHPDIIYVGANVSGYALELLNDSGIVVQFNMKPQVIERIAKLTEADIA ISVDKLATNIKMGECETFEVKSYIYGNISKTYTFLRGCNPELGGTILLRGDSLENLRK IKQVSEFMVYAIFSLKLESSFFNDNFIQLSTDVYLKRAESKKLQVFEGYFADFLIKFN NRILTVSPTVDFPIPFLLEKARGLEKKLIERINQYESESDLDRQTQLNMLQGLESTIT KKHLGNLIKFLHEMEIENLELEFQKRSRQWEVSYSSSQNLLGTGSHQSITVLYSMVST KTATPCVGPQIVTIDYFWDSDISIGQFIENVVGTARYPCQQGCNGLYLDHYRSYVHGS GKVDVLIEKFQTRLPKLKDIILTWSYCKKCGTSTPILQISEKTWNHSFGKYLEVMFWS YKDSVTGIGKCPHDFTKDHVKYFGYNDLVVRLEYSDLEVHELITPPRKIKWKPHIDIK LKVELYYKILEKINNFYGSVLSRLERIKLDSMTKDKVLSGQAKIIELKSNATEEQKLM LQDLDTFYADSPCDQHLPLNLVIKSLYDKAVNWNSTFAIFAKSYLPSETDISRITAKQ LKKLFYDSSRKDSEDKKSLHDEKAKTRKPEKNELPLEGLKDVEKPKIDSKNTTENRDR TNEPQNAVTITTFKDDTPIIPTSGTSHLTVTPSASSVSSSLTPQTEERPPISRSGTGI SMTHDKSTRPNIRKMSSDSSLCGLASLANEYSKNNKVSKLATFFDQMHFDALSKEFEL ERERERLQLNKDKYQAIRLQTSTPIVEIYKNVKDAVDEPLHSRSSGNNLSSANVKTLE APVGEHSRANNCNPPNLDQNLETELENSISQWGENILNPSGKTTASTHLNSKPVVKET SENPKSIVRESDNSKSEPLPPVITTTTVNKVESTPQPEKSLLMKTLSNFWADRSAYLW KPLVYPTCPSEHIFTDSDVIIREDEPSSLIAFCLSTSDYRNKMMNLNVQQQQQQQTAE AAPAKTGGNSGGTTQTGDPSVNISPSVSTTSHNKGRDSEISSLVTTKEGLLNTPPIEG ARDRTPQESQTHSQANLDTLQELEKIMTKKTATHLRYQFEEGLTVMSCKIFFTEHFDV FRKICDCQENFIQSLSRCVKWDSNGGKSGSGFLKTLDDRFIIKELSHAELEAFIKFAP SYFEYMAQAMFHDLPTTLAKVFGFYQIQVKSSISSSKSYKMDVIIMENLFYEKKTTRI FDLKGSMRNRHVEQTGKANEVLLDENMVEYIYESPIHVREYDKKLLRASVWNDTLFLA KMNVMDYSLVIGIDNEGYTLTVGIIDFIRTFTWDKKLESWVKEKGLVGGASVIKQPTV VTPRQYKKRFREAMERYILMVPDPWYREGN YFR020W MWCYSHFLLIFVSFVTSFAHKLPANNSTTNGGTDGIAVPVIETT IDSGMYSENGTDLMTPEDLPDLLSDGIVLSFANTTETGSDSDSTLIDSEDLRRCIDMP DRSCSAQRGNLCSYSFWDIPFSFLNTVHDIFGMTNMGNCAVMAGDKGAFYYKYYPVEP NCNSTIHQKTIDDALQQATEQLNGDFNNMYFFHVNRGGLWQGDMMVGTRVFTWFAGAK WAEHKGSIEAGFTS YFR021W MSDSSPTINFINFNQTGTCISLGTSKGFKIFNCEPFGKFYSEDS GGYAIVEMLFSTSLLALVGIGDQPALSPRRLRIINTKKHSIICEVTFPTSILSVKMNK SRLVVLLQEQIYIYDINTMRLLHTIETNPNPRGLMAMSPSVANSYLVYPSPPKVINSE IKAHATTNNITLSVGGNTETSFKRDQQDAGHSDISDLDQYSSFTKRDDADPTSSNGGN SSIIKNGDVIVFNLETLQPTMVIEAHKGEIAAMAISFDGTLMATASDKGTIIRVFDIE TGDKIYQFRRGTYATRIYSISFSEDSQYLAVTGSSKTVHIFKLGHSMSNNKLDSDDSN MEEAAADDSSLDTTSIDALSDEENPTRLAREPYVDASRKTMGRMIRYSSQKLSRRAAR TLGQIFPIKVTSLLESSRHFASLKLPVETNSHVMTISSIGSPIDIDTSEYPELFETGN SASTESYHEPVMKMVPIRVVSSDGYLYNFVMDPERGGDCLILSQYSILMD YFR022W MGFSSGKSTKKKPLLFDIRLKNVDNDVILLKGPPNEAPSVLLSG CIVLSINEPMQIKSISLRLYGKIQIDVPLERPQDASSSSLSSSPPKIRKYNKVFYNYA WDNVNLKEYLSGLRGQSGLAGSSSSSNILGTRQRAQSTSSLKSLKGSSSPSSCTLDKG NYDFPFSAILPGSLPESVESLPNCFVTYSMESVIERSKNYSDLICRKNIRVLRTISPA AVELSETVCVDNSWPDKVDYSISVPNKAVAIGSATPINISIVPLSKGLKLGSIKVVLF ENYQYCDPFPPVISENRQVTELNLEDPLNESSGEFNGNGCFVNNPFFQPDHSFQDKWE IDTILQIPNSLSNCVQDCDVRSNIKVRHKLKFFIILINPDGHKSELRASLPIQLFISP FVALSIKPLSSSNLYSLFSTTNQKDENSSQEEEEEYLFSRSASVTGLELLADMRSGGS VPTISDLMTPPNYEMHVYDRLYSGSFTRTAVETSGTCTPLGSECSTVEDQQQDLEDLR IRLTKIRNQRDNLGLPPSASSAAASRSLSPLLNVPAPEDGTERILPQSALGPNSGSVP GVHSNVSPVLLSRSPAPSVSAHEVLPVPSGLNYPETQNLNKVPSYGKAMKYDIIGEDL PPSYPCAIQNVQPRKPSRVHSRNSSTTLSSSIPTSFHSSSFMSSTASPISIINGSRSS SSGVSLNTLNELTSKTSNNPSSNSMKRSPTRRRATSLAGFMGGFLSKGNKR YFR023W MYSISNKKPSILSMVPLNILKNQDLKVKKDQEKKISFNPVVTPI RPDDYHEKTSRSSSSSHSDSPEFLRINNNKSGHKNGKLKSFESKKLVPLFIGDLHETV TEETLKGIFKKYPSFVSAKVCLDSVTKKSLGHGYLNFEDKEEAEKAMEELNYTKVNGK EIRIMPSLRNTTFRKNFGTNVFFSNLPLNNPLLTTRVFYDTFSRYGKILSCKLDSRKD IGFVYFEDEKTARNVIKMYNNTSFFGKKILCGIHFDKEVRSVPNFETQKSRLDAETII EKEQSLNEKHSKGNDKESKNIYSSSQNSIFIKNLPTITTRDDILNFFSEVGPIKSIYL SNATKVKYLWAFVTYKNSSDSEKAIKRYNNFYFRGKKLLVTRAQDKEERAKFIESQKI STLFLENLSAVCNKEFLKYLCHQENIRPFKIQIDGYDENSSTYSGFIKFRNFEDATRI FNFLNNRLVGGSIVTTSWERQNNAPKYHDGYGMRNIHTSSHPQITPYYQYSHANSLNS PHMRDLSSMNSSTRSLIKNKNFNKKVLETFEKQVRRGIDFMRFPSATRDENVHGIAEY IFDTYWNRDVLILDKFLSLLNSSPYHEGVLQKQIEEAASSLGFKR YFR024C-A MGINNPIPRSLKSETKKAAKILASFVKPNQVFGADQVIPPDVLK RAKGLAIITILKAGFLFSGRAGSGVIVARLKDGTWSAPSAIAMAGAGAGGMVGIELTD FVFILNTQDAVKSFSEFGTITLGGNVSVSAGPLGRSAEAAASASAGGVAAVFAYSKSK GLFAGVSVEGSAIIERREANRKFYGDNCTAKMILSGRIRPPPAVDPLFRVLESRAFNY RPSNGGRGSFDDDEDDYYDDDDYYNDIPSSFSSTDASSTRPNTRSTRRRAQSGSRYTF DDDDDDDDYGTGYSRNSRLAPTNSGGSGGKLDDPSGASSYYASHRRSGTAQSRARSSR NRWADDEYDDYDDDYESGYRRGNGRDRTKDREVDDLSNRFSKSRISSASTPQTSQGRF TAPTSPSTSSPKAVALYSFAGEESGDLPFRKGDVITILKKSDSQNDWWTGRVNGREGI FPANYVELV YFR025C MHSHHSHSGDYSAHGTDPLDSVVDQVVNLNFHTYCLTEHIPRIE AKFIYPEEQSLGKNPEEVITKLETSFKNFMSHAQEIKTRYADRPDVRTKFIIGMEIES CDMAHIEYAKRLMKENNDILKFCVGSVHHVNGIPIDFDQQQWYNSLHSFNDNLKHFLL SYFQSQYEMLINIKPLVVGHFDLYKLFLPNDMLVNQKSGNCNEETGVPVASLDVISEW PEIYDAVVRNLQFIDSYGGAIEINTSALRKRLEEPYPSKTLCNLVKKHCGSRFVLSDD AHGVAQVGVCYDKVKKYIVDVLQLEYICYLEESQSPENLLTVKRLPISQFVNDPFWAN I YFR026C MTPYAVAITVALLIVTVSALQVNNSCVAFPPSNLRGKNGDGTNE QYATALLSIPWNGPPESLRDINLIELEPQVALYLLENYINHYYNTTRDNKCPNNHYLM GGQLGSSSDNRSLNDPQTMLWPEKKEDEKNCQETFKGACSCTKRFCKGYFSVNIFGIN LNISYSSGK YFR027W MKARKSQRKAGSKPNLIQSKLQVNNGSKSNKIVKCDKCEMSYSS TSIEDRAIHEKYHTLQLHGRKWSPNWGSIVYTERNHSRTVHLSRSTGTITPLNSSPLK KSSPSITHQEEKIVYVRPDKSNGEVRAMTEIMTLVNNELNAPHDENVIWNSTTEEKGK AFVYIRNDRAVGIIIIENLYGGNGKTSSRGRWMVYDSRRLVQNVYPDFKIGISRIWVC RTARKLGIATKLIDVARENIVYGEVIPRYQVAWSQPTDSGGKLASKYNGIMHKSGKLL LPVYI YFR028C MRRSVYLDNTIEFLRGRVYLGAYDYTPEDTDELVFFTVEDAIFY NSFHLDFGPMNIGHLYRFAVIFHEILNDPENANKAVVFYSSASTRQRANAACMLCCYM ILVQAWTPHQVLQPLAQVDPPFMPFRDAGYSNADFEITIQDVVYGVWRAKEKGLIDLH SFNLESYEKYEHVEFGDFNVLTPDFIAFASPQEDHPKGYLATKSSHLNQPFKSVLNFF ANNNVQLVVRLNSHLYNKKHFEDIGIQHLDLIFEDGTCPDLSIVKNFVGAAETIIKRG GKIAVHCKAGLGRTGCLIGAHLIYTYGFTANECIGFLRFIRPGMVVGPQQHWLYLHQN DFREWKYTTRISLKPSEAIGGLYPLISLEEYRLQKKKLKDDKRVAQNNIEGELRDLTM TPPSNGHGALSARNSSQPSTANNGSNSFKSSAVPQTSPGQPRKGQNGSNTIEDINNNR NPTSHANRKVVIESNNSDDESMQDTNGTSNHYPKVSRKKNDISSASSSRMEDNEPSAT NINNAADDTILRQLLPKNRRVTSGRRTTSAAGGIRKISGSIKK YFR029W MHSHRQKWGRQTDIARVLDDIEHDLYLPQRLSLDGATGTDESHV QYGIVKDCSVLTCGCCISESLFNDLCRETSNKQTACPICQRENVRLLSAIKPLRDLAR QIDFFRSTTGQGESESDELPAIVKTSPSSSSLSLTPSRSSSTAGLEADNKTLSDPTVK EKSSLLELFHIVASKMHNANTEVGSDHPLTTGTTRDQEEHTTKENYSSSLLEPNYDDH ANWKILDNASNTRTVPIDNNFSLMSTDVTIPSTANYQTNSAHDLDEEKEYFFANCFPM YRKKFQFNTHPKFLGTKSKLFINQSISPDCTKFALITEHKWEIYSINPKDNSPQLVSC GKSSGEYGPNFNQLTEQSSSSLSTTSQASKKKKKNWSQRFCKLSNDFLIISGSQNILN VHDIHQNGKLIYTYVSRFPIRCIDIDPRSQIIAYGITGKDRHTGAEQALVVIQQITRN KVTLEPEFPPPITITLPYRDPINTIQLSHDAKYLTCSTALESRFLIISLQKINEPRLI MKSVRSIDTSLESEGITDTKLFPGNPNLMCITSTAFNSSPLVINTKITQINGVRTVAQ PSMLIRVDEIGCKIHKCEISPRNDAIAFLDRNGSVYIMCAPTMMDNNEKRRTILVETV ANAYRAYESATLRFNPEGNKLYILDRKGTFFVEDFAYGLPQSREITKCKQIFHK YFR030W MPVEFATNPFGEAKNATSLPKYGTPVTAISSVLFNNVDSIFAYK SFSQPDLLHQDLKKWSEKRGNESRGKPFFQELDIRSGAGLAPLGFSHGLKNTTAIVAP GFSLPYFINSLKTVSHDGKFLLNVGALNYDNATGSVTNDYVTALDAASKLKYGVVTPI SANEVQSVALLALAIATFSNNSGAINLFDGLNYSKTVLPLVESVPEASILAKLSKVIA PDAAFDDVLDKFNELTGLRLHNFQYFGAQDAETVFITYGSLESELFNSAISGNNSKIG LINVRVPLPFNVAKFVTHVPSTTKQIVVIGQTLDGSSPSFLRSQVSAALFYHGRTSIS VSEYIYQPDFIWSPKAVKSIVSSFIPEFTYNADSSFGEGFIYWASDKSINIDVASKLV KALSLEDGKFVSLRTKFDNLANAGTFQAQFVTSKEQIPVSNIDSTKLSVVEDVSLLKH LDVAATVAEQGSIALVSQKAVKDLDLNSVESYVKNLGIPESFLISIAKKNIKLFIIDG ETTNDESKLSLFIQAVFWKLAFGLDVAECTNRIWKSIDSGADISAASISEFLTGAFKN FLSEVPLALYTKFSEINIEKKEDEEEPAALPIFVNETSFLPNNSTIEEIPLPETSEIS DIAKKLSFKEAYEVENKLRPDLPVKNFVVKVKENRRVTPADYDRYIFHIEFDISGTGM TYDIGEALGIHARNNESLVKEFLTFYGLNESDVVLVPNKDNHHLLETRTVLQAFVENL DIFGKPPKRFYESLIPYASNEEEKKKLEDLVTPAGAVDLKRFQDVEYYTYADIFELFP SVRPSLEELVTIIEPLKRREYSIASSQKVHPNEVHLLIVVVDWVDNKGRKRYGQASKY ISDLAVGSELVVSVKPSVMKLPPSPKQPVIMSGLGTGLAPFKAIVEEKLWQKQQGYEI GEVFLYLGSRHKREEYLYGELWEAYKDAGIITHIGAAFSRDQPQKIYIQDRIKENLDE LKTAMIDNKGSFYLCGPTWPVPDITQALQDILAKDAEERGIKVDLDAAIEELKEASRY ILEVY YFR031C MKVEELIIDGFKSYATRTVITDWDPQFNAITGLNGSGKSNILDA ICFVLGIASMSTVRASSLQDLIYKRGQAGVTKASVTIVFDNTDKSNSPIGFTNSPQIS VTRQVVLGGTSKYLINGHRAPQQSVLQLFQSVQLNINNPNFLIMQGKITKVLNMKPSE ILSLIEEAAGTKMFEDRREKAERTMSKKETKLQENRTLLTEEIEPKLEKLRNEKRMFL EFQSTQTDLEKTERIVVSYEYYNIKHKHTSIRETLENGETRMKMLNEFVKKTSEEIDS LNEDVEEIKLQKEKELHKEGTISKLENKENGLLNEISRLKTSLSIKVENLNDTTEKSK ALESEIASSSAKLIEKKSAYANTEKDYKMVQEQLSKQRDLYKRKEELVSTLTTGISST GAADGGYNAQLAKAKTELNEVSLAIKKSSMKMELLKKELLTIEPKLKEATKDNELNVK HVKQCQETCDKLRARLVEYGFDPSRIKDLKQREDKLKSHYYQTCKNSEYLKRRVTNLE FNYTKPYPNFEASFVHGVVGQLFQIDNDNIRYATALQTCAGGRLFNVVVQDSQTATQL LERGRLRKRVTIIPLDKIYTRPISSQVLDLAKKIAPGKVELAINLIRFDESITKAMEF IFGNSLICEDPETAKKITFHPKIRARSITLQGDVYDPEGTLSGGSRNTSESLLVDIQK YNQIQKQIETIQADLNHVTEELQTQYATSQKTKTIQSDLNLSLHKLDLAKRNLDANPS SQIIARNEEILRDIGECENEIKTKQMSLKKCQEEVSTIEKDMKEYDSDKGSKLNELKK ELKLLAKELEEQESESERKYDLFQNLELETEQLSSELDSNKTLLHNHLKSIESLKLEN SDLEGKIRGVEDDLVTVQTELNEEKKRLMDIDDELNELETLIKKKQDEKKSSELELQK LVHDLNKYKSNTNNMEKIIEDLRQKHEFLEDFDLVRNIVKQNEGIDLDTYRERSKQLN EKFQELRKKVNPNIMNMIENVEKKEAALKTMIKTIEKDKMKIQETISKLNEYKRETLV KTWEKVTLDFGNIFADLLPNSFAKLVPCEGKDVTQGLEVKVKLGNIWKESLIELSGGQ RSLIALSLIMALLQFRPAPMYILDEVDAALDLSHTQNIGHLIKTRFKGSQFIVVSLKE GMFANANRVFRTRFQDGTSVVSIM YFR031C-A MGRVIRNQRKGAGSIFTSHTRLRQGAAKLRTLDYAERHGYIRGI VKQIVHDSGRGAPLAKVVFRDPYKYRLREEIFIANEGVHTGQFIYAGKKASLNVGNVL PLGSVPEGTIVSNVEEKPGDRGALARASGNYVIIIGHNPDENKTRVRLPSGAKKVISS DARGVIGVIAGGGRVDKPLLKAGRAFHKYRLKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRGAVSGQKAGLIAARRTGLLRGSQKTQD YFR032C MTEQVNNDTTSDTTTTITTVYISNLPFTASERDLHAFLNNYGAS SVLIPTQTVRRFSKRHNSNPRKPLGIAFAQFANNTLALKAIQDLNGTVFQNQKLFLKL HVPYEADSTPDTDVKKPKEKNKVKKTPETAADTVYCHDLPDDITDSEIRELFQLYSPQ EIWIYRSKVYRRKCIPFAPHQITAALVTLQSETPIGDICDSVAKTATLRGKSIIVKPA YVSKIQEIKQLVKDNLTNARDPPPAALAEPAPAPAPVEPAEQVQEGQDNAETNDVPPP PASSSDRPTVAAT YFR032C-A MAKSKNHTAHNQTRKAHRNGIKKPKTYKYPSLKGVDPKFRRNHK HALHGTAKALAAAKK YFR032C-B MVASRARENQRYSQCRKSTIFPLGFAIISGYIQFQNISILHISR FNPLFYNIFHSIFKNPGTTIQLESTLYYHEVPISPIGNAGSQI YFR033C MGMLELVGEYWEQLKITVVPVVAAAEDDDNEQHEEKAAEGEEKE EENGDEDEDEDEDEDDDDDDDEDEEEEEEVTDQLEDLREHFKNTEEGKALVHHYEECA ERVKIQQQQPGYADLEHKEDCVEEFFHLQHYLDTATAPRLFDKLK YFR034C MGRTTSEGIHGFVDDLEPKSSILDKVGDFITVNTKRHDGREDFN EQNDELNSQENHNSSENGNENENEQDSLALDDLDRAFELVEGMDMDWMMPSHAHHSPA TTATIKPRLLYSPLIHTQSAVPVTISPNLVATATSTTSANKVTKNKSNSSPYLNKRRG KPGPDSATSLFELPDSVIPTPKPKPKPKQYPKVILPSNSTRRVSPVTAKTSSSAEGVV VASESPVIAPHGSSHSRSLSKRRSSGALVDDDKRESHKHAEQARRNRLAVALHELASL IPAEWKQQNVSAAPSKATTVEAACRYIRHLQQNVST YFR035C MSASDKTKLCNKGMSRTSRTTTFVITPAFRERDDEGANSLCKAF LNTFSNLKSGMFKCLLGVGAVGTFISTFPQFFLLPCLLCVRCVCVCLCASISYAASAI FSFSIFFFFCLA YFR036W MIRRAPTTLQLSHDDVTSLIDDLNEQKLKQQLNIEKTKYFQGKN GGSLHSNTDFQDTSQNIEDNNNDNDNDIDEDDDMSSYNDKAASVAHTRVLNSLHLSTD SNTAHETSNANDNHNPFYIREE YFR037C MSDTEKDKDVPMVDSHEATEEPPTTSTNTPSFPHLAQEQAKEES ATLGAEVAHKKINYEQEAQKLEEKALRFLAKQTHPVIIPSFASWFDISKIHEIEKRSN PDFFNDSSRFKTPKAYKDTRNFIINTYRLSPYEYLTITAVRRNVAMDVASIVKIHAFL EKWGLINYQIDPRTKPSLIGPSFTGHFQVVLDTPQGLKPFLPENVIKQEVEGGDGAEP QVKKEFPVNLTIKKNVYDSAQDFNALQDESRNSRQIHKVYICHTCGNESINVRYHNLR ARDTNLCSRCFQEGHFGANFQSSDFIRLENNGNSVKKNWSDQEMLLLLEGIEMYEDQW EKIADHVGGHKRVEDCIEKFLSLPIEDNYIREVVGSTLNGKGGDSRDGSVSGSKLMEC VNDAVQTLLQGDDKLGKVSDKSREISEKYIEESQAIIQELVKLTMEKLESKFTKLCDL ETQLEMEKLKYVKESEKMLNDRLSLSKQILDLNKSLEELNVSKKLVLISEQVDSGIQL VEKDQEGDDEDGNTATGHGVKRVGKEGEEVGEGDSIAKLQPQVYKPWSL YFR038W MSRCSNAALMTVVEDAVGARVAARTRNMSNGVNYREKEVNDLTA DISDSDSDLDSEDNKHGKGDNDTAPIWLQDDVHSDEDIQLDSEDDSDTEAVQAQVVDK LAKDTKSEQKSLDDELSEMDTKTVSLKLKKLNEFVRQSQVYSSIIADTLLHRSNEVAN ANTKDNSNSDDEEHSSKKRKTKKKSITDFFKKQKKNEDTTTQNGAPDDAAIKQPRLLK NCILKPYQLEGLNWLITLYENGLNGILADEMGLGKTVQSIALLAFIYEMDTKGPFLVT APLSTLDNWMNEFAKFAPDLPVLKYYGTNGYKERSAKLKNFFKQHGGTGIVITSYEII LRDTDLIMSQNWKFLIVDEGHRLKNINCRLIKELKKINTSNRLLLTGTPLQNNLAELW SLLNFIMPDIFADFEIFNKWFDFDSLNLGSGSNSEALNKLINDELQKNLISNLHTILK PFLLRRLKKVVLANILPPKREYIINCPMTSAQEKFYKAGLNGKLKKTMFKELIKDFFT LNDEYIGHVSNRSIRDFINYKLSGNETSNTDNKINPTLLQMDKLYKKNLQMEISNKKL QNMMMQLRQIIDSTFLFYFPYLHPEDLTLETLLKTSGKLQILQKLIPPLISEGHKVLI YSQFVNMLDLIEDWCDLNSFATFRIDGSVNNETRKDQLEKFNSSKDKHNIFLLSTRAA GLGINLVGADTVVLFDSDWNPQVDLQAMDRCHRIGQESPVIVYRLCCDNTIEHVILTR AANKRNLERMVIQMGKFNNLKKLALNEGSFLKANKAGVNVTNKDLVQELSMLLMSDES NIGFENGGQKENKATEGQLTDKEVEELTNRSLEAYKANRVVDLPHVKLFETTSGL YFR039C MKAVFKVTTALLACVFIARYLVCQQNGLGSFATDLQPICRHTEF SVGSLFDSKLVEGSAVSDYLVGKYSQSIKPLIERYPNSSLKRIMGYFYRFWYNIFSFL RLNELCCSLHSKLGPLLNHLRIAWYYLKPYTDNVKNVLENPFNSSTDWMKYGSFSADG TLTKPIFETDSETEDYEDDENENEDEDEDEDEDDVGIEDENKEYEFDGVQDGHGNSQL VTAAILQDLSKIIIGSNSHAELETYEAESLKMEYEAWIKAIDSKIHSAMALLDSEIQS VFEAEVQNKSIEITRNLDDLNTTVNEQLVFLDSKIKDINCTSKFDPVQNKIKYFDESG QVELEAYITKSSITSILKNYKIHLLDFEKSLFHSLDSFLTEMAKLAESIRLENVEVYE EWGDVMISQWSQRMAYMDVRGLHLEDQYDPAYIEENHSNWLRFMELKKKVISERNRLV KHDLDMTLILEWITKLKADFQNTKNNIQDTFLQRMNTADTLFKNRELKEQLEEEFVRQ EH YFR040W MSFWPFGQNLNHSNINKILDEYFHVLHELERINPSVGKAIPAIF NNVQERGTSDSLDSIPEEYSHGDEVKTARGDQKSRFEKDDQQERYEKEEEERSMNSSE SSTTSFSSGSTSKTDLDEEDISNATAPMMVTTKNLDNSFIERMLVETELLNELSRQNK TLLDFICFGFFFDKKTNKKVNNMEYLVDQLMECISKIKTATTVDLNNLIDYQEQQQLD DSSQEDVYVESDTEQEEEKEDDNNSNNKKRRKRGSSSFGNDDINNNDDDDDANEDDES AYLTKATIISEIFSLDIWLISESLVKNQSYLNKIWSIINQPNFNSENSPLVPIFLKIN QNLLLTRQDQYLNFIRTERSFVDDMLKHVDISLLMDFFLKIISTDKIESPTGIIELVY DQNLISKCLSFLNNKESPADIQACVGDFLKALIAISANAPLDDISIGPNSLTRQLASP ESIAKLVDIMINQRGAALNTTVSIVIELIRKNNSDYDQVNLLTTTIKTHPPSNRDPIY LGYLLRKFSNHLSDFFQIILDIENDANIPLHENQLHEKFKPLGFERFKVVELIAELLH CSNMGLMNSKRAERIARRRDKVRSQLSHHLQDALNDLSIEEKEQLKTKHSPTRDTDHD LKNNNGKIDNDNNDNDDESDYGDEIDESFEIPYINMKQNIKLRTDPTVGDLFKIKLYD TRIVSKIMELFLTHPWNNFWHNVIFDIIQQIFNGRMDFSYNSFLVLSLFNLKSSYQFM TDIVISDEKGTDVSRFSPVIRDPNFDFKITTDFILRGYQDSYKFYELRKMNLGYMGHI VLIAEEVVKFSKLYKVELISPDIQVILQTEEWQYYSEEVLNETRMMYSKILGGGSYID DGNGNIIPQLPDNTTVLTPNGDASNNNEILDSDTGSSNGTSGGGQLINVESLEEQLSL STESDLHNKLREMLINRAQEDVDNKNTENGVFILGPPEDKNSNSNINNTNHNSNNSNN NDNNDNNDNDNDNTRNYNEDADNDNDYDHE YFR041C MNGYWKPALVVLGLVSLSYAFTTIETEIFQLQNEISTKYGPDMN FYKFLKLPKLQNSSTKEITKNLRKLSKKYHPDKNPKYRKLYERLNLATQILSNSSNRK IYDYYLQNGFPNYDFHKGGFYFSRMKPKTWFLLAFIWIVVNIGQYIISIIQYRSQRSR IENFISQCKQQDDTNGLGVKQLTFKQHEKDEGKSLVVRFSDVYVVEPDGSETLISPDT LDKPSVKNCLFWRIPASVWNMTFGKSVGSAGKEEIITDSKKYDGNQTKKGNKVKKGSA KKGQKKMELPNGKVIYSRK YFR042W MAGIKLTHKLYQYYQLATSFLYAALLIRWLILMPLVGSRFLPGG IHEFLIYLMFYSSIMEVIWLLRFHGFKYGLLSRTFLKDLNFIYLVSVIHFYDDYEHAL ILKNASYSSFIISLSLSQAYCHWCKLFKRKGVKERTLVWKVNTFVTLPILYLSEFALL LLNIQVKNYHSTPTLDIINRVVLLAYFPVLLTAYKKLLTK YFR043C MVLQYPQNKILVLSDHPHNFLKTQFLQDLFHCSSTGISIVKDQT WENRYYKVHFDLYIDSCKEIPVWVEEFITPECEPLRNVMAGIILITDIRQTKPQELLH QFMIAAHRNTFVVLANVNEEVEQDEIDELNEIWSNAFTNVIEFVNWKRSKPTVNHNDY GEKLGLDRIQEIIDTHDWLNCEVQPATKIREEIPNEMPLEQIIRNLQSARLKYKSIEN SSEADAFANEMADELSRYL YFR044C MSHSLTSVFQKIDSLKPQFFSRLTKAIQIPAVSSDESLRSKVFD KAKFISEQLSQSGFHDIKMVDLGIQPPPISTPNLSLPPVILSRFGSDPSKKTVLVYGH YDVQPAQLEDGWDTEPFKLVIDEAKGIMKGRGVTDDTGPLLSWINVVDAFKASGQEFP VNLVTCFEGMEESGSLKLDELIKKEANGYFKGVDAVCISDNYWLGTKKPVLTYGLRGC NYYQTIIEGPSADLHSGIFGGVVAEPMIDLMQVLGSLVDSKGKILIDGIDEMVAPLTE KEKALYKDIEFSVEELNAATGSKTSLYDKKEDILMHRWRYPSLSIHGVEGAFSAQGAK TVIPAKVFGKFSIRTVPDMDSEKLTSLVQKHCDAKFKSLNSPNKCRTELIHDGAYWVS DPFNAQFTAAKKATKLVYGVDPDFTREGGSIPITLTFQDALNTSVLLLPMGRGDDGAH SINEKLDISNFVGGMKTMAAYLQYYSESPEN YFR045W MANQNSDLYKQITAGSVAAVFQTTMTYPFEYLKTGLQLQPKGTA FEIILPQIKSYFVGCSALNVAAFGKTILRFVTFDKLCHSLNNNIDNNDNFQRLTGYNL LIAGTLTGIVESLFIIPFENIKTTLIQSAMIDHKKLEKNQPVVNAKATFHKVATKSTP VARIEKLLPAVKHMYQTRGPAAFVQGTTATIFRQIANTSIQFTAYTAFKRLLQARNDK ASSVITGLATSFTLVAMTQPIDVVKTRMMSQNAKTEYKNTLNCMYRIFVQEGMATFWK GSIFRFMKVGISGGLTFTVYEQVSLLLGFSSRS YFR046C MSTPRKAAGNNENTEVSEIRTPFRERALEEQRLKDEVLIRNTPG YRKLLSASTKSHDILNKDPNEVRSFLQDLSQVLARKSQGNDTTTNKTQARNLIDELAY EESQPEENELLRSRSEKLTDNNIGNETQPDYTSLSQTVFAKLQERDKGLKSRKIDPII IQDVPTTGHEDELTVHSPDKANSISMEVLRTSPSIGMDQVDEPPVRDPVPISITQQEE PLSEDLPSDDKEETEEAENEDYSFENTSDENLDDIGNDPIRLNVPAVRRSSIKPLQIM DLKHLTRQFLNENRIILPKQTWSTIQEESLNIMDFLKQKIGTLQKQELVDSFIDMGII NNVDDMFELAHELLPLELQSRIESYLF YFR047C MPVYEHLLPVNGAWRQDVTNWLSEDVPSFDFGGYVVGSDLKEAN LYCKQDGMLCGVPFAQEVFNQCELQVEWLFKEGSFLEPSKNDSGKIVVAKITGPAKNI LLAERTALNILSRSSGIATASHKIISLARSTGYKGTIAGTRKTTPGLRRLEKYSMLVG GCDTHRYDLSSMVMLKDNHIWATGSITNAVKNARAVCGFAVKIEVECLSEDEATEAIE AGADVIMLDNFKGDGLKMCAQSLKNKWNGKKHFLLECSGGLNLDNLEEYLCDDIDIYS TSSIHQGTPVIDFSLKLAH YFR048W MSYKANQPSPGEMPKRSPSILVTDARTSKNRMSAPFAGHAAGSR KNMENAGVTKSQGVRSSAIGPSPLQSFTHPRRRSSGRFSDISIDNILSDNSDIPSARR EERLSSSSSDRPRQYERLSSRRKMINPLPPRTSKTSQKLVLIPEDDNLNHFQTLPTNA LDRQRPKVGSMKSNSFDRLPRYSKEKSMARITAYNVADGFNLNQLYKFLQETHEVSPR LYDECLYVAYTLPLLPGKGGFRIKSNLSKKTMGGKTLIDNLIDTSEQRDHHYEYYSGV ETVEDANNNYELETSGNNNNANQDTTTVPDHLPNPVGQQDSFNPMEPQFFAEETPLEI EKRERTERINMLKKEENDSDASCGNDNNNKNNDKSKLYAVEGNDQYVQSSRSPASPSS ISTPSPPSSSQNDFDRVYKMHRDNDHEGNDRHAEIFIFHYGVIVFWNFTEIQEKNILG DITFADYKNLMIRPLDEQDIETEQFHFEYDRDTERPRIFNDIVTLRSGDHIIELTLSH AIAQSSKLSRFESRISPILISVTKLPKRLALYGTLGLKREQLLKKSGKLFKLRVDVNL SSTILDTPEFFWSFEPSLHPLYVAMREYLEIDQRVQVLNDRCKVFLEFFDICVDSVAE RNMARVTWWFILVILFGVIFSLTEIFVRYVIIHRHTST YFR049W MIATPIRLAKSAYEPMIKFVGTRHPLVKHATEVVVHPCATNGML PGSKECIPVSKFMENYKPFRVVPIKHSANAGLSSSKTSVFVNRPLQKDELASIFELPA RFRYKPINEHELESINSGGAW YFR050C MNHDPFSWGRPADSTYGAYNTQIANAGASPMVNTQQPIVTGTSV ISMKYDNGVIIAADNLGSYGSLLRFNGVERLIPVGDNTVVGISGDISDMQHIERLLKD LVTENAYDNPLADAEEALEPSYIFEYLATVMYQRRSKMNPLWNAIIVAGVQSNGDQFL RYVNLLGVTYSSPTLATGFGAHMANPLLRKVVDRESDIPKTTVQVAEEAIVNAMRVLY YRDARSSRNFSLAIIDKNTGLTFKKNLQVENMKWDFAKDIKGYGTQKI YFR051C MVVLAASITTRQGKPLLSRQFKDLSKDRVLELLSNFQNLVSEIS SDHTFVEDKHVRYVYRPFDNYYIILITNRQSNIIKDLATLNLFSQTINSYLSSFQDQE IFHNAFEILSSFDEIVSMGGYKENLSFTQVQTYLSMESHEERIQEIIERNKEIEATEE RKRRAKEIARKEHERKHGFMSSNGDYDGANRFMGSKDPNVTNAINSYYSHASPAAQQS YLQSSHAAAAEVAPVASPMATSQRAGHSATGGMKLGGGAGRRAGAAPRPSAISSASSG TPPPPEEDVPENNGILISIKEVINAEFSRDGTIHSSELKGVLELRINDHDLSHSNLKL ADSIDVRDKSFQFKTHPNIDKQSFLSTKLISLRDKSKAFPANDQSLGVLRWRKVAPAE DDSLIPLTLTTWVSPSESQQGFDVIIEYESVLETELADVIFTIPVFPQEPVDINTESS TCSDAEVVNMDQEMGTSIKISKIAANDAGALAFTIEAPYEDALYPMTVSFQESTRDKL AKSFTGMAIQSVVMANDHDQELPYDVITSLKSDEYLVQ YFR052W MPSLAELTKSLSIAFENGDYAACEKLLPPIKIELIKNNLLIPDL SIQNDIYLNDLMITKRILEVGALASIQTFNFDSFENYFNQLKPYYFSNNHKLSESDKK SKLISLYLLNLLSQNNTTKFHSELQYLDKHIKNLEDDSLLSYPIKLDRWLMEGSYQKA WDLLQSGSQNISEFDSFTDILKSAIRDEIAKNTELSYDFLPLSNIKALLFFNNEKETE KFALERNWPIVNSKVYFNNQSKEKADYEDEMMHEEDQKTNIIEKAMDYAISIENIV YFR053C MVHLGPKKPQARKGSMADVPKELMDEIHQLEDMFTVDSETLRKV VKHFIDELNKGLTKKGGNIPMIPGWVMEFPTGKESGNYLAIDLGGTNLRVVLVKLSGN HTFDTTQSKYKLPHDMRTTKHQEELWSFIADSLKDFMVEQELLNTKDTLPLGFTFSYP ASQNKINEGILQRWTKGFDIPNVEGHDVVPLLQNEISKRELPIEIVALINDTVGTLIA SYYTDPETKMGVIFGTGVNGAFYDVVSDIEKLEGKLADDIPSNSPMAINCEYGSFDNE HLVLPRTKYDVAVDEQSPRPGQQAFEKMTSGYYLGELLRLVLLELNEKGLMLKDQDLS KLKQPYIMDTSYPARIEDDPFENLEDTDDIFQKDFGVKTTLPERKLIRRLCELIGTRA ARLAVCGIAAICQKRGYKTGHIAADGSVYNKYPGFKEAAAKGLRDIYGWTGDASKDPI TIVPAEDGSGAGAAVIAALSEKRIAEGKSLGIIGA YFR054C MLFAYSGCLAPQCIPDISSFKALPFRDTESRFTTDSSVISSRFS SSFTSSSSKIIIITSIFSSKMDNEHVGASLIVSLSMASLILTNVFSFSSTSYSSQPSD YIACSPSGIDDQPVAEPSGYTPVGSSSPHSGCITSGLDAIGYQSSLNEGQSTNASSRF VTKVYSHSALTHIILHLLSILQKFYLQVSTIS YFR055W MIDRTELSKFGITTQLSVIGRNPDEQSGFVNPPLYKGSTIILKK LSDLEQRKGRFYGTAGSPTIDNLENAWTHLTGGAGTVLSASGLGSISLALLALSKAGD HILMTDSVYVPTRMLCDGLLAKFGVETDYYDPSIGKDIEKLVKPNTTVIFLESPGSGT MEVQDIPALVSVAKKHGIKTILDNTWATPLFFDAHAHGIDISVEAGTKYLGGHSDLLI GLASANEECWPLLRSTYDAMAMLPGAEDCQLALRGMRTLHLRLKEVERKALDLAAWLG NRDEVEKVLHPAFEDCPGHEYWVRDYKGSSGLFSIVLKNGFTRAGLEKMVEGMKVLQL GFSWGG YFR057W MIFGPTSVYSKCSAKSSGIIKDTAKLPISRVRIKVMLEITVSFL FFDRFPRSFLNHNLYDSICPFFAWQYTSYYLSIYRQSFLFHFLQKDFSNDFVSEELIY ALVALGAKNSFDNSLSKHTYEYYNHSKRNLLEDSTNKNSAFSSASVTKP YGL263W MDGAKFENTVAFLPSEIFDCYNSTLPKNVFRSFVTWSCYEKFNS LEFRTWLLMWLPLIIAWKIRGKRHYLVIVTALMFEVLYFLWTYSYIFRERTLGKQVSQ FAKEIITNTPGIDTEDWERVAVNFNSYLYENKLWNTEYFFFDGSSCQEAFRKMLLEPF SLKKNDFANAKVPDGSVCYTEKALQVYFTQIERKWHWINSEGFLHNKTTQSVQFSKHG YGSKLLWAFKEVTIMNSRFAFFSIAYLNGLLTIPRLRNSLHILYVCAVLSSMIIEYLI GIDKFRFKSMNLIHKLQFLSYITCGHEKSDATNWSQIAKRTNTYMFEQKIWNSPILFS DGIDCEKFFKWYFSTPVSSQASLSVGSTDFELWPYIKEAQSACNDV YGL262W MRNNVTELVNSIIGVQTPGSLPDTLSGAHSLQRRISYFDVNWIS WNWDNVNVDLNKEVKKSRPLLGEEDDQCMFGWFANNPGWKYYWSVTDNPDPGYKENYS DIGDENAVHGELYFNTYGGLMASVMTTKMVLNAKRQLVVIDTIVVKAICDYVMKYWKK KVNLTTISLYLMLKL YGL261C MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YGL260W MEMLLFLNESYIFHRLRMWSIVLWHSCVFVCAECGNANYRVPRC LIKPFSVPVTFPFSVKKNIRILDLDPRTEAYC YGL259W MQLFSILSLLSSLMCSLTVLGSSASSYVKFPVQKFADIINIGTQ DVSTVFKRNEVLNTTVINGIGVYVVKMEIGTPPQTVYLQLDTGSSDMIVNNADIAYCK SMSDGSDYASTDNYELTATFTGPRSTTTSPELITLSALIGVNSMQETHLLLRITRLSS MTYTY YGL258W-A MAFERQGKIEKKISYSLFLNGPNVHFGSILFGAVDKSKYAEELC THPMRQAYNTLDSNSRIIITVQSVAILDGKLVW YGL258W MSFLSIFTFFSVLISVATTVRFDLTNVTCKGLHGPHCGTYVMEV VGQNGTFLGQSTFVGADVLTESAGDAWARYLGQETRFLPKLTTIASNETKNFSPLIFT TNINTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASFITGLANQLFNSTDYGVQV ASCYPNFASVILSTPAVNIFGKDDTLPDYCTAIQLKAVCPPEAGFD YGL257C MRRKNRLFILVVLLGIVLVVYYSQLNSLDLVEPVQSSSSGNGGC WSYYEGLTPGWLNDFYDVNQITPNPAKDVIELVTRIKIFFNCLQQVDGHNIQRLRDIE KKLFPYINFEKLETDESAFWHTTTRWNGEVYHASMLEFDPKNHQFLRSKPINFDTGLS FWENWLHTVTQSGSKGIVISASDVQLNETIRLLKVLRFIKNDYPIQIVHNADLSQDSM KSIIKYARSLDTAEYPAQELWFLNVHSLLNPKYSKKFTTYSNKWLALTFSSFEIPILM DSDTVPFVSIEKFYELEEFQKTGVLFFKDRVISDDLFESSELKILREIVYGCIGLDLE DESKIHEQVEDPVVAQVLENMFIKKYKHHLESGLVILHKGKHLFSMLTSIALQFSPIA EYFHGDKDFFWLGELLSNNRFTFHPVDASNIGQLGNVVSKESTGEFYQICSVQLSHTD RDGSLLWLNGGLNICKKTSWEYDYEHRQRLNDMFQNADELREYYASPVKLEGIIIPDT SISGWINSGECFLFNYCTLFKEGEFGKLIKFKEDEKLRLSQIVDIWNKDI YGL256W MSSVTGFYIPPISFFGEGALEETADYIKNKDYKKALIVTDPGIA AIGLSGRVQKMLEERDLNVAIYDKTQPNPNIANVTAGLKVLKEQNSEIVVSIGGGSAH DNAKAIALLATNGGEIGDYEGVNQSKKAALPLFAINTTAGTASEMTRFTIISNEEKKI KMAIIDNNVTPAVAVNDPSTMFGLPPALTAATGLDALTHCIEAYVSTASNPITDACAL KGIDLINESLVAAYKDGKDKKARTDMCYAEYLAGMAFNNASLGYVHALAHQLGGFYHL PHGVCNAVLLPHVQEANMQCPKAKKRLGEIALHFGASQEDPEETIKALHVLNRTMNIP RNLKELGVKTEDFEILAEHAMHDACHLTNPVQFTKEQVVAIIKKAYEY YGL255W MSNVTTPWWKQWDPSEVTLADKTPDDVWKTCVLQGVYFGGNEYN GNLGARISSVFVILFVSTFFTMFPLISTKVKRLRIPLYVYLFAKYFGSGVIVATAFIH LMDPAYGAIGGTTCVGQTGNWGLYSWCPAIMLTSLTFTFLTDLFSSVWVERKYGLSHD HTHDEIKDTVVRNTAAVSSENDNENGTANGSHDTKNGVEYYEDSDATSMDVVQSFQAQ FYAFLILEFGVIFHSVMIGLNLGSVGDEFSSLYPVLVFHQSFEGLGIGARLSAIEFPR SKRWWPWALCVAYGLTTPICVAIGLGVRTRYVSGSYTALVISGVLDAISAGILLYTGL VELLARDFIFNPQRTKDLRELSFNVICTLFGAGIMALIGKWA YGL254W MTDIGRTKSRNYKCSFDGCEKVYNRPSLLQQHQNSHTNQKPYHC DEPGCGKKFIRPCHLRVHKWTHSQIKPKACTLCQKRFVTNQQLRRHLNSHERKSKLAS RIDRKHEGVNANVKAELNGKEGGFDPKLPSGSPMCGEEFSQGHLPGYDDMQVLQCPYK SCQKVTSFNDDLINHMLQHHIASKLVVPSGDPSLKESLPTSEKSSSTDTTSIPQLSFS TTGTSSSESVDSTTAQTPTDPESYWSDNRCKHSDCQELSPFASVFDLIDHYDHTHAFI PETLVKYSYIHLYKPSVWDLFEY YGL253W MVHLGPKKPQARKGSMADVPKELMQQIENFEKIFTVPTETLQAV TKHFISELEKGLSKKGGNIPMIPGWVMDFPTGKESGDFLAIDLGGTNLRVVLVKLGGD RTFDTTQSKYRLPDAMRTTQNPDELWEFIADSLKAFIDEQFPQGISEPIPLGFTFSFP ASQNKINEGILQRWTKGFDIPNIENHDVVPMLQKQITKRNIPIEVVALINDTTGTLVA SYYTDPETKMGVIFGTGVNGAYYDVCSDIEKLQGKLSDDIPPSAPMAINCEYGSFDNE HVVLPRTKYDITIDEESPRPGQQTFEKMSSGYYLGEILRLALMDMYKQGFIFKNQDLS KFDKPFVMDTSYPARIEEDPFENLEDTDDLFQNEFGINTTVQERKLIRRLSELIGARA ARLSVCGIAAICQKRGYKTGHIAADGSVYNRYPGFKEKAANALKDIYGWTQTSLDDYP IKIVPAEDGSGAGAAVIAALAQKRIAEGKSVGIIGA YGL252C MSTLSDSDTETEVVSRNLCGIVDIGSNGIRFSISSKAAHHARIM PCVFKDRVGLSLYEVQYNTHTNAKCPIPRDIIKEVCSAMKRFKLICDDFGVPETSVRV IATEATRDAINADEFVNAVYGSTGWKVEILGQEDETRVGIYGVVSSFNTVRGLYLDVA GGSTQLSWVISSHGEVKQSSKPVSLPYGAGTLLRRMRTDDNRALFYEIKEAYKDAIEK IGIPQEMIDDAKKEGGFDLWTRGGGLRGMGHLLLYQSEGYPIQTIINGYACTYEEFSS MSDYLFLKQKIPGSSKEHKIFKVSDRRALQLPAVGLFMSAVFEAIPQIKAVHFSEGGV REGSLYSLLPKEIRAQDPLLIASRPYAPLLTEKYLYLLRTSIPQEDIPEIVNERIAPA LCNLAFVHASYPKELQPTAALHVATRGIIAGCHGLSHRARALIGIALCSRWGGNIPES EEKYSQELEQVVLREGDKAEALRIVWWTKYIGTIMYVICGVHPGGNIRDNVFDFHVSK RSEVETSLKELIIDDANTTKVKEESTRKNRGYEVVVRISKDDLKTSASVRSRIITLQK KVRKLSRGSVERVKIGVQFYEE YGL251C MKTKFDRLGTGKRSRPSPNNIDFNDQSATFKRNKKNSRQPSFKV GLSYNSLLDDCDDENETEEIFEGRGLQFFDKDDNFSITADDTQVTSKLFDHDLEQTPD EEAKKPKKVTIRKSAKKCLSTTILPDSFRGVFKFTEFNKMQSEAFPSIYESNENCIIS SPTGSGKTVLFELAILRLIKETNSDTNNTKIIYIAPTKSLCYEMYKNWFPSFVNLSVG MLTSDTSFLETEKAKKCNIIITTPEKWDLLTRRWSDYSRLFELVKLVLVDEIHTIKEK RGASLEVILTRMNTMCQNIRFVALSATVPNIEDLALWLKTNNELPANILSFDESYRQV QLTKFVYGYSFNCKNDFQKDAIYNSKLIEIIEKHADNRPVLIFCPTRASTISTAKFLL NNHIFSKSKKRCNHNPSDKILNECMQQGIAFHHAGISLEDRTAVEKEFLAGSINILCS TSTLAVGVNLPAYLVIIKGTKSWNSSEIQEYSDLDVLQMIGRAGRPQFETHGCAVIMT DSKMKQTYENLIHGTDVLESSLHLNLIEHLAAETSLETVYSIETAVNWLRNTFFYVRF GKNPAAYQEVNRYVSFHSVEDSQINQFCQYLLDTLVKVKIIDISNGEYKSTAYGNAMT RHYISFESMKQFINAKKFLSLQGILNLLATSEEFSVMRVRHNEKKLFKEINLSPLLKY PFLTEKKQSQIIDRVSQKVSLLIQYELGGLEFPSYEGASKLHQTLVQDKFLVFRHCFR LLKCMVDTFIEKSDGTSLKNTLFLLRSLNGHCWENTPMVLRQLKTIGLVSVRRLIRHG ITNLEEMGHLSDTQIEYYLNLKIGNGIKIKNDISLLPCLNIRTKLENCKIENEELWLT FKVEISATFKSSIWHGQHLSLDIETEKSSGELIDFRRLQVNKLQSPRGFRISAKISPK LEKIEFSIHCQEIAGLGKTIVYSTDHLASQFSAKTPNIRKDLNSLEKCLFYESSSDGE VGKTSRVSHKDGLEESLSSDDSILDYLNERKKSSKAVESAAVIHPEAHSSSHFSNGRQ VRSNGNYECFHSCKDKTQCRHLCCKEGIPVKYIKEKGPSSIKPVSKPDQIRQPLLAKN INTTPHLEKRLNSKPKQWQEENTDIATVHTLPSKIYNLSQQMSSMEAGEQVLKSGPEN CPEIIPIDLESSDSYSSNTAASSISDPNGDLDFLGSDIEFE YGL250W MSEEEAHKTVEVDDVGVQLDEGDEEDLLEYDDELVEEQPSDARI RNVAETLMKSELPKVTVEYKDTTFLLFTSDDKNESNNPIICENAALYQRPMGEFMESI RKFMGNRFGRLAFATKELVLQLKSLDLTLFEDNVYNNHISFSDVYTIFKILKERSESN FETDIPTHLAIELSTRPRFVSRYNALVELTESSATLKNIKPFSNDETHPLIVDDNDQY THQNTSEVIVMDIDDDVGEDSED YGL249W MIIERWEVKLSKCNQNVGGYSVLSGNLKENIKLGRRAQKYLKEL RNLQLKPLKIGGYENCGTINGEEYFLEVIHITSGRQKIDVAVGKTWNVTNIENDNKEE LQYELFKEKLKVGKQDMLFFSWMKSLSVQLNAPLHQKMTEHGLADDNTRLEWFNIPLL RRSQYRKKVPYPSLRQMSSVLEVQCSTLTEEKLNFCVGFSDKPLSEWKPQIFEQTYNR YRLQRISPEKSFKYKSRCSKYNFKTSSQSWVVKVPEHDQQLNTFEKRYDELFDAQFNK LEFFKIRMKKLKKNKPIEKKNYKIWCLEKEDLKDLVWDPLKRICNHSRYAIFEHVTIN REAYSIKPLRLTFQKLDSGSLDLIDNQKKTFGSIKLAMSMPDVKKTENQSIEESERHD ETAIETQEFDENDCLSSKADINTSLAPQKRSFIDNELMSMLVTKKKIKKDKDVSDTGI SSTSYLINSGTYANSHIEIPTSNSVYNGKEDCSFNNYSVKHSILEEDIENKCIAVNEN KVIENQKVIQSLCKNSHLDLIEQSYFGECDFIINHSTCVYKIQASRFMQLRNNGSLHY DKAVNDLLTEFQRVIIIVEFSEIIQDVDPDLFWKIKLYLLNSRVDVFFIHETTDFFID WMKYFIARWAFSYNDEKEKNIANADILLDLGFNILLVRKIFQTYSLEEFFMAIIKEES KAVKMLTVSQMTRLKKLLTLEW YGL248W MVVFEITILGANGGPTEYGTQCFILKPARTEDPELIAVDGGAGM YQLREMLVQGRNENEGDDELVPSFYEHDREPIEFFIDSKLNIQKGLSKSLLQSLKRQG EHFESANTMKKTYEVFQGITDYYITHPHLDHISGLVVNSPSIYEQENSKKKTIWGLPH TIDVLQKHVFNDLIWPDLTAERSRKLKLKCLNPKEVQKCTIFPWDVIPFKVHHGIGVK TGAPVYSTFYIFRDRKSKDCIIVCGDVEQDRRESEESLLEEFWSYVAENIPLVHLKGI LVECSCPLSSKPEQLYGHLSPIYLINELSNLNTLYNSSKGLSGLNVIVTHVKSTPAKR DPRLTILEELRFLAEERNLGDLRISIALEGHTLFL YGL247W MELRSFSRQPDGILANPRLGREEVLEGEHPQDARLARQSIWLSP SLIAEYIQLFFNFIIGTIGLSLAIKFILMIRNDVNLKLEHNVREELDKIATCKSRYFE NQCEPHMRVPALEVRCNEWSKCMNKEIVSGSDYQWAKAWARTLAEVINAFFEAFSIRS FLFILISIIGIIFVTNTSFGSYRVYLNNKDTKSVRHA YGL246C MGVSANLFVKQRGSTTALKQPKEIGFYSRTKDEEYLISDDTNLN YYYLPDAELDRKLDLSSGFQKFKDYYKDFEDRCSLRGLLETIESSERHKGKKINADII TFRGIARKLISCAFDSPSFNTVDLRIVSFNGQLFIKEVPEAVNAAKASSATEAGRNIN QDLNVFTGYKFETLATLSNPLQYTPREVIEKRTKRIVSHGDEYISVVRTGVGNCKLIL GAEVDCIFDFKENGRDNLKHYAELKCTQQVANISDTHKFERKLFRTWLQCFLVGIPRI IYGFKDDHYVLKTVEEFSTEEVPVLLKNNNPQVGSACLEAIKWYGLLTEWLLKMIPRD EDPHSQIRAFKLVFENNHLRLSEIEESDEEYSGLIDGEHILSNGFKEWRKSLK YGL245W MPSTLTINGKAPIVAYAELIAARIVNALAPNSIAIKLVDDKKAP AAKLDDATEDVFNKITSKFAAIFDNGDKEQVAKWVNLAQKELVIKNFAKLSQSLETLD SQLNLRTFILGGLKYSAADVACWGALRSNGMCGSIIKNKVDVNVSRWYTLLEMDPIFG EAHDFLSKSLLELKKSANVGKKKETHKANFEIDLPDAKMGEVVTRFPPEPSGYLHIGH AKAALLNQYFAQAYKGKLIIRFDDTNPSKEKEEFQDSILEDLDLLGIKGDRITYSSDY FQEMYDYCVQMIKDGKAYCDDTPTEKMREERMDGVASARRDRSVEENLRIFTEEMKNG TEEGLKNCVRAKIDYKALNKTLRDPVIYRCNLTPHHRTGSTWKIYPTYDFCVPIVDAI EGVTHALRTIEYRDRNAQYDWMLQALRLRKVHIWDFARINFVRTLLSKRKLQWMVDKD LVGNWDDPRFPTVRGVRRRGMTVEGLRNFVLSQGPSRNVINLEWNLIWAFNKKVIDPI APRHTAIVNPVKIHLEGSEAPQEPKIEMKPKHKKNPAVGEKKVIYYKDIVVDKDDADV INVDEEVTLMDWGNVIITKKNDDGSMVAKLNLEGDFKKTKHKLTWLADTKDVVPVDLV DFDHLITKDRLEEDESFEDFLTPQTEFHTDAIADLNVKDMKIGDIIQFERKGYYRLDA LPKDGKPYVFFTIPDGKSVNKYGAKK YGL244W MSDLDEDLLALAGADESEEEDQVLTTTSAKRAKNNDQSLSKKRR IEVGSVEDDDEEDDYNPYSVGNADYGSEEEEEANPFPLEGKYKDESDREHLESLPEME RETLLFERSQIMQKYQERKLFRARGRDMKEQQQRAKNDEDSRKTRASTRSTHATGHSD IKASKLSQLKKQRARKNRHYSDNEDEDDEEDYREEDYKDDEGSEYGDDEEYNPFDRRD TYDKREEVEWAEEEDEQDREPEISDFNKLRIGRSFVAKFCFYPGFEDAVKGCYGRVNV GTDKRTGKTSYRMVRIERVFLQKPYNMGKFYTNQYFGVTQGKDRKVFQMNYFSDGLFA EDEYQRYLRALDNSQMIKPSLHSLSNKTKEVMDFVNTPLTDKTTDEVVRHRMQFNKKL SGTNAVLEKTVLREKLQYAKETNNEKDIAKYSAQLRNFEKRMSVYEKHHENDQSDIKK LGELTSKNRKLNMSNIRNAEHVKKEDSNNFDSKSDPFSRLKTRTKVYYQEIQKEENAK AKEIAQQEKLQEDKDAKDKREKELLVAQFRRLGGLERMVGELDIKFDLKF YGL243W MVSCQGTRPCIVNLLTMPSEDKLGEEISTRVINEYSKLKSACRP IIRPSGIREWTILAGVAAINRDGGANKIEILSIATGVKALPDSELQRSEGKILHDCHA EILALRGANTVLLNRIQNYNPSSGDKFIQHNDEIPARFNLKENWELALYISRLPCGDA SMSFLNDNCKNDDFIKIEDSDEFQYVDRSVKTILRGRLNFNRRNVVRTKPGRYDSNIT LSKSCSDKLLMKQRSSVLNCLNYELFEKPVFLKYIVIPNLEDETKHHLEQSFHTRLPN LDNEIKFLNCLKPFYDDKLDEEDVPGLMCSVKLFMDDFSTEEAILNGVRNGFYTKSSK PLRKHCQSQVSRFAQWELFKKIRPEYEGISYLEFKSRQKKRSQLIIAIKNILSPDGWI PTRTDDVK YGL242C MNTEGASLSEQLLDAARRNNLDLLETVFDSLDNDPEKIAKLINE SKEPLGNTALHLCCKYGSWEVLDKILDQDGEIEIDPQNDVDGDTPLHVTVRYSQEEPE HGTFIARNLIEVGADPRVRNYNNQKPVDLVHGDELDELIDLLQGAELAIDSTNGSGDN NEDGEMIDDGPSDDDEEDDKK YGL241W MDINELIIGAQSADKHTREVAETQLLQWCDSDASQVFKALANVA LQHEASLESRQFALLSLRKLITMYWSPGFESYRSTSNVEIDVKDFIREVLLKLCLNDN ENTKIKNGASYCIVQISAVDFPDQWPQLLTVIYDAISHQHSLNAMSLLNEIYDDVVSE EMFFEGGIGLATMEIVFKVLNTETSTLIAKIAALKLLKACLLQMSSHNEYDEASRKSF VSQCLATSLQILGQLLTLNFGNVDVISQLKFKSIIYENLVFIKNDFSRKHFSSELQKQ FKIMAIQDLENVTHINANVETTESEPLLETVHDCSIYIVEFLTSVCTLQFSVEEMNKI ITSLTILCQLSSETREIWTSDFNTFVSKETGLAASYNVRDQANEFFTSLPNPQLSLIF KVVSNDIEHSTCNYSTLESLLYLLQCILLNDDEITGENIDQSLQILIKTLENILVSQE IPELILARAILTIPRVLDKFIDALPDIKPLTSAFLAKSLNLALKSDKELIKSATLIAF TYYCYFAELDSVLGPEVCSETQEKVIRIINQVSSDAEEDTNGALMEVLSQVISYNPKE PHSRKEILQAEFHLVFTISSEDPANVQVVVQSQECLEKLLDNINMDNYKNYIELCLPS FINVLDSNNANNYRYSPLLSLVLEFITVFLKKKPNDGFLPDEINQYLFEPLAKVLAFS TEDETLQLATEAFSYLIFNTDTRAMEPRLMDIMKVLERLLSLEVSDSAAMNVGPLVVA IFTRFSKEIQPLIGRILEAVVVRLIKTQNISTEQNLLSVLCFLTCNDPKQTVDFLSSF QIDNTDALTLVMRKWIEAFEVIRGEKRIKENIVALSNLFFLNDKRLQKVVVNGNLIPY EGDLIITRSMAKKMPDRYVQVPLYTKIIKLFVSELSFQSKQPNPEQLITSDIKQEVVN ANKDDDNDDWEDVDDVLDYDKLKEYIDDDVDEEADDDSDDITGLMDVKESVVQLLVRF FKEVASKDVSGFHCIYETLSDSERKVLSEALL YGL240W MDPIGINKVLDHLAPSELIKPVKSCHNKPSVLVLDDRIVDAATK DLYVNGFQEEIQYQNPTPENLQHMFHQGIEILDSARMINVTHLALWKPSSFKLGNPVD FALDDNYDTFWQSDGGQPHQLDIMFSKRMDICVMAIFFSMIADESYAPSLVKVYAGHS PSDARFYKMLEVRNVNGWVALRFLDNREDDQLLKCQFIRLLFPVNHENGKDTHLRGIR LYVPSNEPHQDTHEWAQTLPETNNVFQDAILR YGL238W MSDLETVAKFLAESVIASTAKTSERNLRQLETQDGFGLTLLHVI ASTNLPLSTRLAGALFFKNFIKRKWVDENGNHLLPANNVELIKKEIVPLMISLPNNLQ VQIGEAISSIADSDFPDRWPTLLSDLASRLSNDDMVTNKGVLTVAHSIFKRWRPLFRS DELFLEIKLVLDVFTAPFLNLLKTVDEQITANENNKASLNILFDVLLVLIKLYYDFNC QDIPEFFEDNIQVGMGIFHKYLSYSNPLLEDPDETEHASVLIKVKSSIQELVQLYTTR YEDVFGPMINEFIQITWNLLTSISNQPKYDILVSKSLSFLTAVTRIPKYFEIFNNESA MNNITEQIILPNVTLREEDVELFEDDPIEYIRRDLEGSDTDTRRRACTDFLKELKEKN EVLVTNIFLAHMKGFVDQYMSDPSKNWKFKDLYIYLFTALAINGNITNAGVSSTNNLL NVVDFFTKEIAPDLTSNNIPHIILRVDAIKYIYTFRNQLTKAQLIELMPILATFLQTD EYVVYTYAAITIEKILTIRESNTSPAFIFHKEDISNSTEILLKNLIALILKHGSSPEK LAENEFLMRSIFRVLQTSEDSIQPLFPQLLAQFIEIVTIMAKNPSNPRFTHYTFESIG AILNYTQRQNLPLLVDSMMPTFLTVFSEDIQEFIPYVFQIIAFVVEQSATIPESIKPL AQPLLAPNVWELKGNIPAVTRLLKSFIKTDSSIFPDLVPVLGIFQRLIASKAYEVHGF DLLEHIMLLIDMNRLRPYIKQIAVLLLQRLQNSKTERYVKKLTVFFGLISNKLGSDFL IHFIDEVQDGLFQQIWGNFIITTLPTIGNLLDRKIALIGVLNMVINGQFFQSKYPTLI SSTMNSIIETASSQSIANLKNDYVDLDNLEEISTFGSHFSKLVSISEKPFDPLPEIDV NNGVRLYVAEALNKYNAISGNTFLNTILPQLTQENQVKLNQLLVGN YGL237C MSADETDAKFHPLETDLQSDTAAATSTAAASRSPSLQEKPIEMP LDMGKAPSPRGEDQRVTNEEDLFLFNRLRASQNRVMDSLEPQQQSQYTSSSVSTMEPS ADFTSFSAVTTLPPPPHQQQQQQQQQQQQQQLVVQAQYTQNQPNLQSDVLGTAIAEQP FYVNAKQYYRILKRRYARAKLEEKLRISRERKPYLHESRHKHAMRRPRGEGGRFLTAA EIKAMKSKKSGASDDPDDSHEDKKITTKIIQEQPHATSTAAAADKKT YGL236C MLRVTTLASSCTSFPLQVLRRRLTISSLTSFQPTTKTQVVVIGA GHAGCEAAAASSRTGAHTTLITPSLTDIGKCSCNPSIGGVGKGILVKEIDALDGLMGK VTDLAGVQFKMLNRSKGPAVWGPRAQIDRELYKKYMQRELSDKKAHPNLSLLQNKVAD LILYDPGCGHKVIKGVVLDDGTQVGADQVIITTGTFLSAEIHIGDKRIAAGRIGEQPT YGISNTLQNEVGFQLGRLKTGTPARLAKESIDFSALEVQKGDALPVPMSFLNETVSVE PTKQLDCFGTHTTPQMHDFLRNNLHQSIHIQDTTIKGPRYCPSIEAKILRFPDRSSHK IWLEPEGFNSDVIYPNGISNSMPEDVQLQMMRLIPGMANVEILQPAYGVEYDYVDPRQ LKPSLETKLVDGLFLAGQINGTTGYEEAAAQGIIAGINAGLLSRQEREQLVLKRSEAY IGVLIDDLINNGVIEPYRMFTSRSEFRISVRADNADFRLTPIGAQLGIISPVRLSQYS RDKHLYDETIRALQNFKLSSQKWSSLLQANIAPQAENRSAWEIFRFKDMDLHKLYECI PDLPINLLDIPMHVVTKINIQGKYEPYIVKQNQFVKAFQADENMLLPQDYDYRQLPTL STECKLLLNRVQPLTIGQARRIQGITAAALFELYRVARKPSQPVM YGL235W MTLWPHPGSYKIKSATLFCSRDKLGCAFLSESSLCMYFLYNSLS IWALGPHTAGPLLLFSILNCTPARSVTLPISPSRASISFTRMPLPTPPIEGLHEHLPI SVNDGVMRVVCAPVLDDAAAASQPACPAPMTTTCVLVVGWKLVKEDMVNRLLRTCKGN EVHEDAKVVTRSIVLWGV YGL234W MLNILVLGNGAREHVLVTKLAQSPTVGKIYVAPGNGGTATMDPS RVINWDITPDVANFARLQSMAVEHKINLVVPGPELPLVNGITSVFHSVGIPVFGPSVK AAQLEASKAFSKRFMSKHNIPTASYDVFTNPEEAISFLQAHTDKAFVIKADGIAAGKG VIIPSSIDESVQAIKDIMVTKQFGEEAGKQVVIEQFLEGDEISLLTIVDGYSHFNLPV AQDHKRIFDGDKGLNTGGMGAYAPAPVATPSLLKTIDSQIVKPTIDGMRRDGMPFVGV LFTGMILVKDSKTNQLVPEVLEYNVRFGDPETQAVLSLLDDQTDLAQVFLAAAEHRLD SVNIGIDDTRSAVTVVVAAGGYPESYAKGDKITLDTDKLPPHTQIFQAGTKYDSATDS LLTNGGRVLSVTSTAQDLRTAVDTVYEAVKCVHFQNSYYRKDIAYRAFQNSESSKVAI TYADSGVSVDNGNNLVQTIKEMVRSTRRPGADSDIGGFGGLFDLAQAGFRQNEDTLLV GATDGVGTKLIIAQETGIHNTVGIDLVAMNVNDLVVQGAEPLFFLDYFATGALDIQVA SDFVSGVANGCIQSGCALVGGETSEMPGMYPPGHYDTNGTAVGAVLRQDILPKINEMA AGDVLLGLASSGVHSNGFSLVRKIIQHVALPWDAPCPWDESKTLGEGILEPTKIYVKQ LLPSIRQRLLLGLAHITGGGLVENIPRAIPDHLQARVDMSTWEVPRVFKWFGQAGNVP HDDILRTFNMGVGMVLIVKRENVKAVCDSLTEEGEIIWELGSLQERPKDAPGCVIENG TKLY YGL233W MDQEGQPLLSKDFQQVLLATASGNNSSWTERAVLNNESTDAVKH EPALGQNDVFDLDPLSFDKWVPFLRRALDKNQLDPVIDELENSIEDNFQGLELQLLQD SQMNDKLETSIDEIANIQGMVQDTLSSEISKFQIRLSESANELIVKKQMYVNNKKISL KISEATILITKVVRILELSSKCQELITERKFFKVLQNLDSLEKLYLQEFKNYNFQFLI EIYNSIPFLQKVTKDECINLIRNSLNLNLGKNLIKVGQEFVAIYENELLPQWLETRSK MKLTNFKFNSPIEISMRDESFLAKLNLGEFFQLDDFHDSIMIFQNLNELSVLSGEFNK EYELRKTKLMYPLIWKKNKTAAYQMDSLLRGTGTTPGSTAHDVSTDDPFTQSLSLHFL QDYFLKILGFLLYDINLNKATEFILVDNNYNSTNEFWDGLMDRLSPYLSYFIDEKLKT EEDMIKLKDFLCIYVAILENFKLNIEPLYKILVSIFEKFCSVSLRAFDDEFQILLNDD DFMPLSINDKTLYEKVLKICWMKEGEHLSLPDPTNGEPFAVTLPFSPLYPMTCTLAKK TYSKITAFLSIFYRHELHTLNNILVKTMDDIFNDIVNKKIRSKLESTSREEIAQILVN LDYFIIAAKEFSNFMTRENILQNPDMEIRLSSIKYLAESRKLAETKLIELIDSKISDI LETIEIDWQITEVRQDPDISIIDLAQFLEMMFASTLQNLPYSVQTLLIFREFDSLTRQ FMGLLLHDTPSTITHESIMNFEVDVNYLESIIPRIFPSTPGTIDSNGYQSPMTPSTPT FPNANGVDAPTLFENNIKSLEATFMELKQCIELLKTQGKDYNEPEIRLRKYSRIRQED AALLLSKIQHFVSSVEGANGDDTSVMDSSSIFNSESASVIDSNTSRIAKFFNRR YGL232W MGEKRNRNGKDANSQNRKKFKVSSGFLDPGTSGIYATCSRRHER QAAQELQLLFEEKFQELYGDIKEGEDESENDEKKDLSIEDQIKKELQELKGEETGKDL SSGETKKKDPLAFIDLNCECVTFCKTRKPIVPEEFVLSIMKDLADPKNMVKRTRYVQK LTPITYSCNAKMEQLIKLANLVIGPHFHDPSNVKKNYKFAVEVTRRNFNTIERMDIIN QVVKLVNKEGSEFNHTVDLKNYDKLILVECFKSNIGMCVVDGDYKTKYRKYNVQQLYE SKFRKDEDKSVKQ YGL231C MSEQEPYEWAKHLLDTKYIEKYNIQNSNTLPSPPGFEGNSSKGN VTRKQQDATSQTTSLAQKNQITVLQVQKAWQIALQPAKSIPMNIFMSYMSGTSLQIIP IMTALMLLSGPIKAIFSTRSAFKPVLGNKATQSQVQTAMFMYIVFQGVLMYIGYRKLN SMGLIPNAKGDWLPWERIAHYNNGLQWFSD YGL230C MGIITLSGNVLHLLKAYPKKGLEEVSQPEPNTANDSSTEYKGKS KDDFQMVEKSNTDERYNFTRTKKWFLLMTSEYYKLMENRLLMFCIIACSFICAIQFLF FIIYWTNIVPRKTQRAITNLNYDYLTAHLKEQCVPYAKILDQCIL YGL229C MSLWPFGETLSHSGIDSILEEYYLIFRSLEGNETSSTDDKKNEP SMESESEFGTESRDRSDLNQSFIDRILLETALLDELNGAANDRLVDFICLGYFYDDRS QQVRHMDYLVDMLMAYLKDIDRTGYRTPFLLENSFHQTGEYEDQDDEDPMLYVNIISS IFCSKSAPIVEALVQNTPFLSSLFEVFQFENIEAENCPILAVFLKINETLLFEQTSSY LEFFKSQPNIVDKFLYHIEVSPLVEFLIKIMLTDQVESPTNIIDFLYHQDLIPKCLNL LENSKYSPGIQNSSGELLKALISISTNFKLDTLWIGPNRLTRQLASPQYVDQLINIIL FQRGHAMGVAVSIIIELIRKNNSDYDEVDLLSTTIVDNPPSQRDPVYLGHLLYELTMH MEDFYALLIKLENDDDDDHDTASKALPSVKHHLLENQLHESFRPLGFERVKITELISE MLHCSNMGLMNSKRGEKIARTRDKCRDTLDQNSLEKAMKNLNINDNTITSNTLEDKCN NNDSNDSNDNQKQKKNIKKKFHDNELYSTFDTSDDNIDDDDDMSFEIPYVSETQNLKI RKNPTIGDLFKIKLHDLGFFPKFLQLFLRYPWNNFWHNIVFDIIQQIFNGRMDFSYNS FLVYSLFDFKKSTRFIPKPLYGSNQKLPVKDFHIISDFILQGHKDSFEFYEKEKTNLG YMGQLVLIAEEIAKYSKIYKTDLIAPDIYAFLQDEVWMSYSSDILNETRTMCSIILGG GQFCAESDENTNQDFLEKADMSKPAHPSTMDENEIVHEEDVKLHDKVAELIDELGQLT ELDIHDKIKDVIVDHHSDLN YGL228W MGKLIKLITTLTVLVSLLQYCCEFNSGSISCERTQTLCHYTNPR VWNTYFSRNCELYKNKVSPGFDIVARKYDTAVKPVIDDATVKVNKVAIQPAFKVIHSQ CKKWNCGKYYQLVRSPMVKTRRFFFAKYNAFVKPNLDKFFTAEFRSHLKERILKYKNI GHYYFTITSRCIKSKYDFIVGNTEEKLMGKFKNKDTHGIHGSVTREPSSEDMVLTVST MESDEEELTTTSTQTVVETITLDQEEASAVANHAHDDEASTDVEGSTDVNVNEQALLQ EDFDMWSETILQKTQDVIQLFEKDVSKYINGKLVEEANHFKAKFQSLDDKSKKFFSKI SLAINDIECVEGIDSETGKKIFFDKSGSTEISQYITRELVREYFNETRSTLDELTNAM EKDLSEITDEIEKKVNAIREENVEVFEEWGDIIVNEWSKRMAYVDVINAHMGADDDTT LDEEKAKSSVNWKKFLKGKKQIIESRDKLAHHSADLSRVNAFRQKVQKKILSFTQESG EFLYILRSKANLQFQERERKERERKEREKAAAEEFQRQQELLRQQEEEDEEDVSYTST STITTTITMTL YGL227W MSEYMDDVDREFINCLFPSYLLQQPVAYDLWILYLQHRKLFHKL KNTNLINADENPTGVGMGRTKLTALTRKEIWSKLMNLGVLGTISFEAVNDDYLIQVYK YFYPDVNDFTLRFGVKDSNKNSVRVMKASSDMRKNAQELLEPVLSEREMALNSNTSLE NDRNDDDDDDDDDDDDDDDDDDDDDESDLESLEGEVDTDTDDNNEGDGSDNHEEGGEE GSRGADADVSSAQQRAERVADPWIYQRSRSAINIETESRNLWDTSDKNSGLQYYPPDQ SPSSSFSSPRVSSGNDKNDNEATNVLSNSGSKKKNSMIPDIYKILGYFLPSRWQAQPN NSLQLSQDGITHLQPNPDYHSYMTYERSSASSASTRNRLRTSFENSGKVDFAVTWANK SLPDNKLTIFYYEIKVLSVTSTESAENSNIVIGYKLVENELMEATTKKSVSRSSVAGS SSSLGGSNNMSSNRVPSTSFTMEGTQRRDYIYEGGVSAMSLNVDGSINKCQKYGFDLN VFGYCGFDGLITNSTEQSKEYAKPFGRDDVIGCGINFIDGSIFFTKNGIHLGNAFTDL NDLEFVPYVALRPGNSIKTNFGLNEDFVFDIIGYQDKWKSLAYEHICRGRQMDVSIEE FDSDESEEDETENGPEENKSTNVNEDLMDIDQEDGAAGNKDTKKLNDEKDNNLKFLLG EDNRFIDGKLVRPDVNNINNLSVDDGSLPNTLNVMINDYLIHEGLVDVAKGFLKDLQK DAVNVNGQHSESKDVIRHNERQIMKEERMVKIRQELRYLINKGQISKCINYIDNEIPD LLKNNLELVFELKLANYLVMIKKSSSKDDDEIENLILKGQELSNEFIYDTKIPQSLRD RFSGQLSNVSALLAYSNPLVEAPKEISGYLSDEYLQERLFQVSNNTILTFLHKDSECA LENVISNTRAMLSTLLEYNAFGSTNSSDPRYYKAINFDEDVLNL YGL226C-A MTYEQLYKEFHSSKSFQPFIHLDTQPKFAICGLIVTLAVLSSAL FAVGSKSSYIKKLFFYTILSVIGSLFAGLTTVFASNSFGVYV YGL226W MMGRNGIRLALKRSFSTYQPPVVEITNITKLWPTLRPEVRDEIK EYLRWRMQEDWRHIPLEETKAAYFLSYGPCGGRSKGNEWNVGYTGMRIVFNLVLFGGA ATAFYNWKQDKKLEEQLRDLV YGL225W MSELKTGHAGHNPWASVANSGPISILSYCGSSILMTVTNKFVVN LKDFNMNFVMLFVQSLVCTITLIILRILGYAKFRSLNKTDAKNWFPISFLLVLMIYTS SKALQYLAVPIYTIFKNLTIILIAYGEVLFFGGSVTSMELSSFLLMVLSSVVATWGDQ QAVAAKAASLAEGAAGAVASFNPGYFWMFTNCITSALFVLIMRKRIKLTNFKDFDTMF YNNVLALPILLLFSFCVEDWSSVNLTNNFSNDSLTAMIISGVASVGISYCSGWCVRVT SSTTYSMVGALNKLPIALSGLIFFDAPRNFLSILSIFIGFLSGIIYAVAKQKKQQAQP LRK YGL224C MTVEYTASDLATYQNEVNEQIAKNKAHLESLTHPGSKVTFPIDQ DISATPQNPNLKVFFFDIDNCLYKSSTRIHDLMQQSILRFFQTHLKLSPEDAHVLNNS YYKEYGLAIRGLVMFHKVNALEYNRLVDDSLPLQDILKPDIPLRNMLLRLRQSGKIDK LWLFTNAYKNHAIRCLRLLGIADLFDGLTYCDYSRTDTLVCKPHVKAFEKAMKESGLA RYENAYFIDDSGKNIETGIKLGMKTCIHLVENEVNEILGQTPEGAIVISDILELPHVV SDLF YGL223C MDEVLPLFRDSHIPQIKDYQLELQNDLTKTNEAFQKNLLKNYNK ILSLTDSVNDLSLNLKNVDQDFKSLCFNDEKFQLNKLTPLPYQTTTHISPPRDEEKVS IPSQNILVISNWTISINNFCNRIVTSTTPSRIFDELLLNFHELSLIPVPSKFEALVKD KCCRLQKFLVDSMKTLNLTLLQWVKLYNLLNTEFSSKWDDDLLSIFNESLFETLFNDN VQALLISSANSKDHQYHSNQQYKDAIVVDFVNSSTFRDHLIRRTVKEINTHLDTLSTL RAKLKEPETLHKLDIFHDNDTNLNDGTVSPLDDDALKQYIDTAVFYSKGLTNDTTLQI YQTVQPTIEILQNLELYKCPQETLTDLRNKLITQLQEFKTQISSRLPSPLENSTSVVD DFITSYNNHNLLQLVIDQITQLRQQ YGL222C MSTDTMYFNSSRLLPSAGRNKTNNLIKQKTRNNRARGNAAKNAN NNNYITDIPPPQTLPNGQKPNFGHSSNKKPSFNQKKHSPPSSPSSTTTLGKKNRQNNK ETPRQNNKDDTRLLSQNLKNLLLNQKQSPHGSQGIIPMGCNGSAKKLSHSYAGSTFAT NGPREAKNLPKPSFL YGL221C MSRAITRAQLDKLVRSITKFYPQKYADKSWDNTGLLIDCSTAQV TTADANAKTKVLLTVDLTKSVAQEAVDANCNVIVAYHPFIFPSWNRLSPHTNPQHETA IKLIQYGISVYCPHTAVDAARGGVNDWLVRGLNNGENVAKSYALETVSGETDDLIGYG RFVEFNKDISLEQIVKNVKRVLRVPYVQVASLAAPSAWNQLKIKKVAVCAGSGSGVFK QLKEDVDLYYTGEMSHHEVLKWKEMGKTVIVCNHSNTERGFLQDVMKGLLQDEGHEVV VSKMDCDPLTVA YGL220W MTGERIEKVKINDEFAKSHFLTTQWRETKRQRHYKMPVTEQGLR ERIESAIPQVYHIIVTDLSYGCGQSFDIVVVSDFFQGKSKLMRSRAVNKAVKEELQEI HAFSCKCYTEEEWSKIVV YGL219C MSFRFNEAVFGDNSFNERIREKLSTALNSPSKKKLDILKSGIKV QKVDFPTIPQLEILDLDIITQPKSLAKGICKISCKDAMLRIQTVIESNLLLINEQDTP SFTMPQLINNGSFTIPITMTFSSIELEAITNIFVKNPGIGISFNDVDLDFKFDCSVKI LQSTIERRLKESMHVVFKDVLPSLIFNTSQNWFTNRGESTSTIPGKREHHHQQTTMSR NVILDGSDFQELSPINMLRLSSIVSSRSTLSLHSTVMNSLSAIPGCLERQNLYRFISR MPSLNNYYSSQSFPQPKSSTVSSKQLVKPFYCSHNLLPKTVLDSSQYDLATITKIQSR LFDRSNSNDDNAKPRRRKIKCKKTRTPSNLQSQGEQAVDDSTAIETVTSTPVQTPIPE LEEQSPPYLKTTVSIRDKYVIPEKISLNLDSKKDTSKKKPFYFIGLNSQEPSNNWKWG MEDSPPPYH YGL216W MNVPETRQSSIVVAIRVRPFTSMEKTRLVNEASGAEANFPGLGD SSLILPMSNNSDSDIDIDAEEGSTRSKRNSLLRRKVIRPEGIRKIVDCVDDRMLIFDP ADRNPLNKVSDQVLNSMRARATKATASSINNSNATNKFSSQRRRHGGEIKFVFDKLFD ETSSQARVYKETTSPLLDSVLDGFNSTVFAYGATGCGKTYTVSGTPSQPGIIFLAMEE LFNKITDLKDEKDFEISLSYLEIYNERIRDLLKPETPSKRLVIREDTQNHIKVANLSY HHPNTVEDVMDLVVQGNINRTTSPTEANEVSSRSHAVLQIHIMQTNKLVDLTSQHTFA TLSIIDLAGSERAAATRNRGIRLHEGANINRSLLALGNCINALCLNDGSRSCHIPYRD SKLTRLLKFSLGGNCKTVMIVCISPSSSHYDETLNTLKYANRAKEIKTKIIRNQQSLS RHVGSYLKMITEQKRQIEELREREEKMISLKLTKYKLNKEKIQLAINECVNRVQQTYA GVETYQVAKTLKSLILCKRRFLQMVKLEVDNLILLFEREESTAAEMQPVISNCRMISG QLYNKIHELEMKFDETDTLSSVIHQVHSIDLNKLREMEDWDETYDLVYLESCLNQISE LQRNEILVNSSIMTEKLMSDPGLNSRFKFLSKWLMNRTPNIESIIQDLVHIDEEFESF ARTFIANPDSNFTNTNINIINTTAADLAVPAETLQRQNFSQKKVKWTSPDLSPSPMIE PQPELEPELHQDQDAIASEVDVSMQDTTFNEQGPSTPSAPTTAVPRRKMRSSLLTHQS LLATARK YGL215W MANTFKYYPETMGNSSGYPISLPFPKGSATSAVNVARQLPKYLG HVPSQSVHTQLPSMASLGYFNQPSSTYYAPPAPLQQHQQPPILPPPGLMYTSNNNSNV IPPPVQMIRDGQQQPQQSNQVNGGVSENLDYDISIMSKFIMENAFVAFNANYSTDDQT TDLFFKGISSVLNATRLPSATIFLAIDYLFKYINKLSNGIHSIGGNSINIIYQNTMIA FILANKFNDDKTFTNNSWSQATGILINVINDFERQWLRIFNWELYDSAFLYFEFVKNF EIFKQNQLKPAVAVPTLLSPIVNVGDTRNVNFNLKPTSTNNLLSPVSNYETPMLMPHN MFSSPSYQSNSRSEFSSMNGYYNYYNYNQPRLNYYQQFPNIYSSPISETQFDYDFYNF SSQQQQQQQKQHSLLPAAPQLPPPHVHNQSYGHHLGWKSMDDTINHSRFERNYFPYSA VY YGL213C MSKVFIATANAGKAHDADIFSVSACNSFTVSCSGDGYLKVWDNK LLDNENPKDKSYSHFVHKSGLHHVDVLQAIERDAFELCLVATTSFSGDLLFYRITRED ETKKVIFEKLDLLDSDMKKHSFWALKWGASNDRLLSHRLVATDVKGTTYIWKFHPFAD ESNSLTLNWSPTLELQGTVESPMTPSQFATSVDISERGLIATGFNNGTVQISELSTLR PLYNFESQHSMINNSNSIRSVKFSPQGSLLAIAHDSNSFGCITLYETEFGERIGSLSV PTHSSQASLGEFAHSSWVMSLSFNDSGETLCSAGWDGKLRFWDVKTKERITTLNMHCD DIEIEEDILAVDEHGDSLAEPGVFDVKFLKKGWRSGMGADLNESLCCVCLDRSIRWFR EAGGK YGL212W MAANSVGKMSEKLRIKVDDVKINPKYVLYGVSTPNKRLYKRYSE FWKLKTRLERDVGSTIPYDFPEKPGVLDRRWQRRYDDPEMIDERRIGLERFLNELYND RFDSRWRDTKIAQDFLQLSKPNVSQEKSQQHLETADEVGWDEMIRDIKLDLDKESDGT PSVRGALRARTKLHKLRERLEQDVQKKSLPSTEVTRRAALLRSLLKECDDIGTANIAQ DRGRLLGVATSDNSSTTEVQGRTNNDLQQGQMQMVRDQEQELVALHRIIQAQRGLALE MNEELQTQNELLTALEDDVDNTGRRLQIANKKARHFNNSA YGL211W MSFTAPSDPVNKPTKVKVSQLCELCHSRKALIRRPKNLSKLCKQ CFCLVFETEIHNTIVANNLFQRGEKVAVGASGGKDSTVLAHMLKLLNDRYDYGIEIVL LSIDEGIIGYRDDSLATVKRNQQQYGLPLEIFSFKDLYDWTMDEIVSVAGIRNSCTYC GVFRRQSLDRGAAKLGISHVVTGHNADDMAETVLMNILRGDVARLEKSTAIITQSSGS PIKRSKPFKYSYQKEIVLYAHYMKLDYFSTECTYAPEAFRGTAREYMKNLEAVRPSCI IDIIQSGENLALKAKKSNAGKRVVKFVDGNRCARCGYLSSNNICKACMLLEGLEKSRA QVAIENDTSADGAALKLRALEKLSF YGL210W MSNEDYGYDYDYLFKIVLIGDSGVGKSNLLSRFTTDEFNIESKS TIGVEFATRTIEVENKKIKAQIWDTAGQERYRAITSAYYRGAVGALIVYDISKSSSYE NCNHWLTELRENADDNVAVGLIGNKSDLAHLRAVPTDEAKNFAMENQMLFTETSALNS DNVDKAFRELIVAIFQMVSKHQVDLSGSGTNNMGSNGAPKGPTISLTPAPKEDKKKKS SNCC YGL209W MPKKQTNFPVDNENRPFRCDTCHRGFHRLEHKKRHLRTHTGEKP HHCAFPGCGKSFSRSDELKRHMRTHTGQSQRRLKKASVQKQEFLTVSGIPTIASGVMI HQPIPQVLPANMAINVQAVNGGNIIHAPNAVHPMVIPIMAQPAPIHASAASFQPATSP MPISTYTPVPSQSFTSFQSSIGSIQSNSDVSSIFSNMNVRVNTPRSVPNSPNDGYLHQ QHIPQQYQHQTASPSVAKQQKTFAHSLASALSTLQKRTPVSAPSTTIESPSSPSDSSH TSASSSAISLPFSNAPSQLAVAKELESVYLDSNRYTTKTRRERAKFEIPEEQEEDTNN SSSGSNEEEHESLDHESSKSRKKLSGVKLPPVRNLLKQIDVFNGPKRV YGL208W MGTTTSHPAQKKQTTKKCRAPIMSDVREKPSNAQGCEPQEMDAV SKKVTELSLNKCSDSQDAGQPSREGSITKKKSTLLLRDEDEPTMPKLSVMETAVDTDS GSSSTSDDEEGDIIAQTTEPKQDASPDDDRSGHSSPREEGQQQIRAKEASGGPSEIKS SLMVPVEIRWQQGGSKVYVTGSFTKWRKMIGLIPDSDNNGSFHVKLRLLPGTHRFRFI VDNELRVSDFLPTATDQMGNFVNYIEVRQPEKNPTNEKIRSKEADSMRPPTSDRSSIA LQIGKDPDDFGDGYTRFHEDLSPRPPLEYTTDIPAVFTDPSVMERYYYTLDRQQSNTD TSWLTPPQLPPQLENVILNKYYATQDQFNENNSGALPIPNHVVLNHLVTSSIKHNTLC VASIVRYKQKYVTQILYTPIESS YGL207W MEELNIDFDVFKKRIELLYSKYNEFEGSPNSLLFVLGSSNAENP YQKTTILHNWLLSYEFPATLIALVPGKVIIITSSAKAKHLQKAIDLFKDPESKITLEL WQRNNKEPELNKKLFDDVIALINSAGKTVGIPEKDSYQGKFMTEWNPVWEAAVKENEF NVIDISLGLSKVWEVKDVNEQAFLSVSSKGSDKFMDLLSNEMVRAVDEELKITNAKLS DKIENKIDDVKFLKQLSPDLSALCPPNYKFNFDLLDWTYSPIIQSGKKFDLRVSARST NDQLYGNGCILASCGIRYNNYCSNITRTFLIDPSEEMANNYDFLLTLQKEIVTNILKP GRTPKEVYESVIEYIEKTKPELVPNFTKNIGSLIGLEFRDSNFILNVKNDYRKIQRGD CFNISFGFNNLKDSQSANNYALQLADTVQIPLDETEPPRFLTNYTKAKSQISFYFNNE EEDNNKKKSSPATKVPSKPDRNSKILRTKLRGEARGGAEDAQKEQIRKENQKKLHEKL EKNGLLRFSAADANGPDSEPRQYFKKYESYVRDSQLPTNIRDLRIHVDWKSQTIILPI YGRPVPFHINSYKNGSKNEEGEYTYLRLNFNSPGSSGGISKKVEELPYEESADNQFVR SITLRSKDGDRMSETFKQIADLKKEATKREQERKALADVVQQDKLIENKTGRTKRLDQ IFVRPNPDTKRVPSTVFIHENGIRFQSPLRTDSRIDILFSNIKNLIFQSCKGELIVVI HIHLKNPILMGKKKIQDVQFYREASDMSVDETGGGRRGQSRFRRYGDEDELEQEQEER RKRAALDKEFKYFADAIAEASNGLLTVENTFRDLGFQGVPNRSAVFCMPTTDCLVQLI EPPFLVINLEEVEICILERVQFGLKNFDMVFVYKDFNKPVTHINTVPIESLDFLKQWL TDMDIPYTVSTINLNWATIMKSLQDDPYQFFLDGGWNFLATGSDDEASDESEEEVSEY EASEDDVSDESAFSEDEEGSEVDDDISGDESEDYTGDESEEGEDWDELEKKAARADRG ANFRD YGL206C MSDLPIEFTELVDLMSLGISPQFLDFRSTTFESDHFVTVRETKD GTNSVAIVDLAKGNEVTRKNMGGDSAIMHPSQMVISVRANGTIVQIFNLETKSKLKSF TLDEPVIFWRWLSETTLGFVTARSILTSNVFDGNVNAKPQLLTLRHANLNNTQIINFV ANKNLDWFAVVGILQENGRIAGRIQLFSKQRNISQAIDGHVAIFTNILLEGNGSTPVQ VFVTGNRNATTGAGELRIIEIDHDASLPSQYQKETTDIFFPPDATNDFPIAVQVSEKY GIIYLLTKYGFIHLYELETGTNLFVNRITAESVFTAAPYNHENGIACINKKGQVLAVE ISTSQIVPYILNKLSNVALALIVATRGGLPGADDLFQKQFESLLLQNDYQNAAKVAAS STSLRNQNTINRLKNIQAPPGAISPILLYFSTLLDKGKLNKEETIELARPVLQQDRKQ LFEKWLKEDKLECSEELGDIVKPFDTTLALACYLRAGAHAKVISCLAELQQFEKIIPY CQKVGYQPNFLVLISSLIRSSPDRASEFAVSLLQNPETASQIDIEKIADLFFSQNHIQ QGTSLLLDALKGDTPDQGHLQTRVLEVNLLHAPQVADAILGNNIFSHYDKPTIASLSE KAGLYQRALENYTDIKDIKRCVVHTNALPIDWLVGYFGKLNVEQSLACLKALMDNNIQ ANIQTVVQVATKFSDLIGPSTLIKLFEDYNATEGLYYYLASLVNLTEDKDVVYKYIEA AAKMKQYREIERIVKDNNVYDPERVKNFLKDANLEDQLPLVIVCDRFDFVHEMILYLY KSQNLKFIETYVQQVNPSKTAQVVGALLDMDCDEAFIQSLLQSVLGQVPINELTTEVE KRNRLKILLPFLEQSLSQGIQDQAVYNALAKIYIDSNNSPEKFLKENDQYDTLDVGHY CEKRDPYLAYIAYEKGQNDDDLIRITNENSMYKYQARYLLERSDLDLWNKVLNQENIH RRQLIDSVISVGIPELTDPEPVSLTVQAFMTNGLKLELIELLEKIILEPSPFNENVAL QGLLLLSAIKYEPTKVSSYIEKLDNYDADEIAPLCIEHDLKEEAFEIYDKHEMYGKAL KVLIEDIMSLDRAASYADKINTPELWSQIGTAQLDGLRIPDAIESYIKAEDPSNYENV IDIAEQAGKYEELIPFLLMARKTLKEPKIDGALILAYAELNKIHEIENLLAGSNVANL DHVGDKLFENKEYKAARLCYSAVSNYSKLASTLVYLGDYQAAVDTARKASNIKVWKLV NDACIEKKEFKLAQICGLNLIVHAEELDELVERYESNGYFEELISLFEAGLGLERAHM GMFTELAILYSKYEPDKTFEHLKLFWSRINIPKVIRAVEQAHLWSELVFLYAHYDEWD NAALTLIEKSTKDLDHAYFKEVVVKVSNLEIYYKAINFYVKFHPSLLVDLLTSLTPRL DIPRTVKIFSKSDNLPLIKPFLINVLPKNNSVVNQAYHDLMIEEEDYKALQDAVDSYD KFDQLGLASRLESHKLIFFKKIGALLYRRNKKWAKSLSILKEEKLWKDAIETAAISQD PKVVEALLTYFVETGNREGFVALLYAAYNLVRIEFVLEISWMNSLEDYIKPFEISIKK EQNDSIKKITEELAKKSGSNEEHKDGQPLMLMNSAMNVQPTGF YGL205W MTRRTTINPDSVVLNPQKFIQKERADSKIKVDQVNTFLESSPER RTLTHALIDQIVNDPILKTDTDYYDAKKMQEREITAKKIARLASYMEHDIKTVRKHFR DTDLMKELQANDPDKASPLTNKDLFIFDKRLSLVANIDPQLGTRVGVHLGLFGNCIKG NGTDEQIRYWLQERGATLMKGIYGCFAMTELGHGSNVAQLQTRAVYDKQNDTFVIDTP DLTATKWWIGGAAHSATHAAVYARLIVEGKDYGVKTFVVPLRDPSTFQLLAGVSIGDI GAKMGRDGIDNGWIQFRNVVIPREFMLSRFTKVVRSPDGSVTVKTEPQLDQISGYSAL LSGRVNMVMDSFRFGSKFATIAVRYAVGRQQFAPRKGLSETQLIDYPLHQYRVLPQLC VPYLVSPVAFKLMDNYYSTLDELYNASSSAYKAALVTVSKKLKNLFIDSASLKATNTW LIATLIDELRQTCGGHGYSQYNGFGKGYDDWVVQCTWEGDNNVLSLTSAKSILKKFID SATKGRFDNTLDVDSFSYLKPQYIGSVVSGEIKSGLKELGDYTEIWSITLIKLLAHIG TLVEKSRSIDSVSKLLVLVSKFHALRCMLKTYYDKLNSRDSHISDEITKESMWNVYKL FSLYFIDKHSGEFQQFKIFTPDQISKVVQPQLLALLPIVRKDCIGLTDSFELPDAMLN SPIGYFDGDIYHNYFNEVCRNNPVEADGAGKPSYHALLSSMLGRGFEFDQKLGGAANA EILSKINK YGL204C MNGTDILRFLQSSPTISYSKHFILITACPLFVLGLLLLGLRTAM FKQVRGKTTTSRNRGVIAAKLLVAWYLATIVMYIAKSEMWKYAFAVSLLLNSLALFF YGL203C MFYNRWLGTWLAMSALIRISVSLPSSEEYKVAYELLPGLSEVPD PSNIPQMHAGHIPLRSEDADEQDSSDLEYFFWKFTNNDSNGNVDRPLIIWLNGGPGCS SMDGALVESGPFRVNSDGKLYLNEGSWISKGDLLFIDQPTGTGFSVEQNKDEGKIDKN KFDEDLEDVTKHFMDFLENYFKIFPEDLTRKIILSGESYAGQYIPFFANAILNHNKFS KIDGDTYDLKALLIGNGWIDPNTQSLSYLPFAMEKKLIDESNPNFKHLTNAHENCQNL INSASTDEAAHFSYQECENILNLLLSYTRESSQKGTADCLNMYNFNLKDSYPSCGMNW PKDISFVSKFFSTPGVIDSLHLDSDKIDHWKECTNSVGTKLSNPISKPSIHLLPGLLE SGIEIVLFNGDKDLICNNKGVLDTIDNLKWGGIKGFSDDAVSFDWIHKSKSTDDSEEF SGYVKYDRNLTFVSVYNASHMVPFDKSLVSRGIVDIYSNDVMIIDNNGKNVMITTDDD SDQDATTESGDKPKENLEEEEQEAQNEEGKEKEGNKDKDGDDDNDNDDDDEDDHNSEG DDDDDDDDDEDDNNEKQSNQGLEDSRHKSSEYEQEEEEVEEFAEEISMYKHKAVVVTI VTFLIVVLGVYAYDRRVRRKARHTILVDPNNRQHDSPNKTVSWADDLESGLGAEDDLE QDEQLEGGAPISSTSNKAGSKLKTKKKKKYTSLPNTEIDESFEMTDF YGL202W MTLPESKDFSYLFSDETNARKPSPLKTCIHLFQDPNIIFLGGGL PLKDYFPWDNLSVDSPKPPFPQGIGAPIDEQNCIKYTVNKDYADKSANPSNDIPLSRA LQYGFSAGQPELLNFIRDHTKIIHDLKYKDWDVLATAGNTNAWESTLRVFCNRGDVIL VEAHSFSSSLASAEAQGVITFPVPIDADGIIPEKLAKVMENWTPGAPKPKLLYTIPTG QNPTGTSIADHRKEAIYKIAQKYDFLIVEDEPYYFLQMNPYIKDLKEREKAQSSPKQD HDEFLKSLANTFLSLDTEGRVIRMDSFSKVLAPGTRLGWITGSSKILKPYLSLHEMTI QAPAGFTQVLVNATLSRWGQKGYLDWLLGLRHEYTLKRDCAIDALYKYLPQSDAFVIN PPIAGMFFTVNIDASVHPEFKTKYNSDPYQLEQSLYHKVVERGVLVVPGSWFKSEGET EPPQPAESKEVSNPNIIFFRGTYAAVSPEKLTEGLKRLGDTLYEEFGISK YGL201C MSSPFPADTPSSNRPSNSSPPPSSIGAGFGSSSGLDSQIGSRLH FPSSSQPHVSNSQTGPFVNDSTQFSSQRLQTDGSATNDMEGNEPARSFKSRALNHVKK VDDVTGEKVREAFEQFLEDFSVQSTDTGEVEKVYRAQIEFMKIYDLNTIYIDYQHLSM RENGALAMAISEQYYRFLPFLQKGLRRVVRKYAPELLNTSDSLKRSEGDEGQADEDEQ QDDDMNGSSLPRDSGSSAAPGNGTSAMATRSITTSTSPEQTERVFQISFFNLPTVHRI RDIRSEKIGSLLSISGTVTRTSEVRPELYKASFTCDMCRAIVDNVEQSFKYTEPTFCP NPSCENRAFWTLNVTRSRFLDWQKVRIQENANEIPTGSMPRTLDVILRGDSVERAKPG DRCKFTGVEIVVPDVTQLGLPGVKPSSTLDTRGISKTTEGLNSGVTGLRSLGVRDLTY KISFLACHVISIGSNIGASSPDANSNNRETELQMAANLQANNVYQDNERDQEVFLNSL SSDEINELKEMVKDEHIYDKLVRSIAPAVFGHEAVKKGILLQMLGGVHKSTVEGIKLR GDINICVVGDPSTSKSQFLKYVVGFAPRSVYTSGKASSAAGLTAAVVRDEEGGDYTIE AGALMLADNGICCIDEFDKMDISDQVAIHEAMEQQTISIAKAGIHATLNARTSILAAA NPVGGRYNRKLSLRGNLNMTAPIMSRFDLFFVILDDCNEKIDTELASHIVDLHMKRDE AIEPPFSAEQLRRYIKYARTFKPILTKEARSYLVEKYKELRKDDAQGFSRSSYRITVR QLESMIRLSEAIARANCVDEITPSFIAEAYDLLRQSIIRVDVDDVEMDEEFDNIESQS HAASGNNDDNDDGTGSGVITSEPPADIEEGQSEATARPGTSEKKKTTVTYDKYVSMMN MIVRKIAEVDREGAEELTAVDIVDWYLLQKENDLGSLAEYWEERRLAFKVIKRLVKDR ILMEIHGTRHNLRDLENEENENNKTVYVIHPNCEVLDQLEPQDSS YGL200C MASFATKFVIACFLFFSASAHNVLLPAYGRRCFFEDLSKGDELS ISFQFGDRNPQSSSQLTGDFIIYGPERHEVLKTVRDTSHGEITLSAPYKGHFQYCFLN ENTGIETKDVTFNIHGVVYVDLDDPNTNTLDSAVRKLSKLTREVKDEQSYIVIRERTH RNTAESTNDRVKWWSIFQLGVVIANSLFQIYYLRRFFEVTSLV YGL198W MSYGREDTTIEPDFIEPDAPLAASGGVADNIGGTMQNSGSRGTL DETVLQTLKRDVVEINSRLKQVVYPHFPSFFSPSDDGIGAADNDISANCDLWAPLAFI ILYSLFVSHARSLFSSLFVSSWFILLVMALHLRLTKPHQRVSLISYISISGYCLFPQV LNALVSQILLPLAYHIGKQNRWIVRVLSLVKLVVMALCLMWSVAAVSWVTKSKTIIEI YPLALCLFGMAWLSTIL YGL197W MPLLQPSTCFCYPLKLPPLPLTSDSNEFDECARKRLTLDYRTGS AVTLTRSNIFVHGGLTIPLNLPVVNSMQLQKELILFFAKEKNNGSSFRNLNEWISKET FFLDLMSRTWYRVKTSFDQRTEELLKAESSSAKADNDTNEIRTDIKKGKSLESPLKER LFHSLCYLDGCLYIFGGLTVSPQSGYELIATNELWKLDLNTKKWSLLSDDPQIARRFN HTMHVKNENNDNRDTKLIIVGGLNNMDQPVKKIDIYNISQNCWQSETIPKQPMEITTN VNGIPLALSKDQNFSILVENNEANVPALAFYMRSDQIDEYLGKDSSKIKENSPIVALP LLSESQGIRMPSNPALPKKLLNVPYELLAPTGDYFGFNIIIGGFHPNYQSSNFHCFIY DINSGKWSRVATACPDCDINKHRFWRVFVWKSHHQTILLGTKTDDYYSPSVQRFDHLS TFGLPLVNIFNKTIQLPHHKISASSLPIPIENFAKHKDTPLKKVSFTSSATSQFENYI RYIAPPLEMSSIQSVFPPYAMVLGKDALEIYGKPLSDFEFITSEGDSIGIPCYLLRKR WGRYFDMLLSQSYTKVCADYETTDTQSTLIKFSPHSSRNSSKAVRQEGRLSSSGSLDN YFEKNFPIFARTSVSEAQNTQPQVANADAKAPNTPSTSDEPSSSSSSDLYSTPHYQRN NDEEDDEDPVSPKPVSKSNSIYRPIRKTESSSTTSSSNGMIFRVPFKEKAAVTSNTEA LLESNLSLQELSRRRSSLMSIPSGELLRSSISEAEHQRRASHPLTSSPLFEDSGTPCG KQLQQLQQHTIQNPHNHLSPRRFSRSARSSISYVSSSSDRRGNSISSRSTSDSFGTPP VLGVLNVPLPPQTREPNEPPPPCPAMSTGSNTRRSNTLTDYMHSNKASPFSSRRSSHI GRRSSTPETENAFSATPRASLDGQMLGKSLKEGSTSQYTQPRMNSFPKANETIQTPTS SNNEWSRQSVTSNTDSFDSLQSNFALELEPLLTPRSLYMPWPTSTVRAFAEFFYTGQV NSKWLLAPVALDLLVMAKIYEIPLLYKLILEVLYSILAKKEESLSLICTSLMETFRTK TLNSYKGDEEKTNTYLTSNDNYQELLKLKVSLENIDNGYYDPDLLRKQSRAQSSSTQE SSGSANGEKTATGAGSLETSSTNVPTVFAGGPRDSHNSVGSIGFPNSMNIQGSRRSTS GFSPRVKMKSSLSKEIDPKTFYEEYEPKEGKSFDDNDDQQTNIGSFNLHLFDMNYGSI SSSSTNSISSSDLEEKEEQEQLQDLLEIEREDSAEILDARFRNKEDDKVTKDISNDKK RNYLPHEKNNLKAKEGKETRDVREEEEEFDFGLGMLSLNKIKREAKHVDKVDDSVDPL FKSSAFPQSPIRAYGSTTRTSSASGKPFRDNRSFNAFSVLTLENMASANALPPVDYVI KSIYRTTVLVNDIRLMVRCMDCIELSKNLRALKKKTMEDISKLKGISKPSP YGL196W MSDVLSQYKGCSVRDLPTPNFVINEEKFDKNCTTMLNNVEKLSQ ECGVPIKFRAHVKTHKTAKGTLKQLGHGLPLAKRTTRAILVSTLKEAEELLNYQDRQC SDYIDDITYSLPCCVPEFIPLLSNLSRRVNNFQVFVDNIEHLENLKNFGRPASGKKWS VFIKVDMGTKRAGLAFDSPEFLSLLKKLTSSEIKEVIEPYGFYAHAGHSYSSTSINDT QNLLMEEVKAVNSAAKVLCSVDPQFDPSKLTLSVGATPTSNSLKLDNKSTLVKFITTQ LVSTLEIHCGNYCMYDLQQVATGCVQDHELSGFVLGTVLSSYPSRGELLSNTGVMCLT REASSIKGFGICADLEHVLKSESFSREWYVARVSQEHGILRPIRNWNETTPLKLGSKI AVLPQHACITMGQFPYYFVVNSEGIVNDVWLPFQKW YGL195W MTAILNWEDISPVLEKGTRESHVSKRVPFLQDISQLVRQETLEK PQLSEIAFVLLNTFTIYEDNRSKSLVTSILLDILNLEPCLLENFIRFISDVVISNPAT KAVADYLNLLDWINSFLIFVSHNSNLFEEYIPKLLVAHSYATFGVETILDNQEEGKKS QDKQNQHRKRIRYCIFQTTVKAFLKCLKDNDDSISFMKISIKTVLESYSKLKITSVGV VMIMGALTQAALQLLSRQPALHSVLKENSAEKYCEYLGKEVFLGKNPPSSFCLEIGLK PFLKEFVSQELFIKFFIPNIEKAVLRSPEVGFSILSELYAGVSPEKVNLLNAFASSKL INQYFSSFKSSKEVVRSVSLQSMIILLRKISNTDTTLEDLTKLIDEIFKNIKSNLNAD YKSLISKILIEIPLTHYEVSEKICKGLSPYIGKEGNEAALTLMLNAFFVHYFSLGKPI EDLDKIISAGFADKKPALKKCWFAAFLNNSNAASEEVILNFIDGCLEFVKDSIIHYQT HGHACILASIEFTNKILALDNTELNDRVMQLIETLPENSSIGDAILTAALSTELSIEN RIHAVNLLQELFYKKPEFIGFSVIDAIERRMRVQELIPQQNTSFKYVTSVLLAITSEL PDKEASIKVLINALVIAQWNIFNIKNGWAGLVLRARLDPAEVVKEHASVIMEKILEIT GSCEWIDTIYGACGLQAAAYAAFIQPNEFTPILCKTIEADLTADDFSRLSEEDFEIFA GEEGVLVVDVLEESMNKKLSNKNSKEYETLMWEQKIRKEQAKKNVKKLSKEEQELVNE QLAKESAVRSHVSEISTRLKRGIRLVSELSKAACLVQNGIATWFPLAVTKLLYLCSEP NISKLTEDVNNVFLQLSQNVSERLGNIRLFLGLATLRVHNANGISQDYLQEPLVELLT RVLFRIKFVSNQAAIDSISLTYILPLLINVLEKGKAIALKNADKPVVKAEFVEEDEEE EHLLLAMEIISVHAEAFEDPSIPRISIVEVLLSLLSLPSKAKIAKDCFNALCQSISVA PNQEDLDMILSNLLSPNQFVRSTILETLDNEFELEPFMKYSPEVFICRFDSDPSNREI ADFIWEFNKFVVNDELLKSLFPLFNQDDSGLRLFAANAYAFGAVSLFTSEENSSKDYL NDLLNFYKEKAKPLEPILDQFGLVLVSASEQKDPWQGRSTVAITLKIMAKAFSAEDDT VVNIIKFLVDDGGLVDREPIVRQEMKEAGVELITLHGSQNSKDLIPIFEEALSSSTDS ALKENVIILYGTLARHLQQSDARIHTIIERLLSTLDTPSADIQQAVSACIAPLVFQFK QKVGDYLGILMEKLLNPTVASSMRKGAAWGIAGLVKGYGISALSEFDIIRNLIEAAED KKEPKRRESVGFCFQYLSESLGKFFEPYVIEILPNILKNLGDAVPEVRDATARATKAI MAHTTGYGVKKLIPVAVSNLDEIAWRTKRGSVQLLGNMAYLDPTQLSASLSTIVPEIV GVLNDSHKEVRKAADESLKRFGEVIRNPEIQKLVPVLLQAIGDPTKYTEEALDSLIQT QFVHYIDGPSLALIIHIIHRGMHDRSANIKRKACKIVGNMAILVDTKDLIPYLQQLID EVEIAMVDPVPNTRATAARALGALVERLGEEQFPDLIPRLLDTLSDESKSGDRLGSAQ ALAEVISGLGLTKLDEMLPTILAGVTNFRAYIREGFMPLLLFLPVCFGSQFAPYINQI IQPILSGLADNDENIRDTALKAGKLIVKNYATKAVDLLLPELERGMFDENDRIRLSSV QLTGELLFQVTGISSRNEFSEEDGDHNGEFSGKLVDVLGQDRRDRILAALFVCRNDTS GIVRATTVDIWKALVPNTPRAVKEILPTLTGMIVTHLASSSNVLRNIAAQTLGDLVRR VGGNALSQLLPSLEESLIETSNSDSRQGVCIALYELIESASTETISQFQSTIVNIIRT ALIDESATVREAAALSFDVFQDVVGKTAVDEVLPYLLHMLESSDNSDFALLGLQEIMS KKSDVIFPILIPTLLAPPIDAFRASALGSLAEVAGSALYKRLSIIINALVDAIIGTSE DESTKGALELALDRVFLSVNDDEGLHPLLQQIMSLLKSDNIEKRIAVLERLPNFFDKT VLDFDVYIPNFVSHAILSLDDEDQRVVNGNFNALSTLLKKVDKPTLEKLVKPAKQSLA LTGRQGQDVAAFKLPRGPNCVLPIFLHGLMYGSNDEREESALAIADVVSKTPAANLKP FVSVITGPLIRVVGERFSSDIKAAILFALNVLFIKIPMFLRPFIPQLQRTFVKSLSDA TNETLRLRAAKALGALIEHQPRVDPLVIELVTGAKQATDEGVKTAMLKALLEVIMKAG SKLNENSKTNIVNLVEEEMLGSNDKLAVAYAKLIGSLSEILSNDEAHKILQDKVLNAD LDGETGKFAILTLNSFLKDAPTHIFNTGLIDEFVSYILNAIRSPDVYFGENGTIAAGK LLLLEGEKRSPFVKKDAAEPFKIGDENINLLINELSKAVLQPASNSTDVRRLALVVIR TLARFKFDECIKQYFDVVGPSVFSCLRDPVIPIKLAAEKAYLALFKLVEEDDMHTFNE WFAKISDRGNSIETVTGTTIQLRSVGDYTKRVGKRLANVERERIAAGGDAETMFSDRF EDEREIWAVGGVELTTDI YGL194C-A MSNKEITCIKPFKIIALILLIVLIINLSYKLFLRRYLKSTVIWC LGIANTDRNDMMWWQVSPLLERWVWQLVDNYESGYE YGL194C MSGTFSYDVKTKENEPLFEFNSAYSPRVSYHFNSKVSHYHYGVK HPMKPFRLMLTDHLVSSYGLHKIMDLYETRSATRDELLQFHSEDYVNFLSKVSPENAN KLPRGTLENFNIGDDCPIFQNLYDYTTLYTGASLDATRKLINNQSDIAINWSGGLHHA KKNSPSGFCYVNDIVLSILNLLRYHPRILYIDIDLHHGDGVQEAFYTTDRVFTLSFHK YNGEFFPGTGDLTEIGCDKGKHFALNVPLEDGIDDDSYINLFKSIVDPLIMTFKPTLI VQQCGADSLGHDRLGCFNLNIKAHGECVKFVKSFGLPMLVVGGGGYTPRNVSRLWTYE TGILNDVLLPEDIPEDIPFRDSFGPDYSLYPMLDDLYENKNSKKLLEDIRIRCLENIR YLQGAPSVRMDAECIPTQDISALTEEEDKIIQEMNEETEADSSNRLEEMEKENSGLIA FS YGL193C MNSSLNANSYFFRKPPMLTYMVRFLYCYPSPFPIAPAVTDLPEC RGDLSLSLFITSFTSTKERTILYAKSRLKTHIPVNLCDRYHYIPKAPLYQCRMPCLYS I YGL192W MINDKLVHFLIQNYDDILRAPLSGQLKDVYSLYISGGYDDEMQK LRNDKDEVLQFEQFWNDLQDIIFATPQSIQFDQNLLVADRPEKIVYLDVFSLKILYNK FHAFYYTLKSSSSSCEEKVSSLTTKPEADSEKDQLLGRLLGVLNWDVNVSNQGLPREQ LSNRLQNLLREKPSSFQLAKERAKYTTEVIEYIPICSDYSHASLLSTSVYIVNNKIVS LQWSKISACQENHPGLIECIQSKIHFIPNIKPQTDISLGDCSYLDTCHKLNTCRYIHY LQYIPSCLQERADRETASENKRIRSNVSIPFYTLGNCSAHCIKKALPAQWIRCDVRKF DFRVLGKFSVVIADPAWNIHMNLPYGTCNDIELLGLPLHELQDEGIIFLWVTGRAIEL GKESLNNWGYNVINEVSWIKTNQLGRTIVTGRTGHWLNHSKEHLLVGLKGNPKWINKH IDVDLIVSMTRETSRKPDELYGIAERLAGTHARKLEIFGRDHNTRPGWFTIGNQLTGN CIYEMDVERKYQEFMKSKTGTSHTGTKKIDKKQPSKLQQQHQQQYWNNMDMGSGKYYA EAKQNPMNQKHTPFESKQQQKQQFQTLNNLYFAQ YGL191W MFRQCAKRYASSLPPNALKPAFGPPDKVAAQKFKESLMATEKHA KDTSNMWVKISVWVALPAIALTAVNTYFVEKEHAEHREHLKHVPDSEWPRDYEFMNIR SKPFFWGDGDKTLFWNPVVNRHIEHDD YGL190C MAQNNFDFKFSQCFGDKADIVVTEADLITAVEFDYTGNYLATGD KGGRVVLFERSNSRHCEYKFLTEFQSHDAEFDYLKSLEIEEKINEIKWLRPTQRSHFL LSTNDKTIKLWKVYEKNIKLVSQNNLTEGVTFAKKGKPDNHNSRGGSVRAVLSLQSLK LPQLSQHDKIIAATPKRIYSNAHTYHINSISLNSDQETFLSADDLRINLWNLDIPDQS FNIVDIKPTNMEELTEVITSAEFHPQECNLFMYSSSKGTIKLCDMRQNSLCDNKTKTF EEYLDPINHNFFTEITSSISDIKFSPNGRYIASRDYLTVKIWDVNMDNKPLKTINIHE QLKERLSDTYENDAIFDKFEVNFSGDSSSVMTGSYNNNFMIYPNVVTSGDNDNGIVKT FDEHNAPNSNSNKNIHNSIQNKDSSSSGNSHKRRSNGRNTGMVGSSNSSRSSIAGGEG ANSEDSGTEMNEIVLQADKTAFRNKRYGSLAQRSARNKDWGDDIDFKKNILHFSWHPR ENSIAVAATNNLFIFSAL YGL189C MPKKRASNGRNKKGRGHVKPVRCVNCSKSIPKDKAIKRMAIRNI VEAAAVRDLSEASVYPEYALPKTYNKLHYCVSCAIHARIVRVRSREDRKNRAPPQRPR FNRENKVSPADAAKKAL YGL188C-A MLTTQKCESREGKNDEIFELGESNSDKILLKHGKCNLFSERKPV NH YGL187C MLSLRQSIRFFKPATRTLCSSRYLLQQKPVVKTAQNLAEVNGPE TLIGPGAKEGTVPTDLDQETGLARLELLGKLEGIDVFDTKPLDSSRKGTMKDPIIIES YDDYRYVGCTGSPAGSHTIMWLKPTVNEVARCWECGSVYKLNPVGVPNDDHHH YGL186C MNRDNMDTTKRKEDHTKHTTDVIEFYEEGTAASSLNIATEKANS SPSILRRIINRAAWLSKKVDAMGVESTGIQRISPYERGTSKKQFLHVAGLWLSATGGL SSMSSFLLGPLLFGLSFRESVASSLISVTIGCLIAAYCSIMGPQSGCRQMVTARYLFG WWFVKLVALASIIGVMGWSVVNSVVGGEMLAAISNDKVPLWVGIVIVTVCSFLVAIFG IKQVIKVETYLSVPVLTAFLLLYISSSDKYSFVNAYVSKGNLDSSTRKGNWMSFFSLC YSITATWGSITADYYILFPEDTPYIQIFCLTFFGTFLPTCFVGILGLLLASVAMSYKP WSVEYDSHGMGGLLWAGFQRWNGFGKFCVVVLVFSLVSNNIINTYSAAFSIQLSSVFC AKIPRWFWSIVCTIICLVCALIGRNHFSTILGNFLPMIGYWISMYFILLFEENLVFRR FFLHLYTKEFPTVTGEINGPELVGSSKEVEKDAVTNIHLLKRKHKVTKHRYNWDKWED YEVLTHGYAATFAFIVGVAGVVVGMAQAYWIGPIAAKFGEYGGDVAMWLSMAFSGVVY PPCRYLELRKFGR YGL185C MCDSPATTGKPTILFIADPCETSATLNSKAFKEKFRILRYQLDT KEAFLNFLERHEQDKICAIYAGFPAFKKIGGMTRSIIEHKSFPRKNLKCIVLCSRGYD GWDLDTLRKHEIRLYNYQDDENEKLIDDLKLHQVGNDVADCALWHILEGFRKFSYYQK LSRETGNTLTARAKAAEKSGFAFGHELGNMFAESPRGKKCLILGLGSIGKQVAYKLQY GLGMEIHYCKRSEDCTMSQNESWKFHLLDETIYAKLYQFHAIVVTLPGTPQTEHLINR KFLEHCNPGLILVNLGRGKILDLRAVSDALVTGRINHLGLDVFNKEPEIDEKIRSSDR LTSITPHLGSATKDVFEQSCELALTRILRVVSGEAASDEHFSRVV YGL184C MPIKRLDTVVVNTGSQNDQHSASVPPVYLSTTFKVDLNNEDAQN YDYSRSGNPTRSVLQHQIGKLYRVPQENVLAVSSGMTALDVILRGLVLLNGTDNHTPT IIAGDDLYGGTQRLLNFFKQQSHAVSVHVDTSDFEKFKTVFQSLDKVDCVLLESPTNP LCKVVDIPRILRFVKCISPDTTVVVDNTMMSGLNCNPLQLNPGCDVVYESATKYLNGH HDLMGGVIISKTPEIASKLYFVINSTGAGLSPMDSWLLVRGLKTLGVRLYQQQRNAMI LAHWLENSCGFKPTRTNKATKTRFVGLRSNPDFKLHKSFNNGPGAVLSFETGSFEHSK RLVSSKKLSIWAVTVSFGCVNSLLSMPCKMSHASIDPELRKERDFPEDLVRLCCGIEN IVDLKKDLLAAMVDADIIEVRENGKYLFNKLNKNLAVNTTIDDLHKPLSIYEEFYNQD LIRKDSELNIKSSKL YGL183C MGPKRQTVSLQEKKNRILNFFQETYTFYNIKELEKSIPKKCGIS PMIVKDLVQQMIDEDGVISVEKCGNINIYWCFKNQTLQKLYDSSELIKKKIQEVKCDI ATYKQELDKTLATGRRKKFTVGQKSYNREALLEKRKKIQDEIKKKSNSLQKIESIRWD AAKIQENKQQIRLKKVHLEKTTDNIEILIDYLYKKFFLKPEQIRKEFGIPEEFKEFTE V YGL181W MRFRSSSHSLKHVDRELKELINSSENANKCGECGNFYPTWCSVN LGVFLCGRCASVHRKVFGSRDDDAFSNVKSLSMDRWTREDIDELVSLGGNKGNARFWN PKNVPFPFDGDDDKAIVEHYIRDKYILGKFRYDEIKPEDFGSRMDDFDGESDRFDERN RSRSRSRSHSFYKGGHNRSDYGGSRDSFQSSGSRYSRQLAELKDMGFGDTNKNLDALS SAHGNINRAIDYLEKSSSSRNSVSAAATTSTPPLPRRRATTSGPQPAIFDGTNVITPD FTSNSASFVQAKPAVFDGTLQQYYDPATGMIYVDQQQYAMAMQQQQQQQQQLAVAQAQ AQAQAQAQAQVQAQAQAQAQAQAQAQQIQMQQLQMQQQQQAPLSFQQMSQGGNLPQGY FYTQ YGL180W MGDIKNKDHTTSVNHNLMASAGNYTAEKEIGKGSFATVYRGHLT SDKSQHVAIKEVSRAKLKNKKLLENLEIEIAILKKIKHPHIVGLIDCERTSTDFYLIM EYCALGDLTFLLKRRKELMENHPLLRTVFEKYPPPSENHNGLHRAFVLSYLQQLASAL KFLRSKNLVHRDIKPQNLLLSTPLIGYHDSKSFHELGFVGIYNLPILKIADFGFARFL PNTSLAETLCGSPLYMAPEILNYQKYNAKADLWSVGTVVFEMCCGTPPFRASNHLELF KKIKRANDVITFPSYCNIEPELKELICSLLTFDPAQRIGFEEFFANKVVNEDLSSYEL EDDLPELESKSKGIVESNMFVSEYLSKQPKSPNSNLAGHQSMADNPAELSDALKNSNI LTAPAVKTDHTQAVDKKASNNKYHNSLVSDRSFEREYVVVEKKSVEVNSLADEVAQAG FNPNPIKHPTSTQNQNVLLNEQFSPNNQQYFQNQGENPRLLRATSSSSGGSDGSRRPS LVDRRLSISSLNPSNALSRALGIASTRLFGGANQQQQQQQITSSPPYSQTLLNSQLFH ELTENIILRIDHLQHPETLKLDNTNIVSILESLAAKAFVVYSYAEVKFSQIVPLSTTL KGMANFENRRSMDSNAIAEEQDSDDAEEEDETLKKYKEDCLSTKTFGKGRTLSATSQL SATFNKLPRSEMILLCNEAIVLYMKALSILSKSMQVTSNWWYESQEKSCSLRVNVLVQ WLREKFNECLEKADFLRLKINDLRFKHASEVAENQTLEEKGSSEEPVYLEKLLYDRAL EISKMAAHMELKGENLYNCELAYATSLWMLETSLDDDDFTNAYGDYPFKTNIHLKSND VEDKEKYHSVLDENDRIIIRKYIDSIANRLKILRQKMNHQN YGL179C MVLLKEPVQPLPRSSLLYNNASNSSSRIKETRKVKLLYNPLTKR QILNNFEILATLGNGQYGKVKLARDLGTGALVAIKILNRFEKRSGYSLQLKVENPRVN QEIEVMKRCHHENVVELYEILNDPESTKVYLVLEYCSRGPVKWCPENKMEIKAVGPSI LTFQQSRKVVLDVVSGLEYLHSQGITHRDIKPSNLLISSNGTVKISDFGVAMSTATGS TNIQSSHEQLLKSRALGTPAFFAPELCSTEKEYSCSSAIDIWSLGVTIYCLLFGKLPF NANSGLELFDSIINKPLEFPSYEEMLNGATSGITMEEYTDAKDLLKKLLQKDPDKRIK LADIKVHPFMCHYGKSDAASVLTNLETFHELKVSPPSSCKRVELVSLPVNSSFASLDS VYMENFDHNNLRTGADRNSTYSPSIYDANTLSPSAYHNIGSRESSYSSFSSFTSSTAF ASQISIQDAPAIGDQQCLIGESGSSLRVNSCEFPQYTTMSPVGEYPFESTEASLSSTL TPVGNVPQRIKAHLVEGKSNSKDDLRIEADASLVFEASDAQRTRRRMSLYKL YGL178W MINNEPFPSADSASILTTSTSNNSLMSYNHQPQLSINSVQSLLE PVTPPPLGQMNNKRNHQKAHSLDLSGFNQFISSTQSPLALMNNTSTSNSANSFSPNPN AASNSTGLSASMANPPAILPLINEFDLEMDGPRRKSSHDFTVVAPSNSGVNTSSLIME TPSSSVTPAASLRNFSNSNNAASKCGVDNSSFGLSSSTSSSMVEISALPLRDLDYIKL ATDQFGCRFLQKKLETPSESNMVRDLMYEQIKPFFLDLILDPFGNYLVQKLCDYLTAE QKTLLIQTIYPNVFQISINQYGTRSLQKIIDTVDNEVQIDLIIKGFSQEFTSIEQVVT LINDLNGNHVIQKCIFKFSPSKFGFIIDAIVEQNNIITISTHKHGCCVLQKLLSVCTL QQIFKISVKIVQFLPGLINDQFGNYIIQFLLDIKELDFYLLAELFNRLSNELCQLSCL KFSSNVVEKFIKKLFRIITGFIVNNNGGASQRTAVASDDVINASMNILLTTIDIFTVN LNVLIRDNFGNYALQTLLDVKNYSPLLAYNKNSNAIGQNSSSTLNYGNFCNDFSLKIG NLIVLTKELLPSIKTTSYAKKIKLKVKAYAEATGIPFTDISPQVTAMSHNNLQTINNE NKNPHNKNSHNHNHNHNHNHAHNNNNNNNQKSHTRHFSLPANAYHRRSNSSVTNNFSN QYAQDQKIHSPQQIMNFNQNAYPSMGAPSFNSQTNPPLVSHNSLQNFDNRQFANLMAH PNSAAPIHSFSSSNITNVNPNVSRGFKQPGFMMNETDKINANHFSPYSNANSQNFNES FVPRMQYQTEGANWDSSLSMKSQHIGQGPYNQVNMSRNASISNMPAMNTARTSDELQF TLP YGL176C MTPNLPGFYYDRERRRYFRISENQSISTTGTTNQYRKDNIKRQC VEENYDKKFSMIKKKRQQTLQKYKLSLLNPLERAFRPLSYEKYMIGLNMQYASHSLTE GHHSHSSANVKSLNFPHRIQIGVLANCILLVTQEGCFHSKLVFATNKGYVAGFSSLDN FSEENFFTGFSMAELNPMLKYKSEPTDVFKTMKLERTVAIKEGPSHYFYHNVNTRSNV HTFAIFLQDFSSLKLLKIRQVKLKENCQVHDSLVVGDTLIITVNYRCHFYDLIPETFP NPYIFSPAKSSRKHKSRSDITSLSFCLQEDALSPLKKTNTGVFYLGYRNGDSMAIVFT NITNMTLQYSKTNGMTSESRNQPIRNSLKSVVSIKALNNKGLILISGMADKENVQQLV IADTFLEDILTEIPVVSFKTKFLNVTKDTEILEISDDGRYFIYGSTSARDGKGDFEVF CTTLSGNLDYEKSEGGNITLYPIGGMKNYCRLENFQFESIHLHSAFIPPRYVNPFDAV EPLGEESSTSPYDIPEEALSQKICILIRREDDPYNGANIFITSALT YGL175C MVTGEENVYLKSSLSILKELSLDELLNVQYDVTTLIAKRVQALQ NRNKCVLEEPNSKLAEILCHEKNAPQQSSQTSAGPGEQDSEDFILTQFDEDIKKESAE VHYRNENKHTVQLPLVTMPPNRHKRKISEFSSPLNGLNNLSDLEDCSDTVIHEKDNDK ENKTRKLLGIELENPESTSPNLYKNVKDNFLFDFNTNPLTKRAWILEDFRPNEDIAPV KRGRRKLERFYAQVGKPEDSKHRSLSVVIESQNSDYEFAFDNLRNRSKSPPGFGRLDF PSTQEGNEDKKKSQEIIRRKTKYRFLMASNNKIPPYEREYVFKREQLNQIVDDGCFFW SDKLLQIYARC YGL174W MALHQYLSETYGPTKPKNKTKKKKKESKSDANSDKTSLIVKERL STLQQEQEKSGVASFSKFDKQKSKNIWKNLETNELSHAITHPSASSITGNESKNDLKE IRAQEPLVTVADKSKTRKTIYRDAQGHKIQEDSKIDDSSFSRSKYEDEKAAEREQYLK NLNMGDVQKLGINVDAHDKKKNQTASSLTIEDPAITFTHDKERTVKTSLLGRKLYDKP APENRFAIMPGSRWDGVHRSNGFEEKWFAKQNEINEKKVQSYTLQEDY YGL173C MGIPKFFRYISERWPMILQLIEGTQIPEFDNLYLDMNSILHNCT HGNDDDVTKRLTEEEVFAKICTYIDHLFQTIKPKKIFYMAIDGVAPRAKMNQQRARRF RTAMDAEKALKKAIENGDEIPKGEPFDSNSITPGTEFMAKLTKNLQYFIHDKISNDSK WREVQIIFSGHEVPGEGEHKIMNFIRHLKSQKDFNQNTRHCIYGLDADLIMLGLSTHG PHFALLREEVTFGRRNSEKKSLEHQNFYLLHLSLLREYMELEFKEIADEMQFEYNFER ILDDFILVMFVIGNDFLPNLPDLHLNKGAFPVLLQTFKEALLHTDGYINEHGKINLKR LGVWLNYLSQFELLNFEKDDIDVEWFNKQLENISLEGERKRQRVGKKLLVKQQKKLIG SIKPWLMEQLQEKLSPDLPDEEIPTLELPKDLDMKDHLEFLKEFAFDLGLFITHSKSK GSYSLKMDLDSINPDETEEEFQNRVNSIRKTIKKYQNAIIVEDKEELETEKTIYNERF ERWKHEYYHDKLKFTTDSEEKVRDLAKDYVEGLQWVLYYYYRGCPSWSWYYPHHYAPR ISDLAKGLDQDIEFDLSKPFTPFQQLMAVLPERSKNLIPPAFRPLMYDEQSPIHDFYP AEVQLDKNGKTADWEAVVLISFVDEKRLIEAMQPYLRKLSPEEKTRNQFGKDLIYSFN PQVDNLYKSPLGGIFSDIEHNHCVEKEYITIPLDSSEIRYGLLPNAKLGAEMLAGFPT LLSLPFTSSLEYNETMVFQQPSKQQSMVLQITDIYKTNNVTLEDFSKRHLNKVIYTRW PYLRESKLVSLTDGKTIYEYQESNDKKKFGFITKPAETQDKKLFNSLKNSMLRMYAKQ KAVKIGPMEAIATVFPVTGLVRDSDGGYIKTFSPTPDYYPLQLVVESVVNEDERYKER GPIPIEEEFPLNSKVIFLGDYAYGGETTIDGYSSDRRLKITVEKKFLDSEPTIGKERL QMDHQAVKYYPSYIVSKNMHLHPLFLSKITSKFMITDATGKHINVGIPVKFEARHQKV LGYARRNPRGWEYSNLTLNLLKEYRQTFPDFFFRLSKVGNDIPVLEDLFPDTSTKDAM NLLDGIKQWLKYVSSKFIAVSLESDSLTKTSIAAVEDHIMKYAANIEGHERKQLAKVP REAVLNPRSSFALLRSQKFDLGDRVVYIQDSGKVPIFSKGTVVGYTTLSSSLSIQVLF DHEIVAGNNFGGRLRTNRGLGLDASFLLNITNRQFIYHSKASKKALEKKKQSNNRNNN TKTAHKTPSKQQSEEKLRKERAHDLLNFIKKDTNEKNSESVDNKSMGSQKDSKPAKKV LLKRPAQKSSENVQVDLANFEKAPLDNPTVAGSIFNAVANQYSDGIGSNLNIPTPPHP MNVVGGPIPGANDVADVGLPYNIPPGFMTHPNGLHPLHPHQMPYPNMNGMSIPPPAPH GFGQPISFPPPPPMTNVSDQGSRIVVNEKESQDLKKFINGKQHSNGSTIGGETKNSRK GEIKPSSGTNSTECQSPKSQSNAADRDNKKDEST YGL172W MFGLNKASSTPAGGLFGQASGASTGNANTGFSFGGTQTGQNTGP STGGLFGAKPAGSTGGLGASFGQQQQQSQTNAFGGSATTGGGLFGNKPNNTANTGGGL FGANSNSNSGSLFGSNNAQTSRGLFGNNNTNNINNSSSGMNNASAGLFGSKPAGGTSL FGNTSTSSAPAQNQGMFGAKPAGTSLFGNNAGNTTTGGGLFGSKPTGATSLFGSSNNN NNNNNSNNIMSASGGLFGNQQQQLQQQPQMQCALQNLSQLPITPMTRISELPPQIRQE IEQLDQYIQKQVQISHHLKADTIDHDELIDSIPRDVAYLLKSESATSQYLKQDLKKIS SFKSLIDEDLLDTQTFSVLLQQLLTPGSKISSNDLDKFFQKKIHLYEKKLEDYCRILS DIETAVNGIDTDLFGAPNNPNSTAITADLGSSEAENLLQLKTGLAAIVSTVIEEFTLF MDIAERIAVLHQKTKTLASLSI YGL171W MDIFRVLTRGASVKKESGPKAKAADYSVINGNDENHKEDNNESQ IVKELDFFRNKRIISKVEDDREKTTENDSPNKEEKSGNDDGLIKPVITNTVEASALRK SYKGNVSGIDIPLPIGSFEDLISRFSFDKRLLNNLIENGFTEPTPIQCECIPVALNNR DVLACGPTGSGKTLAFLIPLVQQIIDDKQTAGLKGLIISPTKELANQIFIECFKLSYK IFLEKKRPLQVALLSKSLGAKLKNKVVSDKKYDIIISTPLRLIDVVKNEALDLSKVKH LIFDEADKLFDKTFVEQSDDILSACREPSLRKAMFSATIPSNVEEIAQSIMMDPVRVI IGHKEAANTNIEQKLIFCGNEEGKLIAIRQLVQEGEFKPPIIIFLESITRAKALYHEL MYDRINVDVIHAERTALQRDRIIERFKTGELWCLICTDVLARGIDFKGVNLVINYDVP GSSQAYVHRIGRTGRGGRSGKAITFYTKQDSVAIKPIINVMKQSGCEVSEWMDKMAKM TRKEKESIKNGKAHKERKQITTVPKMDKAKRRRQQEMIAASKRRKNEELSKKHFSK YGL170C MGAGTLLNGLEKENFPNNIHSDLPAYPNMDSQEDGNTSKESKRN SPVKQKSQKDEEKSSKMGTASNIFHENKDIHERSEHTDDFNDGLKLAPDSSPSLKECQ FKNWESFWCNTEGYKTKHMQPFHFTSGLEEIKEPVMELNISTSPYKGQRPNSAPTEYS AATTAFTKTQLEVSFLKTNLLTYIKKEIDICLSSVPFFDDAVQMQKKFLEYRDIDLDE EYELKILGELLNDLNFFHMQENSLLNRELAVRRFSNQPESQNLPSIRDFRNPLLPIDN RPSPPLGLKRNGKSFEETYDFTSNTSNFWGEKAELQNSITGGTPYFFHPNNIHQTKPF MSFENQNELLFQRKNSDYKQHFNSGRNIHNGVESKSYRGVGLNDSYQKGYAAMTKSFG NIDLNRMPRRSNEEMYSWSRN YGL169W MYLGRHFLAMTSKALFDTKILKVNPLSIIFSPDAHIDGSLPTIT DPETEAALVEAARIIRDTDETVAFPTETVYGLGGSALNDNSVLSIYRAKNRPSDNPLI THVSSIDQLNRKVFNQPHLSGTSLFDNIPSIYRPLISSLWPGPLTILLPVPSSEHSAL SKLTTADQPTFAVRIPANPVARALIALSDTPIAAPSANASTRPSPTLASHVYHDLKDK IPIILDGGACKVGVESTVVDGLCNPPTLLRPGGFTYEEIVKLGGEAWSLCKVENKKTV EKGEKVRTPGMKYRHYSPSAKVVLLVPHCEGDGILKGVDRMERLKRLIETELKANSNI KKIAILTSLKLRDSDLQSKIFNEPDFSSKTFIIERLGQSGEEIQTNLFAALRKVDEND KVDLIFVEGINEEGEGLAVMNRLRKAAANNCIQF YGL168W MFILVSVVNICTYIHLHMFPLISTFTSIGLGVLMKDKGKEGKTI KAQNVTYQTFEKYVESSSFFFLVHNFLNSSTMKTLLLMSNNNSISEIPSFSVLKILWK NGIYIAHI YGL167C MSDNPFNASLLDEDSNREREILDATAEALSKPSPSLEYCTLSVD EALEKLDTDKNGGLRSSNEANNRRSLYGPNEITVEDDESLFKKFLSNFIEDRMILLLI GSAVVSLFMGNIDDAVSITLAIFIVVTVGFVQEYRSEKSLEALNKLVPAECHLMRCGQ ESHVLASTLVPGDLVHFRIGDRIPADIRIIEAIDLSIDESNLTGENEPVHKTSQTIEK SSFNDQPNSIVPISERSCIAYMGTLVKEGHGKGIVVGTGTNTSFGAVFEMMNNIEKPK TPLQLTMDKLGKDLSLVSFIVIGMICLVGIIQGRSWLEMFQISVSLAVAAIPEGLPII VTVTLALGVLRMAKRKAIVRRLPSVETLGSVNVICSDKTGTLTSNHMTVSKLWCLDSM SNKLNVLSLDKNKKTKNSNGNLKNYLTEDVRETLTIGNLCNNASFSQEHAIFLGNPTD VALLEQLANFEMPDIRNTVQKVQELPFNSKRKLMATKILNPVDNKCTVYVKGAFERIL EYSTSYLKSKGKKTEKLTEAQKATINECANSMASEGLRVFGFAKLTLSDSSTPLTEDL IKDLTFTGLIGMNDPPRPNVKFAIEQLLQGGVHIIMITGDSENTAVNIAKQIGIPVID PKLSVLSGDKLDEMSDDQLANVIDHVNIFARATPEHKLNIVRALRKRGDVVAMTGDGV NDAPALKLSDIGVSMGRIGTDVAKEASDMVLTDDDFSTILTAIEEGKGIFNNIQNFLT FQLSTSVAALSLVALSTAFKLPNPLNAMQILWINILMDGPPAQSLGVEPVDHEVMKKP PRKRTDKILTHDVMKRLLTTAACIIVGTVYIFVKEMAEDGKVTARDTTMTFTCFVFFD MFNALACRHNTKSIFEIGFFTNKMFNYAVGLSLLGQMCAIYIPFFQSIFKTEKLGISD ILLLLLISSSVFIVDELRKLWTRKKNEEDSTYFSNV YGL166W MVVINGVKYACETCIRGHRAAQCTHTDGPLQMIRRKGRPSTTCG HCKELRRTKNFNPSGGCMCASARRPAVGSKEDETRCRCDEGEPCKCHTKRKSSRKSKG GSCHRRANDEAAHVNGLGIADLDVLLGLNGRSSDVDMTTTLPSLKPPLQNGEIKADSI DNLDLASLDPLEQSPSISMEPVSINETGSAYTTTNTALNDIDIPFSINELNELYKQVS SHNSHSQ YGL164C MDEILAKAGSQAVTFAIKSGISIASTYALKTITNFVVQIPKDDA RRIDQLKFKLESRMAIVSSAIDLIKLVAARGNTNLQITLRLTKDLKEEIDRFDEKINE MTQKVEGSRSAKTQNEAIKAVENYIKDLLLRIEEITPFINLSLTTSGANLNSALPYQL SPGLLLKASDFVSENNRKYEKAMKSNEKGTGDKEILKVQVGPTFEVTLFSIFYNLTSE NNGQSGIVWKEDMKRAKARIYRLNSTGRKYDYFMKIEQDFNDGRYHEDDDKEDTPQEL AIDLNHIKKLFFSVSGKLLRLEEQDSPVLVLKIDRSDDKENESSEGDKGLLDDITWYA VSGYEAIEEDEEEDEEEDEEEGKDGEERKEEEEEENKLEDKDSSITLLEYIIRLTSLQ SNDQKSILEVSDERLSIYLNDENTNSRKDRISNSTIEETEKKLKNLKL YGL163C MARRRLPDRPPNGIGAGERPRLVPRPINVQDSVNRLTKPFRVPY KNTHIPPAAGRIATGSDNIVGGRSLRKRSATVCYSGLDINADEAEYNSQDISFSQLTK RRKDALSAQRLAKDPTRLSHIQYTLRRSFTVPIKGYVQRHSLPLTLGMKKKITPEPRP LHDPTDEFAIVLYDPSVDGEMIVHDTSMDNKEEESKKMIKSTQEKDNINKEKNSQEER PTQRIGRHPALMTNGVRNKPLRELLGDSENSAENKKKFASVPVVIDPKLAKILRPHQV EGVRFLYRCVTGLVMKDYLEAEAFNTSSEDPLKSDEKALTESQKTEQNNRGAYGCIMA DEMGLGKTLQCIALMWTLLRQGPQGKRLIDKCIIVCPSSLVNNWANELIKWLGPNTLT PLAVDGKKSSMGGGNTTVSQAIHAWAQAQGRNIVKPVLIISYETLRRNVDQLKNCNVG LMLADEGHRLKNGDSLTFTALDSISCPRRVILSGTPIQNDLSEYFALLSFSNPGLLGS RAEFRKNFENPILRGRDADATDKEITKGEAQLQKLSTIVSKFIIRRTNDILAKYLPCK YEHVIFVNLKPLQNELYNKLIKSREVKKVVKGVGGSQPLRAIGILKKLCNHPNLLNFE DEFDDEDDLELPDDYNMPGSKARDVQTKYSAKFSILERFLHKIKTESDDKIVLISNYT QTLDLIEKMCRYKHYSAVRLDGTMSINKRQKLVDRFNDPEGQEFIFLLSSKAGGCGIN LIGANRLILMDPDWNPAADQQALARVWRDGQKKDCFIYRFISTGTIEEKIFQRQSMKM SLSSCVVDAKEDVERLFSSDNLRQLFQKNENTICETHETYHCKRCNAQGKQLKRAPAM LYGDATTWNHLNHDALEKTNDHLLKNEHHYNDISFAFQYISH YGL162W MSTSITVRNRDRSLPPLLLPNVSLLEKDIRRKGTQNVGITDPEL LSTTWTRKRAFPTDELLGGYKRLKPAAADSNECAIGIATVTPPPTLPVSAIVPPPQNY TPPLFEYHPHALASMVNEDANASCTQMSIISRSTSNSTTSSATSTSSISKRQRSGPSC DKCRLKKIKCNAKIEILLQDDTIMPMISNKLRYVLTPDDIRLYRGTLLRNIAIPDDVI EGTGSRKLIKHIDKLVLLTPCLPCIKKKHSSSSTNFPKNDKCTFSKGFTRADINISSK ISLKFKDKTIYDITYDDYKSIDF YGL161C MPSNNSSFLDIDDDLEGVDDFGNEPNPFDDATVPDSPNMNNSTA GKGSEFYNTTGSKAESAPLQGQMDPPAYDQVIGQNDNDGLGRNGLRPGLINYYSKYFQ IDLTQFKKRLSAVLTFRNDHNSESNEDNTDLYGAVWITATVVMINFTMSRGLNFIISD VIEGVKTGEDIDRASQFKKLLHSIWLFYGYTFGVPFITMQVLNRDEHSERNRSFKSVP ELISVYGYANLIWIPVCVILNILDMSKRLRTVQAIQWAIVALGWAQSSYFLNSQISSN NNTETQSNGKFSLSIIVVVALHTLFCLLFRFIIF YGL160W MKESPLITLVKRHSETHFANIKYGYYVLIISLVYLIGLALLRAF GRRTPSRSSSAFKNKIIYRLYDIDPAIHLGILFFAVLIPFYYHYSLTTQSTVYLKRLG RLSYALIPLNLFLTLRPNWFLRKNCTYTDFIPFHKWFSRIITVIGLLHGIFFIIKWAI DDNVSLKQKLILKTFNFAGFIISILVLFLLICSIGPMRRYNYRLFYIVHNLVNVAFIL LTPIHSRPGVKFPFLLLNCTLLFIHIINRIVFAKSLMILNKNANYSKTNLVHVRLPRA ILPDYFEPGSHIRISPYRRINPLYWLLPSHPYTIASLAEDNSIDLIIKETSTAEPGSQ IESLRSNPKSFHLDQEKTYTLINSYPPSVPEECYSQGTNIAIICGGSGISFALPLFRH FFNKENVKYLKMIWLIKDYSEYELVLDYLKTNGLTFEKKLSNNKRISVFISGEYTAET RLDEITTNIDDENSEYEMGSFNNEDEDLSISNFNSENADSNDNTPETSHSPTKENGSM IEVKSKHSFTLSNELKSFNNESAQVNQNETWLFSCGPPSLLQLSKKYCNDERINFVCE TYGL YGL159W MNNSIITDDEVREFYLNCSSQTIIESLLSLHESLRLYSQNHEIL LNRMFKKLDETNADSNISHIFMPVVSKDFSGIKILVNNNNKNFQGVINVIEPETGKLI GCFEAKQITAIRTALASCIGLYKQLSCSHDKLFRFENGTCYLTCFGTGLQAFWHIYIA IKLIMSGIVGESLKLVEINILYHNNMMSLDRLKSLKNLFGSNIKIELNQYQINDISSE GNGAVSNSDIIFGCLPTLEPNLFLRQLLNSKASVEQKHTYISLIGSYKPVMHECDKEL IDKFKSDNESACILVDSREHTLLESGELIDSNIAPHNLIEIGELDTLKNTVLNLNEKG CKRTITLCKIVGLAVMDVALAKEFLSLRTKNTENKE YGL158W MSVNPEFIADGIDFYPTTPDAAYFNAADGKNKVNRINGNSENLH HSFASGCRRSSLSVDFNVTSSDSEKSEQSCLENNSQEDEYFCDIFSTELKLDETSNKS TDYSSSNHQYPEQLELHNYKLLNKIGEGAFSRVFKAVGINTDDQAPVAIKAIIKKGIS SDAILKGNDRIQGSSRKKVLNEVAIHKLVSKNNPHCTKFIAFQESANYYYLVTELVTG GEIFDRIVQLTCFSEDLARHVITQVAIAIKHMHYMGIVHRDVKPENLLFEPIPFYGLD GDMQKEDEFTLGVGGGGIGLVKLMDFGLAKKLRNNTAKTPCGTIEYVASEVFTSKRYS MKVDMWSIGCVLFTLLCGYPPFYEKNEKTLLKKISRGDYEFLAPWWDNISSGAKNAVT HLLEVDPNKRYDIDDFLNDPWLNSYDCLKDSNSNSYASVQSILNDSFDERAETLHCAL SCQSEKQDDTEFSRSESSEYIFMTEEDRNLRGSWIGEPKECFTLDLATSSIYRRRKNK IFFW YGL157W MTTDTTVFVSGATGFIALHIMNDLLKAGYTVIGSGRSQEKNDGL LKKFNNNPKLSMEIVEDIAAPNAFDEVFKKHGKEIKIVLHTASPFHFETTNFEKDLLT PAVNGTKSILEAIKKYAADTVEKVIVTSSTAALVTPTDMNKGDLVITEESWNKDTWDS CQANAVAAYCGSKKFAEKTAWEFLKENKSSVKFTLSTINPGFVFGPQMFADSLKHGIN TSSGIVSELIHSKVGGEFYNYCGPFIDVRDVSKAHLVAIEKPECTGQRLVLSEGLFCC QEIVDILNEEFPQLKGKIATGEPATGPSFLEKNSCKFDNSKTKKLLGFQFYNLKDCIV DTAAQMLEVQNEA YGL156W MSSEDIIYDPQFKPVQGIYENRLRQFIDTGGDYHDLNLPKFYDK KRISLDHDHVKVWWYQVSFERGSSPVSPDKRPSWKSIIERDKKGELEFREANINQPFG PSWSTTWFKVKISLPEDWVKSNEQLLFQWDCSNEGIVIDPKTLIPVTAFSGGERTEYV LPKTSDGKHFFYIEAGNNGMFGCGAGSTINPPDDNRFFHLRKADIVWPDLDARALYID FWMLGDAARELPGDSWQKHQARQLGNAVMNLFDPNDRSSVRKCRELLQREYFDSFLES SKVYEQGESQVLTNVYGIGNCHIDTAWLWPFAETRRKIVRSWSSQCTLMDRFPEYKFV ASQAQQFKWLLEDHPEFFNKVLIPKIQQSQFFAVGGTWVENDTNIPSGESLARQFFFG QRFFLKHFGLKSKIFWLPDTFGYSSQMPQLCRLSGIDKFLTQKLSWNNINSFPHSTFN WAGIDGSQLLTHMPPGNTYTADSHFGDVLRTAKQNKTPEYYGSGLMLYGKGDGGGGPT EEMLQKMRRIRSMNNRNGNVIPKLQVGITVDEFYDDILKRTNQGHDLPTWSGELYFEF HRGTYTSQAQTKKLMRLSEIKLHDLEWIAAKTSVLYPDSYKYPSKQINELWENVLLCQ FHDVLPGSCIEMVYKYEAVPMLHNVVKECTSLIDKTVQFLQSQSKADLVEMRTLTWSK PEKVSEECSLNGSYTSSVTGYDDYIVLANGKLKVIICKKTGVITSITDETLGVEYLDT EHGRNKLGANQFVIYDDKPLGWQAWDTELYSVNQYKYVTKPKKVQVSCNTKEKCAVEV IFQISEKCKIKSVISLNATAVTDAKLSKVDISTTVENWDARNKFLKVEFPVNIRNDFA SYETQFGITKRPTHYNTSWDVAKFEVCHHKFADYSEYSKGVSILNDCKYGFSTHGNLM RLSLLRSPKAPDAHADMGTHEIKYAIYPHRGALSSDTVKLAHEFNYCFKYKLPKDIGM NFDDIISISGDENVILSNIKRGEDDSAVKSNYSLNPRDEQSIVVRVYESLGGESFASL NTTLNLKRIEKVDNLEMKVYKSLTATRDESNHAINRIPIKLRPFEIASFRLYF YGL155W MCQATNGPSRVVTKKHRKFFERHLQLLPSSHQGHDVNRMAIIFY SISGLSIFDVNVSAKYGDHLGWMRKHYIKTVLDDTENTVISGFVGSLVMNIPHATTIN LPNTLFALLSMIMLRDYEYFETILDKRSLARFVSKCQRPDRGSFVSCLDYKTNCGSSV DSDDLRFCYIAVAILYICGCRSKEDFDEYIDTEKLLGYIMSQQCYNGAFGAHNEPHSG YTSCALSTLALLSSLEKLSDKFKEDTITWLLHRQVSSHGCMKFESELNASYDQSDDGG FQGRENKFADTCYAFWCLNSLHLLTKDWKMLCQTELVTNYLLDRTQKTLTGGFSKNDE EDADLYHSCLGSAALALIEGKFNGELCIPQEIFNDFSKRCCF YGL154C MVKTTEVVSEVSKVAGVRPWAGIFVVEIQEDILADEFTFEALMR TLPLASQARILNKKSFHDRCSNLCSQLLQLFGCSIVTGLNFQELKFDKGSFGKPFLDN NRFLPFSMTIGEQYVAMFLVKCVSTDEYQDVGIDIASPCNYGGREELELFKEVFSERE FNGLLKASDPCTIFTYLWSLKESYTKFTGTGLNTDLSLIDFGAISFFPAEGASMCITL DEVPLIFHSQWFNNEIVTICMPKSISDKINTNRPKLYNISLSTLIDYFIENDGL YGL153W MSDVVSKDRKALFDSAVSFLKDESIKDAPLLKKIEFLKSKGLTE KEIEIAMKEPKKDGIVGDEVSKKIGSTENRASQDMYLYEAMPPTLPHRDWKDYFVMAT ATAGLLYGAYEVTRRYVIPNILPEAKSKLEGDKKEIDDQFSKIDTVLNAIEAEQAEFR KKESETLKELSDTIAELKQALVQTTRSREKIEDEFRIVKLEVVNMQNTIDKFVSDNDG MQELNNIQKEMESLKSLMNNRMESGNAQDNRLFSISPNGIPGIDTIPSASEILAKMGM QEESDKEKENGSDANKDDNAVPAWKKAREQTIDSNASIPEWQKNTAANEISVPDWQNG QVEDSIP YGL151W MEKESVYNLALKCAERQLTSMEFSNLYKEFFNEKFPSLIQEEEE DTTTTANINEVKKASDLVDTPSNNTAATADTTHLHEALDIVCSDFVKILNLEKPLILA DYIVEVLLVNYNSDMIKCFLPKLNSVRNSLLLAHFFSKSCSFFAKLSDTLIIDQVRKD LGNVIVPNILSLDMNSMNKELIAIVSKLLQTTLKLSPSPILLTSAGCKNGSFTLLNQL SQTNKLLFKRVSQTFEAKLHFKDTKPFLNKDSTNEFVGSPSLTSPQYIPSPLSSTKPP GSVNSAAKYKDMKLLRYYKNIWLNNKIINWEISNPDFLSKYSAITSSIFQESFNSVQN LDQLLTDLIETSFTCFAQFVSNKQYHQANSNLTLLERKWVIFITKHLPLLILENSSRS PRVVTNALDNIDEKVVKAIRIYFTEKDDNKTNNEDLFDDYPSTSLDIRHDFIKGLIML NLQPASVINNYLREDQMIDTSILPTRDDLFVRNLQGIQEVVHNTNSFIISSLDTLELE SITESITHDSSNGLFQVLHNFESVAPTKQREIVKAFLSIFEDAIKELNYNRIAKICAL LFFNFSHSLTTILSFSSPAALMKTLIKFVDLSRNGRNGSNGNDESSEYETINISLSFS WAILLIINLTQTYGISVVDVALKYPELSIKNSFIINFISNLPNVSDKYYLEESNVNDS DMLTKSHNTVQSWLCDLFVNGSITDQLIQNIETRQLANLIPFIVKQVLLSVEIGVLTD ISSLIGGFEYFLQPLLLVGLIKTFYWLEQFLSCVKNDTISEDILQGIFNLLNTLFNPV TLNEDSKAFHTAVLRLNAIPLLKVLRKFRVQSQSNYGIYSSDAQGDPNLEPLIAKLVA VLNVSPVYDVDPRIINSENDYSRKQLGYGKFLILNENPINKIMTNQINSFWSLHSSTY YNLDYLFELIELVTPKSFLFDVLKTLEYKLATYGVPGSENKRGSLDSEHVFDYFFYFL VLYDVKTAEEASQLIEYMENDAKKSKGDVDIKGEDLHEKNDSAEVRQETQPKAEATQD DDFDMLFGENDTSTQAYEEEEENEDNDGNNRTNNVPMIKAEETPSKTNKISILKRHSF AVLLHERKLLNDLALENGEITKTENEKFISYHDKYLCMLKTCVF YGL150C MSLAVLLNKEDKDISDFSKTTAGKSAKKNSRERVADVAPTRVLD KKQAYLSQLNSEFNRIKRRDSIEQLYQDWKFINLQEFELISEWNQQSKDWQFDNTNDS QDLHFKKLYRDMSMINKEWAEYQSFKNANLSDIINEKDADEDEEDDEDELEDGEEDME EDEASTGRHTNGKSMRGNGIQKSRKKDAAAAAAIGKAIKDDQTHADTVVTVNGDENED GNNGEDEDNDNDNENNNDNDNDNENENDNDSDNDDEEENGEEDEEEEEIEDLDEEDFA AFEEQDDNDDEDFNPDVEKRRKRSSSSSSSTKLSMNSLSLITSKKINKNITINSDRPK IVRELIKMCNKNKHQKIKKRRFTNCIVTDYNPIDSKLNIKITLKQYHVKRLKKLINDA KREREREEALKNNVGLDGNDLDNDEDGSESHKRRKLNNNTANGADDANKRKFNTRHGL PTYGMKMNAKEARAIQRHYDNTYTTIWKDMARKDSTKMSRLVQQIQSIRSTNFRKTSS LCAREAKKWQSKNFKQIKDFQTRARRGIREMSNFWKKNEREERDLKKKIEKEAMEQAK KEEEEKESKRQAKKLNFLLTQTELYSHFIGRKIKTNELEGNNVSSNDSESQKNIDISA LAPNKNDFHAIDFDNENDEQLRLRAAENASNALAETRAKAKQFDDHANAHEEEEEEDE LNFQNPTSLGEITIEQPKILACTLKEYQLKGLNWLANLYDQGINGILADEMGLGKTVQ SISVLAHLAENHNIWGPFLVVTPASTLHNWVNEISKFLPQFKILPYWGNANDRKVLRK FWDRKNLRYNKNAPFHVMVTSYQMVVTDANYLQKMKWQYMILDEAQAIKSSQSSRWKN LLSFHCRNRLLLTGTPIQNSMQELWALLHFIMPSLFDSHDEFNEWFSKDIESHAEANT KLNQQQLRRLHMILKPFMLRRVKKNVQSELGDKIEIDVLCDLTQRQAKLYQVLKSQIS TNYDAIENAATNDSTSNSASNSGSDQNLINAVMQFRKVCNHPDLFERADVDSPFSFTT FGKTTSMLTASVANNNSSVISNSNMNLSSMSSNNISNGKFTDLIYSSRNPIKYSLPRL IYEDLILPNYNNDVDIANKLKNVKFNIFNPSTNYELCLFLSKLTGEPSLNEFFRVSTT PLLKRVIERTNGPKNTDSLSFKTITQELLEVTRNAPSEGVMASLLNVEKHAYEREYLN CIQRGYHPNVSAPPVTIEVLGSSHVTNSINNELFDPLISQALSDIPAITQYNMHVKKG IPVEDFPKTGLFPEPLNKNFSSNISMPSMDRFITESAKLRKLDELLVKLKSEGHRVLI YFQMTKMMDLMEEYLTYRQYNHIRLDGSSKLEDRRDLVHDWQTNPEIFVFLLSTRAGG LGINLTAADTVIFYDSDWNPTIDSQAMDRAHRLGQTRQVTVYRLLVRGTIEERMRDRA KQKEQVQQVVMEGKTQEKNIKTIEVGENDSEVTREGSKSISQDGIKEAASALA YGL149W MSINALLYVLSLALLIWTGSVVTLLLLLFFCLFLLFFSLHFFCF TREHVHYTLPPKCHSLKFQFDSIPSSSLSLSPFPFLFFPRLRAVAFASPTLSFFFPI YGL148W MSTFGKLFRVTTYGESHCKSVGCIVDGVPPGMSLTEADIQPQLT RRRPGQSKLSTPRDEKDRVEIQSGTEFGKTLGTPIAMMIKNEDQRPHDYSDMDKFPRP SHADFTYSEKYGIKASSGGGRASARETIGRVASGAIAEKFLAQNSNVEIVAFVTQIGE IKMNRDSFDPEFQHLLNTITREKVDSMGPIRCPDASVAGLMVKEIEKYRGNKDSIGGV VTCVVRNLPTGLGEPCFDKLEAMLAHAMLSIPASKGFEIGSGFQGVSVPGSKHNDPFY FEKETNRLRTKTNNSGGVQGGISNGENIYFSVPFKSVATISQEQKTATYDGEEGILAA KGRHDPAVTPRAIPIVEAMTALVLADALLIQKARDFSRSVVH YGL147C MKYIQTEQQIEVPEGVTVSIKSRIVKVVGPRGTLTKNLKHIDVT FTKVNNQLIKVAVHNGGRKHVAALRTVKSLVDNMITGVTKGYKYKMRYVYAHFPINVN IVEKDGAKFIEVRNFLGDKKIRNVPVRDGVTIEFSTNVKDEIVLSGNSVEDVSQNAAD LQQICRVRNKDIRKFLDGIYVSHKGFITEDL YGL146C MEKASLNIAQTKEIPLTDRRMGLRGWKACSRPHLLGRILLFMSI LFITSAELSSDVSSREVYMPIFNNKLSFKVPPIKRSLLLGAALYEDFEYSSNNSASDG AFCTVFNAGMNDASREVVFEIHVMDVLQEETDSSRFGGTSHERGRQSLGFSVFNNKNG DLLRSKKNLASGTSVIEVNPGNCNEFLICFINLVYDGSWSSIDTEKSVTIKMTYNDKL DPDMLLHLVNQMTPQVVKALNTVSDGLFQIVSDTTLLQMESDRRDINEATYSYLIVGF VSLMVAQLISNIIVTTYLIIKIKSNPSSHIKKKGL YGL145W MNGIDDLLNINDRIKQVQNERNELASKLQNLKQSLASNDTEVAL SEVIAQDIIEVGASVEGLEQLRAKYGDLQILNKLEKVAVQQTQMQAGVDKLDSFERQL DELAEQPPDQFTLDDVKALHSKLTSVFATVPQINNIDSQYAAYNKLKSKVTGKYNDVI IQRLATNWSNTFDQKLLEAQWDTQKFASTSVGLVKCLRENSTKLYQLSLLYLPLEEET QNGDSERPLSRSNNNQEPVLWNFKSLANNFNVRFTYHFHATSSSSKIETYFQFLNDYL AENLYKCINIFHDDCNGLTKPVIHEQFINYVLQPIRDKVRSTLFQNDLKTLIVLISQI LATDKNLLNSFHYHGLGLVSLISDEVWEKWINYEVEMANRQFINITKNPEDFPKSSQN FVKLINKIYDYLEPFYDLDFDLLVRYKLMTCSLIFMNLTSSYLDYILTVDSLNETRTK EQELYQTMAKLQHVNFVYRKIKSLSSNFIFIQLTDIVNSTESKKYNSLFQNVENDYEK AMSTDMQNSIVHRIQKLLKETLRNYFKISTWSTLEMSVDENIGPSSVPSAELVNSINV LRRLINKLDSMDIPLAISLKVKNELLNVIVNYFTESILKLNKFNQNGLNQFLHDFKSL SSILSLPSHATNYKCMSLHELVKILKLKYDPNNQQFLNPEYIKTGNFTSLKEAYSIKY LKDTKIQDALYRIIYGNIL YGL144C MSLTPTNEILFHYKSSVKVGELERYVITYHLYDGEEIPPDLNLN SLWLKVRNMNPLSYRAAYLMGPFMLYCDVKTAQYHHSQKIVASVDYPKFEPNVQTQQD FVAELSVHNIRQKYVWIADVMSQILFTTNTNVTYEVTIGTSKESVENPHDLPSHLGSY SPKLTVNRLTTLDLWNLPVQITTPQKKKHLVVLTHGLHSNVSTDLVYIMEQIYKAQKN YPHEQIVVKGYRGNVCQTEKGVKYLGTRLAEYIIQDLYDESIRKISFVGHSLGGLIQA FAIAYIYEVYPWFFKKVNPINFITLASPLLGIVTDNPAYIKVLLSFGVIGKTGQDLGL ENDVEVGKPLLYLLSGLPLIEILRRFKRRTVYANAINDGIVPLYTASLLFLDYNDILE QLQKLKENSKKSPLINDASTPVNQDFFNKTFISPLTKMLSILAPQKFPTENGSEIPKV SFFESASSILLPPLPERAYIMDPDSRDPVIIHDKIYNEDDIPQSEFDIEDGFFGKKNI LLQAFFAGKKERAKYRNLEETIARRWHEGMAWRKVVVALKPDAHNNIIVRRKFANAYG WPVIDHLIDVHFNGDDDDDNDENDDINSTQVVEPIQSVTEGKKKYRKAENIPQEYGWL NKVETNGVFDEGPTGMISTVGEIVEALAKRGFSAVIDRRNASEDPNDEVLRFEEMNSD LVQ YGL143C MWLSKFQFPSRSIFKGVFLGHKLPLLVRLTSTTTNSKSNGSIPT QYTELSPLLVKQAEKYEAELKDLDKDLSCGIHFDVNKQKHYAKLSALTDTFIEYKEKL NELKSLQEMIVSDPSLRAEAEQEYAELVPQYETTSSRLVNKLLPPHPFADKPSLLELR PGVGGIEAMIFTQNLLDMYIGYANYRKWKYRIISKNENESGSGIIDAILSIEEAGSYD RLRFEAGVHRVQRIPSTETKGRTHTSTAAVVVLPQIGDESAKSIDAYERTFKPGEIRV DIMRASGKGGQHVNTTDSAVRLTHIPSGIVVSMQDERSQHKNKAKAFTILRARLAEKE RLEKEEKERKARKSQVSSTNRSDKIRTYNFPQNRITDHRCGFTLLDLPGVLSGERLDE VIEAMSKYDSTERAKELLESN YGL142C MAHEVHRIKPKLGRTQIFWVFLAFRVLNAVLTRTFFQADEFWQA LEPAHWKAFKYGELTWEWKFGVRSYLFPMIFELTYRLVSLSSILLHYALLLLSTIGSD LLILLLPKYELSWQVAEDLKRLPFDVTRSFEYYGVIYAPKIVMAVLASIGEYYIVRFV QKLYLLTLDKRNEKEEEERRSGLSEITKFALLLSLTNFFNCFFITRTFINSFEMILTS IALYYWDWTGGQMIKESSFTKSLIFAFLACLQRPSSGLIWVIPSISLILNLVGKKQYH LLFITFSKVLRSFFLVFTANAIIDMYFYEKVTFPFFRFLKFNFTTPLSKFYGVAPWHF HFFQSLPIVLGASIPAFAFGLFFPLSKRSFPKKYLNPFFQVKLTILLNLLVYSTLPHK EFRFIFPLQPLFILISSFGLLRLDRDYWKRLSGLKSLLWLVPFVSVFIALLLDTFHES GSIEVMKFLHEEPEIDSLGFIMPCHSTPGQSYLHRSDIQDLWSITCNPPLHLLGDPEA YSKLETYMDESDHLYDDISAFIYKNFPPPFRKDLRSPGKTYSHEWPTYLVVFEHMENA FLKDFLKDSSYIEYNRFFNSLAHWDSRRSGDIIIYYKLPFDYSDIPAADI YGL141W MLNFTGQTRRRNVNLGNRTRNSKKDLLEKAKRERERRAQDKLKE DASKTIQKSIRRHFSNVRLFKNTFTSSQLVHMIPAYGGKLIYYISQYDLQQLLKLSHN FLSSYPNSLGNRQLLSLLKLYQDDALVAETLSDLNMDCPTVDEFLDSLSVYLCRASFL SYSSASKLADVIEAWEVMHSSASISIFSISIGSYEKRPFALQFYCILAERNLLPQLIN TNPILWDNMAKTYSHCSKGGQKNIAKLLIPNFNNHIAPSVLRSDNDYVLKFYEKAFID EVIATTANYVSDEDHVKNLMCYIASSPNQSCKNSVLITLLSNKDFVRRLSWEFFHTKF NASKTEAHPLFSVLAQLIDMHLLISTDRELLDYNSVIPIEELKKFTSTLKDFTFRQYW ELPKSERNPMLKEAVPLLSKVYERDSRLHFLSTENNPTYWENSEKQFLNLRFYEELQE YEDLYREHLEEESDEDMEKEIDLDKERPPLKSLLLNKMKKRLKSSLRFRKLEILLELP FFIPFEERVDLFYMFIALDKKRLSLDDDHNLINMFTPWASTGMRKQSAIISRDNVLED AFNAFNSIGERFKASLDVTFINEFGEEAGIDGGGITKEFLTTVSDEGFKDPKHELFRT NDRYELYPSVVYDATKLKYIWFLGKVVGKCLYEHVLIDVSFADFFLKKLLNYSNGFLS SFSDLGSYDSVLYNNLIKLLNMTTDEIKSLDLTFEIDEPESSAKVVDLIPNGSKTYVT KDNVLLYVTKVTDYKLNKRCFKPVSAFHGGLSVIIAPHWMEMFNSIELQMLISGERDN IDLDDLKSNTEYGGYKEEDQTIVDFWEVLNEFKFEEKLNFLKFVTSVPQAPLQGFKAL DPKFGIRNAGTEKYRLPTASTCVNLLKLPDYRNKTILREKLLYAINSGARFDLS YGL140C MSLKSKLTKIQKLWLYYFPCDRILAKRICKSTVNTTVAFIFCLI PKITAHLGAAPAMLPMISVIVHPGRRVGGTIHGAIYCITGLIFGLAYAIFGRFLAQRC LGSSWHELTEAQQHVLHYKRYEAGLAILAVFEVIMLFFHGWMRSVSHYYFGIVFPLFV VVHFAFMDPLNETAGTIAKAYSTPFYLGIAMSIFWNLVLFPEWGTTYLGNTTIDAMNE LHKSIDYSINFFIAVDPHNSSQLYSRDPVSLGKLLKMKSLISSKVNNCRVVLHECIYE FTYAYVSPTKLKPIISTLENLTVFINGLVNTCQLEFILLARHDNKLRPDDVAALTLPK NKEISFANAEKLLKVIDKLHPVIYSLHRTMSECMYIAKLVLAHAFDVKVSRVHSCSMF KDGNFPTFSNNANNLPNDVDIQNKINDLKQALEECKAKFKSEMLSFDIDIMSPSDEMF LLSSFLLNFRQTADSTLVIMESVKDILVKRQIQEKKGWLRGKRLWFLVLTNYETFSIW LKGDRNSVTENDTLKGTFNGNTNGFAHDTVIRRPDYEENELLSQKVSSNKNIVKDDAS LDLPMTSEPKGNSSSTSDTSSSPLTLTKTTTFGTNRTSRRQGRFSFMSMLISIDKFCE VSHPHFRFGFQVAIALMLASFPMFIPKTRQWYIDYRGTWIGFVCILCLEPSVGGTFWV FFLRAVGVIFGAAWGYLSYVAAVNQTNPYLETVITVFGAIPGFYYLLGTPYVKAAIIE IISIYIVMLAAILPSQDDILTSFAKRCLAVGYGGGVALIVQVFFFPLKAREQLNEEIS FVCGCISEMELLYATGLEGEQVASSMSEEKYKKIEKISKSAKEALARATAYKGLTRQE PRLKGEYTELENVFTQVIFIQKQIIERIDTISLLRKQNGSAVIEEFNSVVYPYRRQMV GSISCLMRALQEAFINKTPLPQFLPSARIAHRRLINKVRQTLRIRYPGQISNLSDKAR KPNEGDYADGKDEDDDDNEGLVMKMNRRGQANTTANPHEYVLKEKFLSWNASSAASEE IIEYIEELLNLTKILVGVNEFKYGFLSRPLYEDWAAEAVTGFDNFINGKSNPMNTRRN RTPFDGTSIISEGNESLQSTNSNESQISPDSTRSYEPECPVAYEKNDNPAALNLLRIA SHKAGQNADGLPKTFRNRAFSITSTSGQLSSLSRHSTLGNADPNYLNDDDESSDDDLP LALKMVLSHMKEKKD YGL139W MRFLQVYKSSALIGLIILLASKVNLAEAKRKLVATSLVTCMENS QLSANSFDVVFNPDDRSLHYDLDMSTQIDSYIFADIDVYAYGFKIITKNVDLCSINWK QFCPVHPGNIQIDSIEYISSEYVNEIPGIAYQVPDIDAYARVKITNNVSEYLACIQIY FSNGKTVSQIGVKWATAVVAGIGLLLSAILSTFGNSTAASHISANTMSLFLYFQSVVV VAMQHVHRVPPIAAAWAENLVWSMGLIRISFMQRIFRWYVQSTGGTPSLYLTSTSMSV LAQRSWQYLMELPLIKRATNVLYGNANTLIFRGIKRLGYKMGIENTSIVCTGFTFFVL CGYVLAGFIIVFKCCVELATRLGWIQKARFWEFRKQWRMILKGALLRYIYIGFVQLTI LSFWEFTERDSPAVIVIACLFILLSCGLMLWAAWRTVFFARRSVALYNNPAALLYGDE YVLHKYGFFYTMFNANHYWWNIVLLSYIFVKSLLVGFAQASGQTQVLFMFILDLFYFV AIIYYKPYLDRPTNIMNILIATVTVVNSFLFMFFSDLFNQSYKVAAIMGWIFFIMNAA FSFILLMMILAFAGMMLFSKNPDLRFKPAKDDRTSFQRNTMKPEGTVNRSVANELLAL GNVAKDHDDNSDYESNDTGVNDELKQAQDETTPTTVTSSDDNKPTFSEKILSKFSRPK NENASTDALRVEAPKQQTFPHNLTNLSRENLSTLGSKPYPGHTRSQSDAHNGLINSFE EEDTSSNTDPFHDSTEGDLLDTSSSDGGFRSQNYVRDDSINSLGNNKQPLRKPPGFFD EGFM YGL138C MYNFLEFFFFFITYTLFKSTFVQGKSSFPGHDVCKFEDQNFQTE FFLNVLKGDKLQNLKQEYEQYKKQSTLYTGFVIEKQYEYQVAPLQINNFLQVTFCKGG KPIWNHILPFQKDLDWAEPLCIPAQEDDTISQNSSVCFKFARVQKYTQRNVTLYFPNK FVGFVFVCNSSKTHPPTQNNFETIPLTPIISDDIRDYKISWSIKGRITKVVPYLHSLS IPMSKYEMLIHSDKNISNELEYKSLTSEFWKSYKNLRKFKNKEMSWINEINPMEQYDS SGNENVPNFHEKLDRTINRIAQNIERPHDALIRAIAAHNRNSNSGNITRRKYLRRKIS KMLKNKIPLKN YGL137W MKLDIKKTFSNRSDRVKGIDFHPTEPWVLTTLYSGRVELWNYET QVEVRSIQVTETPVRAGKFIARKNWIIVGSDDFRIRVFNYNTGEKVVDFEAHPDYIRS IAVHPTKPYVLSGSDDLTVKLWNWENNWALEQTFEGHEHFVMCVAFNPKDPSTFASGC LDRTVKVWSLGQSTPNFTLTTGQERGVNYVDYYPLPDKPYMITASDDLTIKIWDYQTK SCVATLEGHMSNVSFAVFHPTLPIIISGSEDGTLKIWNSSTYKVEKTLNVGLERSWCI ATHPTGRKNYIASGFDNGFTVLSLGNDEPTLSLDPVGKLVWSGGKNAAASDIFTAVIR GNEEVEQDEPLSLQTKELGSVDVFPQSLAHSPNGRFVTVVGDGEYVIYTALAWRNKAF GKCQDFVWGPDSNSYALIDETGQIKYYKNFKEVTSWSVPMHSAIDRLFSGALLGVKSD GFVYFFDWDNGTLVRRIDVNAKDVIWSDNGELVMIVNTNSNGDEASGYTLLFNKDAYL EAANNGNIDDSEGVDEAFDVLYELSESITSGKWVGDVFIFTTATNRLNYFVGGKTYNL AHYTKEMYLLGYLARDNKVYLADREVHVYGYEISLEVLEFQTLTLRGEIEEAIENVLP NVEGKDSLTKIARFLEGQEYYEEALNISPDQDQKFELALKVGQLTLARDLLTDESAEM KWRALGDASLQRFNFKLAVEAFTNAHDLESLFLLHSSFNNKEGLVTLAKDAERAGKFN LAFNAYWIAGDIQGAKDLLIKSQRFSEAAFLGSTYGLGDDAVNDIVTKWKENLILNGK NTVSERVCGAEGLPGSSSSGDAQPLIDLDSTPAPEQADENKEAEVEDSEFKESNSEAV EAEKKEEEAPQQQQSEQQPEQGEAVPEPVEEES YGL136C MILVYNRIRSIISSSLGRIHVRYNSNSQNRWLNRQLKDPYTKEA KVQNLRSRAAFKLMQIDDKYRLFSKNRTDQRILDLGYAPGAWSQVARQRSSPNSMILG VDILPCEPPHGVNSIQANILAKRTHDLIRLFFSKHFQLNRHDDLHKDHGYFQNMLEEE LTHVKDTELYREIFTSDDIYETPNTNSTLIEREKFPVDVIISDMYEPWPQTTGFWNNI TNQAYFRMANTSGVSIRDHYQSIDLCDAALVTAIDLLRPLGSFVCKLYTGEEENLFKK RMQAVFTNVHKFKPDASRDESKETYYIGLKKKRNVDKLDVFSNS YGL135W MSKITSSQVREHVKELLKYSNETKKRNFLETVELQVGLKNYDPQ RDKRFSGSLKLPNCPRPNMSICIFGDAFDVDRAKSCGVDAMSVDDLKKLNKNKKLIKK LSKKYNAFIASEVLIKQVPRLLGPQLSKAGKFPTPVSHNDDLYGKVTDVRSTIKFQLK KVLCLAVAVGNVEMEEDVLVNQILMSVNFFVSLLKKNWQNVGSLVVKSSMGPAFRLY YGL134W MDMTKNHTTDTEEFDDGDIRPVSLGIVDDYNASFELPLKPKFLQ SENFSDLTSEWDQSRSNTPGLAEGKTEKAQPCGTTDSSKNRIHVEQLLESANEMNNYL AQNIENINNFQVGLLNGGKGLYSSMGDDSSACINGTNFSSTSNFELSDDELEDTTGCT SSIFDKDLFHQQNGLSIPRRRSPLFKSPTASFEIGDATDVEEQDIDDSIFSECSSITS FDMGGLHISLPHDEEEDQEKTKSESENPLLHGIPVDVEVPHISVDEALANFKETIELL LKLSGNRKCTGFNTRVEKKEYSNFYMKSKPTLSSADFLKRIQDKCEYQPTVYLVATFL IDTLFLTRDGNNILQLKLNLQEKEVHRMIIAAVRLSTKLLEDFVHSHEYFSKVCGISK RLLTKLEVSLLICVCNTKLMVSNRKLAASKLLLNELRSFCV YGL133W MVLYKRKPILLPDPKPLPLDLNVQVWHIEETGEWFSSYEEFLER FDFYTRHHFTCEITGTSCLTFFQALDSEETQFKYVEDRFPLKLREPVARFLHFNGIRR LDALVEKVYARFKNDFFPGEVVYLRKQKDSSTTSSNSQQSTPQPDDMVEINSVGNPGL PQYQYQRRYVIKEKVQFNATINPESREIVMPAHTKYMLIEEAASSNKSFIVDQGQIYR DRSTFTKHLIKCFFKITLQRASSKMGAPWCVKPEYLAMYGLTMEWPKDMLKYKEDEPV VARRSNSANVSSPESEKNKRQSKSSGKSNTSNDASNKKETKKKRKPTEVNDSENNSSE EDKKKGQNVTSETHSKKRKKEANEEPNTENVESVPTPANAEPQAVTITSIMDDLALPY QHPPNIFPNLTYYNEKLECISLGSTKLSRPFDSFGKLLQAYQFLNTFGSKICLSHFSL DQFITSLKCTDPYELKGEVVLVNIRTQTSKEQEIENNGLPMKNKAETTTEEDSENPSD WQRNSFIRDMIMKRNSDKVEYKIVHDDPASDDILDNINHNGSALLIEVFTALLRLFIN EEGDWSCIVVENWIIDDKGVLMERKDERGEGEAKQKRNAHGYFLQDKEKIDNLKDTLK ENATEVQKESDAKNETNSESDSKSDSDSEERDPKLEKCLNYRNVNWIERLTKRQFNNS YWLIILLGVLEDCRHLPMYTEFIDSFIEKIIPKDISATQLPKQLWRNFCRKLSFSDKV NALWILVDLVSHFSPDIKAAVDDSMELCGQIRSERFKVARELKTEAAVLSNLQGDLQA IQEKLNKTDENTPSADGADKKDDSESNSEPIDLIIIEKKQKLIEEQDKKVQALQSDKN FLDNCLFENDLQRLKPLGLDRYGNRYFWLDHNGVPFPQYPAGMNETPKSNNSLSYHSG RLLIQGPKASSAKFFLNVSDEQLSNWQKIRNSEGISEATREVFGISKTSSGSYNYVEN GIEVELLDSNDRVNPLIELTPIQKKIMDETPSRLLLSPDQWYCIDKLEDLSRIMDWLD NWGRKEHDLLRQIRPIMERIKSSLSLRDHALSLTAFTKNEEKLLKELENNEFTENELN VDSMDVDDKNSGVKSEVDVQVDAEEKREAVIDEKLEVIADELMKLDDSSKTRNVLNRI QELEDQRDELLEQKKSIINSQRPGARILARSERKRTKISRGNKVNKQIEILTDLVNYR HFKAMEDVIAWKNVLANSIWGSSLRKNASGNKRSGVIETVDDKLKDIVGQTSRTVTPA PN YGL131C MPKEEDFQLPRRREAAKNVNYNEMEIDTKLVQQIQIAEKSGAKT KGSNSQTPRNCKRTSNPASRNEKFKYQKFLHDKNTCWNFIPTLPPSFRKNSRFSNILD LDDAMIDLKKMSLFNTESVLLSANDTIYMISEPAGEPYYVGRVVNFVSKPEFSNTIHE AIKTTSVFPAKFFQVRMNWFYRPRDIQEHVNTFNPRLVYASLHQDICPISSYRGKCSI FHKDEVFDVLPNEKECIIRPNIFYFDELFDRYTLKYYKVYSTDKILNKWNSKSPFLYV LNRRFRYIYTEPKYPLENVLKKYVFHELEVNELSPADYQWDKRCQFCKEWCIQKESLS CDECGVCAHLYCMDPPLDRKPNKDVVWTCFSCLQKQQGTKDSHVRFLEEQALELDFIR SVRQKIEEISSKAIKENVGYNTENCWFQYLGIYSISHIGDALNDSMFFPYPFKPSRVG VKYQWNGCNHNVPWRRNSYISANSEEERGSTKTSELAWVLDASKITTRKLSEYIEQCK SEICPILNVRGETCNFIDVVLKNLLFTNYDTAEAFKKCKRELSRKFLKEPSFTAVEIR KFEEAVEKFGSELRPVCEYVGTQPMSMIVRFYYNWKKTERGLTVRGKLSKLSKNKRKK EIANHENDVETKYIDDSSFDTEKLSLAESSFQCMFCKTDYSPMWYRVTGGSDDEKIKI RMQTGVNEKTEISEKSPAHSKKNEKLGALCIRCARMWRRYAIKWVPPLETLRKITGTC QNSFYSAIEGIIEENNTNKFTLSPFQAHNKLLEWELVQDSELIIRQRMKVYKNPNSFV KMKRYSMTFHTQLYKMAVRSYRKNEFHPETMQRDLELFIEDNKEVRKAIPEQKPERAK NTKDEFPVNIIRQSPGTIKTSDTSRNRKCNDVFIEKASNNNIPKITNASNDLIEISIK TGGSSSGSVSVDKGFKFVKFDNKTFQRLRNSLKLVNNKLPKYNEPSTKKIKMINDIAL SNPLNEPNGASYNYTVISHSKETSVALEKYHDGNKPSKMLEKDMILKHTKNKPKNPDT AWANNSARTFCSVCKEKFNDNDNYEVVCGNCGLTVHYFCYAIKLPKDMKKNTNLKTFK WLCDPCSNDLNPIISTTYQCSMCPTKDYDYDRYRSQSFKICPDALKCTSLGTWVHLVC SLFNEDIKYGNGQSMQPALNTTAVLIKHSRFTCGVCRINGGGLVKCNKCQYRYHITCA QNSSNFKLMFEKKNMSVDTTLPCIKDVKLNDTYTLRPILICDRHDISLEGNELYPLSY KPQHTLSYIEQYCRYYKCESDHSLVELRYFEQLRLRHGEMPGNSHDSAIKPKIYVLPF ERTCPHCGTNKSLYWYEDIICHSCNLRSGAQELDFDSASANISNDNGLPVEITQQLME GIEPAMFDIDISEAGTDKNTHPSSQ YGL130W MVLAMESRVAPEIPGLIQPGNVTQDLKMMVCKLLNSPKPTKTFP GSQPVSFQHSDVEEKLLAHDYYVCEKTDGLRVLMFIVINPVTGEQGCFMIDRENNYYL VNGFRFPRLPQKKKEELLETLQDGTLLDGELVIQTNPMTKLQELRYLMFDCLAINGRC LTQSPTSSRLAHLGKEFFKPYFDLRAAYPNRCTTFPFKISMKHMDFSYQLVKVAKSLD KLPHLSDGLIFTPVKAPYTAGGKDSLLLKWKPEQENTVDFKLILDIPMVEDPSLPKDD RNRWYYNYDVKPVFSLYVWQGGADVNSRLKHFDQPFDRKEFEILERTYRKFAELSVSD EEWQNLKNLEQPLNGRIVECAKNQETGAWEMLRFRDDKLNGNHTSVVQKVLESINDSV SLEDLEEIVGDIKRCWDERRANMAGGSGRPLPSQSQNATLSTSKPVHSQPPSNDKEPK YVDEDDWSD YGL129C MLRMSTSRFIGQRLFTTARSLQAAKPAPKGKTQGFSKKSSSVSS YSSAKRVTPGSLYKNWTNTTHTAQLQQTAVPLALPIFNFDDISKTLNKVVSYSNKQYK SLHHLGSFKKSQFNELFQKPVCLVREDATNSFLKKLVSHPVKKFIITGEPGVGKTVLL SQAHAYAVDSKQIIINISYPELFLNGRNDFSYDDDLKLFIQPMYLKKLIRKILKANDP ALLKSIELSKDYKFSNANPKNASVKPFVTLNKTKNTVLDLLSVMTHPHNRGKLMKAII DELSVQSKVPIMFTVDNFSKVLTTAYSAYRNTENKQIYSLDLQMGKLMMDIISGETKF ANGESSTILAISGVDRTNKTLPVALGKIPVDPYVTRYHYEPKFVELLQKGNVTEFEVP KLNKQEVNELIDYYKQSNVLLDKDITGKKWENLIDEKYFLSGNGNPRELLKSLVLSHR YGL128C MPGHELEDVINQRLNLYDVLELPTPLDVHTIYDDLPQIKRKYRT LALKYHPDKHPDNPSIIHKFHLLSTATNILTNADVRPHYDRWLIEFLRKTNDIERNKL IQKLEESESSTIPTTTPHPDLLQIQRHGELLRKLKHFNLPYGDWKHLNTQDQENASQH PYYDCSTLRIVLDNFLQSNNKSNCLSHLRNQVFITLSANEIYDIYFSERNNYSKDDSI IIYTVFDTPITAQHVFRNWSSGNLIPTVKDISPLIPLHYYSDFNLETELNDDIARLVS NEPILLD YGL127C MSSTNGNAPATPSSDQNPLPTRFEVELEFIQSLANIQYVTYLLT QQQIWKSPNFKNYLKYLEYWCNPPYSQCIVYPNCLFILKLLNGFMESAIVNEDGLLEG LDELPKIIQLQGPQWMNEMVERWAN YGL126W MSSKWFNAIHLLVCPLTVLVGYLMNAYGYGAALQATLNKDGLVN AMLVKKGWFWTSLVGWWCIIRYRAVPGATGRDRRHIVQSFKRYAILTVWWYVFTQGIW FGVGPIMDLVFVYTGGHCHYDVFDDAGHVNEDFQGSVTRTNRALALIHNVLTLHGHHQ EHRQQQLWDRSIGSIQGALQATQPKTPKNVTASAAAAINTFIHDQMHRWQGPLTTSAQ CRRFGGHWAGGHDPSGHVFLATLMCMFLLGELRVFGRRALAHLYAQKWQLVRLVTRLF DTGPLWTWRRCGGGSMTCGARLWRAIVEPPVTCAAALLRLTRCIACDHPVIILLTLLV TWLWQLLLTAVASRFHTVREHMSGLLAAYIVTGLVYARDAAALRPV YGL125W MKITEKLEQHRQTSGKPTYSFEYFVPKTTQGVQNLYDRMDRMYE ASLPQFIDITWNAGGGRLSHLSTDLVATAQSVLGLETCMHLTCTNMPISMIDDALENA YHSGCQNILALRGDPPRDAENWTPVEGGFQYAKDLIKYIKSKYGDHFAIGVAGYPECH PELPNKDVKLDLEYLKQKIDAGGDFIITQMFYDVDNFINWCSQVRAAGMDVPIIPGIM PITTYAAFLRRAQWGQISIPQHFSSRLDPIKDDDELVRDIGTNLIVEMCQKLLDSGYV SHLHIYTMNLEKAPLMILERLNILPTESEFNAHPLAVLPWRKSLNPKRKNEEVRPIFW KRRPYSYVARTSQWAVDEFPNGRFGDSSSPAFGDLDLCGSDLIRQSANKCLELWSTPT SINDVAFLVINYLNGNLKCLPWSDIPINDEINPIKAHLIELNQHSIITINSQPQVNGI RSNDKIHGWGPKDGYVYQKQYLEFMLPKTKLPKLIDTLKNNEFLTYFAIDSQGDLLSN HPDNSKSNAVTWGIFPGREILQPTIVEKISFLAWKEEFYHILNEWKLNMNKYDKPHSA QFIQSLIDDYCLVNIVDNDYISPDDQIHSILLSL YGL124C MNLNESYLDAEIPKGQLKHSKSGNFEGIPIVATTSEPTTSVNLD ETFFKKAPIAMPICDDHSVSKSTSVNSLNTTSLASRRSPLQTKKLQAKNNLLSADLAK SNDDTTRALNSPKKDFGPYLDSENDIRSRLAESIYSMETSIRGSELQRRPYVSNEIPN VFKFSKFNSNCKLNESQTLCDKNFFIFTSAGKPIYCMHGKDEQIMSYTGLVNTVISYF QVNGPSELKTISTLTSGKRLTFLDKSPILLMAQSERGESSNELLNQLDFLYSYILSSL SERQLLRLFSKRENFDLRNYLESTDFENLDEICSLICNRMFPDLLLNSLQCLPFNHSS RLKLQNVVLQQLEKRQDIPRGTLLYGLIIAPQNKLCCVLRPRGHTLHTTDLHLLFCLI SHQFQNLDETQELWVPICFPKFNSSGFLYCYIKFLPNDTHSNEKSALVLISAQKDAFF SLKSFSDELIIKLEEEKLLKKINTSKGFKLSDIPAPMVHHFIYKSKQNVQYVMPHFEV NSNIALDSSQGLEYELKLKTYYQQLHGTVVRDNGNLLSRSMLNFVRWSSKDNEDLAMD ETQMDFSELDEYIIGNSSFKQESVNMVGMAWVTPTFELYLIGNNGIVDKRVLFKSARK VANWCQKHESRLFISDGAVF YGL123W MSAPEAQQQKRGGFGGRNRGRPNRRGPRNTEEKGWVPVTKLGRL VKAGKITTIEEIFLHSLPVKEFQIIDTLLPGLQDEVMNIKPVQKQTRAGQRTRFKAVV VVGDSNGHVGLGIKTAKEVAGAIRAGIIIAKLSVIPIRRGYWGTNLGQPHSLATKTTG KCGSVTVRLIPAPRGSGIVASPAVKKLLQLAGVEDVYTQSNGKTRTLENTLKAAFVAI GNTYGFLTPNLWAEQPLPVSPLDIYSDEASAQKKRF YGL122C MSQEQYTENLKVIVAEKLAGIPNFNEDIKYVAEYIVLLIVNGGT VESVVDELASLFDSVSRDTLANVVQTAFFALEALQQGESAENIVSKIRMMNAQSLGQS DIAQQQQQQQQQQQPDIAQQQPQQQPQQQPQQQPQQQPQQQPQQQPQQQPQQQPQLQP LQPQLGTQNAMQTDAPATPSPISAFSGVVNAAAPPQFAPVDNSQRFTQRGGGAVGKNR RGGRGGNRGGRNNNSTRFNPLAKALGMAGESNMNFTPTKKEGRCRLFPHCPLGRSCPH AHPTKVCNEYPNCPKPPGTCEFLHPNEDEELMKEMERTREEFQKRKADLLAAKRKPVQ TGIVLCKFGALCSNPSCPFGHPTPANEDAKVIDLMWCDKNLTCDNPECRKAHSSLSKI KEVKPISQKKAAPPPVEKSLEQCKFGTHCTNKRCKYRHARSHIMCREGANCTRIDCLF GHPINEDCRFGVNCKNIYCLFRHPPGRVLPEKKGAAPNSNVPTNERPFALPENAIIEN APPQTSFTHQEQDTEMN YGL121C MFYLSDIEEEASAGAEPTYNFWEVLLFSNTQENLVTVVGELHTL TDRVVHYKIEPESREVTATTLPSLLALLLEKRNQARRLYRDVLSMKMSELDWDIDDLF TQLQEELTRTDDTLSMYPRRRFYH YGL120C MGSKRRFSSEHPDPVETSIPEQAAEIAEELSKQHPLPSEEPLVH HDAGEFKGLQRHHTSAEEAQKLEDGKINPFTGREFTPKYVDILKIRRELPVHAQRDEF LKLYQNNQIMVFVGETGSGKTTQIPQFVLFDEMPHLENTQVACTQPRRVAAMSVAQRV AEEMDVKLGEEVGYSIRFENKTSNKTILKYMTDGMLLREAMEDHDLSRYSCIILDEAH ERTLATDILMGLLKQVVKRRPDLKIIIMSATLDAEKFQRYFNDAPLLAVPGRTYPVEL YYTPEFQRDYLDSAIRTVLQIHATEEAGDILLFLTGEDEIEDAVRKISLEGDQLVREE GCGPLSVYPLYGSLPPHQQQRIFEPAPESHNGRPGRKVVISTNIAETSLTIDGIVYVV DPGFSKQKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEEAFQKELI EQSYPEILRSNLSSTVLELKKLGIDDLVHFDFMDPPAPETMMRALEELNYLACLDDEG NLTPLGRLASQFPLDPMLAVMLIGSFEFQCSQEILTIVAMLSVPNVFIRPTKDKKRAD DAKNIFAHPDGDHITLLNVYHAFKSDEAYEYGIHKWCRDHYLNYRSLSAADNIRSQLE RLMNRYNLELNTTDYESPKYFDNIRKALASGFFMQVAKKRSGAKGYITVKDNQDVLIH PSTVLGHDAEWVIYNEFVLTSKNYIRTVTSVRPEWLIEIAPAYYDLSNFQKGDVKLSL ERIKEKVDRLNELKQGKNKKKSKHSKK YGL119W MVTNMVKLRNLRRLYCSSRLLRTIQNGRISSVSSISLSKKYTTK SAKEGEENVERKHEEEKKDTLKSSSVPTSRISRLFHYGSLAAGVGMNAAAKGISEVAK GNSPTWKSLILSDSNIDRITNKFSKMRGVALKIGQLLSFQDEKVLPKELYEILSRVQN SANHMPQRQLEKVMAKELGANWKTKFSKFDKIPMAAASIGQVHAAELPSGQRVVVKIQ YPGVKESIDSDLNSLLMLLTASSLLPKGLFLDKTIANARTELKWECDYNREARALQKF EALLKDDPAFEVPHVFPEYTTDNIITMTRMEGTEIMKLPKASQETKNFISENIMRLCL EEIATFKYMQTDPNWANFLYNGRTKKIELLDFGASRPFAEDFILKYRKLLTYATLRDR KGAYEMSVQLGYLTGLESQSMKDAHVDSVLTLGEPFRGDVDKSFDFKDQTVSDRIRGN IGLMLNERLCPPPEETYSLHRKFSGIFLLCARMGASVHCAKLFKEIFAYKV YGL118C MPPEPVWHISAVTEKFPTHGAILYISLTFSFYKKMLRVLWHILL VYGKHAGKRKYRKVMTETNDSLYMKRNNCSGEYATLALSTRSCSFNMQQNDWVTMEGL FPFVMVLCHLETKPMKIGIQLILQVPFMGLGIHKENKEFYLIL YGL117W MQPISIKDVESDQGKVYIVNALKDLVCKCLLEFVDIQIESFMYP DDPKCFTRIFKGNKIVNEASDKDSKVRSYPSSLGVGHSALFPLIYIRQKTNSLRFLND PKQLPTPLVDDMNAKFKGIIKVYENLIHLYHSYQTVDCNNMNQQKLLGDLVSRGNFML DILHGYVTIASTIVRDSKDANILIDTVNRFIHDTILFHKRIIHNSNAYTEYHVMKRGM QRNQSEETLVELEFRILDVSDVNLDNEFDDFLQHRKTSLKITHRRVI YGL116W MPESSRDKGNAAISGNRSVLSIASPTKLNILSSDWSRNQGKVSK NSLKRSSSLNIRNSKRPSLQASANSIYSRPKITIGAPPLIRRDSSFFKDEFDAKKDKA TFSAYSSRSYPTIGSESVVSQTSLSQPTTSREVDEQFTVAADRYIPILQGASQNKVDP ETLHEALPPPNASPISHLRAQTKIVFKQNVAEACGLDMNKRILQYMPEPPKCSSLRQK SYIMKKRTHYSYQQEQKIPDLIKLRKINTNPERILDAPGFQDDFYLNLLSWSKKNVLA IALDTALYLWNATTGDVSLLTDFENTTICSVTWSDDDCHISIGKEDGNTEIWDVETMS LIRTMRSGLGVRIGSLSWLDTLIATGSRSGEIQINDVRIKQHIVSTWAEHTGEVCGLS YKSDGLQLASGGNDNTVMIWDTRTSLPQFSKKTHTAAVKALSWCPYSPNILASGGGQT DKHIHFWNSITGARVGSINTGSQVSSLHWGQSHTSTNGGMMNKEIVATGGNPENAISV YNYETKFKVAEVVHAHEARICCSQLSPDGTTLATVGGDENLKFYKIFDPRCTGRSRED GLMDGMLGLIGKEGCRTNDKENRSKNSSEIHTRRPSSTSQYLIR YGL115W MKPTQDSQEKVSIEQQLAVESIRKFLNSKTSYDVLPVSYRLIVL DTSLLVKKSLNVLLQNSIVSAPLWDSKTSRFAGLLTTTDFINVIQYYFSNPDKFELVD KLQLDGLKDIERALGVDQLDTASIHPSRPLFEACLKMLESRSGRIPLIDQDEETHREI VVSVLTQYRILKFVALNCRETHFLKIPIGDLNIITQDNMKSCQMTTPVIDVIQMLTQG RVSSVPIIDENGYLINVYEAYDVLGLIKGGIYNDLSLSVGEALMRRSDDFEGVYTCTK NDKLSTIMDNIRKARVHRFFVVDDVGRLVGVLTLSDILKYILLGSN YGL114W MPQSTPSQEVQRVPWDNKPALKQITLRATIAGIAIGSLVLTSNF QFGLQTGWVSMMSLPSALLACAFFKNIWPLIFPNDRPFSDVENVYVQSMAVAVGTGPL AFGFVGVIPAIEKFLTNDESGGLREQGQSFTFRELLIWSTALAFFGIFFAVPLRKQVI VREKLPFPSGSATATLISVLNGTEILQEVSKSELLEMRQRRLNECPEVLQPNRDPEEA DYLMNSSHSELGDYTATSQDGSSILSTGSENYRANIIILLKTFVVSSLYTMVSYFVPV IRSIPVFGKYLSNNYLWNFQPSPAYIGQGIIMGLPTVSYMLIGCFLGWGVLAPLARYK RWVPPDADVHDWEEGVQGWILWSSLSIMVADSVVAFIVVTVKSIVKFILIDDKAALLN NIIDDTFQSMLLEEERAINSSRRNTYVDGRQDTVRLVSRDNEIEVDSKHLVRYTTVIS GCLVSSIICIVSIIYLFGIQVIPLYAIITALILALFLSILGIRALGETDLNPVSGIGK ISQLIFAFIIPRDRPGSVLMNVVSGGIAEASAQQAGDLMQDLKTGHLLGASPRAQFCA QLIGACWSIILSSFMYLCYNKVYSIPSEQFRIPTAVVWIDCARLVTGKGLPDKALECS MILGVIFAVLSLIRNTYRDYGYGWILYIPSGVAVGVGIFNSPSFTIARFIGGWASHFW LKNHRGDLNAKTKMIVFSSGLVLGEGIFSVINMLFICLNVPHY YGL113W METWEVIASVKEATKGLDLSLDHPLIIKSEDVPSNILQLLQQKN RRQLKHICMKSRKEYFLLEEYGPGFWVKWPYNYFNGYSLPERRTEVVTTVERERAKRE TLKTWDELKFKELLHLWSEEPKGSCKLEKDKDLKLDMNPPDMKGESKINDYYSDPKEY IESKYYDALFSIHTPLAYFVKSNLVRLKNTCRTKYGSDSYKIAYQAMLQKFLLSIVQF KDRHDNRLLLEPFSSPIADEKRKNCLTKFVIQDENKNSSTIADLCVVLKSREIKLQIL LLLEIIGLNDLDWNFRDFEKKYKLKLKKRSLNLTKKGLVRRRSKKKTSEKDKGIERIT TSLDYCEQLDLYLDRACILDILLSSETPNPDAIEASNGTIQEHKKNILDKSKEASLVG FINYVLIPYFNKKVPHAVEFIIQKLKGPSMRPKRALKKVNDSTNVSSPNTVETYNRLS TSQRASRSSIINSVPSSPALRRVDANLFSRKSIASPTPELLNSRTNSNLNEFLESETR SLKRPSQLGRTKSDLTMNHLQKRQFSVSDLSTTRVPNSSTITLKTPFSHSTINAYKTM NNSFRRVGKRKDINETIRLHERVDSEENVQVQATPAVKKRTVTPNKKAQLQSIIESPL NFKDDDTHEGRKNTSNITSTPTNKPPENSSKRRVRRRLFAPEST YGL112C MSTQQQSYTIWSPQDTVKDVAESLGLENINDDVLKALAMDVEYR ILEIIEQAVKFKRHSKRDVLTTDDVSKALRVLNVEPLYGYYDGSEVNKAVSFSKVNTS GGQSVYYLDEEEVDFDRLINEPLPQVPRLPTFTTHWLAVEGVQPAIIQNPNLNDIRVS QPPFIRGAIVTALNDNSLQTPVTSTTASASVTDTGASQHLSNVKPGQNTEVKPLVKHV LSKELQIYFNKVISTLTAKSQADEAAQHMKQAALTSLRTDSGLHQLVPYFIQFIAEQI TQNLSDLQLLTTILEMIYSLLSNTSIFLDPYIHSLMPSILTLLLAKKLGGSPKDDSPQ EIHEFLERTNALRDFAASLLDYVLKKFPQAYKSLKPRVTRTLLKTFLDINRVFGTYYG CLKGVSVLEGESIRFFLGNLNNWARLVFNESGITLDNIEEHLNDDSNPTRTKFTKEET QILVDTVISALLVLKKDLPDLYEGKGEKVTDEDKEKLLERCGVTIGFHILKRDDAKEL ISAIFFGE YGL111W MRLLVSCVDSGSIKEVLCNIGTDTSVQSALQPFHVAPHLAEGLK AYVDRMWVISEDEAILARNSGVVELVKISKHLKENEALQVDPKGESKNEKSLSDDLPK FDISEFEITSSVSDLFDDAKLESLSSKSVKRTKLVDGFVTLCPIKKDSSNNTFVAATK SGLLHIIKKGEDKKLIKLASLGLKAPVEFLQLYDLEDTDTDKYIFAYGGEENLIKLVE IDSSFQSLKQIWEAKNVKNDRLDMRVPVWPMALRFLEPSPGKTEKGKLNYQFAAITRW SHLTKYSTQHGRKPFAQIDLLPNREPLSQMEVFDAKGENVVSSLGNFQSETFNELNVI TTDYKKNVFKFDGNGRMLGKVGRDDITGSSTYIHVHDGKYLLQGGLDRYVRIFDIKTN KMLVKVYVGSRINFIVMLDDVEIEMPLSPSAKAAKGKQKRKVTELEEDADELWNKLEG KVAASKASKKSKI YGL110C MLSRYNRVIEINGGNADISLPIVKFPPFKLRAQLIEKDPVVWLH LIETYVTYFEYLMQGANVELLDESTLDHLRLFLRTYLHEIADEEGKLLSLGINHDVSE QLYLLKGWIFSLIKKCGLLHLQIFGDSLWNLIKVYVRRNPDSIRGLIDGSLKPRINTQ RVQLDKSYQVQQHLKQLIESGKFKRIDLRCVEDLLSAKSMQPNKFAENFFTANWIEIL EALWAKGQGRGHKEARELIIISLFSVSADRLLKITKELGISNFETLALYPLLGTMLIN EGVHKRLPDLKSKLLFLNLGGLSMDEGDHMSYPTSSGTEVNEEQLSALMELFPQFSKY QLSQTLLAYDNNIELVTNKIFEDPTIIEAFSREPAEEEVEPVSDGDNASFTEELSILD RGDSSKNKELDKKIISEGVPDELRNKTLTRALKLLYEADEDERDDTYDEADVNRSDPS KRIGLQEDEESYDTKDDSNEVRQDHNYHIVEAYLWNLLKEDPKLFERSKRGTKVRKTM KEMTSWSDEKIEGWCRMLERSPTRARLLEKKFMFKGNSKTGKTSYVHNRDSQNDGNVV KEQAKQKKSENIKKHEPQSTEQKKRQHAKNEKRKGARANHNRKKGHDKKLARAGNNAI YGL108C MGLCGSKTQPMPSQTTTVATKARTKPINRDTVKSKQELRHKEKK DKKKKTQLKSTTVPVVQRKEGSKLTDTSDPSKNKVSPKEAARLAAEKRFQETNEKYNK GELGKKLAQERAKSHKTRLMEEAEKKHAERERENMIYD YGL107C MMLRRNAVRSLKTMEISVSNVVNSGSIAMLRGKLANVVLSDRTY HSSPIFHKNVPKGVLDKKNGREQRKTEQNVFNVDPASPWRHELLSFDECVSSALKYST TPLQNTYKRIGNNQLNKNPSFAMFWDSMGRAMELYYSLRESPDFNAYRVSRLIHLLHN GLRSTRDQLVKLSRKPDYDSQSFHKEMMNFLCNSLKDISDDILIGKVSVSGYGATHLL TSFKELSFDDDCIRIWEASKNLSDETTSQAFQEPKVVGFMLPLLYAKTRSLTEPNELY NQIIQSKEFIHPNLYSGLIKVFIKAEDYEKALSLFGQLCEKAEVRNYGYLIETHLSFI GDSKNLTLAESFFDKIINDEMPYKIILQVSTVNSFLQNIWKAQNDFDHVYRIWEKAVK FYGNTVNPGILSSLNNTFFTIFFENYINDNINGFRKLQEIITFYSGVKKIDEPFFNVM LTRASIWHERSIIDFIDKNYTLYHIPRTIISYRILLKSLGSIDNTNNEEILDRWLELV KKLNELGQQYIANADLSALRDATVVWSQSKRDEKVFSAKAKGTPATTTTTEDDIKVPK PLENLKNEDSTSNSEDRIELYLKILKRYTPYFRATKQVYRYTTGCAESYPILNEYLSG YSDLSAEDIPVPQLHSFIAKEQ YGL106W MSATRANKDIFTLFDKKGQGAIAKDSLGDYLRAIGYNPTNQLVQ DIINADSSLRDASSLTLDQITGLIEVNEKELDATTKAKTEDFVKAFQVFDKESTGKVS VGDLRYMLTGLGEKLTDAEVDELLKGVEVDSNGEIDYKKFIEDVLRQ YGL105W MSDLVTKFESLIISKYPVSFTKEQSAQAAQWESVLKSGQIQPHL DQLNLVLRDNTFIVSTLYPTSTDVHVFEVALPLIKDLVASSKDVKSTYTTYRHILRWI DYMQNLLEVSSTDKLEINHDLDLPHEVIEKKKKAPAGGAADAAAKADEDVSKKAKKQD HPRGKPDEETLKKLREEAKAKKAAKKAANAKQQQEQQNKAPEKPKPSAIDFRVGFIQK AIKHPDADSLYVSTIDVGDEEGPRTVCSGLVKHFPLDAMQERYVVVVCNLKPVNMRGI KSTAMVLCGSNDDKVEFVEPPKDSKAGDKVFFEGFGDEAPMKQLNPKKKIWEHLQPHF TTNDGLEVIFKDEEEKDHPVRKLTNAKGESFKVASIANAQVR YGL104C MNRILSSASLLSNVSMPRQNKHKITKALCYAIIVASIGSIQFGY HLSELNAPQQVLSCSEFDIPMEGYPYDRTWLGKRGYKQCIPLNDEQIGIVTSVFCIGG ILGSYFATSLANIYGRKFSSLINCTLNIVGSLIIFNSNSYRGLIIGRILVGISCGSLI VIIPLFIKEVAPSGWEGLLGSMTQICIRLGVLLTQGIALPLTDSYRWRWILFGSFLIA VLNFFMWFIVDESPKWLLAHGRVTDAKLSLCKLRGVTFDEAAQEIQDWQLQIESGDPL IEPTTTNSISGSNSLWKYLRDRTNVKSRHVITVLLFGQQFCGINSIVLYGTKIISQLY PQHAIRINFFISMVNVLVTILVSLLIHSLPRKPLLMTSTVLVSVTAFIMGIAMNHNKM NLLIVFSFIYMGVFTMGLNPLPFIIMREVSKPQDMVLAQRYGTICNWVGTFIIAYTFP IIHDVLSGYVFIIFAIIACSISAFIWKKVPETKRSG YGL103W MPSRFTKTRKHRGHVSAGKGRIGKHRKHPGGRGMAGGQHHHRIN MDKYHPGYFGKVGMRYFHKQQAHFWKPVLNLDKLWTLIPEDKRDQYLKSASKETAPVI DTLAAGYGKILGKGRIPNVPVIVKARFVSKLAEEKIRAAGGVVELIA YGL101W MTAVNIWKPEDNIPREILAILSKPHPNYQLAFLNIIQLLKTQRR TGWVDHGIDPCESISDHMYRMGLTTMLITDKNVDRNKCIRIALVHDFAESLVGDITPN DPMTKEEKHRREFETVKYLCESIIRPCSESASREILDDWLAYEKQTCLEGRYVKDIDK YEMLVQCFEYEQKYNGKKDLKQFLGAINDIKTDEVKKWTQSLLEDRQAFFDSLKE YGL100W MQPFDSGHDDLVHDVVYDFYGRHVATCSSDQHIKVFKLDKDTSN WELSDSWRAHDSSIVAIDWASPEYGRIIASASYDKTVKLWEEDPDQEECSGRRWNKLC TLNDSKGSLYSVKFAPAHLGLKLACLGNDGILRLYDALEPSDLRSWTLTSEMKVLSIP PANHLQSDFCLSWCPSRFSPEKLAVSALEQAIIYQRGKDGKLHVAAKLPGHKSLIRSI SWAPSIGRWYQLIATGCKDGRIRIFKITEKLSPLASEESLTNSNMFDNSADVDMDAQG RSDSNTEEKAELQSNLQVELLSEHDDHNGEVWSVSWNLTGTILSSAGDDGKVRLWKAT YSNEFKCMSVITAQQ YGL099W MPPKEAPKKWKAPKGPKPTHRKNKNKLELGRAIKYARQKENAIE YLPDGEMRFTTDKHEANWVKLRSVTQESALDEFLSTAALADKDFTADRHSNVKIIRMD SGNDSATSQGFSMTNEQRGNLNAKQRALAKDLIVPRRPEWNEGMSKFQLDRQEKEAFL EWRRKLAHLQESNEDLLLTPFERNIEVWKQLWRVVERSDLVVQIVDARNPLLFRSVDL ERYVKESDDRKANLLLVNKADLLTKKQRIAWAKYFISKNISFTFYSALRANQLLEKQK EMGEDYREQDFEEADKEGFDADEKVMEKVKILSIDQLEELFLSKAPNEPLLPPLPGQP PLINIGLVGYPNVGKSSTINSLVGAKKVSVSSTPGKTKHFQTIKLSDSVMLCDCPGLV FPNFAYNKGELVCNGVLPIDQLRDYIGPAGLVAERIPKYYIEAIYGIHIQTKSRDEGG NGDIPTAQELLVAYARARGYMTQGYGSADEPRASRYILKDYVNGKLLYVNPPPHLEDD TPYTREECEEFNKDLYVFDRLPDTRKEQVQNAAKAKGIDIVDLARDLNQLTFSAHTGG DTQKEAKSVTHGGKQAALYNAAEDLDRDFFKMNNVEGRLSTPFHKVQNSSAGKRHNKK NKSKNAKSKVFSIENN YGL098W MAETSNDPFLSYVLSSKQLTNLNRLRRKAVTKQLGSSDDNKVSE EFLRYQHTYQREAFEYLQTKHDAHKIMESQYEQYQSSSKTRRYSIDLDSVDAVDTESQ TEYPNEEFIDRNEDSEAVMELRKRLLGKGQNKGLGYETTKSVDRQIEDQDTLQQDLIQ DMSKLVGSLKQGAVAFQSALDEDKQVLGAAEIGIQVASQGLMDVSGKLRKYDKSKLSY LFYITVFIFMILGLVFTFIIIQLFPAL YGL097W MVKRTVATNGDASGAHRAKKMSKTHASHIINAQEDYKHMYLSVQ PLDIFCWGTGSMCELGLGPLAKNKEVKRPRLNPFLPRDEAKIISFAVGGMHTLALDEE SNVWSWGCNDVGALGRDTSNAKEQLKDMDADDSSDDEDGDLNELESTPAKIPRESFPP LAEGHKVVQLAATDNMSCALFSNGEVYAWGTFRCNEGILGFYQDKIKIQKTPWKVPTF SKYNIVQLAPGKDHILFLDEEGMVFAWGNGQQNQLGRKVMERFRLKTLDPRPFGLRHV KYIASGENHCFALTKDNKLVSWGLNQFGQCGVSEDVEDGALVTKPKRLALPDNVVIRS IAAGEHHSLILSQDGDLYSCGRLDMFEVGIPKDNLPEYTYKDVHGKARAVPLPTKLNN VPKFKSVAAGSHHSVAVAQNGIAYSWGFGETYAVGLGPFEDDTEVPTRIKNTATQDHN IILVGCGGQFSVSGGVKLSDEDAEKRADEMDD YGL096W MGTSIVNLNQKIELPPIQVLFESLNRENETKPHFEERRLYQPNP SFVPRTNIAVGSPVNPVPVSSPVFFIGPSPQRSIQNHNAIMTQNIRQYPVIYNNNREV ISTGERNYIITVGGPPVTSSQPEYEHISTPNFYQEQRLAQPHPVNESMMIGGYTNPQP ISISRGKMLSGNISTNSVRGSNNGYSAKEKKHKAHGKRSNLPKATVSILNKWLHEHVN NPYPTVQEKRELLAKTGLTKLQISNWFINARRRKIFSGQNDANNFRRKFSSSTNLAKF YGL095C MNLFDVADFYINKIVTSQSKLSVANVNEHQRIKVLLLDKNTTPT ISLCATQSELLKHEIYLVERIENEQREVSRHLRCLVYVKPTEETLQHLLRELRNPRYG EYQIFFSNIVSKSQLERLAESDDLEAVTKVEEIFQDFFILNQDLFSFDLQPREFLSNK LVWSEGGLTKCTNSLVSVLLSLKIKPDIRYEGASKICERLAKEVSYEIGKNERTFFDF PVMDSTPVLLILDRNTDPITPLLQPWTYQSMINEYIGIKRNIVDLSKVPRIDKDLEKV TLSSKQDAFFRDTMYLNFGELGDKVKQYVTTYKDKTQTNSQINSIEDIKNFIEKYPEF RKLSGNVAKHMAIVGELDRQLKIKNIWEISEIEQNLSAHDANEEDFSDLIKLLQNEAV DKYYKLKLACIYSLNNQTSSDKIRQLVEILSQQLPPEDVNFFHKFKSLFSRQDKMTQS NHDKDDILTELARRFNSRMNSKSNTAENVYMQHIPEISSLLTDLSKNALFRDRFKEID TQGHRVIGNQQSKDIPQDVILFVIGGVTYEEARLVHDFNGTMNNRMRVVLGGTSILST KEYMDSIRSAK YGL094C MNNWQHFFNNPVDLSEHLKKPYFRFDNRDKEITAISFDEKANLI WSGDSYGCISSYDPTFQLYTRYRGHIGGNSVKDILSHRDGILSISEDSLHFANRRGVT KLNLTSIDIAAFSELNTMCYSPHSLKNNIYCGGDNTNWGIASIDLNRGCLDSLLNYSS KVKLMCSNNKVLSIGRQTGTVDLLDPTSNRTIKSFNAHSASISAMDLRDNTLVTVGKS KRFYNLYADPFVNVYDLRTMRQLPPVSFSKGTTMGSGGADFVQLHPLLPTVMIVASSS GSFDFIDLSNPTLRTQYVHPCQSIKKLCLSPNGDVLGILEADNHLDTWRRSSNNMGMF TNTPEMLAYPDYFNDITSDGPISVDDETYPLSSVGMPYYLDKLLSAWPPVVFKSEGTI PQLTGKSPLPSSGKLKSNLAVISSQNEKLSTQEFPLLRYDRTKYGMRNAIPDYVCLRD IRKQITSGLETSDIQTYTSINKYEVPPAYSRLPLTSGRFGTDNFDFTPFNNTEYSGLD PDVDNHYTNAIIQLYRFIPEMFNFVVGCLKDENFETTLLTDLGYLFDMMERSHGKICS SSNFQASLKSLTDKRQLENGEPQEHLEEYLESLCIRESIEDFNSSESIKRNMPQKFNR FLLSQLIKEEAQTVNHNITLNQCFGLETEIRTECSCDHYDTTVKLLPSLSISGINKTV IKQLNKKSNGQNILPYIEYAMKNVTQKNSICPTCGKTETITQECTVKNLPSVLSLELS LLDTEFSNIRSSKNWLTSEFYGSIIKNKAVLRSTASELKGTSHIFKYELNGYVAKITD NNNETRLVTYVKKYNPKENCFKWLMFNDYLVVEITEEEALKMTYPWKTPEIIIYCDAE ELRKPFFSVDTYSINYDILFRDYFANGIRDTARREYKLLTHDEAPKSGTLVAIDAEFV SLQSELCEIDHQGIRSIIRPKRTALARISIIRGEEGELYGVPFVDDYVVNTNHIEDYL TRYSGILPGDLDPEKSTKRLVRRNVVYRKVWLLMQLGCVFVGHGLNNDFKHININVPR NQIRDTAIYFLQGKRYLSLRYLAYVLLGMNIQEGNHDSIEDAHTALILYKKYLHLKEK AIFEKVLNSVYEEGRAHNFKVPETSKG YGL093W MNVDERSRIGGREKDAGPGKGILKQNQSSQMTSSFLENPGVRIP TRIITKKEVLDGSNTTSRINTSNLQSMVKRRVSFAPDVTLHSFTFVPEQNNEIKEPRR RKTSTNSPTKISSQEEPLVTSTQIDDARTEEKTAAEEDPDTSGMELTEPIVATPDSNK ASQHDPTSMEMTEVFPRSIRQKNPDVEGESIESSQQIDDVEAVREETMELTAIHNVHD YDSISKDTVEGEPIDLTEYESKPYVPNSVSRSTGKSSDYSVERSNDKSDLSKSENKTN SSQPMEITDIFHADPQNPMSLHSDNNINNDGNEMELTQIQTNFDRDNHHIDESPSEKH AFSSNKRRKLDTVSDYAASVTTPVKEAKDTSGEDNDGDLEMMEKMSPITFSDVDNKIG TRSNDVFTIEPGTEDTGMQTATDDEEDGENVDDNGNKIVEKTRLPEIDKEGQSGIALP TQDYTLREFINEVGVGFLDTKLIDDLDKKVNFPLNSFNFVENQRIDNVFSAFYIDIPI LEVEAFRCKELWRSINESKDKFKDFEAQIDKSHPPLLLQEYFSSDEKMKQLMRDQLQL VKGYSKLEAAMEWYEWRKKQLNGLELILAENLNTLKREYEKLNEEVEKVNSIRGKIRK LNEAIKEEIRSLKNLPSDSYKPTLMNRIKIEAFKQELMEHSISLSSSNDFTQEMRSLK LAIAKKSNDILTLRSEVASIDKKIEKRKLFTRFDLPKLRDTLKILESLTGVRFLKFSK ATLSIAFLQLDDLRVDINLANFKNNPLSSMKVMNDSNNDDMSYHLFTMLLKNVEAEHQ DSMLSNLFFAMKKWRPLLKYIKLLKLLFPVKITQTEEEEALLQFKDYDRRNKTAFFYV ISLVSFAQGVFSENGQIPMKVHISTQQDYSPSREVLSDRITHKISGVLPSFTKSRIHL EFT YGL092W MFNKSVNSGFTFGNQNTSTPTSTPAQPSSSLQFPQKSTGLFGNV NVNANTSTPSPSGGLFNANSNANSISQQPANNSLFGNKPAQPSGGLFGATNNTTSKSA GSLFGNNNATANSTGSTGLFSGSNNIASSTQNGGLFGNSNNNNITSTTQNGGLFGKPT TTPAGAGGLFGNSSSTNSTTGLFGSNNTQSSTGIFGQKPGASTTGGLFGNNGASFPRS GETTGTMSTNPYGINISNVPMAVADMPRSITSSLSDVNGKSDAEPKPIENRRTYSFSS SVSGNAPLPLASQSSLVSRLSTRLKATQKSTSPNEIFSPSYSKPWLNGAGSAPLVDDF FSSKMTSLAPNENSIFPQNGFNFLSSQRADLTELRKLKIDSNRSAAKKLKLLSGTPAI TKKHMQDEQDSSENEPIANADSVTNIDRKENRDNNLDNTYLNGKEQSNNLNKQDGENT LQHEKSSSFGYWCSPSPEQLERLSLKQLAAVSNFVIGRRGYGCITFQHDVDLTAFTKS FREELFGKIVIFRSSKTVEVYPDEATKPMIGHGLNVPAIITLENVYPVDKKTKKPMKD TTKFAEFQVFDRKLRSMREMNYISYNPFGGTWTFKVNHFSIWGLVNEEDAEIDEDDLS KQEDGGEQPLRKVRTLAQSKPSDKEVILKTDGTFGTLSGKDDSIVEEKAYEPDLSDAD FEGIEASPKLDVSKDWVEQLILAGSSLRSVFATSKEFDGPCQNEIDLLFSECNDEIDN AKLIMKERRFTASYTFAKFSTGSMLLTKDIVGKSGVSIKRLPTELQRKFLFDDVYLDK EIEKVTIEARKSNPYPQISESSLLFKDALDYMEKTSSDYNLWKLSSILFDPVSYPYKT DNDQVKMALLKKERHCRLTSWIVSQIGPEIEEKIRNSSNEIEQIFLYLLLNDVVRASK LAIESKNGHLSVLISYLGSNDPRIRDLAELQLQKWSTGGCSIDKNISKIYKLLSGSPF EGLFSLKELESEFSWLCLLNLTLCYGQIDEYSLESLVQSHLDKFSLPYDDPIGVIFQL YAANENTEKLYKEVRQRTNALDVQFCWYLIQTLRFNGTRVFSKETSDEATFAFAAQLE FAQLHGHSLFVSCFLNDDKAAEDTIKRLVMREITLLRASTNDHILNRLKIPSQLIFNA QALKDRYEGNYLSEVQNLLLGSSYDLAEMAIVTSLGPRLLLSNNPVQNNELKTLREIL NEFPDSERDKWSVSINVFEVYLKLVLDNVETQETIDSLISGMKIFYDQYKHCREVAAC CNVMSQEIVSKILEKNNPSIGDSKAKLLELPLGQPEKAYLRGEFAQDLMKCTYKI YGL091C MTEILPHVNDEVLPAEYELNQPEPEHCPGPESDMAGKSDACGGC ANKEICESLPKGPDPDIPLITDNLSGIEHKILVLSGKGGVGKSTFAAMLSWALSADED LQVGAMDLDICGPSLPHMLGCIKETVHESNSGWTPVYVTDNLATMSIQYMLPEDDSAI IWRGSKKNLLIKKFLKDVDWDKLDYLVIDTPPGTSDEHISINKYMRESGIDGALVVTT PQEVALLDVRKEIDFCKKAGINILGLVENMSGFVCPNCKGESQIFKATTGGGEALCKE LGIKFLGSVPLDPRIGKSCDMGESFLDNYPDSPASSAVLNVVEALRDAVGDV YGL090W MSQLTEFISCIPVVNEEQNEEDERGLCKIQIEDGAMLETLDENS LSGLRIEKMLVSEGTGIFSKSSFGINDLRIFTGENIDEESKKYVWYELLKMLTGHKVY IASLDEKVVFTKWTCRMQDDEVWKVVMELESSAIIRKIAELTLHPVKKGEIDLFEMAD KLYKDICCVNDSYRNIKESDSSNRNRVEQLARERELLDKLLETRDERTRAMMVTLLNE KKKKIRELHEILRQNNIKLSDDDVLDSALINTEVQKPISELNSPGKRMKRRKTVVEPQ NLQKKLKDTSRRRANRKISNQSVIKMEDDDFDDFQFFGLSKRPIITAKDKLSEKYDDI TSFGDDTQSISFESDSSSDVQKHLVSLEDNGIQISAGRSDEDYGDISGSESETDASAG EKKSSNHSEQSGNDREPCLQTESETDIET YGL089C MKFISTFLTFILAAVSVTASSDEDIAQVPAEAIIGYLDFGGDHD IAFLPFSNATASGLLFINTTIAEAAEKEQNTTLAKREAVADAWHWLNLRPGQPMYKRE ANADAWHWLQLKPGQPMY YGL088W MHEVTRTYYFFLFFFLSYKRQINAAFIALFDFPLLFIYFPFLIL VLFYNSNANLTAIRNTYSISSRLNPSGAFLTHEECGLVLQYIYYWLGLENKFIDLGCN SLSVVCFLADLRVYLRVPG YGL087C MSKVPRNFRLLEELEKGEKGFGPESCSYGLADSDDITMTKWNGT ILGPPHSNHENRIYSLSIDCGPNYPDSPPKVTFISKINLPCVNPTTGEVQTDFHTLRD WKRAYTMETLLLDLRKEMATPANKKLRQPKEGETF YGL086W MDVRAALQCFFSALSGRFTGKKLGLEIYSIQYKMSNSGGSSPFL ESPGGSPDVGSTNGQSNRQIQALQFKLNTLQNEYEIEKLQLQKQTNILEKKYKATIDE LEKALNDTKYLYESNDKLEQELKSLKERSANSMNDKDKCIEELRTTLQNKDLEMETLR QQYDSKLSKVTNQCDHFKLEAESSHSLLMKYEKEIKRQSVDIKDLQHQVMEKDDELSS VKASKMINSHPNYSTEEFNELTEMNKMIQDQVQYTKELELANMQQANELKKLKQSQDT STFWKLENEKLQNKLSQLHVLESQYENLQLENIDLKSKLTKWEIYNDSDDDDDNNVNN NDNNNNNKNDNNNDNNNDTSNNNNINNNNRTKNNIRNNPEEIIRDWKLTKKECLILTD MNDKLRLDNNNLKLLNDEMALERNQILDLNKNYENNIVNLKRLNHELEQQKSLSFEEC RLLREQLDGLYSAQNNALLEVENSETHASNKNVNEDMNNLIDTYKNKTEDLTNELKKL NDQLLSNSNDVETQRKKRKLTSDQIGLNYSQRLNELQLENVSVSRELSKAQTTIQLLQ EKLEKLTKLKEKKIRILQLRDGPFIKDQFIKKNKLLLLEKENADLLNELKKNNPAVET VPISVYDSLNFELKQFEQEVFKSNKRFSRLKQVFNNKSLEFIDVVNSLLGFKLEFQQD SRVKIFSCFKPEKYLIADLNENTLKSNLDADIEGWDDLMNLWVEDRGQLPCFLATITL RLWEQRQAK YGL085W MREGDSNSKKSADVAVLSIILTGSTLTLIYTYKRYLTQFKRTND IPRRIFRKHWLYGKVTSVGDGDNFHFFHMPGGIRGGWGWLRPVPQMIKNDSTAEKLVG DSRNMRFFNFNWITHGRSTKSKIQKAKSQFLKLNVPYKNRKNLPTIPIRLCGIDAPER AHFGNPAQPFGNEALIWLQNRILGKKVWVKPLSIDQYNRCVARVSYWDWFGGWKDLSL EMLKDGLAVVYEGKVNTEFDDREDKYRYYEFLARSRKKGLWIQNKFETPGEYKKRI YGL084C MSLISILSPLITSEGLDSRIKPSPKKDASTTTKPSLWKTTEFKF YYIAFLVVVPLMFYAGLQASSPENPNYARYERLLSQGWLFGRKVDNSDSQYRFFRDNF ALLSVLMLVHTSIKRIVLYSTNITKLRFDLIFGLIFLVAAHGVNSIRILAHMLILYAI AHVLKNFRRIATISIWIYGISTLFINDNFRAYPFGNICSFLSPLDHWYRGIIPRWDVF FNFTLLRVLSYNLDFLERWENLQKKKSPSYESKEAKSAILLNERARLTAAHPIQDYSL MNYIAYVTYTPLFIAGPIITFNDYVYQSKHTLPSINFKFIFYYAVRFVIALLSMEFIL HFLHVVAISKTKAWENDTPFQISMIGLFNLNIIWLKLLIPWRLFRLWALLDGIDTPEN MIRCVDNNYSSLAFWRAWHRSYNKWVVRYIYIPLGGSKNRVLTSLAVFSFVAIWHDIE LKLLLWGWLIVLFLLPEIFATQIFSHYTDAVWYRHVCAVGAVFNIWVMMIANLFGFCL GSDGTKKLLSDMFCTVSGFKFVILASVSLFIAVQIMFEIREEEKRHGIYLKC YGL083W MMFWSSKTGITSKYSFSSSPTFTAEPWSIYTGRPKSSSSSSPSK VSIFMFDKKQFENYLLHYGIIKSKSGSRDKVLIQEAYEILRNQANNLAKLKHPNILTL IEPLEEHSKNFMFVTEFVTSSLETVFRETDDEEQNFLQGHVKDNIVVQRGILQLVNAL DFVHNRASFVHLNIQPRAIFINENSDWKISGLGYLVKIPPGTNTSEYFLPQYDPRVPP FMHLQLNYTAPEIVFENTLTFKNDYFSLGLLIYFLYTGKDLFRSENSTSEYKLEYNKF ESKISTMSWDNIFSKVPQKLRHCIPKLINRDIYSRYDNITLILDSEFFQDPLVKTLNF LDDLPTKNNEEKYVFLEGLVNLLPEFPPALLQKKFLPILLELLSQFCAEKVVSDKCVG KSLDLIIKIGSTLSQLSFQEKVYPVLLSDANFPVLLKKATICLIDNLDTLKQKVKRSD FLENILKPLFNYVLHDSESDITVVCQEKLLSQIPLALEVLDFPTVKQFLLPLLSNLFT KTTSLTVKNTCVTCFQIMIEHKSIDSYTCSETVLPLFKSMKTRDPRILSKLLKLFETV PLIITDEIVLVDQVLPLMWNYSMASTLTKSQYSGYTKAINKMSSDIQKHHIAKLDDKV NDIGEDAFHKVIEPTIMKKEDPETVAAKNIEVAAMQPVKKKTGSSYGETLPQSKSILN SKPLNPKNALATRGFPTRILNSPPQTPSSRTGSKVMTKGGSNDASSTKVEEEFNEFQS FSSTGSIRQTSAPSDVWMNSTPSPTPTSASSTNLPPGFSISLQPNKRKDGSSDIPRSN VYGSLI YGL082W MDVTFLTKNVQINGTQFKILLQNGQGECALIALANVLLISPAHA RYAQEISRLVRGKETVTLNELVQTLADMGVQNPNGTDVDKQQLLQILPQLYSGLNINP EFNGSFEDGVEMSIFRLYNVGIVHGWIIDGDNDPNSYEHVSKYSYMGAQKVLVQSYEI QKNNAQFENSEQIQSDAPYLKSFLARSATQLTEYGLTHLREILVERSYAVLFRNDHFC TLYKNNGELFTLVTDPTYRNRKDINWQSLKSVNGSQDSYYTGNFIPTSLERTETTATG QNESYISNPFSDQNTGHVTSNQVNSGASGVQQIEDDEELARRLQEQEDMRAANNMQNG YANNGRNHQRERFERPEKNSKKNKFLPFNGSNKEKKRDKLKKNCVIM YGL081W MGDIRTFVFAIEDTETTQGLCKTIGRSSSFDQNSLCKPYNLYFD EPELSRQHAVLCIKTPIPKIEGVPSIEQLRICIRDLNNKTGTVNLVSDGPNDEIDLKN GDAFGLIAIDNHPFRDNHHLAAKLIFRIELEYFDEAREIVKCTITNVTFGKNNTVSSF PIHSATSTEDSDSSWYGLSEASTQTEVADECHETNTILTRGGRFSILSLRKRGSKQDQ KICSNFDRKIHETSSFEEEIEVCTDTDTTEEKEEEEEKEEGDDEEGEIELEIIRVKRI KGRTKIKKTLTCFSKNKKIITPQHSNSMWLLLIVILIFDRLLSN YGL080W MSQPVQRAAARSFLQKYINKETLKYIFTTHFWGPVSNFGIPIAA IYDLKKDPTLISGPMTFALVTYSGVFMKYALSVSPKNYLLFGCHLINETAQLAQGYRF LKYTYFTTDEEKKALDKEWKEKEKTGKQ YGL079W MVTGISEENDDEETFSAVHSSTPSINSQSYAIPITEEMSSSFHD SISTTSNSSGSFDSDGSNVSDVVEQNEMDNESNVDEDLFLDNDIPQSSNLLPTDAQDP GPIFDVSRYIFDSLKQSIDSADFSEALSLQTKTSAVINSKSLELKQYIDEMKSRLTQL QEKFENGEATSKKIKRDLETSRKNIDYLNAALRVDFPIEFNQAREKILERRLNEDHDC YGL078C MTKEEIADKKRKVVDEEVIEKKKSKKHKKDKKDKKEKKDKKHKK HKKEKKGEKEVEVPEKESEKKPEPTSAVASEFYVQSEALTSLPQSDIDEYFKENEIAV EDSLDLALRPLLSFDYLSLDSSIQAEISKFPKPTPIQAVAWPYLLSGKDVVGVAETGS GKTFAFGVPAISHLMNDQKKRGIQVLVISPTRELASQIYDNLIVLTDKVGMQCCCVYG GVPKDEQRIQLKKSQVVVATPGRLLDLLQEGSVDLSQVNYLVLDEADRMLEKGFEEDI KNIIRETDASKRQTLMFTATWPKEVRELASTFMNNPIKVSIGNTDQLTANKRITQIVE VVDPRGKERKLLELLKKYHSGPKKNEKVLIFALYKKEAARVERNLKYNGYNVAAIHGD LSQQQRTQALNEFKSGKSNLLLATDVAARGLDIPNVKTVINLTFPLTVEDYVHRIGRT GRAGQTGTAHTLFTEQEKHLAGGLVNVLNGANQPVPEDLIKFGTHTKKKEHSAYGSFF KDVDLTKKPKKITFD YGL077C MSIRNDNASGGYMQPDQSSNASMHKRDLRVEEEIKPLDDMDSKG AVAADGEVHLRKSFSLWSILGVGFGLTNSWFGISTSMVAGISSGGPMMIVYGIIIVAL ISICIGTSLGELSSAYPHAGGQFWWSLKLAPPKYKRFAAYMCGSFAYAGSVFTSASTT LSVATEVVGMYALTHPEFIPKRWHIFVCFELLHLFLMFFNCYGKSLPIISSSSLYISL LSFFTITITVLACSHGKFNDAKFVFATFNNETGWKNGGIAFIVGLINPAWSFSCLDCA THMAFEVEKPERVIPIAIMGTVAIGFVTSFCYVIAMFFSIQDLDAVLSSTTGAPILDI YNQALGNKSGAIFLGCLILFTSFGCVIACHTWQARLCWSFARDNGLPLSRLWSQVNPH TGVPLNAHLMSCAWITLIGLLYLASSTAFQSLITGCIAFLLLSYIIPVICLLAKKRNI AHGPFWLGKFGFFSNIVLLGWTVFSVVFFSFPPVLPVTKDNMNYVCVVIVGYTAYSIL YWKYKGKKEFHALEESENEQAEYSNNFDTIEDSREFSVAASDVELENEHVPWGKK YGL076C MAAEKILTPESQLKKSKAQQKTAEQVAAERAARKAANKEKRAII LERNAAYQKEYETAERNIIQAKRDAKAAGSYYVEAQHKLVFVVRIKGINKIPPKPRKV LQLLRLTRINSGTFVKVTKATLELLKLIEPYVAYGYPSYSTIRQLVYKRGFGKINKQR VPLSDNAIIEANLGKYGILSIDDLIHEIITVGPHFKQANNFLWPFKLSNPSGGWGVPR KFKHFIQGGSFGNREEFINKLVKSMN YGL075C MSNGAFDAIFEYAWGQIDKPISGDFIYGKDLPKLIEIIENIFQK AQKSGSYELRLPLFSEINKDLFRTFSNTKTFFKIHKEEFDDIFFNLVNHPLREILENA FIGVDSIPSDFIVSMNLNSPSKFLVENKNKNTEGAGISTPRKKLTESPIKLLSRNNIG KALEVQVEELKRELTAKQSLLQENERQVSELKIRLETYQEKYASIQQRFSDLQKARQV EDNQNSSRTSDPGSPLVTGIDQKAILEEFRRRLQRQTDTISFLKDQIRRERGLNCSND KVSHSKRKHATTDGDGTFKNFISAVPSNIWVKATIRIIVCFALLAGVLPYIRKYVYAH DTPSQNSRLQLSWWENSGILSKIVWFFEDQTDLETEYRSNANVDDAYSRVFGI YGL073W MNNAANTGTTNESNVSDAPRIEPLPSLNDDDIEKILQPNDIFTT DRTDASTTSSTAIEDIINPSLDPQSAASPVPSSSFFHDSRKPSTSTHLVRRGTPLGIY QTNLYGHNSRENTNPNSTLLSSKLLAHPPVPYGQNPDLLQHAVYRAQPSSGTTNAQPR QTTRRYQSHKSRPAFVNKLWSMLNDDSNTKLIQWAEDGKSFIVTNREEFVHQILPKYF KHSNFASFVRQLNMYGWHKVQDVKSGSIQSSSDDKWQFENENFIRGREDLLEKIIRQK GSSNNHNSPSGNGNPANGSNIPLDNAAGSNNSNNNISSSNSFFNNGHLLQGKTLRLMN EANLGDKNDVTAILGELEQIKYNQIAISKDLLRINKDNELLWQENMMARERHRTQQQA LEKMFRFLTSIVPHLDPKMIMDGLGDPKVNNEKLNSANNIGLNRDNTGTIDELKSNDS FINDDRNSFTNATTNARNNMSPNNDDNSIDTASTNTTNRKKNIDENIKNNNDIINDII FNTNLANNLSNYNSNNNAGSPIRPYKQRYLLKNRANSSTSSENPSLTPFDIESNNDRK ISEIPFDDEEEEETDFRPFTSRDPNNQTSENTFDPNRFTMLSDDDLKKDSHTNDNKHN ESDLFWDNVHRNIDEQDARLQNLENMVHILSPGYPNKSFNNKTSSTNTNSNMESAVNV NSPGFNLQDYLTGESNSPNSVHSVPSNGSGSTPLPMPNDNDTEHASTSVNQGENGSGL TPFLTVDDHTLNDNNTSEGSTRVSPDIKFSATENTKVSDNLPSFNDHSYSTQADTAPE NAKKRFVEEIPEPAIVEIQDPTEYNDHRLPKRAKK YGL071W MEGFNPADIEHASPINSSDSHSSSFVYALPKSASEYVVNHNEGR ASASGNPAAVPSPIMTLNLKSTHSLNIDQHVHTSTSPTETIGHIHHVEKLNQNNLIHL DPVPNFEDKSDIKPWLQKIFYPQGIELVIERSDAFKVVFKCKAAKRGRNARRKRKDKP KGQDHEDEKSKINDDELEYASPSNATVTNGPQTSPDQTSSIKPKKKRCVSRFNNCPFR VRATYSLKRKRWSIVVMDNNHSHQLKFNPDSEEYKKFKEKLRKDNDVDAIKKFDELEY RTLANLPIPTATIPCDCGLTNEIQSFNVVLPTNSNVTSSASSSTVSSISLDSSNASKR PCLPSVNNTGSINTNNVRKPKSQCKNKDTLLKRTTMQNFLTTKSRLRKTGTPTSSQHS STAFSGYIDDPFNLNEILPLPASDFKLNTVTNLNEIDFTNIFTKSPHPHSGSTHPRQV FDQLDDCSSILFSPLTTNTNNEFEGESDDFVHSPYLNSEADFSQILSSAPPVHHDPNE THQENQDIIDRFANSSQEHNEYILQYLTHSDAANHNNIGVPNNNSHSLNTQHNVSDLG NSLLRQEALVGSSSTKIFDELKFVQNGPHGSQHPIDFQHVDHRHLSSNEPQVRSHQYG PQQQPPQQLQYHQNQPHDGHNHEQHQTVQKDMQTHESLEIMGNTLLEEFKDIKMVNGE LKYVKPED YGL070C MTTFRFCRDCNNMLYPREDKENNRLLFECRTCSYVEEAGSPLVY RHELITNIGETAGVVQDIGSDPTLPRSDRECPKCHSRENVFFQSQQRRKDTSMVLFFV CLSCSHIFTSDQKNKRTQFS YGL068W MSLRILAKRSSSIWMKTRVTPALISPITITTRFNSTTTTAPSHK DDVRPVDPKISKIVQDISQLTLLETSSLINELKTVLNIPEISMPMGGFMAGAAGAGAG NVPSSTGEAGSGAEEEAKPEAKTVFTVKLDSFDTKTKAKVIKEVKGLLGLSLVEAKKF VEAAPKVLKENVAKDDAEKIKKTLEDLGAKVSLE YGL067W MSTAVTFFGQHVLNRVSFLRCSKEFIKKSLNHDSTVFIPFIEGE ALISPENGDLVQLSNSVKSYKNILSAIVPLYTTLLNTTRSRSDESGINVTFLGLLEGT DSAFNFEWSNISYKGTPYFGLDIRVTESTLFKKVDFEPIFSYPKVTRDHIFKQTNEDA SLYSQGKMYLDWLAKYKFCPGCGSPLFPVEAGTKLQCSNENRNVYCNVRDARINNVCF PRTDPTVIIALTNSDYSKCCLARSKKRYGDFVLYSTIAGFMEPSETIEEACIREIWEE TGISCKNIDIVRSQPWPYPCSLMIGCLGIVQFNSKNEVINLNHDDELLDAQWFDTTEI IQALDKYAGGYRVPFKNDINLPGSTTIAFQLINHVCENYKNLRKTSSSHL YGL066W MRSGDAEIKGIKPKVIEEYSLSQGSGPSNDSWKSLMSSAKDTPL QYDHMNRESLKKYFNPNAQLIEDPLDKPIQYRVCEKCGKPLALTAIVDHLENHCAGAS GKSSTDPRDESTRETIRNGVESTGRNNNDDDNSNDNNNDDDDDDDNDDNEDDDDADDD DDNSNGANYKKNDSSFNPLKRSTSMESANTPNMDTKRSKTGTPQTFSSSIKKQKKVKQ RNPTEKHLIDFNKQCGVELPEGGYCARSLTCKSHSMGAKRAVSGRSKPYDVLLADYHR EHQTKIGAAAEKRAKQQELQKLQKQIQKEQKKHTQQQKQGQRSKQRNVNGGKSAKNGG KSTVHNGNNINEIGHVNLTPEEETTQVLNGVSRSFPLPLESTVLSSVRYRTKYFRMRE MFASSFSVKPGYTSPGYGAIHSRVGCLDLDRTTDYKFRVRTPQPINHLTNQNLNPKQI QRLQQQRALQAQLLSQQQQQQQQQQQHHSPQAQAQASTQQPTQGMVPNHFPGGATNSS FNANVSSKQIQQQQQQQQHKSQDTGLTPLEIQSQQQKLRQQQLQQQKFEAAASYLANA TKLMQESNQDSHLSGTHNNNSSKNGNNNLMTMKASISSPNTSVNSIQSPPSVNSVNGS GQGVSTGINVSGNNGRIEVGIGNSVNPYNGRIN YGL065C MIEKDKRTIAFIHPDLGIGGAERLVVDAALGLQQQGHSVIIYTS HCDKSHCFEEVKNGQLKVEVYGDFLPTNFLGRFFIVFATIRQLYLVIQLILQKKVNAY QLIIIDQLSTCIPLLHIFSSATLMFYCHFPDQLLAQRAGLLKKIYRLPFDLIEQFSVS AADTVVVNSNFTKNTFHQTFKYLSNDPDVIYPCVDLSTIEIEDIDKKFFKTVFNEGDR FYLSINRFEKKKDVALAIKAFALSEDQINDNVKLVICGGYDERVAENVEYLKELQSLA DEYELSHTTIYYQEIKRVSDLESFKTNNSKIIFLTSISSSLKELLLERTEMLLYTPAY EHFGIVPLEAMKLGKPVLAVNNGGPLETIKSYVAGENESSATGWLKPAVPIQWATAID ESRKILQNGSVNFERNGPLRVKKYFSREAMTQSFEENVEKVIWKEKKYYPWEIFGISF SNFILHMAFIKILPNNPWPFLFMATFMVLYFKNYLWGIYWAFVFALSYPYEEI YGL064C MSLFFKPVISPQWSFPVLLKIGVRSYAGGPRTKHKGNSPLASVP TGSSNKNRKQKAKGKKGNKKNDPDQAFNFGEYGGLKKDVEMNMDSTNKLIQKISNFDQ LLILPPVRDAVKEIISKESLKLQDSRKKTSENIIPSPIQTVAIKRISKNLMDPKLQIH AIAAETGSGKTMAYLIPLIDYLKRQELETPELWETLRKNVLIRSIILVPTHELVDQVY ETVSKTKTLLGLNSFKWDKATSYRDLLENIKNRIDILVTTPGKLLNLFSIRMITRPDK VLSKVGFVVLDEADTLLDRSWLEETHSAIKRIPNINHLIFCSATIPQEFNKTMQRLFP TVVPIMTPRLHKLPFALDFKVINSALSPFKGSKIKALAQTLYAISNDDTEPGFEKRCI IFVNEKKNVPEIVNLLNKKFGHNAIGLTGEDTFEERSEKIMPFLSPPRPLSEVVAQST SPPTSLKKFEIPDSNIVIGKLKNTNSNGTAPSNKSLHVLVTTDLMARGLNFKGVRNVV LYDVPKTSIDLIHRVGRTARMKQGGRVFMLTDSKTKSWAKALPKIIKKHQRLS YGL063W MLLGYCGSGYYGMQYNPPHKTIEGEILTKLFDVGAISEENSLAP KKNSFMAAARTDKGVHAMLNLLSLKITLREDTVAKLNAALPPEIRVWGIQPVNKKFNA RSACDSRWYQYLIPEFILIGPPRSSLLHRNVGGCYREDGSQEVWDTFLEQTRGRFSGD ELCRLQDTAQKLSESDPLVQDYVGLLSGTLSGYCLSPSKLDAFEAAMQEYVGTHNFHN FTTGKLWGDPSAQRHIKKVVVSQASPGWICVRIHGQSFMLHQIRRMVALAVLAARCQL PPNIVRNYFNAGPRKYIPRAPAQGLLLEGPVFDGYNTKLRNLLYCEIRPDDITLERMC RFRERQICTAIAHEETQRHVFCHFVRQMNRLATPLI YGL062W MSQRKFAGLRDNFNLLGEKNKILVANRGEIPIRIFRTAHELSMQ TVAIYSHEDRLSTHKQKADEAYVIGEVGQYTPVGAYLAIDEIISIAQKHQVDFIHPGY GFLSENSEFADKVVKAGITWIGPPAEVIDSVGDKVSARNLAAKANVPTVPGTPGPIET VEEALDFVNEYGYPVIIKAAFGGGGRGMRVVREGDDVADAFQRATSEARTAFGNGTCF VERFLDKPKHIEVQLLADNHGNVVHLFERDCSVQRRHQKVVEVAPAKTLPREVRDAIL TDAVKLAKECGYRNAGTAEFLVDNQNRHYFIEINPRIQVEHTITEEITGIDIVAAQIQ IAAGASLPQLGLFQDKITTRGFAIQCRITTEDPAKNFQPDTGRIEVYRSAGGNGVRLD GGNAYAGTIISPHYDSMLVKCSCSGSTYEIVRRKMIRALIEFRIRGVKTNIPFLLTLL TNPVFIEGTYWTTFIDDTPQLFQMVSSQNRAQKLLHYLADVAVNGSSIKGQIGLPKLK SNPSVPHLHDAQGNVINVTKSAPPSGWRQVLLEKGPAEFARQVRQFNGTLLMDTTWRD AHQSLLATRVRTHDLATIAPTTAHALAGRFALECWGGATFDVAMRFLHEDPWERLRKL RSLVPNIPFQMLLRGANGVAYSSLPDNAIDHFVKQAKDNGVDIFRVFDALNDLEQLKV GVDAVKKAGGVVEATVCFSGDMLQPGKKYNLDYYLEIAEKIVQMGTHILGIKDMAGTM KPAAAKLLIGSLRAKYPDLPIHVHTHDSAGTAVASMTACALAGADVVDVAINSMSGLT SQPSINALLASLEGNIDTGINVEHVRELDAYWAEMRLLYSCFEADLKGPDPEVYQHEI PGGQLTNLLFQAQQLGLGEQWAETKRAYREANYLLGDIVKVTPTSKVVGDLAQFMVSN KLTSDDVRRLANSLDFPDSVMDFFEGLIGQPYGGFPEPFRSDVLRNKRRKLTCRPGLE LEPFDLEKIREDLQNRFGDVDECDVASYNMYPRVYEDFQKMRETYGDLSVLPTRSFLS PLETDEEIEVVIEQGKTLIIKLQAVGDLNKKTGEREVYFDLNGEMRKIRVADRSQKVE TVTKSKADMHDPLHIGAPMAGVIVEVKVHKGSLIKKGQPVAVLSAMKMEMIISSPSDG QVKEVFVSDGENVDSSDLLVLLEDQVPVETKA YGL061C MSEQSQLDDSTIDKLIPQIFNEMRSNLNNTTNKFPKSTGGGASD NISANSNSIRSFNSITTQSLLKESESLDKITAMIKNVTAALKNNLPVYVNQVHEVCKS TNSILDSWINIHSQAGYIHKLMSDQTYLKLINDRLHNENVNTNDEDGSTLHNVIALKK KEILDLRQKLENRKGEKDAAPAKPPNQGLNPRYGVQSGRRPVPSAGISNNGRVRKTHV PASKRPSGIPRVTNRWTKPTASSSRKMFR YGL060W MYNEQVNSGKSIKEKERYLDALLKILKDNPVTLKEIGWDLPKGL LQFFSRKNINVNIHLVFSPLVSSVMECFNELAINGNPKECLLTACELVSTLHIVLTET GDSDEENEDLNDSNRNDASNITDELSVITPEIGHYMAKNTVEFIPNLKIYVLFEFMSL LLKRVDTLYPSKFLAMVTSAIIKYVTTNVQAMDDPHFILRIVYNFCTNYSPAQPSASL TDGISTNDLEKIHDDESALQKKLLANLSVFVISNCLKNHPGNIDKIYFKTLMHKKTDE NEIDASVLQICHQYYEYVTSLDVHMKELLEKCLVESRSIYNSLLMNPAASTPEFKEEI NQLVYEVSYAYQIKKLADEKNLELDQYGVVILSAIHYSKNGTHLLPQIDIQSAIYLYL RCTTASLFSEIYENKFLESSVRYWLWVSTTETSTEKIKCALQELPGHITTAFLQMLLM KTCNESNNDTKLTEITLLRRLLYLMPESTSFTFIFETLLHCPYITAKIAVLDILRDMM IRSPEAANRDETVGLIEQQNPGNTANSVPIMPTLPPRPYITINEDRMASIHSIALICF SAAKQKKRTQGDLLLVLTYMKFFVSLRNKWDLGLLTLINKEISESFQGEGEPELAFIN ISNNTLGEYIEEMNIRS YGL059W MSKYQINCIRYRHFLRTSNISQIPDFTKYCIGPVNEELAPYIME TMKAYPSNSEYINPQHYYHNRTVLVENYLKRSPNPVSLTQLAQYYDDSTKLTRTKIIN SGKFVKEELVIRIAHKLNQLQQLPFNVVNNFHFVQVYESYYNIFESFRKYPTIRTLED ASQFADFIKNMLEGFNTLNLPHLIMGALECTILDLYPREKMDQLLSDLLRARISRRLI VEEHVSITANYTSGKEENTLVLGDIFQECSAKKYLLEASEESQKFIQDMYFKDIPMPE FIIEGDTQLSFYFLPTHLKYLLGEILRNTYEATMKHYIRKGLEKPEPIIVTVVSNDES YLFRISDKAGGVLHDDENLWSFGKSKERAQESLNNFHKLPGLQTVSIYDEVHSHTKYN SKLKSLQSITLKPYMHTSLEPMSYPSIINGHIKYETPLIELLKRSFRYKLGIGLAMCK VYAEYWNGDLSLHSMPGYGTDVVLKLGNLMKHTKKLQLDKV YGL058W MSTPARRRLMRDFKRMKEDAPPGVSASPLPDNVMVWNAMIIGPA DTPYEDGTFRLLLEFDEEYPNKPPHVKFLSEMFHPNVYANGEICLDILQNRWTPTYDV ASILTSIQSLFNDPNPASPANVEAATLFKDHKSQYVKRVKETVEKSWEDDMDDMDDDD DDDDDDDDDEAD YGL057C MVLSNVKIFRLKSHRAFRIGPMIKAVAGNLLVKRFYQPKLERIP PASLLLKQKIRLAQNGSTTSTENPISFSQTMSEIFSVLQPSAPDLDEDETSGLKRDHL LTERLNNGELGVIMNKFFNPSSTHNNQLIDTNILLQNFPKLSGNDLDLLDFAINEKMR GNWNDLKQDFIQLWYYKSFGFLGPRTQFVLTNSSPSVRSQFLKLPFIEYNWFLLQNNK NANILPADVQNVVKVFHLDDKRFTWKSIDPFSKAIISFVVFVSIYVWLDESAKQKTKE LPAQKSTVISE YGL056C MPQNTRHTSIVEMLSTPPQLPNSTDLNSLSEQTDKNTEANKSDT ESLHKSISKSSSSSSLSTLDNTEYSNNNGNSLSTLNSQNLLSVHRQEWQHTPLSNLVE QNKLIFIRGSISVEEAFNTLVKHQLTSLPVENFPGDMNCLTFDYNDLNAYLLLVLNRI KVSNDKITSDCQNGKSVPVGEIVKLTPKNPFYKLPETENLSTVIGILGSGVHRVAITN VEMTQIKGILSQRRLIKYLWENARSFPNLKPLLDSSLEELNIGVLNAARDKPTFKQSR VISIQGDEHLIMALHKMYVERISSIAVVDPQGNLIGNISVTDVKHVTRTSQYPLLHNT CRHFVSVILNLRGLETGKDSFPIFHVYPTSSLARTFAKLVATKSHRLWIVQPNDNQPT ASSEKSSSPSPSTPPVTTLPSLASSYHSNTQSSRMANSPVLKSSDTSNNKINVNINLS GPSPSQPQSPSATMPPPQSPSNCPASPTPAHFEKEYRTGKLIGVVSLTDILSVLARKQ THHKEIDPQMARKQRGHIG YGL055W MPTSGTTIELIDDQFPKDDSASSGIVDEVDLTEANILATGLNKK APRIVNGFGSLMGSKEMVSVEFDKKGNEKKSNLDRLLEKDNQEKEEAKTKIHISEQPW TLNNWHQHLNWLNMVLVCGMPMIGWYFALSGKVPLHLNVFLFSVFYYAVGGVSITAGY HRLWSHRSYSAHWPLRLFYAIFGCASVEGSAKWWGHSHRIHHRYTDTLRDPYDARRGL WYSHMGWMLLKPNPKYKARADITDMTDDWTIRFQHRHYILLMLLTAFVIPTLICGYFF NDYMGGLIYAGFIRVFVIQQATFCINSLAHYIGTQPFDDRRTPRDNWITAIVTFGEGY HNFHHEFPTDYRNAIKWYQYDPTKVIIYLTSLVGLAYDLKKFSQNAIEEALIQQEQKK INKKKAKINWGPVLTDLPMWDKQTFLAKSKENKGLVIISGIVHDVSGYISEHPGGETL IKTALGKDATKAFSGGVYRHSNAAQNVLADMRVAVIKESKNSAIRMASKRGEIYETGK FF YGL054C MGAWLFILAVVVNCINLFGQVHFTILYADLEADYINPIELCSKV NKLITPEAALHGALSLLFLLNGYWFVFLLNLPVLAYNLNKIYNKVQLLDATEIFRTLG KHKRESFLKLGFHLLMFFFYLYRMIMALIAESGDDF YGL053W MQTPSENTNAKSDSLDEPGAYLIEENVALPKDIFHSYLSYWIYE AAHCTPVMLLSLVIGVLISIIILFHDNENCVGVSVGFLLIFSGILVIVLILRFGPQIS DEDFKCKLLMEIITRKPTVKGKEWRTITYKMNQYLFDNDLWNTPYYFYRDEDCHRYFL SLIKGRTFKKQKESSASNVKDAQSNDETAGTPNEAAESSSFSAGPNFIKLLTKAAEIE QQFQKEYWRQEYPGVDEFF YGL051W MQTPLESTDVKLDTLNEPSAHLIEKNVALPKDIFRSYLSYWIYE IARYTPVMILSLVIGVLVLLIIFFNDNEACVFNSAYYAYLSLVVLLIILGDGNPKLVS RRNFRTELLVDVITRKPAVEGKEWRIITYNMNQYLFNHGQWHTPYYFYSDEDCYRYFL RLVEGVTPKKQTATSIGNSPVTAKPEDAIESASPSSRLNYRNFLLKAAEIERQAQENY WRRRHPNIDALLKKTE YGL050W MAAQNAFEQKKRAILNEIDSTQPDLSPKGTIDELCLPIIDLINA SADMVTTSSCSGRVSVFLEGTKSYNGEVKIGGKGQGGKWLYVTHDREKVIGWLDELKS KSEFSFELSGKEIPTEKVTGSIRYILYKYEPFILHVKCRDFQAASKLYNTAMSCGFRE SGIGSNNLVAIRINIKLDVPLGYLDETSGTLKFFVTPEYVSVLDSLSLSKFDENTRKM QALYDRIEKELINCAPDVNSKVNITPIETKEERRERKKREGMERQRQLKSPQNVL YGL049C MTDQRGPPPPHPQQANGYKKFPPHDNQYSGANNSQPNNHYNENL YSAREPHNNKQYQSKNGKYGTNKYNNRNNSQGNAQYYNNRFNNGYRLNNNDYNPAMLP GMQWPANYYAPQMYYIPQQMVPVASPPYTHQPLNTNPEPPSTPKTTKIEITTKTGERL NLKKFHEEKKASKGEEKNDGVEQKSKSGTPFEKEATPVLPANEAVKDTLTETSNEKST SEAENTKRLFLEQVRLRKAAMERKKNGLISETEKKQETSNHDNTDTTKPNSVIESEPI KEAPKPTGEANEVVIDGKSGASVKTPQHVTGSVTKSVTFNEPENESSSQDVDELVKDD DTTEISDTTGGKTVNKSDDETINSVITTEENTVKETEPSTSDIEMPTVSQLLETLGKA QPISDIYEFAYPENVERPDIKYKKPSVKYTYGPTFLLQFKDKLKFRPDPAWVEAVSSK IVIPPHIARNKPKDSGRFGGDFRSPSMRGMDHTSSSRVSSKRRSKRMGDDRRSNRGYT SRKDREKAAEKAEEQAPKEEIAPLVPSANRWIPKSRVKKTEKKLAPDGKTELFDKEEV ERKMKSLLNKLTLEMFDSISSEILDIANQSKWEDDGETLKIVIEQIFHKACDEPHWSS MYAQLCGKVVKDLDPNIKDKENEGKNGPKLVLHYLVARCHEEFEKGWADKLPAGEDGN PLEPEMMSDEYYIAAAAKRRGLGLVRFIGYLYCLNLLTGKMMFECFRRLMKDLNNDPS EETLESVIELLNTVGEQFEHDKFVTPQATLEGSVLLDNLFMLLQHIIDGGTISNRIKF KLIDVKELREIKHWNSAKKDAGPKTIQQIHQEEEQLRQKKNSQRSNSRFNNHNQSNSN RYSSNRRNMQNTQRDSFASTKTGSFRNNQRNARKVEEVSQAPRANMFDALMNNDGDSD YGL048C MTAAVTSSNIVLETHESGIKPYFEQKIQETELKIRSKTENVRRL EAQRNALNDKVRFIKDELRLLQEPGSYVGEVIKIVSDKKVLVKVQPEGKYIVDVAKDI NVKDLKASQRVCLRSDSYMLHKVLENKADPLVSLMMVEKVPDSTYDMVGGLTKQIKEI KEVIELPVKHPELFESLGIAQPKGVILYGPPGTGKTLLARAVAHHTDCKFIRVSGAEL VQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSTRVEGSGGGDSEVQRTMLELL NQLDGFETSKNIKIIMATNRLDILDPALLRPGRIDRKIEFPPPSVAARAEILRIHSRK MNLTRGINLRKVAEKMNGCSGADVKGVCTEAGMYALRERRIHVTQEDFELAVGKVMNK NQETAISVAKLFK YGL047W MGIIEEKALFVTCGATVPFPKLVSCVLSDEFCQELIQYGFVRLI IQFGRNYSSEFEHLVQERGGQRESQKIPIDQFGCGDTARQYVLMNGKLKVIGFDFSTK MQSIIRDYSDLVISHAGTGSILDSLRLNKPLIVCVNDSLMDNHQQQIADKFVELGYVW SCAPTETGLIAGLRASQTEKLKPFPVSHNPSFERLLVETIYS YGL045W MSLLRLWNKESRAPSKIKSHGIVGSYGNSMLAHNNVKQFRIDID EPHRVWKPNESITGEAVIDIKRDITNVAIKLSLVCEVRVKTGNSPTSKNKRIEKTLEK STFLYGQDYVKTAFSAKEKKPHVDKTTILNGLSKGEHRFPFRIRIPRGRGMLSSIKFE RGSITYFLSCTLESLNNINGLKKPEARCEREFAVIVPLDVSRLPKPKTKTVVLQSASM VQNKKNKSTEDESSSYTQLTQKSTTSNSSSSSVNSKTSPLPNKTVTISVDIPQAGFMI GEIIPIDVKIDHYKPFYAPAGLTTTLVRICRVGGAGKDDPMETFRKDICQSISPIYIN PETLQFQSRVYLKVPLDAFSTLTTVGKFFSFQYYIEVMVNLSKKNVVYTESNRIIGTP IGEQNGLGVENNINRIQRKMLRMVNPETLENDSEGYESSIFFKDMVNVEKLKRLRNVT GMSIETVIGTTRSEQQQSDASIPSQSSITAPQNSPSNLRDWLAPLNAYDSDDVPVPKY SPNDKVSVPSEDKQELEQKRLQQLESDPPPCDDY YGL044C MNRQSGVNAGVQNNPPSRVVYLGSIPYDQTEEQILDLCSNVGPV INLKMMFDPQTGRSKGYAFIEFRDLESSASAVRNLNGYQLGSRFLKCGYSSNSDISGV SQQQQQQYNNINGNNNNNGNNNNNSNGPDFQNSGNANFLSQKFPELPSGIDVNINMTT PAMMISSELAKKPKEVQLKFLQKFQEWTRAHPEDAVSLLELCPQLSFVTAELLLTNGI CKVDDLIPLASRPQEEASATNNNSVNEVVDPAVLNKQKELLKQVLQLNDSQISILPDD ERMAIWDLKQKALRGEFGAF YGL043W MDSKEVLVHVKNLEKNKSNDAAVLEILHVLDKEFVPTEKLLRET KVGVEVNKFKKSTNVEISKLVKKMISSWKDAINKNKRSRQAQQHHQDHAPGNAEDKTT VGESVNGVQQPASSQSDAMKQDKYVSTKPRNSKNDGVDTAIYHHKLRDQVLKALYDVL AKESEHPPQSILHTAKAIESEMNKVNNCDTNEAAYKARYRIIYSNVISKNNPDLKHKI ANGDITPEFLATCDAKDLAPAPLKQKIEEIAKQNLYNAQGATIERSVTDRFTCGKCKE KKVSYYQLQTRSADEPLTTFCTCEACGNRWKFS YGL041C-B MFDSSIERVTLELCFHITLSIMCGCSIYFLLLVFILTFYSSVLL HLKLYFFSSDRAIFNA YGL041W-A MLRVIWKHSSRVTRSIELSNISTTNHTRSLRRLSWISPRRFYAQ SWDDRQPNDKIDAHIKVQKLMDQINSRPNVLEKLEKVSNIMIEKKLVNLDGTSANEEN TMKPWQMIKILMDRDLRHAMKEFKLELEKSGIQLGPEQLAPLMTVLGLEKKK YGL040C MHTAEFLETEPTEISSVLAGGYNHPLLRQWQSERQLTKNMLIFP LFISDNPDDFTEIDSLPNINRIGVNRLKDYLKPLVAKGLRSVILFGVPLIPGTKDPVG TAADDPAGPVIQGIKFIREYFPELYIICDVCLCEYTSHGHCGVLYDDGTINRERSVSR LAAVAVNYAKAGAHCVAPSDMIDGRIRDIKRGLINANLAHKTFVLSYAAKFSGNLYGP FRDAACSAPSNGDRKCYQLPPAGRGLARRALERDMSEGADGIIVKPSTFYLDIMRDAS EICKDLPICAYHVSGEYAMLHAAAEKGVVDLKTIAFESHQGFLRAGARLIITYLAPEF LDWLDEEN YGL039W MTTEKTVVFVSGATGFIALHVVDDLLKTGYKVIGSGRSQEKNDG LLKKFKSNPNLSMEIVEDIAAPNAFDKVFQKHGKEIKVVLHIASPVHFNTTDFEKDLL IPAVNGTKSILEAIKNYAADTVEKVVITSSVAALASPGDMKDTSFVVNEESWNKDTWE SCQANAVSAYCGSKKFAEKTAWDFLEENQSSIKFTLSTINPGFVFGPQLFADSLRNGI NSSSAIIANLVSYKLGDNFYNYSGPFIDVRDVSKAHLLAFEKPECAGQRLFLCEDMFC SQEALDILNEEFPQLKGKIATGEPGSGSTFLTKNCCKCDNRKTKNLLGFQFNKFRDCI VDTASQLLEVQSKS YGL038C MSRKLSHLIATRKSKTIVVTVLLIYSLLTFHLSNKRLLSQFYPS KDDFKQTLLPTTSHSQDINLKKQITVNKKKNQLHNLRDQLSFAFPYDSQAPIPQRVWQ TWKVGADDKNFPSSFRTYQKTWSGSYSPDYQYSLISDDSIIPFLENLYAPVPIVIQAF KLMPGNILKADFLRYLLLFARGGIYSDMDTMLLKPIDSWPSQNKSWLNNIIDLNKPIP YKNSKPSLLSSDEISHQPGLVIGIEADPDRDDWSEWYARRIQFCQWTIQAKPGHPILR ELILNITATTLASVQNPGVPVSEMIDPRFEEDYNVNYRHKRRHDETYKHSELKNNKNV DGSDIMNWTGPGIFSDIIFEYMNNVLRYNSDILLINPNLNKNDEEGSESATTPAKDVD NDTLSKSTRKFYKKISESLQSSNSMPWEFFSFLKEPVIVDDVMVLPITSFSPDVGQMG AQSSDDKMAFVKHMFSGSWKEDADKNAGHK YGL037C MKTLIVVDMQNDFISPLGSLTVPKGEELINPISDLMQDADRDWH RIVVTRDWHPSRHISFAKNHKDKEPYSTYTYHSPRPGDDSTQEGILWPVHCVKNTWGS QLVDQIMDQVVTKHIKIVDKGFLTDREYYSAFHDIWNFHKTDMNKYLEKHHTDEVYIV GVALEYCVKATAISAAELGYKTTVLLDYTRPISDDPEVINKVKEELKAHNINVVDK YGL036W MQKCAGHAPLVTAASRVSQDTVDALLQAILKAYHKLASIDSHIN DPVEIAFKLINSFKYLPISGSSVKDFESELRELDVFSPLLQSAVTAANNSNIIWDLIA VLFAYISIHKQLHPLILHNLNIWKDFMADNDEETATTTDGDSMNFGVLSLLSIVQNFE EITPNLFEFLKLGLRSTLLKIWVAQWQRYDPSATNLINGDEKISSWITKDYQVDFFII TSLASTSSLEVLPSHYFVYKISKRISHFPNLIDPKLYRSAISTIMENGISDNGGGENS SDKIDPTDLSFHLQVLMEVIDHPELNYLQENRLILLLDIALNYLILVPTHCLHSNFGE LGSTQSLASTLNIIQFLLSKFLINMGSISQLINQYNRKCITTNNINNNNINNNGVING STNTTSTTTTTITNNNNNSNNSSISNNNRKIDWTQSYQTRYQIPYWFEDSILPPIPPI SKSLFTFDKNLDHESDSIMIVNDVLRCLNLTILLISKLLRDYDDLKINPLIQSSDDHS NEDNHVIIEQYMQLYLVPLFTSLLLAQQLKDRGQERDEGHKEKEENINLIGSSSVKKL FSQLIFFSSLKLCENLVIKEKNLALYHLIKFATKVSLDDLILQKISINLLNHLFFHQI RDGSDDDNLIKKLCLKNQLSFQALKDYITLWNDGSEVYNAFYKELFYEEQPKIKPIKL TTSDLLKLFPEDVQFVISTPPNTITSASTSDNCTSSQSAAQKNIENFTTLSKYDVYSS TSFIPSTSKNTNTNVSKQQQQPQNSTPCSSNRFLFNKSSLISQESNGSNNNSGTQGPG SMNESYSLDNSFNTTNTNMTRQPTTLTRATDAMTTAPTTPIPYKNTSGSSNNNLWIES PMTNFKGSTISKSTNKSKMVNTGKNYILGGHNKVKNNSRAQSIHIDDFENENN YGL035C MQSPYPMTQVSNVDDGSLLKESKSKSKVAAKSEAPRPHACPICH RAFHRLEHQTRHMRIHTGEKPHACDFPGCVKRFSRSDELTRHRRIHTNSHPRGKRGRK KKVVGSPINSASSSATSIPDLNTANFSPPLPQQHLSPLIPIAIAPKENSSRSSTRKGR KTKFEIGESGGNDPYMVSSPKTMAKIPVSVKPPPSLALNNMNYQTSSASTALSSLSNS HSGSRLKLNALSSLQMMTPIASSAPRTVFIDGPEQKQLQQQQNSLSPRYSNTVILPRP RSLTDFQGLNNANPNNNGSLRAQTQSSVQLKRPSSVLSLNDLLVGQRNTNESDSDFTT GGEDEEDGLKDPSNSSIDNLEQDYLQEQSRKKSKTSTPTTMLSRSTSGTNLHTLGYVM NQNHLHFSSSSPDFQKELNNRLLNVQQQQQEQHTLLQSQNTSNQSQNQNQNQMMASSS SLSTTPLLLSPRVNMINTAISTQQTPISQSDSQVQELETLPPIRSLPLPFPHMD YGL034C MNVVAIIMASQETCSGRAPRETPEWRRPACLVASILVRALEFSW LFSTCLLVAFDFARDCGLPPHSGKTWSDGKGPAALSFGKTNTKEATTNFYNRLDEKSG EEQAEKRKENSRSWIIYLL YGL033W MAPKKKSNDRAIQAKGSEAEQLIEDYLVSQYKPFSVNDIVQNLH NKVTKTTATKALENLVNEKRIVSKTFGKIIIYSCNEQDTALPSNIDPSQFDFETVLQL RNDLIELERDKSTAKDALDSVTKEPENEDLLTIIENEENELKKIESKLQSLQDDWDPA NDEIVKRIMSEDTLLQKEITKRSKICKNLIATIKDSVCPKNMNEFLEEIGFEDI YGL032C MQLLRCFSIFSVIASVLAQELTTICEQIPSPTLESTPYSLSTTT ILANGKAMQGVFEYYKSVTFVSNCGSHPSTTSKGSPINTQYVF YGL031C MKVEIDSFSGAKIYPGRGTLFVRGDSKIFRFQNSKSASLFKQRK NPRRIAWTVLFRKHHKKGITEEVAKKRSRKTVKAQRPITGASLDLIKERRSLKPEVRK ANREEKLKANKEKKKAEKAARKAEKAKSAGTQSSKFSKQQAKGAFQKVAATSR YGL030W MAPVKSQESINQKLALVIKSGKYTLGYKSTVKSLRQGKSKLIII AANTPVLRKSELEYYAMLSKTKVYYFQGGNNELGTAVGKLFRVGVVSILEAGDSDILT TLA YGL029W MVNETGESQKAAKGTPVSGKVWKAEKTPLRAKSRVVKNKKLTSW ELKKQKRLEDKQFKERLKALKDEKEEARQAKITMLKERREKKEENERYERLAAKMHAK KVERMRRREKRNKALKER YGL028C MISPISFLSSLLCLTYLTSALPILPKREVVTRVHTASTTNVVTD FYSTTTEVVIAPTVEFLISDSVTFTTTLIPQGVNPTAEPTTTITKVLLKKAEMSTSSQ PTSTLQPSTIPQSTSSFQAESTLQAVSTQQTAMSVSAGTSEDVQQLATTSTSISSSPS PTTTSTSTQNIVSGVGEANVEGNTQSQHHSYQAAATSTLNQQTSTSIASQESTESTNT PTSSSTSSSTSSSTSSSTSSSTSSSTSSSTSSSTSSSTSSTQETAATTSEGSSSSSAA ITSSPKAIAYSPYNDDGSCKSADAVSSDLTLIKSKGISKIRVYGTDCNSFETVQPAAV KLGIKINQGLYITSSGVDSIDDSVTTLIQYGQTNGWDVFDFITVGNEAINNGWCSVSD LISKISSVKSKLSEAGYSGQITTSEPPVSFENNPDLCKKSDIDFVGINPHAYFDTSAS AETAGTFVKGQVELIQGVCGTSNVFVTETGYPSSGIQNGGNIPSTANQITAVQNILNE MDLDVTILSTYNDYWKAPGDYGIEQSFGVIEYFP YGL027C MLISKSKMFKTFWILTSIVLLASATVDISKLQEFEEYQKFTNES LLWAPYRSNCYFGMRPRYVHESPLIMGIMWFNSLSQDGLHSLRHFATPQDKLQKYGWE VYDPRIGGKEVFIDEKNNLNLTVYFVKSKNGENWSVRVQGEPLDPKRPSTASVVLYFS QNGGEIDGKSSLAMIGHDGPNDMKFFGYSKELGEYHLTVKDNFGHYFKNPEYETMEVA PGSDCSKTSHLSLQIPDKEVWKARDVFQSLVSDSIRDILEKEETKQRPADLIPSVLTI RNLYNFNPGNFHYIQKTFDLTKKDGFQFDITYNKLGTTQSISTREQVTELITWSLNEI NARFDKQFSFGEGPDSIESVEVKRRFALETLSNLLGGIGYFYGNQLIDRETEFDESQF TEIKLLNAKEEGPFELFTSVPSRGFFPRGFYWDEGFHLLQIMEYDFDLAFEILASWFE MIEDDSGWIAREIILGNEARSKVPQEFQVQNPNIANPPTLLLAFSEMLSRAIENIGDF NSDSYHQVMFNSRTAKFMTNNLEANPGLLTEYAKKIYPKLLKHYNWFRKSQTGLIDEY EEILEDEGIWDKIHKNEVYRWVGRTFTHCLPSGMDDYPRAQPPDVAELNVDALAWVGV MTRSMKQIAHVLKLTQDEQRYAQIEQEVVENLDLLHWSENDNCYCDISIDPEDDEIRE FVCHEGYVSVLPFALKLIPKNSPKLEKVVALMSDPEKIFSDYGLLSLSRQDDYFGKDE NYWRGPIWMNINYLCLDAMRYYYPEVILDVAGEASNAKKLYQSLKINLSNNIYKVWEE QGYCYENYSPIDGHGTGAEHFTGWTALVVNILGRF YGL026C MSEQLRQTFANAKKENRNALVTFMTAGYPTVKDTVPILKGFQDG GVDIIELGMPFSDPIADGPTIQLSNTVALQNGVTLPQTLEMVSQARNEGVTVPIILMG YYNPILNYGEERFIQDAAKAGANGFIIVDLPPEEALKVRNYINDNGLSLIPLVAPSTT DERLELLSHIADSFVYVVSRMGTTGVQSSVASDLDELISRVRKYTKDTPLAVGFGVST REHFQSVGSVADGVVIGSKIVTLCGDAPEGKRYDVAKEYVQGILNGAKHKVLSKDEFF AFQKESLKSANVKKEILDEFDENHKHPIRFGDFGGQYVPEALHACLRELEKGFDEAVA DPTFWEDFKSLYSYIGRPSSLHKAERLTEHCQGAQIWLKREDLNHTGSHKINNALAQV LLAKRLGKKNVIAETGAGQHGVATATACAKFGLTCTVFMGAEDVRRQALNVFRMRILG AKVIAVTNGTKTLRDATSEAFRFWVTNLKTTYYVVGSAIGPHPYPTLVRTFQSVIGKE TKEQFAAMNNGKLPDAVVACVGGGSNSTGMFSPFEHDTSVKLLGVEAGGDGVDTKFHS ATLTAGRPGVFHGVKTYVLQDSDGQVHDTHSVSAGLDYPGVGPELAYWKSTGRAQFIA ATDAQALLGFKLLSQLEGIIPALESSHAVYGACELAKTMKPDQHLVINISGRGDKDVQ SVAEVLPKLGPKIGWDLRFEEDPSA YGL025C MDSIIPAGVKLDDLQVILAKNENETRDKVCKQINEARDEILPLR LQFNEFIQIMANIDQEGSKQADRMAKYLHIRDKILQLNDRFQTLSSHLEALQPLFSTV PEYLKTADNRDRSFQLLEPLSTYNKNGNAVCSTATVVSTNHSAAASTPTTTATPHANP ITHAHSLSNPNSTATMQHNPLAGKRGPKSGSTMGTPTVHNSTAAAPIAAPKKPRKPRQ TKKAKAQAQAQAQAQAQVYAQQSTVQTPITASMAAALPNPTPSMINSVSPTNVMGTPL TNMMSPMGNAYSMGAQNQGGQVSMSQFNGSGNGSNPNTNTNSNNTPLQSQLNLNNLTP ANILNMSMNNDFQQQQQQQQQQQQPQPQYNMNMGMNNMNNGGKELDSLDLNNLELGGL NMDFL YGL023C MTALHSVSKTPAIKEEEEDGDERDGRGVPLGPRNHDYRGRKGDE ESGADTVTSPITFEKKKIAPRASTHSEQSILSSISLKSMVNQHRQQQLQQESSTGAGT GFVDRKQQIQSPAMVSILRKNSAEENVRSSHSSKLGEGQIDGRKASASKEIGKTLPFT DDQRSNPELDPTNSVVDVSRGKNTKSKTVFNELEDDADDDDEVRQKNLTTQALRKLSS FKMNASSNLRLSKENKAKESSSSSTSSVSSSSTSKVENIVDKLTTTNSSSMSQLRFGN TNVIIDSVNHAAKPPHQQMLRKPSLEFLPQPASSTNLNFNSNKHKSNVRQISNPKKPL YIPAVLRKVSETNITNDDLLNATLSSYYKKASNLEHGFNPSKSQSASVQNANNLRIIS SQSSVQSNTSSILESYKNKISSYLFPNSIPNSDRINLIPTISNRNSARVNPPTKDHWI PDSKRNSCRYCHKPFTLWERKHHCRHCGDIFCQDHLRHWLYLDSQANFIMINELNNGG INGGGTLCKICDDCLVEYENLSTTNHNANTNEDNINVEEGEDDDNDNRKKLRNYYKNR QMNALFRPKKGGSSQEHATVDRDTTTPIQVKSNDEEADNENTGGEQEEGNDVLGSVIG SVPANWNWSSF YGL022W MGSDRSCVLSVFQTILKLVIFVAIFGAAISSRLFAVIKFESIIH EFDPWFNYRATKYLVNNSFYKFLNWFDDRTWYPLGRVTGGTLYPGLMTTSAFIWHALR NWLGLPIDIRNVCVLFAPLFSGVTAWATYEFTKEIKDASAGLLAAGFIAIVPGYISRS VAGSYDNEAIAITLLMVTFMFWIKAQKTGSIMHATCAALFYFYMVSAWGGYVFITNLI PLHVFLLILMGRYSSKLYSAYTTWYAIGTVASMQIPFVGFLPIRSNDHMAALGVFGLI QIVAFGDFVKGQISTAKFKVIMMVSLFLILVLGVVGLSALTYMGLIAPWTGRFYSLWD TNYAKIHIPIIASVSEHQPVSWPAFFFDTHFLIWLFPAGVFLLFLDLKDEHVFVIAYS VLCSYFAGVMVRLMLTLTPVICVSAAVALSKIFDIYLDFKTSDRKYAIKPAALLAKLI VSGSFIFYLYLFVFHSTWVTRTAYSSPSVVLPSQTPDGKLALIDDFREAYYWLRMNSD EDSKVAAWWDYGYQIGGMADRTTLVDNNTWNNTHIAIVGKAMASPEEKSYEILKEHDV DYVLVIFGGLIGFGGDDINKFLWMIRISEGIWPEEIKERDFYTAEGEYRVDARASETM RNSLLYKMSYKDFPQLFNGGQATDRVRQQMITPLDVPPLDYFDEVFTSENWMVRIYQL KKDDAQGRTLRDVGELTRSSTKTRRSIKRPELGLRV YGL021W MLLHFDIVIQLLSSHTLKSHQVEPPMDFETSFEEFVEDKRFIAL EVSDNDDDCDTDLTADTADELESSAILKMRESDASLNVTTGNNTSRKTTSNSKKRWSL LSNHSAVSSSKSKKRWSVLSSSFTSESHKDRESRNVLQQKRKSLQSYSSLDTVASNSS ISASSSLKRSSTGLSLRQLFTKIGINDDISQPGIGIPQGKENLPPTMGKKNSSIASTS SENRLRTPLKPLVNHSKRPTSQPQQQQPLYNASLSSRRSSISSTVSSSSSSKWRFWKR NKNQTPALLQPDHHSLKTFPAVNRRDSMTPVEPRNMVKHKTSFSDFHKTIFSSNTYSE SSDTISSMEITLKNKASSSSLSLNVLKKRNSQSSLKHKSSHASLQKFKRNKGKSSMIA PSTATNSSNDDSCSYSSKNSTLSHRISLPVPDQVSRDKIQNKLRYSTSLLSLNSKSSL PMNKNDHDETLLRQILLNCDIKRILNPAKGDVLPLINDVNHLSSIQLTSNVWQIGEVI CKKVSLGTIDDITWDRKFLSLQELEKLKIMQQKFDGIPQLLKSFVVKEANGGLYLYLL FKDHGTPISLISLKNWKQILKIFWSCAGIIHGLEKNLKFEHRNLTLDNILIDGNGNIT IIDFKCSRLQTPQDDVLYLRLDHPLFFLNGKDKSKINEYQYQFEFEIYQSMRILLNMD ASAFEPMTNLYWLYYLSRVLLKFGDRKLGKNDANRDKMARVINHLEMNLAVHKRGGQL FKRLETEDIKNTGDLLKLYK YGL020C MHWAAAVAIFFIVVTKFLQYTNKYHEKWISKFAPGNELSKKYLA KVKERHELKEFNNSISAQDNYAKWTKNNRKLDSLDKEINNLKDEIQSENKAFQAHLHK LRLLALTVPFFVFKIMYGKTPVYKLSSSTSTLFPTFVSGVWSQGWLYVLLHPLRTISQ KWHIMEGKFGASKFDDMALQSVSLGIWVWALMNVINGVEFIVKQLFLTPKMEAPASVE TQEEKALDAVDDAIILD YGL019W MSQEFVEDYSRTGSSDDEDSGAYDEWIPSFCSRFGHEYFCQVPT EFIEDDFNMTSLSQEVPHYRKALDLILDLEAMSDEEEDEDDVVEEDEVDQEMQSNDGH DEGKRRNKSPVVNKSIIEHAAEQLYGLIHARFILTKPGLQAMAEKFDHKEFGTCPRYY CNGMQLLPCGLSDTVGKHTVRLYCPSCQDLYLPQSSRFLCLEGAFWGTSFPGVFLKHF KELEEYVERKSKESYELKVFGFRINDEAVSGPRMKWLRQYPSTEEDWEEFAKCEFETP AV YGL018C MLKYLVQRRFTSTFYELFPKTFPKKLPIWTIDQSRLRKEYRQLQ AQHHPDMAQQGSEQSSTLNQAYHTLKDPLRRSQYMLKLLRNIDLTQEQTSNEVTTSDP QLLLKVLDIHDELSQMDDEAGVKLLEKQNKERIQDIEAQLGQCYNDKDYAAAVKLTVE LKYWYNLAKAFKDWAPGKQLEMNH YGL017W MSDRFVIWAPSMHNEPAAKCGYCHGNKGGNMDQLFALDSWAHRY MNKMDVVKIENCTIGSFVEHMDVATYDRMCNMGFRRSGKFLYKVDPLRNCCRLYTIRT APQELNMTKELKKCISRFATRITSEDYCPAAVASSDFVGKIVNAEMNSKTFYTRFEPA LYSEEKYHLFVKYQEKVHQDYNNSPKSFKRFLCDTPFGPEAVLGTQESWEQLNNWQRM KPGEKLKHMGPVHECYYYEGKLIAITVSDILPSGISSVYFIWDPDYSKWSLGKLSALR DLAIIQRTNLQYYYLGYYIEDCPKMNYKANYGAEVLDVCHSKYIPLKPIQDMISRGKL FVIGEEETKVTKELYLVDSETGRGEGFPTDNVVKYKNIAEEIYGVGGCAFKSANESAL ELKELYGIPYEEEDLDTIYHLKEHNGHAPNGIPNVVPGLLPLWELLDIMQSGKITDLE GRLFLFEIETEGIRPLINFYSEPPNVKKRICDVIRLFGFETCMKAVILYSEQM YGL016W MSSIHEVVALIEELYSPHPKHDVNQIQQSLQSIQKSEQGFHLAN ELLSDDKYSANVKYFGALTLTVQLNTRGENDYETLWNVFRSNLLYLTKFSTLYVSNPN MYGQSLIIIKKLMSNLSLIFTKINDPQLNNAGNENMIKQWNNPINTFIQLMSVQNQNI NADQLLLDSINCSLTYEQLSQFVSLSQKHNELALTFTEVIVEDLTKFQTKRHSMSQIH EVVHEHLYISTMALINLNLTAQAVFNPTVFDCITAWINYISLTRSVSSSGRMDLSEIF QNLIDLMYQSTEGSDGYENAEKILTIFGNVFANDPLLMSYDLRQQIECIFLGVVRPDS GITDISNKNSWMLQYMNYLVTNDFFSELKELAICIVDFLQINTLSVCNKLFTNIQAAD NGQVQDEYIQEYIKVLLQMTNFPLTPVLQEFFSVRMVDFWLDLSDAYTNLASETLRPN SIELSTQIFQQLINIYLPKISLSVKQRIIEEEGESTSVNEFEDFRNAVSDLAQSLWSI LGNDNLTNVLIDGMGQMPAASDETLIIKDTDVLFRIETMCFVLNTILVDMTLSESPWI KNIVDANKFFNQNVISVFQTGFQTSASTKVSQILKLDFVRTSTTLIGTLAGYFKQEPF QLNPYVEALFQGLHTCTNFTSKNEQEKISNDKLEVMVIKTVSTLCETCREELTPYLMH FISFLNTVIMPDSNVSHFTRTKLVRSIGYVVQCQVSNGPEEQAKYILQLTNLLSGSIE HCLASSVQLQEQQDYINCLLYCISELATSLIQPTEIIENDALLQRLSEFQSFWSSDPL QIRSKIMCTIDKVLDNSIYCKNSAFVEIGCLIVGKGLNLPDGEPYFLKYNMSEVMNFV LRHVPNCELATCLPYFVYLLEKLISEFRKELTPQEFDFMFEKILLVYYDAYIINDPDL LQMTIGFVNNVLDVKPGLAIGSKHWTSFILPQFLKLIPSREKFTIVAVAKFWTKLINN KKYNQEELTTVRQQVSSIGGDLVYQIMYGLFHTQRSDLNSYTDLLRALVAKFPIEARE WLVAVLPQICNNPAGHEKFINKLLITRGSRAAGNVILQWWLDCTTLPNYQG YGL015C MEDTIRPLNYADIETSGPINLLETTNNLKSSLKKFSQKAKGSHI SRERIHHFRKWKNKTESLSENHLKPPPDVDSLCFSNCFQPDALSGNVFLPPRSSNMYW NEKQLQLEMEILKFLSLNTSNECCTSDD YGL014W MSTKGLKEEIDDVPSVDPVVSETVNSALEQLQLDDPEENATSNA FANKVSQDSQFANGPPSQMFPHPQMMGGMGFMPYSQMMQVPHNPCPFFPPPDFNDPTA PLSSSPLNAGGPPMLFKNDSLPFQMLSSGAAVATQGGQNLNPLINDNSMKVLPIASAD PLWTHSNVPGSASVAIEETTATLQESLPSKGRESNNKASSFRRQTFHALSPTDLINAA NNVTLSKDFQSDMQNFSKAKKPSVGANNTAKTRTQSISFDNTPSSTSFIPPTNSVSEK LSDFKIETSKEDLINKTAPAKKESPTTYGAAYPYGGPLLQPNPIMPGHPHNISSPIYG IRSPFPNSYEMGAQFQPFSPILNPTSHSLNANSPIPLTQSPIHLAPVLNPSSNSVAFS DMKNDGGKPTTDNDKAGPNVRMDLINPNLGPSMQPFHILPPQQNTPPPPWLYSTPPPF NAMVPPHLLAQNHMPLMNSANNKHHGRNNNSMSSHNDNDNIGNSNYNNKDTGRSNVGK MKNMKNSYHGYYNNNNNNNNNNNNNNNSNATNSNSAEKQRKIEESSRFADAVLDQYIG SIHSLCKDQHGCRFLQKQLDILGSKAADAIFEETKDYTVELMTDSFGNYLIQKLLEEV TTEQRIVLTKISSPHFVEISLNPHGTRALQKLIECIKTDEEAQIVVDSLRPYTVQLSK DLNGNHVIQKCLQRLKPENFQFIFDAISDSCIDIATHRHGCCVLQRCLDHGTTEQCDN LCDKLLALVDKLTLDPFGNYVVQYIITKEAEKNKYDYTHKIVHLLKPRAIELSIHKFG SNVIEKILKTAIVSEPMILEILNNGGETGIQSLLNDSYGNYVLQTALDISHKQNDYLY KRLSEIVAPLLVGPIRNTPHGKRIIGMLHLDS YGL013C MRGLTPKNGVHIETGPDTESSADSSNFSTGFSGKIRKPRSKVSK ACDNCRKRKIKCNGKFPCASCEIYSCECTFSTRQGGARIKNLHKTSLEGTTVQVKEET DSSSTSFSNPQRCTDGPCAVEQPTKFFENFKLGGRSSGDNSGSDGKNDDDVNRNGFYE DDSESQATLTSLQTTLKNLKEMAHLGTHVTSAIESIELQISDLLKRWEPKVRTKELAT TKFYPNKSIETQLMKNKYCDVVHLTRYAAWSNNKKDQDTSSQPLIDEIFGLYSPFQFL SLQGIGKCFQNYRSKSKCEIFPRTAKETIYIMLRFFDVCFHHINQGCVSIANPLENYL QKMNLLPSTPSSISSAGSPNTAHTKSHVALVINHLPQPFVRNITGISNSELLSEMNND ISMFGILLKMLDMHKNSYQNFLMEITSNPSVAKNTQSIDVLQEFIHYCQAGEALIALC YSYYNSTLYNYVDFTCDITHLEQLLYFLDLLFWLSEIYGFEKVLNVAVHFVSRVGLSR WEFYVGLDENFAERRRNLWWKAFYFEKTLASKLGYPSNIDDSKINCLLPKNFRDVGFL DNRDFIENVHLVRRSEAFDNMCISDLKYYGELAVLQIVSHFSSSVLFNEKFTSIRNTS KPSVVREKLLFEVLEIFNETEMKYDAIKEQTGKLFDIAFSKDSTELKVSREDKIMASK FVLFYEHHFCRMVNESDNIVARLCVHRRPSILIENLKIYLHKIYKSWTDMNKILLDFD NDYSVYRSFAHYSISCIILVSQAFSVAEFIKVNDVVNMIRVFKRFLDIKIFSENETNE HVFNSQSFKDYTRAFSFLTIVTRIMLLAYGESSSTNLDVISKYIDENAPDLKGIIELV LDTNSCAYRFLLEPVQKSGFHLTVSQMLKNRKFQEPLMSNEDNKQMKHNSGKNLNPDL PSLKTGTSCLLNGIESPQLPFNGRSAPSPVRNNSLPEFAQLPSFRSLSVSDMINPDYA QPTNGQNNTQVQSNKPINAQQQIPTSVQVPFMNTNEINNNNNNNNNNKNNINNINNNN SNNFSATSFNLGTLDEFVNNGDLEDLYSILWSDVYPDS YGL012W MAKDNSEKLQVQGEEKKSKQPVNFLPQGKWLKPNEIEYEFGGTT GVIGMLIGFPLLMYYMWICAEFYHGKVALPKAGESWMHFIKHLYQLVLENGIPEKYDW TIFLTFWVFQIIFYYTLPGIWTKGQPLSHLKGKQLPYFCNAMWTLYVTTTLVLVLHFT NLFRLYVIIDRFGRIMTCAIISGFAFSIILYLWTLFISHDYHRMTGNHLYDFFMGAPL NPRWGILDLKMFFEVRLPWFTLYFITLGACLKQWETYGYVTPQLGVVMLAHWLYANAC AKGEELIVPTWDMAYEKFGFMLIFWNIAGVPYTYCHCTLYLYYHDPSEYHWSTLYNVS LYVVLLCAYYFFDTANAQKNAFRKQMSGDKTGRKTFPFLPYQILKNPKYMVTSNGSYL LIDGWYTLARKIHYTADWTQSLVWALSCGFNSVFPWFFPVFFLVVLIHRAFRDQAKCK RKYGKDWDEYCKHCPYVFIPYVF YGL011C MSGAAAASAAGYDRHITIFSPEGRLYQVEYAFKATNQTNINSLA VRGKDCTVVISQKKVPDKLLDPTTVSYIFCISRTIGMVVNGPIPDARNAALRAKAEAA EFRYKYGYDMPCDVLAKRMANLSQIYTQRAYMRPLGVILTFVSVDEELGPSIYKTDPA GYYVGYKATATGPKQQEITTNLENHFKKSKIDHINEESWEKVVEFAITHMIDALGTEF SKNDLEVGVATKDKFFTLSAENIEERLVAIAEQD YGL010W MGEGLLDLRSQLGFYKFYHHNPKNVLIHSIFVPTILFSGSCMLH RVKIYQSISLTAVLSVLFSIFYCLLYLPTGLLAGVLLLLLNLALIDHRVDLTFKQELG LFTIGWIFQFVGHGVFEKRRPALIDNLVQSLVLAPYFIMFEFLFKLGFMPRLKATLEH DLEIKQRNLRMQRQ YGL009C MVYTPSKGPRTLYDKVFDAHVVHQDENGSFLLYIDRHLVHEVTS PQAFEGLENAGRKVRRVDCTLATVDHNIPTESRKNFKSLDTFIKQTDSRLQVKTLENN VKQFGVPYFGMSDARQGIVHTIGPEEGFTLPGTTVVCGDSHTSTHGAFGSLAFGIGTS EVEHVLATQTIIQAKSKNMRITVNGKLSPGITSKDLILYIIGLIGTAGGTGCVIEFAG EAIEALSMEARMSMCNMAIEAGARAGMIKPDETTFQYTKGRPLAPKGAEWEKAVAYWK TLKTDEGAKFDHEINIEAVDVIPTITWGTSPQDALPITGSVPDPKNVTDPIKKSGMER ALAYMGLEPNTPLKSIKVDKVFIGSCTNGRIEDLRSAAAVVRGQKLASNIKLAMVVPG SGLVKKQAEAEGLDKIFQEAGFEWREAGCSICLGMNPDILDAYERCASTSNRNFEGRQ GALSRTHLMSPAMAAAAGIAGHFVDIREFEYKDQDQSSPKVEVTSEDEKELESAAYDH AEPVQPEDAPQDIANDELKDIPVKSDDTPAKPSSSGMKPFLTLEGISAPLDKANVDTD AIIPKQFLKTIKRTGLKKGLFYEWRFRKDDQGKDQETDFVLNVEPWREAEILVVTGDN FGCGSSREHAPWALKDFGIKSIIAPSYGDIFYNNSFKNGLLPIRLDQQIIIDKLIPIA NKGGKLCVDLPNQKILDSDGNVLVDHFEIEPFRKHCLVNGLDDIGITLQKEEYISRYE ALRREKYSFLEGGSKLLKFDNVPKRKAVTTTFDKVHQDW YGL008C MTDTSSSSSSSSASSVSAHQPTQEKPAKTYDDAASESSDDDDID ALIEELQSNHGVDDEDSDNDGPVAAGEARPVPEEYLQTDPSYGLTSDEVLKRRKKYGL NQMADEKESLVVKFVMFFVGPIQFVMEAAAILAAGLSDWVDFGVICGLLMLNAGVGFV QEFQAGSIVDELKKTLANTAVVIRDGQLVEIPANEVVPGDILQLEDGTVIPTDGRIVT EDCFLQIDQSAITGESLAVDKHYGDQTFSSSTVKRGEGFMVVTATGDNTFVGRAAALV NKAAGGQGHFTEVLNGIGIILLVLVIATLLLVWTACFYRTNGIVRILRYTLGITIIGV PVGLPAVVTTTMAVGAAYLAKKQAIVQKLSAIESLAGVEILCSDKTGTLTKNKLSLHE PYTVEGVSPDDLMLTACLAASRKKKGLDAIDKAFLKSLKQYPKAKDALTKYKVLEFHP FDPVSKKVTAVVESPEGERIVCVKGAPLFVLKTVEEDHPIPEDVHENYENKVAELASR GFRALGVARKRGEGHWEILGVMPCMDPPRDDTAQTVSEARHLGLRVKMLTGDAVGIAK ETCRQLGLGTNIYNAERLGLGGGGDMPGSELADFVENADGFAEVFPQHKYRVVEILQN RGYLVAMTGDGVNDAPSLKKADTGIAVEGATDAARSAADIVFLAPGLSAIIDALKTSR QIFHRMYSYVVYRIALSLHLEIFLGLWIAILDNSLDIDLIVFIAIFADVATLAIAYDN APYSPKPVKWNLPRLWGMSIILGIVLAIGSWITLTTMFLPKGGIIQNFGAMNGIMFLQ ISLTENWLIFITRAAGPFWSSIPSWQLAGAVFAVDIIATMFTLFGWWSENWTDIVTVV RVWIWSIGIFCVLGGFYYEMSTSEAFDRLMNGKPMKEKKSTRSVEDFMAAMQRVSTQH EKET YGL007C-A MLPSISFDYIKRPNIVLFSNVLSLSSNI YGL007W MMEKEGKKPERHMDNILKMSIPVKSMSEVSRPGSAALGNTDAMR ASLRNSRRSFSGHVRLVLRLVYGFISAVIIAGSPLRDRVCFQITGKGQAKQSDVWVIP AMPVYVSPFQEACFVFSPSAMVT YGL006W-A MLIFIIHYHRHLALHLMGAFQKHSNSISPPPRKGFI YGL006W MSRQDENSALLANNENNKPSYTGNENGVYDNFKLSKSQLSDLHN PKSIRSFVRLFGYESNSLFKYLKTDKNAGISLPEISNYRKTNRYKNYGDNSLPERIPK SFLQLVWAAFNDKTMQLLTVAAVVSFVLGLYELWMQPPQYDPEGNKIKQVDWIEGVAI MIAVFVVVLVSAANDYQKELQFAKLNKKKENRKIIVIRNDQEILISIHHVLVGDVISL QTGDVVPADCVMISGKCEADESSITGESNTIQKFPVDNSLRDFKKFNSIDSHNHSKPL DIGDVNEDGNKIADCMLISGSRILSGLGRGVITSVGINSVYGQTMTSLNAEPESTPLQ LHLSQLADNISVYGCVSAIILFLVLFTRYLFYIIPEDGRFHDLDPAQKGSKFMNIFIT SITVIVVAVPEGLPLAVTLALAFATTRMTKDGNLVRVLRSCETMGSATAVCSDKTGTL TENVMTVVRGFPGNSKFDDSKSLPVSEQRKLNSKKVFEENCSSSLRNDLLANIVLNST AFENRDYKKNDKNTNGSKNMSKNLSFLDKCKSRLSFFKKGNREDDEDQLFKNVNKGRQ EPFIGSKTETALLSLARLSLGLQPGELQYLRDQPMEKFNIEKVVQTIPFESSRKWAGL VVKYKEGKNKKPFYRFFIKGAAEIVSKNCSYKRNSDDTLEEINEDNKKETDDEIKNLA SDALRAISVAHKDFCECDSWPPEQLRDKDSPNIAALDLLFNSQKGLILDGLLGIQDPL RAGVRESVQQCQRAGVTVRMVTGDNILTAKAIARNCAILSTDISSEAYSAMEGTEFRK LTKNERIRILPNLRVLARSSPEDKRLLVETLKGMGDVVAVTGDGTNDAPALKLADVGF SMGISGTEVAREASDIILMTDDFSAIVNAIKWGRCVSVSIKKFIQFQLIVNITAVILT FVSSVASSDETSVLTAVQLLWINLIMDTLAALALATDKPDPNIMDRKPRGRSTSLISV STWKMILSQATLQLIVTFILHFYGPELFFKKHEDEITSHQQQQLNAMTFNTFVWLQFF TMLVSRKLDEGDGISNWRGRISAANLNFFQDLGRNYYFLTIMAIIGSCQVLIMFFGGA PFSIARQTKSMWITAVLCGMLSLIMGVLVRICPDEVAVKVFPAAFVQRFKYVFGLEFL RKNHTGKHDDEEALLEESDSPESTAFY YGL005C MVELTITGDDDDILSMFFDEEFVPHAFVDILLSNALNEDQIQTQ SVSSLLLTRLDFYTKNLTKELESTIWNLDKLSQTLPRTWASSRYHKEAEQNDSSLYST ESLKSSKLEYYLDTLASAVRALETGMHNVTEKLSDLDNENNRNTNVRQQLQSLMLIKE RIEKVVYYLEQVRTVTNISTVRENNTTSTGTDLSITDFRTSLKALEDTIDESLSSAID NEAKDETNKDLIGRIDSLSELKCLFKGLDKFFAEYSNFSESIKSKAQSYLSTKNIDDG MIS YGL004C MTKTITVAHIQYDFKAVLEENDENDDEFYINVDKNLNEIKEHKI VVLGNSRGVDAGKGNTFEKVGSHLYKARLDGHDFLFNTIIRDGSKMLKRADYTAVDTA KLQMRRFILGTTEGDIKVLDSNFNLQREIDQAHVSEITKLKFFPSGEALISSSQDMQL KIWSVKDGSNPRTLIGHRATVTDIAIIDRGRNVLSASLDGTIRLWECGTGTTIHTFNR KENPHDGVNSIALFVGTDRQLHEISTSKKNNLEFGTYGKYVIAGHVSGVITVHNVFSK EQTIQLPSKFTCSCNSLTVDGNNANYIYAGYENGMLAQWDLRSPECPVGEFLINEGTP INNVYFAAGALFVSSGFDTSIKLDIISDPESERPAIEFETPTFLVSNDDEVSQFCYVS DDESNGEVLEVGKNNFCALYNLSNP YGL003C MSTNLNPFMNNTPSSSPLKGSESKRVSKRPISSSSSASLLSSPS RRSRPSTVYGDRYIPSRTDIDFNSIVSISSMASVPALNPSSTEDQVEYQKERQAHETY NTLLKNELFGEMLSKDTVGSESSIDRIKNTRPSTRGNVHAENTTRHGYELERVSTPPP EAAGLEEFSPHSTPVTPRRLFTSQQDEITRPSSNSVRGASLLTYQQRKGRRLSAASLL QSQFFDSMSPVRPDSKQLLLSPGKQFRQIAKVPYRVLDAPSLADDFYYSLIDWSSTDV LAVALGKSIFLTDNNTGDVVHLCDTENEYTSLSWIGAGSHLAVGQANGLVEIYDVMKR KCIRTLSGHIDRVACLSWNNHVLTSGSRDHRILHRDVRMPDPFFETIESHTQEVCGLK WNVADNKLASGGNDNVVHVYEGTSKSPILTFDEHKAAVKAMAWSPHKRGVLATGGGTA DRRLKIWNVNTSIKMSDIDSGSQICNMVWSKNTNELVTSHGYSKYNLTLWDCNSMDPI AILKGHSFRVLHLTLSNDGTTVVSGAGDETLRYWKLFDKPKAKVQPNSLIFDAFNQIR YGL002W MLSHYIFLAFVLLPFRVSAFYFYGYGGDRKCFLKELSKDTLLKG SYNLEVYDDKLADYALPSYNDYGIVIDVEEVFDNNHRVVHQQGSPSGDFSFLALESGE YKICLQSRVNNWVGKTKTKLEIEFEVGFEAMLDMQRKETLESLHGKVSILNSKIVDIR REQQLMREREESFRDISESVNSRAMWWTVTQVTLLIIICVWQMKSLRSFFVKQKVL YGL001C MSKIDSVLIIGGSGFLGLHLIQQFFDINPKPDIHIFDVRDLPEK LSKQFTFNVDDIKFHKGDLTSPDDMENAINESKANVVVHCASPMHGQNPDIYDIVNVK GTRNVIDMCKKCGVNILVYTSSAGVIFNGQDVHNADETWPIPEVPMDAYNETKAIAED MVLKANDPSSDFYTVALRPAGIFGPGDRQLVPGLRQVAKLGQSKFQIGDNNNLFDWTY AGNVADAHVLAAQKLLDPKTRTAVSGETFFITNDTPTYFWALARTVWKADGHIDKHVI VLKRPVAICAGYLSEWVSKMLGKEPGLTPFRVKIVCAYRYHNIAKAKKLLGYTPRVGI EEGINKTLAWMDEGL YGR001C MSDSDSDSDYELTLSANALAALEEFKREEQQHQEAFQKLYDETD EDFQKKKKEEGMKLFKEDWQLSQFWYSDDTAAILADAILEGADENTVIAIVSAPSVYA AIQKKPTNEIPTEHIYLFEFDKRFELLAGRDHFFFYDYNKPLDFSDEIKGKVDRLLID PPFLNEDCQTKSSITAKCLLAPNDNSKTKKGVFKHRLISCTGERMSEVISKVYSDTRI TTFLPEHSNGLSNEFRCYANFECSSWKFAS YGR002C MSSSDIFDVLNIKQKSRSPTNGQVSVPSSSAANRPKPQVTGMQR ELFNLLGENQPPVVIKSGNNFKEKMLSTSKPSPWSFVEFKANNSVTLRHWVKGSKELI GDTPKESPYSKFNQHLSIPSFTKEEYEAFMNENEGTQKSVESEKNHNENFTNEKKDES KNSWSFEEIEYLFNLCKKYDLRWFLIFDRYSYNNSRTLEDLKEKFYYTCRNYFKASDP SNPLLSSLNFSAEKEIERKKYLQRLLSRSAAEIAEEEALVVESKKFEMAAKRTLAERE SLLRLLDSPHSDQTITQYLTSQGMSQLYNALLADKTRKRKHDLNIPENPWMKQQQQFA QHRQLQQLNVKKSEVKENLSPKKTKRQRQEMQTALKRKSESAYAEQLLKDFNSDERKA LGVITHGEKLSPGVYLRSTKLSTFKPALQNKILAILQELSLPSRPVMPSFDVMERQEE LLKKINTLIDLKKHVDKYEAGMSITK YGR003W MITNKKIKISVPEKLGLSEESFEESWETVKYAIDHIYSDDMADL SFEQVYKTIYTIVLNKKGPILYNRLKDYLIQKLALLRETIVKDNTHDYEFLGTMARLW EVQCHCFKITGDLMMYMDKVYCKPNRCMEVYDMCLDLFRIEILQKCSSSLISALISDI ERIRNLGSVDSEHTSLWKVLIGMMETLHDNRDSFFLTDFEPVLISATEEYYNKAIDIE LLTPIESLEKIRKLRQFESMLDSSFLNVDSHNKLKTVLENVLIWGKLSDIIEDLTHEA MVISNGKLLQEIYDLSSEEKYRVTVIESIKSYINKNAINIPFNEGNRKKGQNAITWSS EIVELYRSQHSFLESIDFGSVRLNNLTGDVSNAILGDVFSMYFSKEGALPSEYLSTYV DHCMKRTKEKDAEIVKIKQDLLDSTKLIGLLTEKDIFEKIYKKQLSRRLLQQRSLVEI EKWMVQMIKKVLGTFFTSKLEIMLRDISLSSEMYQAFQHSTINSIEYLSFAPQVLTRT SWPFQSTNPIDEGISLPPRMSQILAGFEGYYSLKYKERVLKWAHHLSVIEIGCQFNSG YYEISFSVYAGVIFLLFEDYEELTLGEIYELTHIPIDDVKSLVMSMSTIPRCKILKKS SSSGNMKFSVNYFFSSPNRKVKVPVIACPLPSQKSDNLATASSVDTYDNEIVMELSAI IVRIMKTEGKLSHQQLLERTTKRTQSRLSLTPSILKRSIQLLIEKEYIQRNADDPSYY HYLS YGR004W MSEINNENLEPTSSTVAESTESKNKHIRSALRKRRGKLSAQTYE EDQEAILSSPLLTSTPKTVSRSLVRLYPYLIVVDNFLSIITWSNDNVSANLLGIFLFT VCVLYFGFITRYFGHLMIVGIIWVYLLIDKHVQETMASCPSLDDIIHVMDRVSMKSSA VLSPITILSAQDVRRLLFTIAFLSPVYIFLTVFVLSPNYLMLIGGLYVLTYHSKLIRR MRRYLWKFRVVRLLVFFITGLDLGGPDNNRRLFASVNKKIRSFVWNEVGNTSNTKKTV LFKVALFENQRRWLGIGWTSTMLSYERASWTDEFLNTSPSPEVFTLPEEQSGMAWEWH DKDWMLDLTNDGIIQLPASAAKTKVKPGADEGFIYYDNTWNNPSATDTYKKYTRRRRW IRTATVTTTYDDEPTVEKATPNSHALKSEENNRVRKRKVSFSTANEVHIIPSSDSSKL IQISDVSMSPSL YGR005C MSSGSAGAPALSNNSTNSVAKEKSGNISGDEYLSQEEEVFDGND IENNETKVYEESLDLDLERSNRQVWLVRLPMFLAEKWRDRNNLHGQELGKIRINKDGS KITLLLNENDNDSIPHEYDLELTKKVVENEYVFTEQNLKKYQQRKKELEADPEKQRQA YLKKQEREEELKKKQQQQKRRNNRKKFNHRVMTDRDGRDRYIPYVKTIPKKTAIVGTV CHECQVMPSMNDPNYHKIVEQRRNIVKLNNKERITTLDETVGVTMSHTGMSMRSDNSN FLKVGREKAKSNIKSIRMPKKEILDYLFKLFDEYDYWSLKGLKERTRQPEAHLKECLD KVATLVKKGPYAFKYTLRPEYKKLKEEERKATLGELADEQTGSAGDNAQGDAEADLED EIEMEDVV YGR006W MDLDLASILKGEISKKKKELANSKGVQPPCTEKFQPHESANIDE TPRQVEQESTDEENLSDNQSDDIRTTISKLENRPERIQEAIAQDKTISVIIDPSQIGS TEGKPLLSMKCNLYIHEILSRWKASLEAYHPELFLDTKKALFPLLLQLRRNQLAPDLL ISLATVLYHLQQPKEINLAVQSYMKLSIGNVAWPIGVTSVGIHARSAHSKIQGGRNAA NIMIDERTRLWITSIKRLITFEEWYTSNHDSLA YGR007W MTVNLDPDKVWIDGCFDFTHHGHAGAILQARRTVSKENGKLFCG VHTDEDIQHNKGTPVMNSSERYEHTRSNRWCSEVVEAAPYVTDPNWMDKYQCQYVVHG DDITIDANGEDCYKLVKEMGRFKVVKRTYGVSTTEIIHRILTKKSLPPTHPDYYPTTQ ELSFYSVAQDAVSKHCYVFQRDLDNVLVNGGYKFDAEDCVYVDGDFDLFHMGDIDQLR KLKMDLHPDKKLIVGITTSDYSSTIMTMKERVLSVLSCKYVDAVIIDADATSMSQYNC EKYHIGTAVLTAAGKFSEYLTKELIVKRVESQREVYIARNQKKGMSI YGR008C MTRTNKWTEREGKADPKYFSHTGNYGESPNHIKKQGSGKGNWGK PGDEIDDLIDNGEIPPVFKKDRRGSNLQSHEQKFENVQKE YGR009C MGLKKFFKIKPPEEATPEQNKDTLMELGISVKNPSKKRKEKFAA YGKFANDKAEDKVYAPPGYEQYARPQDELEDLNASPLDANANEATAGSNRGSSGTQDL GNGAESNSMQDPYAIENDDYRYDDDPYARFQANKSNGRGSVNAAPYGDYGGGYNGTSL NSYNNDGPYSNQNTSNSWVNANGRNSLNHSNSTLNVGPSRQTRQPPVSTSTNSLSLDQ RSPLANPMQEKRNPYADMNSYGGAYDSNTNRSSGTRQGSSKNANPYASMANDSYSNGN LNRSANPYSSRSVRQPQSQQAPMTYTPSFIASDEAARNSEVDLNEEPRTGEFDFEEVY ADKSAENRAALDEPDLNAVMTNEDSIDLNASEVDHSSRQQQQQQWFMDEQQQQQQHFN ATNNQYGDQRGYKTFEEIQKEEEARQQQEEDEAVDEIKQEIKFTKQSSVASTRNTLKM AQDAERAGMNTLGMLGHQSEQLNNVEGNLDLMKVQNKVADEKVAELKKLNRSILAVHV SNPFNSKRRRREREEQLKNRKIEEKLMREQTSQQLSQSTQRIEGAMNANNNISEVRER YQRKNVLEKAKRYQFENDEEDDEMELEIDRNLDQIQQVSNRLKKMALTTGKELDSQQK RLNNIEESTDDLDINLHMNTNRLAGIR YGR010W MDPTKAPDFKPPQPNEELQPPPDPTHTIPKSGPIVPYVLADYNS SIDAPFNLDIYKTLSSRKKNANSSNRMDHIPLNTSDFQPLSRDVSSEEESEGQSNGID ATLQDVTMTGNLGVLKSQIADLEEVPHTIVRQARTIEDYEFPVHRLTKKLQDPEKLPL IIVACGSFSPITYLHLRMFEMALDDINEQTRFEVVGGYFSPVSDNYQKRGLAPAYHRV RMCELACERTSSWLMVDAWESLQSSYTRTAKVLDHFNHEINIKRGGIMTVDGEKMGVK IMLLAGGDLIESMGEPHVWADSDLHHILGNYGCLIVERTGSDVRSFLLSHDIMYEHRR NILIIKQLIYNDISSTKVRLFIRRGMSVQYLLPNSVIRYIQEYNLYINQSEPVKQVLD SKE YGR012W MSCSQNKTSVSLAWRECISIASVLIGAYASYKYYKLFKTRDIPR PKEGVEELIGNTPLVKIRSLTKATGVNIYAKLELCNPAGSAKDRVALNIIKTAEELGE LVRGEPGWVFEGTSGSTGISIAVVCNALGYRAHISLPDDTSLEKLALLESLGATVNKV KPASIVDPNQYVNAAKKACNELKKSGNGIRAVFADQFENEANWKVHYQTTGPEIAHQT KGNIDAFIAGCGTGGTITGVAKFLKERAKIPCHVVLADPQGSGFYNRVNYGVMYDYVE KEGTRRRHQVDTIVEGIGLNRITHNFHMGEKFIDESIRVNDNQAIRMAKYLSVNDGLF VGSSTAINAVAAIQVAKTLPHGSNIVIIACDSGSRHLSKFWKEAKEIDHDVSLEEVIN I YGR013W MRDIVFVSPQLYLSSQEGWKSDSAKSGFIPILKNDLQRFQDSLK HIVDARNSLSETLLNSNDDGSIHNSDQNTGLNKDKEASIADNNSANKCATSSSRYQEL KQFLPISLDQQIHTVSLQGVSSSFSRGQIESLLDHCLNLALTETQSNSALKVEAWSSF SSFLDTQDIFIRFSKVDEDEAFVNTLNYCKALFAFIRKLHEDFKIELHLDLNTKEYVE DRTGTIPSVKPEKASEFYSVFKNIEDQTDERNSKKEQLDDSSTQYKVDTNTLSDLPSD ALDQLCKDIIEFRTKVVSIEKEKKMKSTYEESRRQRHQMQKVFDQIRKNHSGAKGSAN TEEEDTNMEDEDEEDDTEDDLALEKRKEERDLEESNRRYEDMLHQLHSNTEPKIKSIR ADIMSAENYEEHLEKNRSLYLKELLHLANDVHYDHHRSFKEQEERRDEEDRAKNGNAK ELAPIQLSDGKAISAGKAAAITLPEGTVKSENYNADKNVSESSEHVKIKFDFKKAIDH SVESSSEDEGYRESELPPTKPSERSAAEDRLPFTADELNIRLTNLKESRYVDELVREF LGVYEDELVEYILENIRVNQSKQALLNELRETFDEDGETIADRLWSRKEFRLGT YGR014W MQFPFACLLSTLVISGSLARASPFDFIFGNGTQQAQSQSESQGQ VSFTNEASQDSSTTSLVTAYSQGVHSHQSATIVSATISSLPSTWYDASSTSQTSVSYA SQESDYAVNQNSWSASTNQLPSTSTTSYYAPTFSTSADFAASSVNAASDVSTASVPID TSANSIPFTTTSNIETTTSAPLTSDTPLISTSTMSAADNVFSSANPISASLTTTDSSE SFDQTSTAGAIPVQSSADFSSSSEILVQSSADFSSPSSPTTTDISLSAAPLQTSESSS FTTASAALPVSSTDVDGSSASPVVSMSAAGQIASSSSTDNPTMSETFSLTSTEVDGSD VSSTVSALLSAPFLQTSTSNSFSIVSPSVSFVPSQSSSDVASSSTANVVSSSFSDIPP QTSTSGSVVSVAQSASALAFQSSTEVYGASASSTMSSLLSTTSLQSTTLDSSSLASSS ASSSDLTDYGVSSTASIPLLSASEQASTSSSFSVVSPSVSFVPSQSSSDVASTSAPSV VSSSFSYTSLQAGGSSMTNPSSSTIVYSSSTGSSEESAASTASATLSGSSSTYMAGNL QSQPPSTSSLLSESQATSTSAVLASSSVSTTSPYTTAGGASTEASSLISSTSAETSQV SYSQSTTALQTSSFASSSTTEGSETSSQGFSTSSVLVQMPSSISSEFSPSQTTTQMNS ASSSSQYTISSTGILSQVSDTSVSYTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTPVS YTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTSVPSTSSRSS VSQVSDTPVPSTSSRSSVSQTSSSLQPTTTSSQRFTISTHGALSESSSVSQQASEITS SINATASEYHSIQTTAATQSTTLSFTDANSSSASAPLEVATSTPTPSSKASSLLLTPS TSSLSQVATNTNVQTSLTTESTTVLEPSTTNSSSTFSLVTSSDNNWWIPTELITQAPE AASTASSTVGGTQTMTLPHAIAAATQVPEPEGYTLITIGFKKALNYEFVVSEPKSSAQ IFGYLPEALNTPFKNVFTNITVLQIVPLQDDSLNYLVSVAEVYFPTAEIEELSNLITN SSSAFYTDGMGTAKSMAAMVDSSIPLTGLLHDSNSNSGGSSDGSSSSNSNSGSSGSGS NSNSGVSSSSGNSYQDAGTLEYSSKSNSNVSTSSKSKKKIIGLVIGVVVGGCLYILFM IFAFKYIIRRRIQSQEIIKNPEISSISSSEFGGEKNYNNEKRMSVQESITQSMRIQNW MDDSYYGHGLTNNDSTPTRHNTSSSIPKISRPIASQNSLGWNEV YGR015C MSRLAHNKALPYKIIVDLSFHRTRLPSDVSSLIKFEQRPAIINI HGLLGSHVMFHSLNKLLSRKLDADIFSVDVRNHGISPKAIPYDYTTLTNDLIYFIETH IGLERPIYLLGFSMGGKIALLTTLYKNINIRKCISIDLPPYETPELDPMILQNYDLIM RIIRRDVKILRGSPSWQKKVLELFKSLECNKRKCGGAVALYFANGFLSVKSNNVHQAQ LHYEQQQHDPYINYSMPLSSMPNLLDEVKKWPDLSNQRDFFQKGTASRKVLFMKGLQS NFINNDYSLLRYNFPCADVREFNTGHNLLLENPEDSFKCILNFFAEETLDFE YGR016W MSRLRRFNRKILSLSSDYTHDGESDQEDVSILPLDTEEQEELIQ KFETNAHITNKLYINLLSILYLLYGGLLMILVRKSRGYIKLALLAGANSLICSCITLR YDIVNDYLLFKKFKLRVSNFSINIINIILLVLMAWISFNHVVEDKKTVLCLQVPMFLF WVAVLVKRWARNIEDEIADLRCLKYKYKNA YGR017W MSHQMAPWIPMFIQSCKNNTEPFVSFQFATVDELTNKPRCRTVV FRDFLFHDKRTNVLTFNTDMRSSKITESFITPNSNNSSDSKRCETPFFEACFYFPETW EQYRFSGQCFTISKQFKKIPAEIVTKYDIFSPRFSETNDDSTDEEIDTPINDDDDDDK NNDADNNDINEDNKLIESIENDEHHEDEDDYYPQPQEWEAELLRQWSSLSRHTKSLYR KPAPGQKLTSETSKQLDKLHRGVDGAKEDAGLENFGIVCLCVDSVDFLNLKEGRGGER WIFQKTDGKDEDLWEEQEVCP YGR018C MIDISHGHTSCSSHRSSSLPSVFWKIHLSPPLPSFKFKKSTEST QRQTIPKFSSPASSLAPSTPLWSLSSCLLVSEVSFWPGAGFLYNDLVCLDREDHCLSN SASHSCG YGR019W MSICEQYYPEEPTKPTVKTESIPGPESQKQLKELGEVFDTRPAY FLADYEKSLGNYITDVDGNTYLDLYAQISSIALGYNNPALIKAAQSPEMIRALVDRPA LGNFPSKDLDKILKQILKSAPKGQDHVWSGLSGADANELAFKAAFIYYRAKQRGYDAD FSEKENLSVMDNDAPGAPHLAVLSFKRAFHGRLFASGSTTCSKPIHKLDFPAFHWPHA EYPSYQYPLDENSDANRKEDDHCLAIVEELIKTWSIPVAALIIEPIQSEGGDNHASKY FLQKLRDITLKYNVVYIIDEVQTGVGATGKLWCHEYADIQPPVDLVTFSKKFQSAGYF FHDPKFIPNKPYRQFNTWCGEPARMIIAGAIGQEISDKKLTEQCSRVGDYLFKKLEGL QKKYPENFQNLRGKGRGTFIAWDLPTGEKRDLLLKKLKLNGCNVGGCAVHAVRLRPSL TFEEKHADIFIEALAKSVNEL YGR020C MAEKRTLIAVIADEDTTTGLLLAGIGQITPETQEKNFFVYQEGK TTKEEITDKFNHFTEERDDIAILLINQHIAENIRARVDSFTNAFPAILEIPSKDHPYD PEKDSVLKRVRKLFGE YGR021W MLVRNRYLGELLKNSRSFSVLNSSVRSGHNKWSTIKHGKAKNDA ERNKINNKFANQIAMSVKLGNGITDPSMNIRLATSIELANKNNVSKKVIENAIRKASG SSASGKDSNASELCVYEGMGPGGVAIVVEALTDNKNRTIGLIRSAFNKANGSMTPTLF FFDKKGYVTMVPPKMLDTEDKVLESVLEIQGIEDIAPVQEDAEDLECDTETETTGQTY EAVMEPADTNKVAALLKERGFHIRDLGIGYNAKPDMEVFVQGDETLEKLQKLTTALED IDEVTSLYTNASNA YGR023W MASCNPTRKKSSASSLSMWRTILMALTTLPLSVLSQELVPANST TSSTAPSITSLSAVESFTSSTDATSSASLSTPSIASVSFTSFPQSSSLLTLSSTLSSE LSSSSMQVSSSSTSSSSSEVTSSSSSSSISPSSSSSTIISSSSSLPTFTVASTSSTVA SSTLSTSSSLVISTSSSTFTFSSESSSSLISSSISTSVSTSSVYVPSSSTSSPPSSSS ELTSSSYSSSSSSSTLFSYSSSFSSSSSSSSSSSSSSSSSSSSSSSYFTLSTSSSSSI YSSSSYPSFSSSSSSNPTSSITSTSASSSITPASEYSNLAKTITSIIEGQTILSNYYT TITYSPTASASSGKNSHHSGLSKKNRNIIIGCVVGIGAPLILILLILIYMFCVQPKKT DFIDSDGKIVTAYRSNIFTKIWYFLLGKKIGETERFSSDSPIGSNNIQNFGDIDPEDI LNNDNPYTPKHTNVEGYDDDDDDDANDENLSSNFHNRGIDDQYSPTKSASYSMSNSNS QDYNDADEVMHDENIHRVYDDSEASIDENYYTKPNNGLNITNY YGR024C MANSKFGYVRQFETHDVILPQCYIVVRIDGKKFHEFSKFYEFAK PNDENALKLMNACAKNLVLKYKNDIILAFGESDEYSFILKSSTTLFNRRKDKLATLFG SFFTSNYVALWAKFFPEKPLNIKHLPYFDSRCVAYPNLQTIKDYLSWRYVDTHINNLY NTTFWQLIIKCGLTPQESEKKLCGTFSNEKQEILFSECGINYNNEPEMFKKGSLVTRK GEILHINVIAQIDELFEGY YGR025W MTGYEPFKFFSIRMSSINSPSVIFKTIKTFNPGKTEEYRAKKAY VQDKGYLRQKRPCPFYYRIPTCTASKYSIGCASSKKLTYTRTKFKFNLVTQRENSL YGR026W MAKTIKVIRKKDPKKKNLSDPLAKQKLVWKIGHVLTLVFGLLFS ITYFYHVLIFFKYRSWKWLFLRVNKNYSFIQSKRWYMKLLSWSPQVMYRLSLIGVFMS ESVTMQQNWVGLNPTWNDLLSSENFHTLLIACLWFFGGGKSFYKILPYMILSYLHLTK MNYELNANKEEKIPLTPKDRKMLHLLAYSELLVILALTLDTILFKTGTSGFMLVIYVG IYWLRLNFSPYAQVAVLELLVKFEKYVPKKYRDKWQVIKNFIYMKMKEHEKRTEEVAR YA YGR027C MPPKQQLSKAAKAAAALAGGKKSKKKWSKKSMKDRAQHAVILDQ EKYDRILKEVPTYRYVSVSVLVDRLKIGGSLARIALRHLEKEGIIKPISKHSKQAIYT RATASE YGR027W-B MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVQYGDFYWV SKRYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIQQCGMEEVRGWSCVFKNSQVTICLFVDDMVLFSKNL NSNKRIIDKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YGR027W-A MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YGR028W MSRKFDLKTITDLSVLVGTGISLYYLVSRLLNDVESGPLSGKSR ESKAKQSLQWEKLVKRSPALAEVTLDAYERTILSSIVTPDEINITFQDIGGLDPLISD LHESVIYPLMMPEVYSNSPLLQAPSGVLLYGPPGCGKTMLAKALAKESGANFISIRMS SIMDKWYGESNKIVDAMFSLANKLQPCIIFIDEIDSFLRERSSTDHEVTATLKAEFMT LWDGLLNNGRVMIIGATNRINDIDDAFLRRLPKRFLVSLPGSDQRYKILSVLLKDTKL DEDEFDLQLIADNTKGFSGSDLKELCREAALDAAKEYIKQKRQLIDSGTIDVNDTSSL KIRPLKTKDFTKKLRMDATSTLSSQPLD YGR029W MKAIDKMTDNPPQEGLSGRKIIYDEDGKPCRSCNTLLDFQYVTG KISNGLKNLSSNGKLAGTGALTGEASELMPGSRTYRKVDPPDVEQLGRSSWTLLHSVA ASYPAQPTDQQKGEMKQFLNIFSHIYPCNWCAKDFEKYIRENAPQVESREELGRWMCE AHNKVNKKLRKPKFDCNFWEKRWKDGWDE YGR030C MINGVYYNEISRDLDISSSTQCLRFLKETVIPSLANNGNNSTSI QYHGISKNDNIKKSVNKLDKQINMADRSLGLQQVVCIFSYGPHIQKMLSILEIFKKGY IKNNKKIYQWNKLTSFDIKREGRNELQEERLKVPILVTLVSDSEIIDLNLHSFTKQ YGR031W MMILGKAGILAQYGTIYVRQNTIRNNLSSCIFKQSLCAFHSLAK VLQQKQVPLDLSYDIIKRDAVKTGDEGKPRPPIIILHGLFGNKLNNRSIGRNLNKKLG RDVYLLDLRNHGSSPHSSVHNYEVMSEDVKHFITKHELNTNGGPIIIGHSMGGKVAMM LVLKNPQLCSMLVCIENAPVSLRPNAEFVEYIKALMEIVNDKGKTIRTLKQADEHLAE RIGGNELVRRFLLTALKKVKMDNSSSVSSYTFEERIPLATLKDAIVKGEIAAWPLDPA RERWTRPALFIRATQSHYVVDEYLPIIGAFFPRFETRDIDAGHWVNAEKPGECAESIV DFVERHED YGR031C-A MNSAGRVHRSRAGSRGHAAISPLTMASFSVARGIRSSNVYDDTD DELSILTFFSAVRRNRLTSSLPPILSARCSSACFSVRIVLPLSLTISISALMYSTNSA LGRKLTGAFSIQTNIEQSCGFFRTSIMATLPPIECPIIIGPPLVFNSCFVIKCFTSSD MTS YGR032W MSYNDPNLNGQYYSNGDGTGDGNYPTYQVTQDQSAYDEYGQPIY TQNQLDDGYYDPNEQYVDGTQFPQGQDPSQDQGPYNNDASYYNQPPNMMNPSSQDGEN FSDFSSYGPPSGTYPNDQYTPSQMSYPDQDGSSGASTPYGNGVVNGNGQYYDPNAIEM ALPNDPYPAWTADPQSPLPIEQIEDIFIDLTNKFGFQRDSMRNMFDHFMTLLDSRSSR MSPEQALLSLHADYIGGDTANYKKWYFAAQLDMDDEIGFRNMKLGKLSRKARKAKKKN KKAMQEASPEDTEETLNQIEGDNSLEAADFRWKSKMNQLSPFEMVRQIALFLLCWGEA NQVRFTPECLCFIYKCASDYLDSAQCQQRPDPLPEGDFLNRVITPLYRFIRSQVYEIV DGRYVKSEKDHNKVIGYDDVNQLFWYPEGIAKIVMEDGTRLIDLPAEERYLKLGEIPW DDVFFKTYKETRSWLHLVTNFNRIWIMHISVYWMYCAYNAPTFYTHNYQQLVDNQPLA AYKWATAALGGTVASLIQVAATLCEWSFVPRKWAGAQHLSRRFWFLCVIMGINLGPVI FVFAYDKDTVYSTAAHVVGAVMFFVAVATLVFFSVMPLGGLFTSYMKKSTRSYVASQT FTASFAPLHGLDRWMSYLVWVTVFAAKYAESYFFLILSLRDPIRILSTTSMRCTGEYW WGNKICKVQPKIVLGLMIATDFILFFLDTYLWYIVVNTVFSVGKSFYLGISILTPWRN IFTRLPKRIYSKILATTDMEIKYKPKVLISQIWNAIIISMYREHLLAIDHVQKLLYHQ VPSEIEGKRTLRAPTFFVSQDDNNFETEFFPRDSEAERRISFFAQSLSTPIPEPLPVD NMPTFTVLTPHYAERILLSLREIIREDDQFSRVTLLEYLKQLHPVEWDCFVKDTKILA EETAAYENNEDEPEKEDALKSQIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRT ISGFMNYSRAIKLLYRVENPEIVQMFGGNADGLERELEKMARRKFKFLVSMQRLAKFK PHELENAEFLLRAYPDLQIAYLDEEPPLNEGEEPRIYSALIDGHCEILENGRRRPKFR VQLSGNPILGDGKSDNQNHALIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELGI EQIHPYTPGLKYEDQSTNHPVAIVGAREYIFSENSGVLGDVAAGKEQTFGTLFARTLA QIGGKLHYGHPDFINATFMTTRGGVSKAQKGLHLNEDIYAGMNAVLRGGRIKHCEYYQ CGKGRDLGFGTILNFTTKIGAGMGEQMLSREYYYLGTQLPIDRFLTFYYAHPGFHLNN LFIQLSLQMFMLTLVNLHALAHESILCVYDRDKPITDVLYPIGCYNFHPAIDWVRRYT LSIFIVFWIAFVPIVVQELIERGLWKATQRFFRHILSLSPMFEVFAGQIYSSALLSDI AVGGARYISTGRGFATSRIPFSILYSRFAGSAIYMGSRSMLMLLFGTVAHWQAPLLWF WASLSALIFAPFIFNPHQFAWEDFFLDYRDYIRWLSRGNNKYHRNSWIGYVRMSRSRV TGFKRKLVGDESEKSAGDASRAHRTNLIMAEIIPCAIYAAGCFIAFTFINAQTGVKTT DEDRVNSTLRIIICTLAPIVIDIGVLFFCMGLSCCSGPLLGMCCKKTGSVMAGIAHGI AVVVHIVFFIVMWVLEGFSFVRMLIGVVTCIQCQRLIFHCMTVLLLTREFKNDHANTA FWTGKWYSTGLGYMAWTQPTRELTAKVIELSEFAADFVLGHVILIFQLPVICIPKIDK FHSIMLFWLKPSRQIRPPIYSLKQARLRKRMVRRYCSLYFLVLIIFAGCIVGPAVASA HVPKDLGSGLTGTFHNLVQPRNVSNNDTGSQMSTYKSHYYTHTPSLKTWSTIK YGR033C MSSSLPRSLLRLGHRKPLFPRYNTFVNSSVITHTSLLRTRLYSN GTGATSGKKDDKTRNKPKPLWPQVKSASTFTFSGILVIGAVGISAIVIYLILSELFSP SGDTQLFNRAVSMVEKNKDIRSLLQCDDGITGKERLKAYGELITNDKWTRNRPIVSTK KLDKEGRTHHYMRFHVESKKKIALVHLEAKESKQNYQPDFINMYVDVPGEKRYYLIKP KLHPVSNSKGFLGIRWGPRKD YGR034W MAKQSLDVSSDRRKARKAYFTAPSSERRVLLSAPLSKELRAQYG IKALPIRRDDEVLVVRGSKKGQEGKISSVYRLKFAVQVDKVTKEKVNGASVPINLHPS KLVITKLHLDKDRKALIQRKGGKLE YGR035C MLLTPAKTTRTEDSANSTDDSSKSSNSFMRAIVSSLMVKPITSL TNTVTCRQSSHHNSSPSKITRYDLIKAAAENDLKRSKSQGREKSRRNSNRRNNEEIFV ANTASEIQRTKSSI YGR035W-A MLLYLYILYIALKTVSHLFFCNPCFRNLSVGDMLNPRLSLSFFT NHLLCPEAPLIIRGKGSYSAVGNFFSRKK YGR036C MNSTAAAINPNPNVIPFDDTYILYDSHDFLSFLSAYFSLMPILV LAFYLSWFIITRELEACIVAFGQLMNEIFNNVIKNIIKQPRPVSFGASFQNDTIRSGY GMPSAHSQFMGFCFTYNSLKIYTSWKNLNFLEKCIFSGALALLSFCVCFSRVYLHYHN LDQVIVGFSVGALTGSLYFFIVGIIRELGLINWFLKLRIVRLFYMTDSYNLAPLTLKE NYEAYWKRINQRSFNDKSKRD YGR037C MVSQLFEEKAKAVNELPTKPSTDELLELYALYKQATVGDNDKEK PGIFNMKDRYKWEAWENLKGKSQEDAEKEYIALVDQLIAKYSS YGR038W MTELDYQGTAEAASTSYSRNQTDLKPFPSAGSASSSIKTTEPVK DHRRRRSSSIISHVEPETFEDENDQQLLPNMNATWVDQRGAWIIHVVIIILLKLFYNL FPGVTTEWSWTLTNMTYVIGSYVMFHLIKGTPFDFNGGAYDNLTMWEQIDDETLYTPS RKFLISVPIALFLVSTHYAHYDLKLFSWNCFLTTFGAVVPKLPVTHRLRISIPGITGR AQIS YGR038C-B MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPGINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSH LVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YGR038C-A MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YGR039W MLFFTGLVILSYLLGGKKDWLLIMYEASDYLEFLNRLSSMLFKF ELFEHLSSTFLIFSHSKRAVKLLEIYRSRHISIYNVFLLRHPKYFQSVDIALYLTRSQ T YGR040W MARTITFDIPSQYKLVDLIGEGAYGTVCSAIHKPSGIKVAIKKI QPFSKKLFVTRTIREIKLLRYFHEHENIISILDKVRPVSIDKLNAVYLVEELMETDLQ KVINNQNSGFSTLSDDHVQYFTYQILRALKSIHSAQVIHRDIKPSNLLLNSNCDLKVC DFGLARCLASSSDSRETLVGFMTEYVATRWYRAPEIMLTFQEYTTAMDIWSCGCILAE MVSGKPLFPGRDYHHQLWLILEVLGTPSFEDFNQIKSKRAKEYIANLPMRPPLPWETV WSKTDLNPDMIDLLDKMLQFNPDKRISAAEALRHPYLAMYHDPSDEPEYPPLNLDDEF WKLDNKIMRPEEEEEVPIEMLKDMLYDELMKTME YGR041W MTKITRDVSITTENSKSTSGSATASSASLPENDHPIFHQPRARI RSGSLFIEGSDSFPSSEVKSYNVYIDDSKYSEILKGDTNSSSTDGKQVFEDARDDNFH QESHRDLEDSILDLVRRDPEVAAFPLPPPNSNERNRNSSNGSSAETNLNGHSSSGTIS TSVLLNMGSAEKHAGTTRGDHMESSSMKSFEKLGTRPSSLFYPPPEGTAPYQGPRATV SGNKSTRQTQGTYSFPSMRYGVDLVSPVEGAVDVAKSRVPNSTLNGTFPDKAFIPHEF QIPKKAWNRIPANKSTSLKTPRNHSLLIDILKPFEAADLANDQRSSSAVLKNTVHSNG QYNPTNETSGTRMQDQRQKNTNEIDLEKIPNPQVPLGIAMDTMRSPNQLHEKEYESNI EAGLASGVGKGDNSIKQHQYKKIPQEIDRDQQLSFQMETMPIQRIDSSSIRSFDSRIY GFSEIYSIPRVITTLCICLFVPPLFFFFSINGNNGVSNYRLMRMIMNYEHRIGLLKGF EWDIDVQWFRTLCFVLGCIEMLAIFASIGIGFGVGIIRE YGR042W MLSHIVEYECQYTDQLYKKRKIWHDGRLKYFQLNNRFMLYTEKD NVLLASEFKINSKELKAILNPEGFDIEEHRIFSQFLVIISNIIEEYDRDIQVAATHVR AYPSNLSVQKQRPLISDNAPSLNHISTAREVHSNIKVTTPNRKQTEDNATKGGFNISK LTLKVNKPFKKPKRILSTNVVNESNRPSIRSQKIQEVTPQLHETNTSTKVQTAGKVAL NNDNIAQGNYATITEEAKVRDGSDRKKDMANLSKSGKRRVGGIRRIVHEPLGI YGR043C MSEPSEKKQKVATSSLEQLKKAGTHVVADSGDFEAISKYEPQDS TTNPSLILAASKLEKYARFIDAAVEYGRKHGKTDHEKIENAMDKILVEFGTQILKVVP GRVSTEVDARLSFDKKATVKKALHIIKLYKDAGVPKERVLIKIASTWEGIQAARELEV KHGIHCNMTLLFSFTQAVACAEANVTLISPFVGRIMDFYKALSGKDYTAETDPGVLSV KKIYSYYKRHGYATEVMAASFRNLDELKALAGIDNMTLPLNLLEQLYESTDPIENKLN SESAKEEGVEKVSFINDEPHFRYVLNEDQMATEKLSDGIRKFSADIEALYKLVEEKM YGR044C MSPCYGQNSAIAKGSWNREVLQEVQPIYHWHDFGQNMKEYSASP LEGDSSLPSSLPSSTEDCLLLSLENTITVIAGNQRQAYDSTSSTEEGTAPQLRPDEIA DSTHCITSLVDPEFRDLINYGRQKGANPVFIESNTTEQSHSQCILGYPQKSHVAQLYH DPKVLSTISEGQTKRGSYHCSHCSEKFATLVEFAAHLDEFNLERPCKCPIEQCPWKIL GFQQATGLRRHCASQHIGELDIEMEKSLNLKVEKYPGLNCPFPICQKTFRRKDAYKRH VAMVHNNADSRFNKRLKKILNNTK YGR045C MSQITSKGRRILDKKIRTFPVGFTSRKVAGHVLNISPYFLLAFS YAENKGQSAFEEIKGSNVIDMSCVICFNFSCHLFVVIFISRSTETIPTTKLLLSKYIF YCVNALELTLFLSYKSYS YGR046W MLRVSENGLRFLLKCHSTNVSMFNRLLSTQIKEGRSSIDDAGII PDGTINERPNHYIEGITKGSDLDLLEKGIRKTDEMTSNFTNYMYKFHRLPPNYGSNQL ITIDKELQKELDGVMSSFKAPCRFVFGYGSGVFEQAGYSKSHSKPQIDIILGVTYPSH FHSINMRQNPQHYSSLKYFGSEFVSKFQQIGAGVYFNPFANINGHDVKYGVVSMETLL KDIATWNTFYLAGRLQKPVKILKNDLRVQYWNQLNLKAAATLAKHYTLEKNNNKFDEF QFYKEITALSYAGDIRYKLGGENPDKVNNIVTKNFERFQEYYKPIYKEVVLNDSFYLP KGFTLKNTQRLLLSRISKSSALQTIKGVFTAGITKSIKYAWAKKLKSMRRS YGR047C MAAGKLKKEQQNQSAERESADTGKVNDEDEEHLYGNIDDYKHLI QDEEYDDEDVPHDLQLSEDEYNSERDSSLLAEFSDYGEISEDDEEDFMNAIREASNFK VKKKKKNDKGKSYGRQRKERVLDPEVAQLLSQANEAFVRNDLQVAERLFNEVIKKDAR NFAAYETLGDIYQLQGRLNDCCNSWFLAAHLNASDWEFWKIVAILSADLDHVRQAIYC FSRVISLNPMEWESIYRRSMLYKKTGQLARALDGFQRLYMYNPYDANILRELAILYVD YDRIEDSIELYMKVFNANVERREAILAALENALDSSDEESAAEGEDADEKEPLEQDED RQMFPDINWKKIDAKYKCIPFDWSSLNILAELFLKLAVSEVDGIKTIKKCARWIQRRE SQTFWDHVPDDSEFDNRRFKNSTFDSLLAAEKEKSYNIPIDIRVRLGLLRLNTDNLVE ALNHFQCLYDETFSDVADLYFEAATALTRAEKYKEAIDFFTPLLSLEEWRTTDVFKPL ARCYKEIESYETAKEFYELAIKSEPDDLDIRVSLAEVYYRLNDPETFKHMLVDVVEMR KHQVDETLHRISNEKSSNDTSDISSKPLLEDSKFRTFRKKKRTPYDAERERIERERRI TAKVVDKYEKMKKFELNSGLNEAKQASIWINTVSELVDIFSSVKNFFMKSRSRKFVGI LRRTKKFNTELDFQIERLSKLAEGDSVFEGPLMEERVTLTSATELRGLSYEQWFELFM ELSLVIAKYQSVEDGLSVVETAQEVNVFFQDPERVKMMKFVKLAIVLQMDDEEELAEN LRGLLNQFQFNRKVLQVFMYSLCRGPSSLNILSSTIQQKFFLRQLKAFDSCRYNTEVN GQASITNKEVYNPNKKSSPYLYYIYAVLLYSSRGFLSALQYLTRLEEDIPDDPMVNLL MGLSHIHRAMQRLTAQRHFQIFHGLRYLYRYHKIRKSLYTDLEKQEADYNLGRAFHLI GLVSIAIEYYNRVLENYDDGKLKKHAAYNSIIIYQQSGNVELADHLMEKYLSI YGR048W MFSGFSSFGGGNGFVNMPQTFEEFFRCYPIAMMNDRIRKDDANF GGKIFLPPSALSKLSMLNIRYPMLFKLTANETGRVTHGGVLEFIAEEGRVYLPQWMME TLGIQPGSLLQISSTDVPLGQFVKLEPQSVDFLDISDPKAVLENVLRNFSTLTVDDVI EISYNGKTFKIKILEVKPESSSKSICVIETDLVTDFAPPVGYVEPDYKALKAQQDKEK KNSFGKGQVLDPSVLGQGSMSTRIDYAGIANSSRNKLSKFVGQGQNISGKAPKAEPKQ DIKDMKITFDGEPAKLDLPEGQLFFGFPMVLPKEDEESAAGSKSSEQNFQGQGISLRK SNKRKTKSDHDSSKSKAPKSPEVIEID YGR049W MQVSPAIVKGIAVSSLGLYAGILTSSTVISITTPINVLTQHLKN VLCTLGCWSTVLGGLATGAFGLSYYLAAPGERPNYLLCGLGVAPLSAAYLYLVSLFNH KLAPKCTRDQNDLEKQKDEKLPQHHPEVKDGEAACPFSKMNNAKTLKPESERSVKCHS YMSLHMSIVTGITIFTFGKCILDGFKA YGR050C MKTNLISSFAFRPHVRFGFLDFGYSLKSILDVTSQYHFIPIKLA YGLCDPLLIVYPDPSFSLAQAAGEKKKVVFPTYEDVPKLLLTFKQIMNTYRKGSLGQR QRQRVYGRNGNESHST YGR052W MYTKLRSIQSGTFSTVYKAWSTTHNRYVALKITPKYKTSEANMK NEYDVMKILSSCNPHPNICSMLDFYTDDSYYIMVLEYCECGDLYDFLDIAKSQGSPSS PSLIQIDMQKIIKQLCSAISFAHSLGIAHRDIKPENILLTINGDIKLADWGHAIQSPK SNDFQIGTDNYRAPETFSGRVSNSCFKKNFDRSSAPLYNTYQADYWSLGATIFYLMFG DCLFRVSKSKKVQHLKNFDEFEKDPFAFIYRKYVVPRLSCGYNDEEDLHVSLQHTRQY IWQDLPDIYDVFHLCKIMVDTLLKVSNAKERSMENFINEVDSAWNKDSSMDSCFSYQN KIDLFWEQWSVNTETVPAKFQLKNFEKPCLIQDGK YGR053C MLLKCVCRAGIISIKGIFPRWYSNSQKVANLGQITDYLVVKGVP NLLQKMFKESVLADNVVFRLFPTSHPYIPVLHGKSKYMASLNAMRMIVRKFILGDECR LHISSVKTLTSTSHDEEVKSALQSYNTITCNDKLVIKWQSCIPEDHCKITKLEINDRL KEEKRGNGAGGSFSMRSVPVIDYILHPTANNLNQSVISEYLENAAERSMENKVNETQN SKEDEKKKNDGDGKRSKKKRLSRLIKGTFIFEFNEENSKILVHTIEDVELIHYEKKIA TRGAFAC YGR054W MSSQFFLKTSQDIELFQSYPTFEQSNTNSKDFPVISSVLSPCGR FLALSTKENVKVFTGPCLDNVLLTMKLSDVYDLHFSPAGNYLSTWERASIQDPNHKNV KVWYLNKPFKKDCVSEDIVPAYEYQAKSQSGWFLQFSKLDNYGLRLFKHDLKIVKLSS ANADNFDFQSPFAVLSDDETSQHFTTYLISPAEHPTICTFTPEKGGKPAQLIIWALSE GKITKKIASKTFFKADSCQLKWNPLGNAILCLAITDFDSSNKSYYGENTLYLLSFQGV NGTLGGNSVRVSLTTGPVHDFTWSPTSRQFGVIAGYMPATISFFDLRGNVVHSLPQQA KNTMLFSPSGHYILIAGFGNLQGSVEILDRLDKFKCVSKFDATNTSVCKWSPGGEFIM TATTSPRLRVDNGVKIWHVSGSLVFVKEFKELLKVDWRSPCNYKTLENKDEAFFENHI INNWEPLPDSTTSSLDPKISNKSELQIHSSVQEYISQHPSREASSNGNGSKAKAGGAY KPPHARRTGGGRIVPGVPPGAAKKTIPGLVPGMSANKDANTKNRRRRANKKSSETSPD STPAPSAPASTNAPTNNKETSPEEKKIRSLLKKLRAIETLKERQAVGDKLEDTQVLKI QTEEKVLKDLEKLGWKDE YGR055W MSEGRTFLSQLNVFNKENYQFSSSTTKKEVSNSTVDADNGASDF EAGQQFATELDQGEKQLGILSCIGLICNRMLGTGVFAVSSTIYTLCGSVGLALIMWAV GAIIAISGLYVYMEFGTAIPKNGGEKNYLEAIFRKPKFFITCMYAAYIFFLGWAAGNS INTAIMFLTAADTEVTKWNQRGIGVAVVFFAFLINSLNVKIGLYLQNILGIFKIGIVL FISITGWVALGGGLKDGYQSHNFRNAFEGTETATAYGIVNALYSVIWSFVGYSNVNYA LGEVKNPVRTLKIAGPTSMVFLAIIYIFVNIAYFAVVPKDKLISSKLILAADFFDIVF GGQAKRAAAALVGLSALGNVLSVIFSQGRIIQQLGREGVLPFSNFFASSKPFNSPMVG LFQHFIVCTVTILAPPPGDAYLLVQNLISYPMNIINFAISAGLLWIYWQRRQGKIEWN PPIKAGVFVTGFFTLSNLYLIIAPYVPPSNGESVYSSMPYWIHCVIAWGIFFFGGVYY VVWAQLLPRWGHYKLVSKDVLGEDGFWRVKIAKVYDDTIGDVDTQEDGVIETNIIEHY KSEQEKSL YGR056W MVEQDNGFLQKLLKTQYDAVFHLKDENGIEIYPIFNVLPPKKEY PDYYIIIRNPISLNTLKKRLPHYTSPQDFVNDFAQIPWNAMTYNAKDSVIYKYAILLE SFIKGKIVHNIRKHYPEVTYPSLGRIPEIFAESMQPSDLSSNPINTQENDEKAGLNPE MKMAFAKLDSSITERKPTNQDYRMQQKNSPAFPTHSASITPQPLASPTPVVNYANITS AHPKTHVRRGRPPVIDLPYVLRIKNILKMMRREVDQNNKTLTLCFEKLPDRNEEPTYY SVITDPICLMDIRKKVKSRKYRNFHTFEEDFQLMLTNFKLYYSQDQSNIIRAQLLEKN FNRLVRIELSKPDEDYLPEGELRYPLDDVEINDEKYQIGDWVLLHNPNDINKPIVGQI FRLWSTTDGNKWLNACWYFRPEQTVHRVDRLFYKNEVMKTGQYRDHPIQDIKGKCYVI HFTRFQRGDPSTKVNGPQFVCEFRYNESDKVFNKIRTWKACLPEELRDQDEPTIPVNG RKFFKYPSPIADLLPANATLNDKVPEPTEGAPTAPPLVGAVYLGPKLERDDLGEYSTS DDCPRYIIRPNDPPEEGKIDYETGTIITDTLTTSSMPRVNSSSTIRLPTLKQTKSIPS SNFRSSSNTPLLHQNFNQTSNFLKLENMNNSSHNLLSHPSVPKFQSPSLLEQSSRSKY HSAKKQTQLSSTAPKKPASKSFTLSSMINTLTAHTSKYNFNHIVIEAPGAFVVPVPME KNIRTIQSTERFSRSNLKNAQNLGNTAINDINTANEQIIWFKGPGVKITERVIDSGND LVRVPLNRWFCKNKRRKLDYEDIEEDVMEPPNDFSEDMIANIFNPPPSLNLDMDLNLS PSSNNSSNFMDLSTIASGDNDGKECDTAEESEDENEDTEDEHEIEDIPTTSAFGLNSS AEYLAFRLREFNKL YGR057C MSSTVISLAHFCDKHGPRIISVTQSAEKGTLGEELLVPDYPTES YCESCLLQFPEESTRSMRCFIEDVPFITTQYSSIRYQLLNSIIKRAFSEETMIYDNMP FIFFDDLRGLNLVIGFKLYDENARGNERRYCFILTVDSRSHDDSMKMLSEHWNFIIGG FDKMIAYIKNIHKSEFLGKNKTVENNLETLNNNAFIGSYLRANKSKFGRNLVSLTDDK FLFVRIHKWNSFLLHTVMNENKLP YGR058W MCAKKLKYAAGDDFVRYATPKEAMEETRREFEKEKQRQQQIKVT QAQTPNTRVHSAPIPLQTQYNKNRAENGHHSYGSPQSYSPRHTKTPVDPRYNVIAQKP AGRPIPPAPTHYNNLNTSAQRIASSPPPLIHNQAVPAQLLKKVAPASFDSREDVRDMQ VATQLFHNHDVKGKNRLTAEELQNLLQNDDNSHFCISSVDALINLFGASRFGTVNQAE FIALYKRVKSWRKVYVDNDINGSLTISVSEFHNSLQELGYLIPFEVSEKTFDQYAEFI NRNGTGKELKFDKFVEALVWLMRLTKLFRKFDTNQEGIATIQYKDFIDATLYLGRFLP H YGR059W MKSKGSRLSTDCPVEFPKIVSGFAEEVKIRRQSSQGQYAVDSHP PKSPELKHRRQRSSSFVNGKCRNRDLPLLDNKKAQEINTNSHGQDIGIKNLPRQRELL NAKNGIDFTLMVAGQSGLGKTTFINSLFSTSLIDDDIKENKPIIRYKSIVEGDGTHLN FNVIDTPGFGNNMDNAFTWRTMVNYIDEEIRSYIFQEEQPDRTKMVDNRVHCCLYFLR PSNKGIDTLDVVTMKKLAKRVNLIPVIAKSDLLTKEELKNFKTQVREIIRVQDIPVCF FFGDEVLNATQDIFQKYPFSIIASNEYIFNEKGEKVKGRQYKWGAVDIENEKYCDFKI LQKTIFDWNLIDLVESTEDYYEKCRSEMLRTRLLKARDCLTTKSVDITEEQRKFLEEE MNFDEIEENKLKNYKCYEIINKTVMDKVATEWDPEFITRQLEAKKKFNELSNREISKF RDWKKSLFMEQENFNQEIEQLNHKLENLQLECQDLEYKLLIGKSSNSHSTDSATLVNV HIKR YGR060W MSAVFNNATLSGLVQASTYSQTLQNVAHYQPQLNFMEKYWAAWY SYMNNDVLATGLMFFLLHEFMYFFRCLPWFIIDQIPYFRRWKLQPTKIPSAKEQLYCL KSVLLSHFLVEAIPIWTFHPMCEKLGITVEVPFPSLKTMALEIGLFFVLEDTWHYWAH RLFHYGVFYKYIHKQHHRYAAPFGLSAEYAHPAETLSLGFGTVGMPILYVMYTGKLHL FTLCVWITLRLFQAVDSHSGYDFPWSLNKIMPFWAGAEHHDLHHHYFIGNYASSFRWW DYCLDTESGPEAKASREERMKKRAENNAQKKTN YGR061C MTDYILPGPKALSQFRVDNLIKDINSYTNSTSVINELRSCYIHY VNGIAQNLSEQDTKLLEVLLTYDSALDIANDPLARQLNDAVANNLPSSALGEDTYLIR VVPRSGTISPWSSKATNIAHVCGLQDKVQRIERGLALLIKTVPGFPLLENLNDISLKC VYDRMTQQLYLTEPPNTMSIFTHEEPKPLVHVPLTPKDTKQSPKDILSKANTELGLAL DSGEMEYLIHAFVETMKRDPTDVELFMFAQVNSEHCRHKIFNADWTIDGIKQQFTLFQ MIRNTHKLNPEYTISAYSDNAAVLDSENDAFFFAPNSTTKEWTSTKERIPLLIKVETH NHPTAVSPFPGAATGSGGEIRDEGATGRGSKTKCGLSGFSVSDLLIPGNEQPWELNIG KPYHIASALDIMIEAPLGSAAFNNEFGRPCINGYFRTLTTKVLNHQGKEEIRGFHKPI MIAGGFGTVRPQFALKNTPITPGSCLIVLGGQSMLIGLGGGAASSVASGEGSADLDFA SVQRGNPEMERRCQQVIDACVALGNNNPIQSIHDVGAGGLSNALPELVHDNDLGAKFD IRKVLSLEPGMSPMEIWCNESQERYVLGVSPQDLSIFEEICKRERAPFAVVGHATAEQ KLIVEDPLLKTTPIDLEMPILFGKPPKMSRETITEALNLPEANLSEIPSLQDAIQRVL NLPSVGSKSFLITIGDRSVTGLIDRDQFVGPWQVPVADVGVTGTSLGETIISTGEAMA MGEKPVNALISASASAKLSVAESLLNIFAADVKSLNHIKLSANWMSPASHQGEGSKLY EAVQALGLDLCPALGVAIPVGKDSMSMKMKWDDKEVTAPLSLNITAFAPVFNTSKTWT PLLNRNTDDSVLVLVDLSAKQETKSLGASALLQVYNQVGNKSPTVYDNAILKGFLESL IQLHQQKEDIVLAYHDRSDGGLLITLLEMAFASRCGLEINIDGGDLESQLTNLFNEEL GAVFQISAKNLSKFEKILNENGVAKEYISIVGKPSFQSQEIKIINSTTNDVIYANSRS ELEQTWSKTSYEMQKLRDNPKTAEEEFASITDDRDPGLQYALTYNPADDMKIGLELSS QRPKVAILREQGVNGQMEMAWCFQQAGFNSVDVTMTDLLEGRFHLDDFIGLAACGGFS YGDVLGAGAGWAKSVLYHEGVRSQFSKFFNERQDTFAFGACNGCQFLSRLKDIIPGCE NWPSFERNVSEQYEARVCMVQISQEKDNSSEESVFLNGMAGSKLPIAVAHGEGKATFS KSAEQLEKFEKDGLCCIRYVDNYGNVTERFPFNPNGSTNGIAGIKSPNGRVLAMMPHP ERVCRLEANSWYPEGKYEEWGGYGPWIRLFRSARRWVG YGR062C MLKRLANRQNGFASFSCSSVGLRYGRTNPSTKRSFSLFQSVADT FLTVHEASHIPWIVLVPLTTMTLRTLVTLPFSIWQRRRILKQQELRKLVQPITPIIKL RLAAVTNKKSRNAARISSNGSFMPLQLQNAGVLTPEQITLLAVKETRKRQKKLFKKYN VPLWKNALLPMVQIPLWVTVSMGIRTLTETQLIESFYPSWFSALGFSSFDLSSPLVAM PLLAPILVGTLAVLNVELNGRLMFSSSLSSQGIKTISRNSTRVQEAMTSILNVSRLGC VVMLAMSSQAPFLLSLYWISSQLFSLVQNIILNWIYPYQR YGR063C MSSERACMLCGIVQTTNEFNRDGCPNCQGIFEEAGVSTMECTSP SFEGLVGMCKPTKSWVAKWLSVDHSIAGMYAIKVDGRLPAEVVELLPHYKPRDGSQVE YGR065C MTISNKSWRSYFPHLRKLPEDDQYLYSDDTNSSIIAEEELHHSV DKSSKTDVTAETTAVEPHPHNLRHDLPYEVRDEAGRKWWKYFDEFEYRVNKEYKKSRK WYEFLYPNHTTQTKAERRLLYKLDIIIALYFFMLCWSKSVDLNNYTNAYVSNMKEDLN MKGNDYVYTSTIANVGAIVFQLPFMYLLPRFPSHIILPVMDLGWTWFTFACYRANSLA ELRAYRFILSAFGAAYYPVSQYILGCWYAPDEINSRVCLFFCGQQLGSVTSGLLQSRI FKSLNGVHGLAGWRWMFLIDAIAISLPTAIIGFFVIPGVPSKCYSLFLTDEEIRIARA RNKRNQIKDGVDKSKLAPLWSRKLWKKVFCTPAFWVLVVFDTCSWNNMTAYSGSYTLW LKSNTKYSIAQVNNLSVIPACLGFAYVIFCAFGADLFRCKWIFMVFAAIMNTVSCALL IKWDIPSKAKWYAFFTTYFSVAASPCLWSFINDFLRFDPQVKAITWIAIYSFSQSTYA WIPTLAWPTVESPRFKTGYTVSLIFGAIYGLWTFVVLFFYKRNEKKHALGNGIILYDS NKGEELPEFVKKNMEERDGYYYLKRSS YGR066C MTSLNIMGRKFILERAKRNDNIEEIYTSAYVSLPSSTDTRLPHF KAKEEDCDVYEEGTNLVGKNAKYTYRSLGRHLDFLRPGLRFGGSQSSKYTYYTVEVKI DTVNLPLYKDSRSLDPHVTGTFTIKNLTPVLDKVVTLFEGYVINYNQFPLCSLHWPAE ETLDPYMAQRESDCSHWKRFGHFGSDNWSLTERNFGQYNHESAEFMNQRYIYLKWKER FLLDDEEQENLMLDDNHHLEGASFEGFYYVCLDQLTGSVEGYYYHPACELFQKLELVP TNCDALNTYSSGFEIA YGR067C MAAGQKKYICSFCLKPFSRSEHKIRHERSHAGVKPFQCQVCKHS FVRRDLLQRHIRTVHRTFLLSSYASMTGDKADIPVSLGVGDVDSTSPRDIKMETLVNS MIKVNSGLINIHYHSSNVEKMDKQQRCVIGKESSSLKKGKSRFKQVKSRLESSISVKI LQEYSLDFISSRDILTFFRMGVSHLVENKIFQNFFPDLFSSLQNDELVESFWINKPFG LIIACLGMSISLNQDSQKLWFICCTNLYASSSKHDNDFDTEDILSQTEQHDVFALILF YSLLVMLENNIPVSNSIKKFDVFSMLQDILKPFTVASSSYHYLNSKENAWFIFDLWVN ILRDSNNFNNDSLLIFGWFVNQEFISSNPLKDFIYKGPSMSTTDLTLKHINILADSAY VYFIIKKTYPQELPSDFRVHDLLVYLNECFVMQQPIKPETSANPSLFANVMNARITDC KSKSNWLLWETIWFEFINNLTLRNGTTRNIWFIDNFPQVSTSCLLHHSSSFVDETLIT TNLSIISMLLNLKSFTLASLNPRNIQLITDIVSFQLKLFSSELIASSDVSPSQVSQLL VNPNVHLMLYFWFDTIYVQRQSYLSSTEKEEFEKVEVFVNDYIITHQKNLVTDLHSIL FDFWSDSFIAYHILLHAIVSSLRDNILYPYLIYSPHLNDQTKALLTDISNWSCFALQQ PFRKTSRGSLSGASDMKSFSVASCLPLSPNFLKRDSNCNKILLPPLDIKAIEPISTSN YTYVNSAPKQQEKEQPLLRATGNNINLVQTIVVPPQVNMESQEFSASSTDNKQSKNIE IFSQIK YGR068C MFSLSSLSSSGGHSEQKERERISYFDIRINSPYKDIILIQGSPL ELSSIPLSGNLVISVKNEIVVKKISLRLVGRFKLEFLQVGRYKKNSSSLASLVKEKRK IFECYWDNLLVSSKGDVLVGGENAENQHNSSSGRSTSNQDMDTSGNAIFLSKRSLSSP VFNKIIRRKTHSSHRKILELPENGVTGTPFEGLRENARSRSSSSNTLNNNSHSYSNRD GSGSSYLFLMKRGNYELPFNTMLPPEVCETIEGLQSGSILYSFEAIIDGRQLWDTDLS VHTSPHGPIGSTSTSGNGMRTKNKIIIKKFKYLRILRTLSMDNLAMQEEISVGNTWRD KLQYETSIPSRAVPIGSTTPVKIKIFPFEKNIRLDRIEMALIQYYAMKDSSAQIYDDE IAVMKITHLADFGPLTDKLDVDCPFTIPDNLKQITQDCCLQDNLIRVMHKLQVRILLQ RQVDGEYKNLEIKAQLPMLLFISPHLPMKGRLVLFDKHDGKIHFRPGELVPLFLTTYP AQGLTPGVELNSTTTAHLALPQPPPNYHESTNDHLMPALQPLGADSVVLTVPSYEQAQ AQASASSYVTGSVPAYCDDD YGR070W MNSNELDLRNKYFYEIFGKKRKSDTSTPTQLFSGSKVQTNINEI SITNDEDEDSTEDENKASLKDYTLGHDTGARYRIAPDCSSHQLKASPVLHISTNLNSS PQSFTGDQISPTNKKISINDSTRQDKGNSCTTTSSPSQKRSNVLLPHVRKHSSPSLLS FSKNSGSHMGDPNQLSTPPTPKSAGHTMELHSSFNGKHSSSSTSSLFALESLKTQNRR SSNSSNHSSQYRRHTNQHQRHHSRSKSSPVSLTEISMIKGTPLVYPALLSLIAIKFKQ TIKLSTHKKMGLLYRDSFTGKQAIDTLCLIIGSLDRNLGMLIGKSLEAQKLFHDVLYD HGVRDSVLEIYELSSESIFMAHQSQSSTSIANTFSSSSSSVNSLRTKTEIYGVFVPLT HCYSSTCSLEKLCYSISCPNRLQQQANLHLKLGGGLKRNISLALDKEDDERISWTNSV PKSVWESLSKQQIKRQEAIYELFTTEKKFVKSLEIIRDTFMKKLLETNIIPSDVRINF VKHVFAHINEIYSVNREFLKALAQRQSLSPICPGIADIFLQYLPFFDPFLSYIASRPY AKYLIETQRSVNPNFARFDDEVSNSSLRHGIDSFLSQGVSRPGRYSLLVREIIHFSDP VTDKDDLQMLMKVQDLLKDLMKRIDRASGAAQDRYDVKVLKQKILFKNEYVNLGLNNE KRKIKHEGLLSRKDVNKTDASFSGDIQFYLLDNMLLFLKSKAVNKWHQHTVFQRPIPL PLLFICPAEDMPPIKRYVTENPNCSAGVLLPQYQTSNPKNAIVFAYYGTKQQYQVTLY APQPAGLQTLIEKVKQEQKRLLDETKHITFKQMVGQFFHSYINTNRVNDVLICHAGKI LLVATNMGLFVLNYATSINQKPVHLLHKISISQISVLEEYKVMILLIDKKLYGCPLDV IDDAENADFLFRKNSKVLFKYVAMFKDGFCNGKRIIMIAHHFLHAVQLLIVNPLIFDF NSGNFKKNLKAGLVDFSVDSEPLSFSFLENKICIGCKKNIKILNVPEVCDKNGFKMRE LLNLHDNKVLANMYKETFKVVSMFPIKNSTFACFPELCFFLNKQGKREETKGCFHWEG EPEQFACSYPYIVAINSNFIEIRHIENGELVRCVLGNKIRMLKSYAKKILYCYEDPQG FEIIELLNF YGR071C MNTALDDLHGDLVTLEDNEIINNSDHSSSHSTSHEEEDEEEDDT EDIELIEKDGNKILSSRIHPEDEIINDGLNIWIPVQMLKKNIAKFWSHFLAIEKKLTK VKCKHCGEILTRSDASLTKTFRSHLKTKHNISANKNFYSMNFTVGDSNLKNNTSSTEI TRRHGYDSLTFNSDQSFKCFDIGKLQSSNYLSISQLVAIVIASENLPLNFFENVSFKS LLSKFHRIPPLTTNIIEESIIGLSKSIDELIRRSISRNDTQLPFTIHLSDSKESNQPL YLKYSREIRAQLSNLDLSHLISVNFTELAGKRSLFSLQLFDNTNKVSKGLPLSIFVRK TTDIDISVWQEQLNNLYSKYPGLQKSVISITLPQSHYTMVLENRNSHNFTFHSGSVRE IKYHTCIVSELLHCFLQPLFNVPTESMLSSFSVAKENHSGGSLLDSLIDFSHIDLSST ILGKICCLIEEVNLNDSLKSDFLLYCQNYTQPNCNELTSILSCNCDRFSALKSILEKF ANLVPFFKSINSHLENESLSESDFRLINTVEETLRTFEQSIEYFASSAPLKFTHTLVF IIKFELYLTEIIRSFKFTKSKKPFEKILARLLKVKDLYLLDDVNLIGAFLYPSIFQSK SLLNEIFGTTSVNKIVHNMTKIVLRYLKNFINITNFRSSNSGGESGRNSGNNLLSDYE AIFMKESRDVELLCNTKLTAPLTEDSLLVQIIRDDLLRYVNRIAHELPNAYHDYLNDN DISFDGSHFTKHELSEENDSNSGEWCLNPMEETFDIHIPISDSIWNNYISSKNKIEVI DILLQLLSVNSTSSIRSELSSLTANQDFSTKLSEETIKIKLLNSQFNLEKINFHSGSI FDAC YGR072W MSNVAGELKNSEGKKKGRGNRYHNKNRGKSKNETVDPKKNENKV NNATNATHNNSKGRRNNKKRNREYYNYKRKARLGKSTENEGFKLVIRLLPPNLTADEF FAILRDNNNDDGDKQDIQGKLKYSDWCFFEGHYSSKVFKNSTYSRCNFLFDNLSDLEK CANFIKTCKFIDNKDNITIPDMKLSPYVKKFTQTSKKDAALVGTIEEDEIFKTFMNSM KQLNENDEYSFQDFSVLKSLEKEFSKSIELENKIAERTERVLTELVGTGDKVKNKNKK KKNKNAKKKFKEEEASAKIPKKKRNRGKKKRENREKSTISKTKNSNVVIIEEAGKEVL KQRKKKMLLQEKLKISNSSQPQSSSAQTQPSFQPKENLFVPRVKILHRDDTKK YGR074W MKLVNFLKKLRNEQVTIELKNGTTVWGTLQSVSPQMNAILTDVK LTLPQPRLNKLNSNGIAMASLYLTGGQQPTASDNIASLQYINIRGNTIRQIILPDSLN LDSLLVDQKQLNSLRRSGQIANDPSKKRRRDFGAPANKRPRRGL YGR075C MAVNEFQVESNISPKQLNNQSVSLVIPRLTRDKIHNSMYYKVNL SNESLRGNTMVELLKVMIGAFGTIKGQNGHLHMMVLGGIEFKCILMKLIEIRPNFQQL NFLLNVKNENGFDSKYIIALLLVYARLQYYYLNGNNKNDDDENDLIKLFKVQLYKYSQ HYFKLKSFPLQVDCFAHSYNEELCIIHIDELVDWLATQDHIWGIPLGKCQWNKIYNSD EESSSSESESNGDSEDDNDTSSES YGR076C MSYKQYFDSLPLKLKSFFQRYPPSIKYSPVSTSTKAINANPFLP NKHPVTQRFHDPKYSLRRMSDVYKLALRYGVEEFLPPIENTKKLFFEEKYNKKTLMKG VLLPKGHKHELKLNEKLKKREEALKKVDELIASKKGSKYAKRVEKMKKNQSIGWF YGR077C MFDHDVEYLITALSSETRIQYDQRLLDEIAANVVYYVPRVKSPD TLYRLVGALFRSQFIVQLPPLRLLHIVKDVFLWKLEVSEPTLPISKFYLVWNAVFESH RATWNLSQLMVLDGVLVTYPSFKQLNNAYFIDESSNKTALYYRNWKLQLFSPIWAQLW NTAIVRANLSIQHCLLIALALLFNQSNRSALLHGVDVSWNLVTEKLLDLLEEYVHGIV QPMEIFSTDSVLSTNLNHLASCLTSSITRSNEATLVNSVRKLERICRYLSDTVASLKE QQLDFKFQNVFILIILALKELSAMNMTILPNHKDTFYSMICLSLFHVHVLTQKIGTVG FPSYDYVYDNLVTYFIVMDDLSKITTVLELMKRNNTKQDPNKLVFYINFLNKITNYYG CRIRLPFITEFIEPLLHFDVFFSGKTGNTLDIEIKESIHTLTITVLSIDSSYSSQVAQ WQVSRILVYLKMSMDQFIAGKLSANQILLIFGHLSTQLPSLHNYNKHLLRDSLHETYI RIVNVKNPEKKNVLIECLIVQIAFINNPHHLIGWLNICLQLINTHNKKLLQQLWEMVS SLESSLAIDWWYTTVLSSQSSKL YGR078C MDTLFNSTEKNARGIPQAPFIENVNEIIKDPSDFELCFNKFQER LSKYKFMQESKLATIKQLKTRIPDLENTLKICQSLRNHSDEGDESDEPILLHYQLNDT LYTKAQVDIPEDRADLKVGLWLGADVMLEYPIDEAIELLKKKLADSEQSLTVSTEDVE FLRENITTMEVNCARLYNWDVQRRQDLKQAQEGTKNLKI YGR079W MSSAANEGCVYLFIVVLRLSSFSCVNSFIHSFTRSRTRSSYSLD ERSLVSYSIVYVAMNKSSKAFQVPNKVITKEDITPLSRSHTKKADTRGTADGKNTTAS AVEATPIIITTARSIDTAGSLSENATEDDGTQNGDLHDDDDDDDLESTLGYSSEPDPL FSPCHQPSFTNSTFSYSADNELPMEENHNKNNFHDSSESSIFLPQIQQSFFFGDNSKS DANNTDFWKEVNGTAEEAICLQETRQRKCSLVALHPGDATTSSNDTLGIEDFIKDDIN SAEAMEPSPSSSPSSSLLDNLDYNIKLLCYRDNEGKFTLKKRKFLKNSLRSSSAISKK WKPLSKRDKLLKRAIRRKSGVCQTLSAGFGIGEFML YGR080W MSTQSGIVAEQALLHSLNENLSADGIVIIIAKISPDSTSVHQTQ VARSFEELVQLASQEREPLYIFYKPEGLDKYFFVSFIPDGSPVRSRMLYASTKNTLAR QVGSNSLSTEQPLITDAQDLVDLKNFDSARPAGQNKPLTHDEEMQIEINKQQALLRKN TSVKLVSQDSASPLSLTFRVNSEKPINEILDSEGKNLIIFQIDPSNETIQIVQSDTCP SVDELYIDLPGPSYTIFRQGDSSFFIYSCPSGSKVKDRMIYASNKNGFINYLKNDQKI AFSKVVEIGDFVELDKSLLMATNKEDSLDHGSNPDLPNKSNLKFNKPKGPLRKRRT YGR081C MVAKKRNTLRSKVSARNSQNFGPDVANNGILDESYDIESDPRAF LHQPKETKKEKLLNRQNTFLSNLKGKSTLNDGIAANFDGISKSSIRRRKRKLREELKP RMQDLLTSLEQEKDLRGIIENSSKDMNNDDDIDMDSKIRFVDTKEMNLKKIEPGSVRI KKNQPNIRNQKGAKALAANETARFNQVLTNQDFQKNPFGALREVIKLQKQ YGR082W MSQSNPILRGLAITTAIAALSATGYAIYFDYQRRNSPQFRKVLR QRAKEQAKMEEQAKTHAKEVKLQKVTEFLSMELAKDPIPSDPSEREATFTTNVENGER LSMQQGKELEAASKFYKALTVYPQPADLLGIYQRSIPEAIYEYIILMIAILPPANVAS FVKGVVGSKAESDAVAEANDIDD YGR083C MSESEAKSRSATPPSKAKQATPTTTAAANGEKKLTNKELKELKK QEKAAKRAAMKQANGISIEQQQQQAQMKKEKKQLQREQQQKREQKQKNANKKKQNERN VKKSTLFGHLETTEERRATILALTSAVSSPKTSRITAAGLMVPVVASALSGSNVLTAS SLMPVGPNASSTVSASAPASTTTTLPASSAALSAGTSSASTNTPTAIQQEIASSNASD VAKTLASISLEAGEFNVIPGISSVIPTVLEQSFDNSSLISSVKELLLNKDLIHPSILL LTSHLAHYKIVGSIPRCIAMLEVFQIVIKDYQTPKGTTLSRNLTSYLSHQIDLLKKAR PLSVTMGNAIRWLKQEISLIDPSTPDKAAKKDLCEKIGQFAKEKIELADQLIIDNAST QIEESTTIVTYGSSKVLTELLLHNAISLKKNIKVIVVDSRPLFEGRKMAETLRNAGVN VMYALITSLDTIFNMDVDYVFLGAHSILSNGFLYSRAGTAMLAMSAKRRNIPVLVCCE SLKFSQRVQLDSVTFNELADPNDLVNIDYENPVERRGNKGALLNQFIKERKFEKKKLA MENKPKGNKIGGKKGSEGESKDASNEEDSNSKNILDGWQELPSLNIVNILYDLTPPEY IKKVITEFGALPPSSVPVILREYKGSA YGR084C MGTITVVINEGPILLIRALHRATTNKKMFRSTVWRRFASTGEIA KAKLDEFLIYHKTDAKLKPFIYRPKNAQILLTKDIRDPKTREPLQPRPPVKPLSKQTL NDFIYSVEPNSTELLDWFKEWTGTSIRKRAIWTYISPIHVQKMLTASFFKIGKYAHMV GLLYGIEHKFLKAQNPSVFDIEHFFNTNIMCALHRNRLKDYKDAEIAQRKLQVAWKKV LNRKNNTGLANILVATLGRQIGFTPELTGLQPVDISLPDIPNSSSGAELKDLLSKYEG IYLIARTLLDIDQHNAQYLELQEFIRQYQNALSESSDPYDTHLKALGLLETPPPQEST EKEEK YGR085C MSTKAQNPMRDLKIEKLVLNISVGESGDRLTRASKVLEQLSGQT PVQSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYQLRDRNFSATGNF GFGIDEHIDLGIKYDPSIGIFGMDFYVVMNRPGARVTRRKRCKGTVGNSHKTTKEDTV SWFKQKYDADVLDK YGR086C MHRTYSLRNSRAPTASQLQNPPPPPSTTKGRFFGKGGLAYSFRR SAAGAFGPELSRKLSQLVKIEKNVLRSMELTANERRDAAKQLSIWGLENDDDVSDITD KLGVLIYEVSELDDQFIDRYDQYRLTLKSIRDIEGSVQPSRDRKDKITDKIAYLKYKD PQSPKIEVLEQELVRAEAESLVAEAQLSNITRSKLRAAFNYQFDSIIEHSEKIALIAG YGKALLELLDDSPVTPGETRPAYDGYEASKQIIIDAESALNEWTLDSAQVKPTLSFKQ DYEDFEPEEGEEEEEEDGQGRWSEDEQEDGQIEEPEQEEEGAVEEHEQVGHQQSESLP QQTTA YGR087C MSEITLGKYLFERLKQVNVNTIFGLPGDFNLSLLDKIYEVDGLR WAGNANELNAAYAADGYARIKGLSVLVTTFGVGELSALNGIAGSYAEHVGVLHVVGVP SISAQAKQLLLHHTLGNGDFTVFHRMSANISETTSMITDIATAPSEIDRLIRTTFITQ RPSYLGLPANLVDLKVPGSLLEKPIDLSLKPNDPEAEKEVIDTVLELIQNSKNPVILS DACASRHNVKKETQKLIDLTQFPAFVTPLGKGSIDEQHPRYGGVYVGTLSKQDVKQAV ESADLILSVGALLSDFNTGSFSYSYKTKNVVEFHSDYVKVKNATFLGVQMKFALQNLL KVIPDVVKGYKSVPVPTKTPANKGVPASTPLKQEWLWNELSKFLQEGDVIISETGTSA FGINQTIFPKDAYGISQVLWGSIGFTTGATLGAAFAAEEIDPNKRVILFIGDGSLQLT VQEISTMIRWGLKPYLFVLNNDGYTIEKLIHGPHAEYNEIQTWDHLALLPAFGAKKYE NHKIATTGEWDALTTDSEFQKNSVIRLIELKLPVFDAPESLIKQAQLTAATNAKQ YGR088W MNVFGKKEEKQEKVYSLQNGFPYSHHPYASQYSRPDGPILLQDF HLLENIASFDRERVPERVVHAKGGGCRLEFELTDSLSDITYAAPYQNVGYKCPGLVRF STVGGESGTPDTARDPRGVSFKFYTEWGNHDWVFNNTPVFFLRDAIKFPVFIHSQKRD PQSHLNQFQDTTIYWDYLTLNPESIHQITYMFGDRGTPASWASMNAYSGHSFIMVNKE GKDTYVQFHVLSDTGFETLTGDKAAELSGSHPDYNQAKLFTQLQNGEKPKFNCYVQTM TPEQATKFRYSVNDLTKIWPHKEFPLRKFGTITLTENVDNYFQEIEQVAFSPTNTCIP GIKPSNDSVLQARLFSYPDTQRHRLGANYQQLPVNRPRNLGCPYSKGDSQYTAEQCPF KAVNFQRDGPMSYYNFGPEPNYISSLPNQTLKFKNEDNDEVSDKFKGIVLDEVTEVSV RKQEQDQIRNEHIVDAKINQYYYVYGISPLDFEQPRALYEKVYNDEQKKLFVHNVVCH ACKIKDPKVKKRVTQYFGLLNEDLGKVIAECLGVPWEPVDLEGYAKTWSIASAN YGR089W MEEQFTNQKKVSHLQSLMNTKRSEQPTEFAKKHRFKDTLALFLV FLSFNHFTSLCLLVSFIVATKCKDFLANCFIILFLSKKPSRHIGEVAHIDISTSKVTN GSSNRKSNSRFFGNSKNSFVIPIPVLICEILFAMLLKIYGGDYFVKPIKNLAISIIAS FLINDPSDCLSYATSCSVLYAVSTNTFQRVSHFFDIIQLFDMSLRGTGQSIKLFTVFR KYSQFFKKLFSLFLPMPFKMLGKHSDSMIYYLSFHILFFSFASSLLHPHRQTAENKPL KKGFNSTKPDVARVQGLQKMRISSSSSVSADSNTLEDQSPMIPNDPGGLSSSNQTIHP SQQNNSPVPLSSHSNILNPAASYPTDATSSFPYFTSMVKEYKSYQPSVISAEGSNSQA VTTTTSTTTSPTTFNFSGDNTSLSNEISLSDSSNGNSKKDSDFFSPSNDKYTNQLFEL NVDFGNMFSSSKLSSDISVTSNLENFIRLLFRRKNQHLIAPLWSMVVTLKTTNFEKKY LQETSENSLTPTNSNTSYVSNQEKHDKDLDTINTHSVSSRISFTHAGKFKKSVFNNFE PSNTMALIAKTTSDDYNLLNLVSTNENIFNRNDNDYKVCIIDISTNSITFHIENLHDG ELIVLVNGVIWSEVSCALILEHVGEEYVVVNGLVPSCSYDIQFINRLNHRDDYLVSDL IVRTCGNNNAIAGKFENLDFSFPSYYHRKFLSPLLTLKHSVLTTNANLSDERTKLKKT KKEFSKKLSLLRQEIDYFKGRISQNATHDEKSTLKVENLKVALQQSETAVNKLEMQLK TLTEKELELEEEYLKKKDLHLKNQLEFSKLEESLSKDLKNSEGRFQKVNQELVQLGSK LDKLNARNEKLQKEVDQNAEEIEKFSTQFLSKREKDRFRRKEYRIREANKFELTIKGL EQDINRLENENENIHSLIGNSY YGR090W MATSVKRKASETSDQNIVKVQKKHSTQDSTTDNGSKENDHSSQA INERTVPEQENDESDTSPESNEVATNTAATRHNGKVTATESYDIHIARETAELFKSNI FKLQIDELLEQVKLKQKHVLKVEKFLHKLYDILQEIPDWEEKSLAEVDSFFKNKIVSV PFVDPKPIPQNTNYKFNYKKPDISLIGSFALKAGIYQPNGSSIDTLLTMPKELFEKKD FLNFRCLHKRSVYLAYLTHHLLILLKKDKLDSFLQLEYSYFDNDPLLPILRISCSKPT GDSLSDYNFYKTRFSINLLIGFPYKVFEPKKLLPNRNCIRIAQESKEQSLPATPLYNF SVLSSSTHENYLKYLYKTKKQTESFVEATVLGRLWLQQRGFSSNMSHSGSLGGFGTFE FTILMAALLNGGGINSNKILLHGFSSYQLFKGVIKYLATMDLCHDGHLQFHSNPENSS SSPASKYIDEGFQTPTLFDKSTKVNILTKMTVSSYQILKEYAGETLRMLNNVVQDQFS NIFLTNISRFDNLKYDLCYDVQLPLGKYNNLETSLAATFGSMERVKFITLENFLAHKI TNVARYALGDRIKYIQIEMVGQKSDFPITKRKVYSNTGGNHFNFDFVRVKLIVNPSEC DKLVTKGPAHSETMSTEAAVFKNFWGIKSSLRRFKDGSITHCCVWSTSSSEPIISSIV NFALQKHVSKKAQISNETIKKFHNFLPLPNLPSSAKTSVLNLSSFFNLKKSFDDLYKI IFQMKLPLSVKSILPVGSAFRYTSLCQPVPFAYSDPDFFQDVILEFETSPKWPDEITS LEKAKTAFLLKIQEELSANSSTYRSFFSRDESIPYNLEIVTLNILTPEGYGFKFRVLT ERDEILYLRAIANARNELKPELEATFLKFTAKYLASVRHTRTLENISHSYQFYSPVVR LFKRWLDTHLLLGHITDELAELIAIKPFVDPAPYFIPGSLENGFLKVLKFISQWNWKD DPLILDLVKPEDDIRDTFETSIGAGSELDSKTMKKLSERLTLAQYKGIQMNFTNLRNS DPNGTHLQFFVASKNDPSGILYSSGIPLPIATRLTALAKVAVNLLQTHGLNQQTINLL FTPGLKDYDFVVDLRTPIGLKSSCGILSATEFKNITNDQAPSNFPENLNDLSEKMDPT YQLVKYLNLKYKNSLILSSRKYIGVNGGEKGDKNVITGLIKPLFKGAHKFRVNLDCNV KPVDDENVILNKEAIFHEIAAFGNDMVINFETD YGR091W MSSEEDYFDELEYDLADEVNEEKEDIQTKKLTTVNCQTEKFNPF EILPESIELFRTLALISPDRLSLSETAQILPKIVDLKRILQQQEIDFIKLLPFFNEII PLIKSNIKLMHNFLISLYSRRFPELSSLIPSPLQYSKVISILENENYSKNESDELFFH LENKAKLTREQILVLTMSMKTSFKNKEPLDIKTRTQILEANSILENLWKLQEDIGQYI ASKISIIAPNVCFLVGPEIAAQLIAHAGGVLEFSRIPSCNIASIGKNKHLSHELHTLE SGVRQEGYLFASDMIQKFPVSVHKQMLRMLCAKVSLAARVDAGQKNGDRNTVLAHKWK AELSKKARKLSEAPSISETKALPIPEDQPKKKRAGRKFRKYKEKFRLSHVRQLQNRME FGKQEQTVLDSYGEEVGLGMSNTSLQQAVGATSGSRRSAGNQAKLTKVMKHRISEANQ QADEFLISLGHNTEQPNLSPEMVQMHKKQHTNPEEETNWFSGHG YGR092W MLSKSEKNVDLLAGNMSNLSFDGHGTPGGTGLFPNQNITKRRTR PAGINDSPSPVKPSFFPYEDTSNMDIDEVSQPDMDVSNSPKKLPPKFYERATSNKTQR VVSVCKMYFLEHYCDMFDYVISRRQRTKQVLEYLQQQSQLPNSDQIKLNEEWSSYLQR EHQVLRKRRLKPKNRDFEMITQVGQGGYGQVYLARKKDTKEVCALKILNKKLLFKLNE TKHVLTERDILTTTRSEWLVKLLYAFQDLQSLYLAMEFVPGGDFRTLLINTRCLKSGH ARFYISEMFCAVNALHDLGYTHRDLKPENFLIDAKGHIKLTDFGLAAGTISNERIESM KIRLEKIKDLEFPAFTEKSIEDRRKMYNQLREKEINYANSMVGSPDYMALEVLEGKKY DFTVDYWSLGCMLFESLVGYTPFSGSSTNETYDNLRRWKQTLRRPRQSDGRAAFSDRT WDLITRLIADPINRLRSFEHVKRMSYFADINFSTLRSMIPPFTPQLDSETDAGYFDDF TSEADMAKYADVFKRQDKLTAMVDDSAVSSKLVGFTFRHRNGKQGSSGILFNGLEHSD PFSTFY YGR093W MTNAKILVAHISESDADEAIRKIKKVNEKSGPFDLIIIFSNSYD ENFELNTDGLPQLILLSCDKANNSKSKKINENVTLLHNMGTYKLANGITLSYFIYPDD TLQGEKKSILDEFGKSEDQVDILLTKEWGLSISERCGRLSGSEVVDELAKKLQARYHF AFSDEINFYELEPFQWERERLSRFLNIPKYGSGKKWAYAFNMPIGDNELKDEPEPPNL IANPYNSVVTNSNKRPLETETENSFDGDKQVLANREKNENKKIRTILPSSCHFCFSNP NLEDHMIISIGKLVYLTTAKGPLSVPKGDMDISGHCLIIPIEHIPKLDPSKNAELTQS ILAYEASLVKMNYIKFDMCTIVFEIQSERSIHFHKQVIPVPKYLVLKFCSALDRQVHF NNEKFTRNAKLEFQCYDSHSSKQYVDVINNQSNNYLQFTVYETPEADPKIYLATFNAS ETIDLQFGRRVLAFLLNLPRRVKWNSSTCLQTKQQETIEAEKFQKAYRTYDISLTEN YGR094W MNKWLNTLSKTFTFRLLNCHYRRSLPLCQNFSLKKSLTHNQVRF FKMSDLDNLPPVDPKTGEVIINPLKEDGSPKTPKEIEKEKKKAEKLLKFAAKQAKKNA AATTGASQKKPKKKKEVEPIPEFIDKTVPGEKKILVSLDDPALKAYNPANVESSWYDW WIKTGVFEPEFTADGKVKPEGVFCIPAPPPNVTGALHIGHALTIAIQDSLIRYNRMKG KTVLFLPGFDHAGIATQSVVEKQIWAKDRKTRHDYGREAFVGKVWEWKEEYHSRIKNQ IQKLGASYDWSREAFTLSPELTKSVEEAFVRLHDEGVIYRASRLVNWSVKLNTAISNL EVENKDVKSRTLLSVPGYDEKVEFGVLTSFAYPVIGSDEKLIIATTRPETIFGDTAVA VHPDDDRYKHLHGKFIQHPFLPRKIPIITDKEAVDMEFGTGAVKITPAHDQNDYNTGK RHNLEFINILTDDGLLNEECGPEWQGMKRFDARKKVIEQLKEKNLYVGQEDNEMTIPT CSRSGDIIEPLLKPQWWVSQSEMAKDAIKVVRDGQITITPKSSEAEYFHWLGNIQDWC ISRQLWWGHRCPVYFINIEGEEHDRIDGDYWVAGRSMEEAEKKAAAKYPNSKFTLEQD EDVLDTWFSSGLWPFSTLGWPEKTKDMETFYPFSMLETGWDILFFWVTRMILLGLKLT GSVPFKEVFCHSLVRDAQGRKMSKSLGNVIDPLDVITGIKLDDLHAKLLQGNLDPREV EKAKIGQKESYPNGIPQCGTDAMRFALCAYTTGGRDINLDILRVEGYRKFCNKIYQAT KFALMRLGDDYQPPATEGLSGNESLVEKWILHKLTETSKIVNEALDKRDFLTSTSSIY EFWYLICDVYIENSKYLIQEGSAIEKKSAKDTLYILLDNALKLIHPFMPFISEEMWQR LPKRSTEKAASIVKASYPVYVSEYDDVKSANAYDLVLNITKEARSLLSEYNILKNGKV FVESNHEEYFKTAEDQKDSIVSLIKAIDEVTVVRDASEIPEGCVLQSVNPEVNVHLLV KGHVDIDAEIAKVQKKLEKAKKSKNGIEQTINSKDYETKANTQAKEANKSKLDNTVAE IEGLEATIENLKRLKL YGR095C MSVQAEIGILDHVDGSSEFVSQDTKVICSVTGPIEPKARQELPT QLALEIIVRPAKGVATTREKVLEDKLRAVLTPLITRHCYPRQLCQITCQILESGEDEA EFSLRELSCCINAAFLALVDAGIALNSMCASIPIAIIKDTSDIIVDPTAEQLKISLSV HTLALEFVNGGKVVKNVLLLDSNGDFNEDQLFSLLELGEQKCQELVTNIRRIIQDNIS PRLVV YGR096W MFKEEDSLRKGQNVAAWKTLLAGAVSGLLARSITAPMDTIKIRL QLTPANGLKPFGSQVMEVARSMIKNEGIRSFWKGNIPGSLLYVTYGSAQFSSYSLFNR YLTPFGLEARLHSLVVGAFAGITSSIVSYPFDVLRTRLVANNQMHSMSITREVRDIWK LEGLPGFFKGSIASMTTITLTASIMFGTYETIRIYCDENEKTTAAHKKWELATLNHSA GTIGGVIAKIITFPLETIRRRMQFMNSKHLEKFSRHSSVYGSYKGYGFARIGLQILKQ EGVSSLYRGILVALSKTIPTTFVSFWGYETAIHYLRMY YGR097W MSDYFSSRPSQTLTPMGNKPSGGGGGDDASSIHSKSSQYLMDIL PDSMTLNESVSSIVANNQAKEFILPETDERSPYFINVPIPKAQPTSTTETKKPLAGDE AIDGQFVKEYPTDILVDRFYKWKKILKGLVIYLREVAYAQEQFARINYQLKGSVKFPF LTDIDETTNTITDPFTTAPRGPKKAQPAQKKVGLTDSEQFQMQMQQEQQENAVQAPTD ESKMSLAPHEYKPVQTTESDNTSAASGFVKFGSGSIQDIQVILKKYHLSLANQQFKIS KEITSTVIPKLEELRKDLRYKITEIKDLHGDFKTNIGAHIQLTSQLLKKYIAAVKFMN AHGIGNDRASPTNKKPHKLDPKHDPYLLKLQLDLQLKRQVAEETYLQEAFINLQSSGL QLEKIIYTKIQHALLRYSALIDSEARLMIKNMCQELQHGIISKPPAFEWDNFVTQHPS CLLNWKSNDPIPPPRKVSDVIYPHMKSPLAKCIKAGYFLKKSELLPTYHQGYFVLTSN YIHEFQSSDFYNLSSSTPNSTKSSAYSSSVSIADTYANANNAKANNHHRQASDVHNSS TTTGGTAGANGIRGIRKKSYLAPIMSIPLNDCTLKDASSTKFVLVGKPTLNENADVRK SSSSTYLSGSSQASLPKYGHETAKIFSKAPFHKFLKGSKPKNKNTKSSELDQFYAAAQ KESNNYVTWTFKIVSPEPSEEELKHFKRWVQDLKNLTSFNDTKDRIKFIEDRVMKSHR FKAGHMSRNSVNIGSHTPCLTDSTFTLQDGTTTSVNLKGRAEKPQYIHIQNNSLADFD GNGFRSKVNTPAIDDYGNLITVERRPAQSPHQYSDYMATSGNTTPSYSSGSRPQSMYN GYNPAVSITSNGMMLQQSTANNNTNPTTNLRHQRNISQTSSLPGFSYTSLSLPVNSPG SSNSESSSGGYFAIPLHGNNNNNNYTQRNSEGSSPCYNDDQIRQQQQPLQMQPLSRTS SSSVNVTAMRSTSAGNSITANAPVVPKVMVNNQNVKTVAADQSATAPSSPTMNSSVTT INRESPYQTLKKTNSTGNVPCLTAEKTHAHPAFYKRGNNSAQNLTTSSSTASRVHPIR KHKKNVSFSSLNSLMFSKKGANHGGNLMTNQFMSGGIQEDDGDSTNNDTIKLNQSIYS YGR098C MMVKQEEPLNEISPNTPMTSKSYLLNDTLSKVHHSGQTRPLTSV LSGDASSNSIGILAMHNNIIRDFTKIASNNIDLAIEDITTVDHSLNSIYSLLKSHHMW GHINSTVKQHLMIIVKLINNNALGLASSEIIFLFNETNLFQAHSLKNILLADFSTWND YYLSNLKILALQIILKRKLVDEYLPHILELFSHDKRYLLKDPNLKAHALTKIVLSFFS VTTSCKVLFGLKFLQYIKQFKLPFKKFISNITVECFSKNLLHKNYLEMGPNKIYLNSF YLSYSMLYDGLDKIMLLDILSYEETTEVQRAIKSKKEFNEYCNMSENRLLWSCISVDD LNVILENATNFLQNKGKHISATLKCLVCLWSTIRLEGLPKNKDILRQFDCTVIYINSN IKSINDESAAALLSELLGVLSEICIDYKEPKRLSNIISVLFNASVLFKSHSFLLKTAN LEISNVLISNDSKTSHRTILKFEKFISSAQSAQKKIEIFSCLFNVYCMLRNDTLSFVF DFCQNAFIHCFTRLKITKFIEFSNSSEIMLSVLYGNSSIENIPSENWSQLSRMIFCSL RGIFDLDPLELNNTFDKLHLLNKYELLIRIVYLLNLDMSKHLTTNLSKITKLYINKWL QKSDEKAERISSFEMDFVKMLLCYLNFNNFDKLSIELSLCIKSKEKYYSSIVPYADNY LLEAYLSLYMIDDALMMKNQLQKTMNLSTAKIEQALLHASSLINVHLWDSDLTAFQIY FGKTLPAMKPELFDINNDHNLPMSLYIKVILLNIKIFNESAKLNIKAGNVISAVIDCR KAQNLALSLLKKKNKLSQGSRLALLKSLSFSFFQLIKIHIRIGSARDCEFYSKELSRI ISDLEEPIIVYRCLHFLHRYYMITEQTCLQNITLGKANKAFDYLDAEADITSLTMFLY DNKEFVKLEQSLVLYFGDQLEKTFLPNLWKLHLGKDIDDSICLSEYMPKNVINRVHNM WQKVMSQLEEDPFFKGMFESTLGIPSSLPVIPSTMPNNILKTPSKHSTGLKLCDSPRS SSMTPRGKNIRQKFDRIAAISKLKQMKELLESLKLDTLDNHELSKISSLSSLTLTILS NITSIHNAESSLITNFSLTDLPRHMPLLFDKVLNNIDNKNYREFRVSSLIAPNNISTI TESIRVSAAQKDLMESNLNINVITIDFCPITGNLLLSKLEPRRKRRTHLRLPLIRSNS RDLDEVHLSFPEATKKLLSIINESNQTTSVEVTNKIKTREERKSWWTTRYDLDKRMQQ LLNNIENSWFNGVQGFFSPEVVDNSLFEKFKDKFYEILHQNLPSRKLYGNPAMFIKVE DWVIELFLKLNPQEIDFLSKMEDLIYFVLDILLFHGEENAYDEIDFSMLHVQLEEQIK KYRATMTTNSIFHTFLVVSSSCHLFPWECLSFLKDLSITRVPSYVCLNKLLSRFHYQL PLQVTIEDNISMILNPNGDLSRTESKFKGMFQKIIDAKPSSQLVMNEKPEEETLLKML QNSNLFVYIGHGGGEQYVRSKEIKKCTKIAPSFLLGCSSAAMKYYGKLEPTGTIYTYL LGGCPMVLGNLWDVTDKDIDKFSEELFEKMGFRCNTDDLNGNSLSVSYAVSKSRGVCH LRYLNGAAPVIYGLPIKFVS YGR099W MVLETLKQGLDSSQIHEALIQLDSYPREPVDLDASMVLIKFVIP VYPSLPERSKVILRRLASKSFTFLCQIVTFSRTISGRDGLQEIRIYQEILEDIISFEP GCLTFYLKASTTSKADRDSIKALFFGSKLFNVLANRIDMAKYLGYLRLQWKFLLESNE TDPPGFLGEWLVSSFLLNPVLAADMLLGELFLLKESYFFSFQKIISASSLIDQKRLIA KFLLPYIQVIVTLENLNDVRKILRRFDLDKIISLSVLFEIQSLPLKEVIVRLMSNHSS TKFVSALVSKFADFTDEEVDTKTCELLVLFAVHNLNHSQREEIAHDERFLNGVTKHLG SNEREARERAMFIAKLLSGGHLKYESDFKINIPNVKFESNSDDKIIDFQSLKNPSICN TQTDVGKDKITEVSGHVQSLTLDCSDSDDEDENDEREIVKRIVFLKDLMKEYEKTGES RKAPLIPLLKQTVKLIRQKADFQLEVGYYAQGILSSIVCLNNEFDEPLFEQWRINALT SILVVLPEKVNGAINILFNSELSLQQRMSLLSALGLSARELRGLDDPTIVKPKFDFPT NRLPWDDQSHHNSRLVEVQESTSMIKKTKTVWKSRKLGKDREKGTQNRFRKYAGLFFY PLAHGWLNGIDVGTYNQLFKSHYLTTLRIIYSCANPVHDFESMTELMNHIISSAIEEG ISLNKG YGR100W MSFFDSLRQKAPFLDKLADSFTPTLTRDEKFRLKYKLPANENIL EDTNAEVSFATSIKDGKGHSDRVNNKGRKTAYVYSGRLFLTPHFLVFRDAFDHSSCVL ILNISTIKRVERSPSESYEFALLVTLYTGAKVLIQFIGIRYRSEQFCDKLKLNLKENI PNAKTLPAFLETSYSEFLIAKNILGKKDITVPRAGLGQHFKYPGNPTMVKEKAKLRLW FDYFRENGRNLAVVQTPMFRKLIRIGVPNRMRGEIWELCSGAMYMRYANSGEYERILN ENAGKTSQAIDEIEKDLKRSLPEYSAYQTEEGIQRLRNVLTAYSWKNPDVGYCQAMNI VVAGFLIFMSEEQAFWCLCNLCDIYVPGYYSKTMYGTLLDQRVFESFVEDRMPVLWEY ILQHDIQLSVVSLPWFLSLFFTSMPLEYAVRIMDIFFMNGSITLFQVALAVLKINADD ILQADDDGMFIAIIKHYFQTLGQSAHPDSSDIKYRQITKFQELLVTAFKEFSVISEEM AMHARHKYEKGIFQNIETFMKRTQLRHMPKTFNLSSDDLSNIYDMFYQSIETYKISMG TGSSNMGFEVFIQFLSKFCDSCRPCEKDKDPAFRKQKRNFLQRLFDNWDSAHIGELTL NDVVTGLDKLVTVDLLQAINYFFSLYDTDGDGELHREEVLQLSEGLLLLTEPWKSGRY VDLLTKKRIEDDIAENIIKESGGEIATMNQIELPTGVTIDEEKYKVEQAERYLKAASN FLQRSFEYAKAVDLAEEVNLIDLSDDEGEEKRTVKQKQLESIKANAALDPTHPKVIDL PTFRMIILADETYELFFSNTLRSSVHVDEHVNIDNKNKVLRSMFDGILADGKRVAEQV RRRVDSVATRSSIASVESTPTAAASSITTKEEKYDDLDDFTSEHQPENEELLQSSWFE IDDANETSTKAIQERSFEPLSANSSEEKSNLIEFEA YGR101W MSGVSSVMLGLRPATRIFFRSNISVSPSRTFVSYIGRSQSTSIL KNAPNLEDNVTNLQKIIPKRFFSQTSILKSRWKPIFNEETTNRYVRLNRFQQYQQQRS GGNPLGSMTILGLSLMAGIYFGSPYLFEHVPPFTYFKTHPKNLVYALLGINVAVFGLW QLPKCWRFLQKYMLLQKDYVTSKISIIGSAFSHQEFWHLGMNMLALWSFGTSLATMLG ASNFFSLYMNSAIAGSLFSLWYPKLARLAIVGPSLGASGALFGVLGCFSYLFPHAKIL LFVFPVPGGAWVAFLASVAWNAAGCALRWGSFDYAAHLGGSMMGVLYGWYISKAVEKQ RQRRLQAAGRWF YGR102C MYKTWRLCRTHTVGGLCHDGSHRFVSTGGAKIGKKFENMNQIRD YLSRPVWSVHEYLGINTKEEKLEPPSAEAVKKLLRLSGLPLEGADIKEIQMRLAKQLS FINKLHNIPVEGEKHTKEYDARLVQRNTKQLNYTKLLEGISHQKQDAELGEVSGSWKA TGLAAESKNAYFVVKEGLLKNRK YGR103W MRIKKKNTRGNARNFITRSQAVRKLQVSLADFRRLCIFKGIYPR EPRNKKKANKGSTAPTTFYYAKDIQYLMHEPVLAKFREHKTFARKLTRALGRGEVSSA KRLEENRDSYTLDHIIKERYPSFPDAIRDIDDALNMLFLFSNLPSTNQVSSKIINDAQ KICNQWLAYVAKERLVRKVFVSIKGVYYQANIKGEEVRWLVPFKFPENIPSDVDFRIM LTFLEFYSTLLHFVLYKLYTDSGLIYPPKLDLKKDKIISGLSSYILESRQEDSLLKLD PTEIEEDVKVESLDASTLKSALNADEANTDETEKEEEQEKKQEKEQEKEQNEETELDT FEDNNKNKGDILIQPSKYDSPVASLFSAFVFYVSREVPIDILEFLILSCGGNVISEAA MDQIENKKDIDMSKVTHQIVDRPVLKNKVAGRTYIQPQWIFDCINKGELVPANKYLPG EALPPHLSPWGDAIGYDPTAPVEEGEEEESESESESEDQVEEEDQEVVAGEEDDDDDE ELQAQKELELEAQGIKYSETSEADKDVNKSKNKKRKVDEEEEEKKLKMIMMSNKQKKL YKKMKYSNAKKEEQAENLKKKKKQIAKQKAKLNKLDSKK YGR104C MVQQLSLFGSIGDDGYDLLISTLTTISGNPPLLYNSLCTVWKPN PSYDVENVNSRNQLVEPNRIKLSKEVPFSYLIDETMMDKPLNFRILKSFTNDKIPLNY AMTRNILHNTVPQVTNFNSTNEDQNNSKHTEDTVNESRNSDDIIDVDMDASPAPSNES CSPWSLQISDIPAAGNNRSVSMQTIAETIILSSAGKNSSVSSLMNGLGYVFEFQYLTI GVKFFMKHGLILELQKIWQIEEAGNSQITSGGFLLKAYINVSRGTDIDRINYTETALM NLKKELQGYIELSVPDRQSMDSRVAHGNILI YGR105W MAVDVPRAVINKLMLFTAAMVVLPVLTFFIIQQFTPNTLISGGL AAAMANVVLIVYIVVAFREDTEDHKVDGNKKED YGR106C MVFGQLYALFIFTLSCCISKTVQADSSKESSSFISFDKESNWDT ISTISSTADVISSVDSAIAVFEFDNFSLLDNLMIDEEYPFFNRFFANDVSLTVHDDSP LNISQSLSPIMEQFTVDELPESASDLLYEYSLDDKSIVLFKFTSDAYDLKKLDEFIDS CLSFLEDKSGDNLTVVINSLGWAFEDEDGDDEYATEETLSHHDNNKGKEGDDDILSSI WTEGLLMCLIVSALLLFILIVALSWISNLDITYGALEKSTNPIKKNN YGR108W MSRSLLVENSRTINSNEEKGVNESQYILQKRNVPRTILGNVTNN ANILQEISMNRKIGMKNFSKLNNFFPLKDDVSRADDFTSSFNDSRQGVKQEVLNNKEN IPEYGYSEQEKQQCSNDDSFHTNSTALSCNRLIYSENKSISTQMEWQKKIMREDSKKK RPISTLVEQDDQKKFKLHELTTEEEVLEEYEWDDLDEEDCDDPLMVSEEVNDIFDYLH HLEIITLPNKANLYKHKNIKQNRDILVNWIIKIHNKFGLLPETLYLAINIMDRFLCEE VVQLNRLQLVGTSCLFIASKYEEIYSPSIKHFAYETDGACSVEDIKEGERFILEKLDF QISFANPMNFLRRISKADDYDIQSRTLAKFLMEISIVDFKFIGILPSLCASAAMFLSR KMLGKGTWDGNLIHYSGGYTKAKLYPVCQLLMDYLVGSTIHDEFLKKYQSRRFLKASI ISIEWALKVRKNGYDIMTLHE YGR109C MNCIPSPISERKIQINNEDCIGKENAFHTIPRESSINLTPHSTN EKKVLSEVNSNKIDSLQLPRGKLQRDSTHLEKTRKRQLSNDSTDPIEPKTVKKIKCHQ WKNLDSIEMDDPFMVAEYTDSIFSHLYEKEIQMLPTHNYLMDTQSPYHLKSSMRALLI DWLVEVHEKFHCLPETLFLAINLLDRFLSQNVVKLNKLQLLCITCLFIACKFEEVKLP KITNFAYVTDGAATVEGIRKAELFVLSSLGYNISLPNPLNFIRRISKADNYCIETRNM AKFIMEYSICCNKFIHLKPSYLAAMSMYIARKIKNENSKWDETFIHYSGGIDIESDPA FKDFISELVEDIAVPDTNLDSLRLKYKKPKHGMVYFKVFDWCKQKR YGR109W-B MSFMDQIPGGGNYPKLPVECLPNFPIQPSLTFRGRNDSHKLKNF ISEIMLNMSMISWPNDASRIVYCRRHLLNPAAQWANDFVQEQGILEITFDTFIQGLYQ HFYKPPDINKIFNAITQLSEAKLGIERLNQRFRKIWDRMPPDFMTEKAAIMTYTRLLT KETYNIVRMHKPETLKDAMEEAYQTTALTERFFPGFELDADGDTIIGATTHLQEEYDS DYDSEDNLTQNGYVHTVRTRRSYNKPMSNHRNRRNNNPSREECIKNRLCFYCKKEGHR LNECRARRRVLTDLELESKDQQTPFIKTLPIVHYIAIPEMDNTAEKTIKIQNTKVKTL FDSGSPTSFIRRDIVELLKYEIYETPPLRFRGFVATKSAVTSEAVTIDLKINDLHITL AAYILDNMDYQLLIGNPILRRYPKILHTVLNTRESPDSLKPKTYRSETVNNVRTYSAG NRGNPRNIKLSFAPTILEATDPKSAGNRGDSRTKTLSLATTTPAAIDPLTTLDNPGST QSTFAQFPIPEEASILEEDGKYSNVVSTIQSVEPNATDHSNKDTFCTLPVWLQQKYRE IIRNDLPPRPADINNIPVKHDIEIKPGARLPRLQPYHVTEKNEQEINKIVQKLLDNKF IVPSKSPCSSPVVLVPKKDGTFRLCVDYRTLNKATISDPFPLPRIDNLLSRIGNAQIF TTLDLHSGYHQIPMEPKDRYKTAFVTPSGKYEYTVMPFGLVNAPSTFARYMADTFRDL RFVNVYLDDILIFSESPEEHWKHLDTVLERLKNENLIVKKKKCKFASEETEFLGYSIG IQKIAPLQHKCAAIRDFPTPKTVKQAQRFLGMINYYRRFIPNCSKIAQPIQLFICDKS QWTEKQDKAIDKLKDALCNSPVLVPFNNKANYRLTTDASKDGIGAVLEEVDNKNKLVG VVGYFSKSLESAQKNYPAGELELLGIIKALHHFRYMLHGKHFTLRTDHISLLSLQNKN EPARRVQRWLDDLATYDFTLEYLAGPKNVVADAISRAVYTITPETSRPIDTESWKSYY KSDPLCSAVLIHMKELTQHNVTPEDMSAFRSYQKKLELSETFRKNYSLEDEMIYYQDR LVVPIKQQNAVMRLYHDHTLFGGHFGVTVTLAKISPIYYWPKLQHSIIQYIRTCVQCQ LIKSHRPRLHGLLQPLPIAEGRWLDISMDFVTGLPPTSNNLNMILVVVDRFSKRAHFI ATRKTLDATQLIDLLFRYIFSYHGFPRTITSDRDVRMTADKYQELTKRLGIKSTMSSA NHPQTDGQSERTIQTLNRLLRAYASTNIQNWHVYLPQIEFVYNSTPTRTLGKSPFEID LGYLPNTPAIKSDDEVNARSFTAVELAKHLKALTIQTKEQLEHAQIEMETNNNQRRKP LLLNIGDHVLVHRDAYFKKGAYMKVQQIYVGPFRVVKKINDNAYELDLNSHKKKHRVI NVQFLKKFVYRPDAYPKNKPISSTERIKRAHEVTALIGIDTTHKTYLCHMQDVDPTLS VEYSEAEFCQIPERTRRSILANFRQLYETQDNPEREEDVVSQNEICQYDNTSP YGR109W-A MSFMDQIPGGGNYPKLPVECLPNFPIQPSLTFRGRNDSHKLKNF ISEIMLNMSMISWPNDASRIVYCRRHLLNPAAQWANDFVQEQGILEITFDTFIQGLYQ HFYKPPDINKIFNAITQLSEAKLGIERLNQRFRKIWDRMPPDFMTEKAAIMTYTRLLT KETYNIVRMHKPETLKDAMEEAYQTTALTERFFPGFELDADGDTIIGATTHLQEEYDS DYDSEDNLTQNGYVHTVRTRRSYNKPMSNHRNRRNNNPSREECIKNRLCFYCKKEGHR LNECRARKASSNRS YGR110W MFKSTLNSIIRRPLKGFQLLRGADSSNTRPQSPRASARDVTEKQ ILRTPSAPTAIPLREIIYRVPSLFPRPLEDSVKDFRDFIKNEDAFQTELLKTLPFYPT PSESKTARLIRTVVDDEGNYINEFCIRPRKTSVPEADLKHLVFIHGYGAGLGFFIKNF EDIPLLDNEWCIHAIDLPGYGFSSRPKFPFEYPRDNIHSVQDWFHERIHTWFSKRNLL NRPEKNIVMAHSLGSYLMALYLQKYKESPSFKKLILCSPAGVSYRDFNNTASEVEKWK PPPWWYVKLWDRNISPFTLVRNFRQLGSKITSGWSYRRFKHILNGDPEQSKRFEALHR YAYAIFNKRGSGEYLLSFALKCGGEPRLSLEQQLFDGKKSDILKNSNCDWLWLYGDDD WMDVNGGLRVSRFLKEKLKQKSNVIIVPHSGHHLYLDNYKFFNNILTKEMQKI YGR111W MTASSNDDDLIFECYSDPELKRWTHLANAKAWKGILTVQQYADR EQLLGSSEISQKNKSNEMMTKYPKSYQWLGQKYFVLKDRSLPDNGKFSQVVSSCETLN RIGYCIHPGSNGKIEPALIVCIGGVFTFENHRGKGYAKKMIIKLNEFYDKIRDDANTV LELKNLVINLYSEVGEYYSALGYESMHVPLHRISKLDELTERYCGEDDDHDGKYLGFD DYRGLVGLHETQFKESLLSLHKENPEKFVFTVAPDFDIFTWFQYRDLFIMNKSGRKAQ QNLFFGYALSDNSHIIWHHNWNGDSLIIVKIHIPEETFQRKELKLKKLLRKAIEETKL HGLQELEFWDEEIPIKKYPQLFQLLTELENESKVFSENGSISAVRPPKGYTAEQVIWD NNTKFCWF YGR112W MSLLGARSTYRWFSIAASIPTKNAIGKSTYLLASRNQQYRGIIT STVDWKPIKTGKSPNDDSRRERSFGKKIVLGLMFAMPIISFYLGTWQVRRLKWKTKLI AACETKLTYEPIPLPKSFTPDMCEDWEYRKVILTGHFLHNEEMFVGPRKKNGEKGYFL FTPFIRDDTGEKVLIERGWISEEKVAPDSRNLHHLSLPQEEHLKVVCLVRPPKKRGSL QWAKKDPNSRLWQVPDIYDMARSSGCTPIQFQALYDMKDHPIIEEHTRNEASQNNSTS SLWKFWKREPTTAVNGTQAVDNNTSKPRSRQEMPTDQTIEFDERQFIKAGVPIGRKPT IDLKNNHLQYLVTWYGLSFLSTIFLIVALRKAKRGGVVSQDQLMKEKLKHSRKYM YGR113W MSEDKAKLGTTRSATEYRLSIGSAPTSRRSSMGESSSLMKFADQ EGLTSSVGEYNENTIQQLLLPKIRELSDSIITLDSNFTRLNFIHESLADLNESLGSLL YGIMSNSWCVEFSQAPHDIQDDLIAIKQLKSLEDEKNNLVMELSNMERGIKRKKDEQG ENDLAKASQNKQFNQPLFPSSQVRKYRSYDNRDKRKPSKIGNNLQVENEEDYEDDTSS EASFVLNPTNIGMSKSSQGHVTKTTRLNNNTNSKLRRKSILHTIRNSIASGADLPIEN DNVVNLGDLHPNNRISLGSGAARVVNGPVTKNRNSMFSGRAERKPTESRHSVAKKTEK KINTRPPFR YGR116W MEETGDSKLVPRDEEEIVNDNDETKAPSEEEEGEDVFDSSEEDE DIDEDEDEARKVQEGFIVNDDDENEDPGTSISKKRRKHKRREREEDDRLSEDDLDLLM ENAGVERTKASSSSGKFKRLKRVGDEGNAAESESDNVAASRQDSTSKLEDFFSEDEEE EESGLRNGRNNEYGRDEEDHENRNRTADKGGILDELDDFIEDDEFSDEDDETRQRRIQ EKKLLREQSIKQPTQITGLSSDKIDEMYDIFGDGHDYDWALEIENEELENGNDNNEAE EEEIDEETGAIKSTKKKISLQDIYDLEDLKKNLMTEGDMKIRKTDIPERYQELRAGIT DYGNMSSEDQELERNWIAEKISVDKNFDANYDLTEFKEAIGNAIKFITKENLEVPFIY AYRRNYISSREKDGFLLTEDDLWDIVSLDIEFHSLVNKKDYVQRFYAELHIDDPIVTE YFKNQNTASIAELNSLQDIYDYLEFKYANEINEMFINHTGKTGKKHLKNSSYEKFKAS PLYQAVSDIGISAEDVGENISSQHQIHPPVDHPSSKPVEVIESILNANSGDLQVFTSN TKLAIDTVQKYYSLELSKNTKIREKVRSDFSKYYLADVVLTAKGKKEIQKGSLYEDIK YAINRTPMHFRRDPDVFLKMVEAESLNLLSVKLHMSSQAQYIEHLFQIALETTNTSDI AIEWNNFRKLAFNQAMDKIFQDISQEVKDNLTKNCQKLVAKTVRHKFMTKLDQAPFIP NVRDPKIPKILSLTCGQGRFGADAIIAVYVNRKGDFIRDYKIVDNPFDKTNPEKFEDT LDNIIQSCQPNAIGINGPNPKTQKFYKRLQEVLHKKQIVDSRGHTIPIIYVEDEVAIR YQNSERAAQEFPNKPPLVKYCIALARYMHSPLLEYANLTSEEVRSLSIHPHQNLLSSE QLSWALETAFVDIVNLVSVEVNKATDNNYYASALKYISGFGKRKAIDFLQSLQRLNEP LLARQQLITHNILHKTIFMNSAGFLYISWNEKRQKYEDLEHDQLDSTRIHPEDYHLAT KVAADALEYDPDTIAEKEEQGTMSEFIELLREDPDRRAKLESLNLESYAEELEKNTGL RKLNNLNTIVLELLDGFEELRNDFHPLQGDEIFQSLTGESEKTFFKGSIIPVRVERFW HNDIICTTNSEVECVVNAQRHAGAQLRRPANEIYEIGKTYPAKVIYIDYANITAEVSL LDHDVKQQYVPISYSKDPSIWDLKQELEDAEEERKLMMAEARAKRTHRVINHPYYFPF NGRQAEDYLRSKERGEFVIRQSSRGDDHLVITWKLDKDLFQHIDIQELEKENPLALGK VLIVDNQKYNDLDQIIVEYLQNKVRLLNEMTSSEKFKSGTKKDVVKFIEDYSRVNPNK SVYYFSLNHDNPGWFYLMFKINANSKLYTWNVKLTNTGYFLVNYNYPSVIQLCNGFKT LLKSNSSKNRMNNYR YGR117C MADNSTSRFYTNLLIANYLKHNGLEDTLAAFIRETALPLSALEK SDSSNSNVGEIPLEDLQSVVEDRIYYKRRSFKDRFKTLSINDDLAPIDNAKYGIQPWN HSLKFSIDVKLNKSLPKDTLFISATFTEDSKYILLSSATGYLVIYDIEKATSKSFKIN EKVKSIVKLYGPIGSSGYQYVCPMNGSFYLLNNDFELVNNAVWKIHARMITHIKICNV TESSWFVITSGMDNFLRLSLLEIKNGNTFLTKLSEIKLASNCTSLNVIANGDGNGQNS FSVFLTRAEYTHIACYSIIDAKNLVHSYNIALNNAEFSTYAFNIRDVMAVDYVHSNTK DTIGLSPSTMLVVATSHKPYMRLILVEIPMNTGHPKAMKLDKVQTYYDKILRNFATEI YQDDFSLPILGKLESSNGVLVGNDEGIYSVDLMTGDSRILNIPGEANSLHDRIKCMDI SKDQMRMVAGTSTKSIYILNVIRNAQ YGR118W MGKGKPRGLNSARKLRVHRRNNRWAENNYKKRLLGTAFKSSPFG GSSHAKGIVLEKLGIESKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS YGR119C MFGFSGSNNGFGNKPAGSTGFSFGQNNNNTNTQPSASGFGFGGS QPNSGTATTGGFGANQATNTFGSNQQSSTGGGLFGNKPALGSLGSSSTTASGTTATGT GLFGQQTAQPQQSTIGGGLFGNKPTTTTGGLFGNSAQNNSTTSGGLFGNKVGSTGSLM GGNSTQNTSNMNAGGLFGAKPQNTTATTGGLFGSKPQGSTTNGGLFGSGTQNNNTLGG GGLFGQSQQPQTNTAPGLGNTVSTQPSFAWSKPSTGSNLQQQQQQQIQVPLQQTQAIA QQQQLSNYPQQIQEQVLKCKESWDPNTTKTKLRAFVYNKVNETEAILYTKPGHVLQEE WDQAMEKKPSPQTIPIQIYGFEGLNQRNQVQTENVAQARIILNHILEKSTQLQQKHEL DTASRILKAQSRNVEIEKRILKLGTQLATLKNRGLPLGIAEEKMWSQFQTLLQRSEDP AGLGKTNELWARLAILKERAKNISSQLDSKLMVFNDDTKNQDSMSKGTGEESNDRINK IVEILTNQQRGITYLNEVLEKDAAIVKKYKNKT YGR120C MDFLNDDELDLDLPVTAEISKELFATEIEKYRESETNGTDVDNF DVDRFLVQKNFHYLPLDSLIRDLSGLSQKMVQTLLEQIRSNYDDYLTFSNTYTDEENE TLINLEKTQSDLQKFMTQLDHLIKDDISNTQEIIKDVLEYLKKLDEIYGSLRNHSQLT EALSLGKRLSKSLHEMCGIEPLEEEICSGLIEQLYKLITASRRILESCADSNSPYIHH LRNDYQDLLQEFQISLKILTEKCLENPSSLQNLSLTLVSIIKTA YGR121C MESRTTGPLTTETYDGPTVAFMILGAALVFFMVPGLGFLYSGLA RRKSALALIWVVLMATLVGILQWYFWGYSLAFSKSAPNNKFIGNLDSFGFRNVYGKKF DEDAYPELAYATFQMMFSCVNLSIIAGATAERGRLLPHMVFLFILATIGYCPVTYWIW SPGGWAYQWGVLDWAGGGNIEILSAVSGFVYSWFLGKRNEKLLINFRPHNVSLVTLGT SILWFGWLLFNSASSLSPNLRSVYAFMNTCLSAITGGMTWCLLDYRSEKKWSTVGLCS GIISGLVAATPSSGCITLYGSLIQGIVAGVVCNFATKLKYYAKVDDAMDILAEHGVAG VIGLIFNALFGADWVIGMDGTTEHEGGWVTHNYKQMYKQIAYIAASIGYTAAVTAIIC FVLGYIPGMRLRISEEAEEAGMDEDQIGEFAYDYVEVRRDYYLWGVDEDSQRSDVNHR VNNAHLAAERSSSGTNSSSDGNGEMIQSEKILPIHQEDPANR YGR121W-A MSRHKYKLWMCIAKGKRGVGERNTFVPKCSYAPFCASEVLDQLL RGDNSIVYNFYLKNGSGSVYIGSVFLI YGR122W MRENAMSTKKLPYKLSGSSKITTSIPNDLIILRNNCINSLNSSS SKADSITCIDTWLKYTEGLLTHRYEANNDAALIEEEIAIALINVAVFYQDIGIETLYR AYESSQASNNLWTTSGTYLKRGLGLICFLGKNFQINTANDCQKMQVLNVLNQLSLEFQ LLQQLGIVVLALSKLRSKISKDAVADLEPQELEELGKSSVFYAKLCIGSYSTASQCQG GRIVDALFMNYLQSLTYLFLSINQYNNDECGIAIGMLQESIKKLLNIVPNSQLKELDI LSSTDITKKRDLIKMSFKRKIHGSTLKNQRIFEKKVPFSSKAYMMPLLKSSLDDFVIP LTILLRYRYQTTNENYSFKTVETDVSKLKELFPRGKSSDIEGTVWSFQDGHLTFADSN NATHNCGNYF YGR123C MSTPTAADRAKALERKNEGNVFVKEKHFLKAIEKYTEAIDLDST QSIYFSNRAFAHFKVDNFQSALNDCDEAIKLDPKNIKAYHRRALSCMALLEFKKARKD LNVLLKAKPNDPAATKALLTCDRFIREERFRKAIGGAENEAKISLCQTLNLSSFDANA DLANYEGPKLEFEQLYDDKNAFKGAKIKNMSQEFISKMVNDLFLKGKYLPKKYVAAII SHADTLFRQEPSMVELENNSTPDVKISVCGDTHGQFYDVLNLFRKFGKVGPKHTYLFN GDFVDRGSWSCEVALLFYCLKILHPNNFFLNRGNHESDNMNKIYGFEDECKYKYSQRI FNMFAQSFESLPLATLINNDYLVMHGGLPSDPSATLSDFKNIDRFAQPPRDGAFMELL WADPQEANGMGPSQRGLGHAFGPDITDRFLRNNKLRKIFRSHELRMGGVQFEQKGKLM TVFSAPNYCDSQGNLGGVIHVVPGHGILQAGRNDDQNLIIETFEAVEHPDIKPMAYSN GGFGL YGR124W MCGIFAAFKHEDIHNFKPKALQLSKKIRHRGPDWSGNAVMNSTI FVHERLAIVGLDSGAQPITSADGEYMLGVNGEIYNHIQLREMCSDYKFQTFSDCEPII PLYLEHDIDAPKYLDGMFAFCLYDSKKDRIVAARDPIGVVTLYMGRSSQSPETVYFAS ELKCLTDVCDSIISFPPGHVYDSETDKITRYFTPDWLDEKRIPSTPVDYHAIRHSLEK AVRKRLMAEVPYGVLLSGGLDSSLIAAIAARETEKANADANEDNNVDEKQLAGIDDQG HLHTSGWSRLHSFAIGLPNAPDLQAARKVAKFIGSIHHEHTFTLQEGLDALDDVIYHL ETYDVTTIRASTPMFLLSRKIKAQGVKMVLSGEGSDEIFGGYLYFAQAPSAAEFHTES VQRVKNLHLADCLRANKSTMAWGLEARVPFLDKDFLQLCMNIDPNEKMIKPKEGRIEK YILRKAFDTTDEPDVKPYLPEEILWRQKEQFSDGVGYSWIDGLRDTAERAISDAMFAN PKADWGDDIPTTKEAYWYRLKFDAWFPQKTAADTVMRWIPKADWGCAEDPSGRYAKIH EKHVSA YGR125W MGRTIRRRRSNSSLSEAISVSLGINQDSSVNKMHRASVSAMSPP LCRSYMSGFFTGGNSPMINNLSDSKLPISNKQHPKVIHGSENLHRQTAQLSNEFCSSS VEENSPTIKDYMDIIGNGDRKDDQSMRTIEENIDEEYSDEYSRLLLSPASSNVDDDRN RGLQNSSLPELEDGYAGGYQSLRPSHNLRFRPRNLWHMCTSFPSKFAHYLPAAVLGLL LNILDALSYGMIIFPITEPVFSHLGPTGISMFYISTIISQAVYSGGWSSFPSGIGSEM IEITPFYHTMALAIKEALAGNDDEIITTTIFCYVISSMLTGVVFYALGKLRLGKIVGF FPRHILIGCIGGVGYFLIITGIEVTTRVAKFEYSWPFFSGLFTDYDTLAKWLLPVLLT VVLIGTQRYFKNSLVLPSFYILTLVLFHFIVAIIPTLSLDALRQAGWIFPIANSDSKW YDHYRLFNVHKVHWSLVLQQIPTMMALTFFGILHVPINVPALAMSLQMDKYDVDRELI AHGYSNFFSGLLGSVQNYLVYTNSVLFIRAGADSPFAGFLLIALTICIMIIGPVIISF IPICIVGSLIFLLGYELLVEALVDTWNKLNRFEYLTVVIIVFTMGIFDFVLGIIVGIL IACFSFLVDSTKLQTINGEYNGNVARSTVYRDYVQTKFLDGIGEQIYVLKLQNLLFFG TIISIEEKIERLLQISNKDATKRRIKYLILDFKNINADNIDYSAAEGFNRIKRFTETK RIKLIISSIKERDRIYNAFNNVGLLNDVELFADLNSALEWCENEFLFQYKQLRKKAKE RLEEGKQNNVVSAVIAATKNKKIDTIGNGLNRGSNGDTARNLMSLPTNTPRNYQILSV AQNVFVNDEQAVKNFKKEYKDDEPVLPILLFALKQYRPDIISEVQKVREKEIKFWAQL CPYFTRRRLASQSHLLHADNIFFLVETGMLKATYELPQGTLYEIFSNGTCFGKIIAPG NAMPREQKLTIETETDSVLWVIDSSSLNKLKEDNLALYVEVALMVMCIKDTRFKELLG YTLVSA YGR126W MPVPSVTVTTDNEYEDISSFSSIDSYKPEPFTGFKDSEAPEQPL LKNDTIVGKGQLEDDSNVDDQHRHSDVHSHHSSSTLKRPTSNSIEKMVTHNALEGNSE TVDSLKEDGLNLNKKALPDITAPVTNSAHDAAFPEEYRLETETGLVKLKTLESLKRED SRVSSTKKEHINDHTDMHSTRSKVTTNSQGSSLEPNKLNMAVEKNKKRIEKYQKHKSE KGIKGFFHRIFD YGR127W MCILMATRAHPDYELILISNRDEFLARKTHATCWHNNDFILSPY DLAKTSAEKQIFGTWSGINKEGKLATILNLKLDNEQNNTKSRSRGLLPFIFLSIHKAD FEDWDNYKKFEGHYDGLKSTGDFNFFYGDVIKKQYKVIDSLGRTFDVLSSTCRKDLDS YMVVSNGKFYDSSSIPGQAWEKVKVARDSLENLVLENIESDEEKIISSCFQLASKSSL PSTISNPDVLQMVDPNVTMNTIYVPPLRRPPRDDLGASIPDGDYYGTRSQIVLLVSKD STRVTFIERVLYSSDEDVRKYSVTSPKEEKRFKFKL YGR128C MPSLSQPFRLATLPKIASLSNFSLQADYVQVADGTFNESTNNIT LGISGSSISQYIINPTPKLTFDYPIPSTNIITACNAEKGQANIDGNIEASTDDEANNE KTINTQKKRNVEIWAFGLMVNKGNYTLNVITKALEDTTDTSNDHLSESDIDNKAYTGS DEFLSQYKIKAKAKVMSIKIDTKNSLVIAILQNGLIEIFDFKLTLLHSFDISYDNLKY AKWFTENGTEYVFVLCPLQDDKVCYKLLELTDCGSGESSPIKELSSTIIEGFSFENSK LCYQFGKLYKLNQGKIYIYSLPHCQLQQVIEFPMVDKLSPGDDLISFQPVSVNRVLLT VNNVIYLLDLLHCSTLSQRELTHVKTFQLLKSAVINSEKSHNSKTIAIGISTKNGPNP TSSLEIINIDVGTNTLKDSLGKSFQVGNNDSSVILKPLFDDKDINDKRVKCNDVSGDS SVPVLHCNEVIEKLSALQDNDITSFDDIFFKELKIKEEHYTEKDRYISDPGFLNKVLD LIFGKFSGNDYPKTLTFLLTHPLFPLSRTRNLLSLLRDQPRLFKQAIVTCPNLPLNEL LEELFSIRNRELLLDISFRILQDFTRDSIKQEMKKLSKLDVQNFIEFITSGGEDSSPE CFNPSQSTQLFQLLSLVLDSIGLFSLEGALLENLTLYIDKQVEIAERNTELWNLIDTK GFQHGFASSTFDNGTSQKRALPTYTMEYLDI YGR129W MDFYKLDEKLKELKRKRVDVSIKSRKLADREIQEVSANRKPRVY SMEDVNDADESVGDTESPEKEKAFHYTVQEYDAWERRHPQGKTGQSQRGGISYDQLAK LSYEKTLRNLATQTQNSSKQDSSADEEDNKNVPKKGRIGKVQKDTKTGKITIADDDKL VNKLAVSLQSESKKRYEARKRQMQNAKTLYGVESFINDKNKQFNEKLSRESKGSE YGR130C MLFNINRQEDDPFTQLINQSSANTQNQQAHQQESPYQFLQKVVS NEPKGKEEWVSPFRQDALANRQNNRAYGEDAKNRKFPTVSATSAYSKQQPKDLGYKNI PKNAKRAKDIRFPTYLTQNEERQYQLLTELELKEKHLKYLKKCQKITDLTKDEKDDTD TTTSSSTSTSSSSSSSSSSSSSSSSDEGDVTSTTTSEATEATADTATTTTTTTSTSTT STSTTNAVENSADEATSVEEEHEDKVSESTSIGKGTADSAQINVAEPISSENGVLEPR TTDQSGGSKSGVVPTDEQKEEKSDVKKVNPPSGEEKKEVEAEGDAEEETEQSSAEESA ERTSTPETSEPESEEDESPIDPSKAPKVPFQEPSRKERTGIFALWKSPTSSSTQKSKT AAPSNPVATPENPELIVKTKEHGYLSKAVYDKINYDEKIHQAWLADLRAKEKDKYDAK NKEYKEKLQDLQNQIDEIENSMKAMREETSEKIEVSKNRLVKKIIDVNAEHNNKKLMI LKDTENMKNQKLQEKNEVLDKQTNVKSEIDDLNNEKTNVQKEFNDWTTNLSNLSQQLD AQIFKINQINLKQGKVQNEIDNLEKKKEDLVTQTEENKKLHEKNVQVLESVENKEYLP QINDIDNQISSLLNEVTIIKQENANEKTQLSAITKRLEDERRAHEEQLKLEAEERKRK EENLLEKQRQELEEQAHQAQLDHEQQITQVKQTYNDQLTELQDKLATEEKELEAVKRE RTRLQAEKAIEEQTRQKNADEALKQEILSRQHKQAEGIHAAENHKIPNDRSQKNTSVL PKDDSLYEYHTEEDVMYA YGR131W MLSAADNLVRIINAVFLIISIGLISGLIGTQTKHSSRVNFCMFA AVYGLVTDSLYGFLANFWTSLTYPAILLVLDFLNFIFTFVAATALAVGIRCHSCKNKT YLEQNKIIQGSSSRCHQSQAAVAFFYFSCFLFLIKVTVATMGMMQNGGFGSNTGFSRR RARRQMGIPTISQV YGR132C MSNSAKLIDVITKVALPIGIIASGIQYSMYDVKGGSRGVIFDRI NGVKQQVVGEGTHFLVPWLQKAIIYDVRTKPKSIATNTGTKDLQMVSLTLRVLHRPEV LQLPAIYQNLGLDYDERVLPSIGNEVLKSIVAQFDAAELITQREIISQKIRKELSTRA NEFGIKLEDVSITHMTFGPEFTKAVEQKQIAQQDAERAKFLVEKAEQERQASVIRAEG EAESAEFISKALAKVGDGLLLIRRLEASKDIAQTLANSSNVVYLPSQHSGGGNSESSG SPNSLLLNIGR YGR133W MPNFWILENRRSYTSDTCMSRIVKEYKVILKTLASDDPIANPYR GIIESLNPIDETDLSKWEAIISGPSDTPYENHQFRILIEVPSSYPMNPPKISFMQNNI LHCNVKSATGEICLNILKPEEWTPVWDLLHCVHAVWRLLREPVCDSPLDVDIGNIIRC GDMSAYQGIVKYFLAERERINNH YGR134W MTKKKAATNYAERQNLASEDSSGDSVHFKDFIPLQELLKDKNYV PSVENLEKILYNETMFNDQKICSNLLLEALIITLFTTISGKSALRLIQTSSLKERKSW AQSFENNSSSYASIVLSWKDNDILLLKFLRFLLANKTAPLQINRYNLPEYKLPLSFLI VSKITIPSILLNETYNLLKDYLYSITGRIESLISCSSTFDKPALVVRKILKDYNRMIE CRNFYFWYSFNAENRVNLTFSDNISLLMENDEGNAGSGLDDSRFDHQKQPREAIMGRT INDQEQIYSFELNQDGTLEIPNVMEHSLLRHELLFKILNLTTVLTPLLELQFSTLCGL VDPLMQPTPNDKHIISIDFLFQLFLGLMSQSIKTSQEHNDHYDWKFYMCFNMQKIIDA TMLRLNCFDFDILNSVNNTDNAVHWKTQLHRWLPHGLNTQDLELLYMIDILAVYTIYK LYEKIPIQLNPFLFSLISLWKNLSCVILLALEIDRIEEENGTYETPLMVRATIRGAAA LRSVIATVLNGLVKNNDHDFKHESLNTFMSPYGRKLCHGALYADLRSHTASLLALGAS IEDVTDLFADLQSGDRFDEDIRYMFDYECEDYDESFSESDHGGLDESVVNPTEKIASG SNNVFFRRRCNCIFNDDKLVAEDGANEAFGSTNSENVEGAMHNNRNAVHNATTATSDH VVTSPNPLSVRSRSTFEFDYSGEDWRDVPRDFNMYYSPSYSFIHEPKLDVIFSLTLRG ATEKLNKEESILLVRSVASCVRNEQDQMILADLESNFSASINGDVEGEGNTKMSKIDN EDLRRTTPDDIYEIWSEESAFERMLNVNHDVAWRLMDEMLMCTGYRRILIWFLTHLEL KHSLIYYVFELIMGLRGKPFSGEASDQDKKDDMIYEILKKKQKNEDASGLPFSRQGPI VLSDIETKMLLQEFFMNAAIFLSSKNNEEENEDGEKISLYSLGLVRLICYMVQTLIAN DKFFFTKSECTFELQTLLMTWIGILPEAKDLFFKIKTRLAMEEEDSADTMQHEGRKNS DIEKKLNAKPASELNLKLLNLFPSKPANKDDSSPINTLRSFIADYSFDTQVNPPGRRV VFYDGKILPLPKADKPIPLHEYITLAELDVGDSE YGR135W MGSRRYDSRTTIFSPEGRLYQVEYALESISHAGTAIGIMASDGI VLAAERKVTSTLLEQDTSTEKLYKLNDKIAVAVAGLTADAEILINTARIHAQNYLKTY NEDIPVEILVRRLSDIKQGYTQHGGLRPFGVSFIYAGYDDRYGYQLYTSNPSGNYTGW KAISVGANTSAAQTLLQMDYKDDMKVDDAIELALKTLSKTTDSSALTYDRLEFATIRK GANDGEVYQKIFKPQEIKDILVKTGITKKDEDEEADEDMK YGR136W MSASLVNRSLKNIRNELEFLKESNVISGDIFELINSKLPEKWDG NQRSPQNADTEEYVEALYDFEAQQDGDLSLKTGDKIQVLEKISPDWYRGKSNNKIGIF PANYVKPAFTRSASPKSAEAASSSTVSRPSVPPPSYEPAASQYPSQQVSAPYAPPAGY MQAPPPQQQQAPLPYPPPFTNYYQQPQQQYAPPSQQAPVEAQPQQSSGASSAFKSFGS KLGNAAIFGAGSAIGSDIVNSIF YGR138C MSDQESVVSFNSQNTSMVDVEGQQPQQYVPSKTNSRANQLKLTK TETVKSLQDLGVTSAAPVPDINAPQTAKNNIFPEEYTMETPSGLVPVATLQSMGRTAS ALSRTRTKQLNRTATNSSSTGKEEMEEEETEEREDQSGENELDPEIEFVTFVTGDPEN PHNWPSWVRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAILSCSLMVIG FSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGCLLACRFLCGVWSSSG LCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRMDLIFWVNMA FAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMSEMMRACLLR PLYFAVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGLMFIPIVIGA LWALATTFYCENKYLQIVKQRKPTPEDRLLGAKIGAPFAAIALWILGATAYKHIIWVG PASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAFPLFTIQMYH KLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSVEM YGR140W MRSSILFLLKLMKIMDVQQQQEAMSSEDRFQELVDSLKPRTAHQ YKTYYTKYIQWCQLNQIIPTPEDNSVNSVPYKDLPISAELIHWFLLDTLITDDKPGEK REETEDLDEEEENSFKIATLKKIIGSLNFLSKLCKVHENPNANIDTKYLESVTKLHTH WIDSQKAITTNETNNTNTQVLCPPLLKVSLNLWNPETNHLSEKFFKTCSEKLRFLVDF QLRSYLNLSFEERSKIRFGSLKLGKRDRDAIIYHKVTHSAEKKDTPGHHQLLALLPQD CPFICPQTTLAAYLYLRFYGIPSVSKGDGFPNLNADENGSLLQDIPILRGKSLTTYPR EETFSNYYTTVFRYCHLPYKRREYFNKCNLVYPTWDEDTFRTFFNEENHGNWLEQPEA FAFPDKIPFDFKKIMNFKSPYTSYSTNAKKDPFPPPKDLLVQIFPEIDEYKRHDYEGL SQNSRDFLDLMEVLRERFLSNLPWIYKFFPNHDIFQDPIFGNSDFQSYFNDKTIHSKG SPILSFDILPGFNKIYKNKTNFYSLLIERPSQLTFASSHNPDTHPTQKQESEGPLQMS QLDTTQLNELLKQQSFEYVQFQTLSNFQILLSVFNKIFEKLEMKKSSRGYILHQLNLF KITLDERIKKSKIDDADKFIRDNQPIKKEENIVNEDGPNTSRRTKRPKQIRLLSIADS SDESSTEDSNVFKKDGESIEDGAYGENEDENDSEMQEQLKSMINELINSKISTFLRDQ MDQFELKINALLDKILEEKVTRIIEQKLGSHTGKFSTLKRPQLYMTEEHNVGFDMEVP KKLRTSGKYAETVKDNDDHQAMSTTASPSPEQDQEAKSYTDEQEFMLDKSIDSIEGII LEWFTPNAKYANQCVHSMNKSGNKSWRANCEALYKERKSIVEFYIYLVNHESLDRYKA VDICEKLRDQNEGSFSRLAKFLRKWRHDHQNSFDGLLVYLSN YGR141W MRISKNSHKRQRTRLYFLVTFIIYSIIPCRAVLVPWLDDDPFEA TLLEMGDEPWSKDILSSTPPLHPSEVTEDNKSLKQRGNVPQYVIDNSPLLHLYSEEKY WPADVKDFVKRFQLRDHSGEKIINEHLRDLSDLQEYYSVELENGTWGRVSSEGTYMTS LDDFDKGPDWLLGEQPEYGTGHIKKAPAVLFVVDKGNGWVDAFWFYFYPFNWGPYIMG SGPWGNHVGDWEHSLVRFYKGEPQYLWMSAHGGGSAYKFEAIEKIKRLRRVDGKLTNE VIKKPLIFSARGTHAHYASVGQHAHDVPFFFMPLSDFTDRGPLWDPSLNYYAYTVTVG EKMTPCGAEETKMGLEWLSFKGAWGDKQLRPRDPRQKWCPFQWKYIDGPKGPLFKNME RVSLCQRFKWWNFWKGCPARRYIKRGEGLDAEKNDLVGDNCGILLYNIRPKWLRSILR FLTWRGSVCFIMDYFTG YGR142W MFSIFNSPCVFEQLPSFSQPLHSRYFDCSSPVSYYPECKRRKAI KANLRAPKKSDANCSEPLRYALAETPNGYTLSLSKRIPYELFSKYVNEKLGELKENHY RPTYHVVQDFFGNQYYVEDEADEDALLRSALKDLDFRAIGKKIAKDLFQDYEIELNHR GDELSILSKKDKIFKEFSLDQVFEDVFVIGCGVENIDDGSREKYALLKIGLVKHEEEI SEGGINEPKMPIIESKIDESHDDVNMSESLKEEEAEKAKEPLTKEDQIKKWIEEERLM QEESRKSEQEKAAKEDEERQKKEKEARLKARKESLINKQKTKRSQQKKLQNSKSLPIS EIEASNKNNNSNSGSAESDNESINSDSDTTLDFSVSGNTLKKHASPLLEDVEDEEVDR YNESLSRSPKGNSIIEEI YGR143W MSVRNLTNNRHSNSENSVSGSENSFYSSNEQSRQSSSLEPADGQ NVRVSGNPFLGSEEFDEDYNSPSGDDERRGANEYSSSSSINYNNDPNSDTSLLANEKN SPERNGQRMSDYKGYYAKTNLTSANNLNNHNNNNYKNIISSSNDNSFASHLQPPDRNL PSHPSSNNMSSFSNNSLIKSPPPFDRYPLVGTRHISAAQSQSQNLINEKKRANMTGSS SSAHDSSLSSTNLYMGEQDFSPFGGYPASFFPLTLDEKEDDDYIHNPNVEEEAKLDRR RFVDDFKHMDRRSFLGLLGILFLFMAGIFIFIVLPAITFSGVVYHHEHVHAANSAGSS SSNTTSKSLTEYQYPQLAAIRTTLVDPDTPDSAKTRVAKDGSKWQLVFSDEFNAEGRT FYDGDDQFWTAPDIHYDATKDLEWYSPDAVTTTNGTLTLRMDAFRNHDLYYRSGMVQS WNKLCFTEGALEVSANLPNYGRVTGLWPGMWTMGNLGRPGYLASTQGVWPYSYEACDA GITPNQSSPDGISYLPGQKLSVCTCDNEDHPNQGVGRGAPEIDILEGEADTILGVGVA SQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAISTLNVTWYEFGEE AGYFQKYAIEYLNDDDNGYIRWFVGENPTFTLYATSLHPSGNIDWRRISKEPMSAILN LGISNNWAYIDWQYIFFPVTMSIDYVRLYQPKGSTSITCDPEDYPTYDYIQSHLNAYY NANLTDWEQAGYTFPKNILTGGCSSSKFSLS YGR144W MSATSTATSTSASQLHLNSTPVTHCLSDIVKKEDWSDFKFAPIR ESTVSRAMTSRYFKDLDKFAVSDVIIVGAGSSGLSAAYVIAKNRPDLKVCIIESSVAP GGGSWLGGQLFSAMVMRKPAHLFLQELEIPYEDEGDYVVVKHAALFISTVLSKVLQLP NVKLFNATCVEDLVTRPPTEKGEVTVAGVVTNWTLVTQAHGTQCCMDPNVIELAGYKN DGTRDLSQKHGVILSTTGHDGPFGAFCAKRIVDIDQNQKLGGMKGLDMNHAEHDVVIH SGAYAGVDNMYFAGMEVAELDGLNRMGPTFGAMALSGVHAAEQILKHFAA YGR145W MVLKSTSANDVSVYQVSGTNVSRSLPDWIAKKRKRQLKNDLEYQ NRVELIQDFEFSEASNKIKVSRDGQYCMATGTYKPQIHVYDFANLSLKFDRHTDAENV DFTILSDDWTKSVHLQNDRSIQFQNKGGLHYTTRIPKFGRSLVYNKVNCDLYVGASGN ELYRLNLEKGRFLNPFKLDTEGVNHVSINEVNGLLAAGTETNVVEFWDPRSRSRVSKL YLENNIDNRPFQVTTTSFRNDGLTFACGTSNGYSYIYDLRTSEPSIIKDQGYGFDIKK IIWLDNVGTENKIVTCDKRIAKIWDRLDGKAYASMEPSVDINDIEHVPGTGMFFTANE SIPMHTYYIPSLGPSPRWCSFLDSITEELEEKPSDTVYSNYRFITRDDVKKLNLTHLV GSRVLRAYMHGFFINTELYDKVSLIANPDAYKDEREREIRRRIEKERESRIRSSGAVQ KPKIKVNKTLVDKLSQKRGDKVAGKVLTDDRFKEMFEDEEFQVDEDDYDFKQLNPVKS IKETEEGAAKRIRALTAAEESDEERIAMKDGRGHYDYEDEESDEEESDDETNQKSNKE ELSEKDLRKMEKQKALIERRKKEKEQSERFMNEMKAGTSTSTQRDESAHVTFGEQVGE LLEVENGKKSNESILRRNQRGEAELTFIPQRKSKKDGNYKSRRHDNSSDEEGIDENGN KKDNGRSKPRFENRRRASKNAFRGM YGR146C MSTAFNDYCTVCDRLIPTSPQKTNINTRKIQRDNETKSSLQSNK LYCSEDCKLKDSNPLNEKLLSHLHKKSKTSHSHNLTPPLSYSKNLTASNLFEPTTSLS SSPTSSTIPFDELEKLESLLISPLLLPQDGIVNPKQESNPSRVDEYDENEHYLNLADS LRLDSSYQLHSKAHLGYENNLPRSNDLIDDHLISDQIIENNYNLWFRLSSS YGR146C-A MGFLPECNLTCAFLLHSFTFPIAHCPSFSWASFFFTIRPPFFPK LALVCTIFS YGR147C MMVPRISASPVFKRIFLRWGFVTLPIQKTVSHTLRRDFSAPCRS MVKCLLLRPGISVHSAQDRKFYSTEEKSSQFDENKSKSNNGKKNEPHGIKGLMAKYGY SALIVYILLTCVDLPLCFLGVHSLGEEKIKIYLNRGKQLIGMGEPDESKVIQDVRRKQ AHREAVQAENADKVEDASRKTFNERWQEMKDSTLLAELLIAYGIHKSLIIVRVPLTAV LTPSFVKLLQRFGIDLMKKQKKVFQTMASGAKIRYKGNNPSDFIKNEGTALDITKRKP RTKGQKWFDGLM YGR148C MKVEVDSFSGAKIYPGRGTLFVRGDSKIFRFQNSKSASLFKQRK NPRRIAWTVLFRKHHKKGITEEVAKKRSRKTVKAQRPITGASLDLIKERRSLKPEVRK ANREEKLKANKEKKRAEKAARKAEKAKSAGVQGSKVSKQQAKGAFQKVAATSR YGR149W MYKLDNNDIDDETNNSVSLTSLLEFLDPIASKVVSKYYHGSHLS KAEQKLRNFEGFRRRKPHHEHDSHHPHHLNRSRSFLQLEDFKVRALQRIRNLDKPLDS IFFKNSSRLEKAFYPFTLFNIFFIGFLMGRFPEWFHVYYTILFFVLMPIRFYTYYKTK NHYFLADFCYFVNMLCLLFIWIFPYSYSLFQSCFAFTFGTLCFAVITWRNSLVIHSID KTTSCFIHIIPPCVMYVIYHGLPLEYKIERFPGAIIQSELDIKKNILWTSLYYLVWQS LYHYFITLKKSSKIKSGERMTSFEYLTTHQFKNFWAVKLRSPWPMIIYTLSQYFYQLF TMLLCGIWIRYKLAAALFLTIVFLWASHNGATYYIDHYGKNFEKEVDRLRLEVENLQQ KLQPDSDAVISDASVNDKDYLNVNRDEDFDDSSSVSSKSD YGR150C MYMARCGPKNNVLCFPFQLSFLFSKRLINKRFKYTLQTEDEKNM MGSLSKNKIITPEDVEFKLAQLREFSNTLKERIHNTKSVNSDGHQSNSIAPISEDSRN VNVTKTSSVPNEEKSKNLSDLIHSSFLEKMDHLVPKVIRERVADDDILAKNLFDRSHS NWAPVIDRLYVSEKRFMDIDSREFSVWLNGTVKYLPFHSILHLDEMLLEQINGDVVKF NTHMYECIFNNLGNLKPTNFNQDGTNDKVILKMKELLERYDKALKITEERINKKEGFP SKVPKMTQAILNNCLKYSTKCSSFHDMDYFITKFRDDYGITPNKQNLTTVIQFYSRKE MTKQAWNTFDTMKFLSTKHFPDICTYNTMLRICEKERNFPKALDLFQEIQDHNIKPTT NTYIMMARVLASSSSNAVVSEGKSDSLRLLGWKYLHELEDKNLYRHKKDDLNLFLAMM ALAAFDGDIELSRALYYLFIAKKYKTLCANWKGNILVDQDTIWKSTLMPEMLNYLMLA YARFDPRNLPVLSGYEKGIELRRKFLREFDSSMRLDDTDKLVKFKLPFLPISDLNSEA QVLAESNAIWSFNMENGGTRNTLTSSNEAALEDIKKYRQLLDSFAQEAEDFNEFKFKV MYEVTKMQRESINVNVFNKISLHTYLSIPINLKQQKEFLRRLTFFTFQQHEFEAVIKR LYEGYRNIPSSHTRDQNSISTEAISVSKPETTEDLNLIMHDIWYITCLRHKIMMDTTL YELVMKAAIEFQNEDLAKKVWNDRGKFRTTVPFLKMDQRIRIAKDQKFAHLMVEFFTK QGKYSDAIAIILSSKNRFNWTYSMVRNLHKALEEIEDRNSVEILLDVVNKKSHAKALK WEEQELNM YGR152C MRDYKLVVLGAGGVGKSCLTVQFVQGVYLDTYDPTIEDSYRKTI EIDNKVFDLEILDTAGIAQFTAMRELYIKSGMGFLLVYSVTDRQSLEELMELREQVLR IKDSDRVPMVLIGNKADLINERVISVEEGIEVSSKWGRVPFYETSALLRSNVDEVFVD LVRQIIRNEMESVAVKDARNQSQQFSKIESPSTRLPSSAKQDTKQSNNKQSSKGLYNK SSQGQAKVKQSTPVNEKHKPSHAVPKSGSSNRTGISATSQQKKKKKNASTCTIL YGR153W MGKACLNKEVGTYECEGERDTYSFFTSLSDIQDSSSNEEQCGVG SILSEDSFTFEGSNVSIRLFSLDLNALNENENGSKNPVKFTIPPKIEQRKEARQREKR LRRVAVLPENSRNYLVESSMDSSREYSQPFFDWRHEMVEHGEESVKPCGCHKSRKAKC FKELEMENIEKGDIKKSLFYRDIIEWCRDYEVNKTREVCVPSIHEFYLHGNGSDNLF YGR154C MSVSYKGTISKTHSVFKPEKGRYYIYGALGCPFTHRAILARSLK KLEPVLGLVLSHWQLDSKGARFLPAPHRPEKYKERFFTATGGIASAKLDESEELGDVN NDSARLFVDGAFDPVENISRLSELYYLNDPKYPGTKFTVPVLWDSKTRKIVNNESGDI IRILNSGVFDEFIQSEETNVIDLVPHDLIDEIDKNIKWVHPKINLGVYKVGLAENGKI YETEVKTLFENLQKMECVLKENYKRLEEQFSGNKQKILAKYFVLGQRLTEADIRLYPS IIRFDVVYVQHFKCNLKTIRDGFPYLHLWLINLYWNYAEFRFTTDFNHIKLFYIRMEV SRNKINQFGIVPLGPKPDISRL YGR155W MTKSEQQADSRHNVIDLVGNTPLIALKKLPKALGIKPQIYAKLE LYNPGGSIKDRIAKSMVEEAEASGRIHPSRSTLIEPTSGNTGIGLALIGAIKGYRTII TLPEKMSNEKVSVLKALGAEIIRTPTAAAWDSPESHIGVAKKLEKEIPGAVILDQYNN MMNPEAHYFGTGREIQRQLEDLNLFDNLRAVVAGAGTGGTISGISKYLKEQNDKIQIV GADPFGSILAQPENLNKTDITDYKVEGIGYDFVPQVLDRKLIDVWYKTDDKPSFKYAR QLISNEGVLVGGSSGSAFTAVVKYCEDHPELTEDDVIVAIFPDSIRSYLTKFVDDEWL KKNNLWDDDVLARFDSSKLEASTTKYADVFGNATVKDLHLKPVVSVKETAKVTDVIKI LKDNGFDQLPVLTEDGKLSGLVTLSELLRKLSINNSNNDNTIKGKYLDFKKLNNFNDV SSYNENKSGKKKFIKFDENSKLSDLNRFFEKNSSAVITDGLKPIHIVTKMDLLSYLA YGR156W MTDPRRRTGRHFLTPENLSSTLQITNLPPEWNQDIITSVVAGSG PVIDIKAKNDPRTGKLTGVLFDYLTSKDCKRAWEILNRIENFPVKIEQIIPPNYKDHL RETANKNSQKQVLQLNRDSYPFEAGLELPFEMVTEVPIPRRPPPPQAANNTNSVSNNT NIQFPDILSKASKHLPSFQDGSIIAPDKISQNLSKIPPLQLIEIISNLKILSNQENIQ KSQLESFLDTNSDITISVTQALLEMGFIDYSVVTKVLKSQVGEAPSLLSSNNTSNSNT PVSVIRNNTPLHVPSNEVSNNPNNMPLNVAMPMPMSTPPFIPLPLQQQPFGFAPPGPF MPPAQGPSMGQPVLANQLGQVQQQNISSTEGPSNANKANDSGTINMAKLQLLPENQQD MIKQVLTLTPAQIQSLPSDQQLMVENFRKEYII YGR157W MSSCKTTLSEMVGSVTKDRGTINVEARTRSSNVTFKPPVTHDMV RSLFDPTLKKSLLEKCIALAIISNFFICYWVFQRFGLQFTKYFFLVQYLFWRIAYNLG IGLVLHYQSHYETLTNCAKTHAIFSKIPQNKDANSNFSTNSNSFSEKFWNFIRKFCQY EIRSKMPKEYDLFAYPEEINVWLIFRQFVDLILMQDFVTYIIYVYLSIPYSWVQIFNW RSLLGVILILFNIWVKLDAHRVVKDYAWYWGDFFFLEESELIFDGVFNISPHPMYSIG YLGYYGLSLICNDYKVLLVSVFGHYSQFLFLKYVENPHIERTYGDGTDSDSQMNSRID DLISKENYDYSRPLINMGLSFNNFNKLRFTDYFTIGTVAALMLGTIMNARFINLNYLF ITVFVTKLVSWLFISTILYKQSQSKWFTRLFLENGYTQVYSYEQWQFIYNYYLVLTYT LMIIHTGLQIWSNFSNINNSQLIFGLILVALQTWCDKETRLAISDFGWFYGDFFLSNY ISTRKLTSQGIYRYLNHPEAVLGVVGVWGTVLMTNFAVTNIILAVLWTLTNFILVKFI ETPHVNKIYGKTKRVSGVGKTLLGLKPLRQVSDIVNRIENIIIKSLVDESKNSNGGAE LLPKNYQDNKEWNILIQEAMDSVATRLSPYCELKIENEQVETNFVLPTPVTLNWKMPI ELYNGDDWIGLYKVIDTRADREKTRVGSGGHWSATSKDSYMNHGLRHKESVTEIKATE KYVQGKVTFDTSLLYFENGIYEFRYHSGNSHKVLLISTPFEISLPVLNTTTPELFEKD LTEFLTKVNVLKDGKFRPLGNKFFGMDSLKQLIKNSIGVELSSEYMRRVNGDAHVISH RAWDIKQTLDSLA YGR158C MNVQDRRRLLGPAAAKPMAFSNTTTHVPEKKSTDLTPKGNESEQ ELSLHTGFIENCNGSALVEARSLGHQTSLITAVYGPRSIRGSFTSQGTISIQLKNGLL EKYNTNELKEVSSFLMGIFNSVVNLSRYPKSGIDIFVYLTYDKDLTNNPQDDDSQSKM MSSQISSLIPHCITSITLALADAGIELVDMAGAGEANGTVVSFIKNGEEIVGFWKDDG DDEDLLECLDRCKEQYNRYRDLMISCLMNQET YGR159C MAKTTKVKGNKKEVKASKQAKEEKAKAVSSSSSESSSSSSSSSE SESESESESESSSSSSSSDSESSSSSSSDSESEAETKKEESKDSSSSSSDSSSDEEEE EEKEETKKEESKESSSSDSSSSSSSDSESEKEESNDKKRKSEDAEEEEDEESSNKKQK NEETEEPATIFVGRLSWSIDDEWLKKEFEHIGGVIGARVIYERGTDRSRGYGYVDFEN KSYAEKAIQEMQGKEIDGRPINCDMSTSKPAGNNDRAKKFGDTPSEPSDTLFLGNLSF NADRDAIFELFAKHGEVVSVRIPTHPETEQPKGFGYVQFSNMEDAKKALDALQGEYID NRPVRLDFSSPRPNNDGGRGGSRGFGGRGGGRGGNRGFGGRGGARGGRGGFRPSGSGA NTAPLGRSRNTASFAGSKKTFD YGR161C MIATSRAVNMNKESKHKKAVAKPCRERQTSVTRAMRPAVARDPR RLSTSSSPSSSPMSAQRRLSREEIINEMEKEQDAIVVRLLREIETLKEENSRLKNQLH HPVPARRSSPFFEGESAILDDDDCNYGYTLDTPKLKLTDGASRHTVLPLTPKDSMTHI SHSARRSSRNASISNGTSISDTIFPIETKIHSAPTTNRNLPSADLPHHTLLPRSLSGI SSSDLTESGALLHDRRRRSSNYSLDGSNSLKADLMAKRFQTGSLK YGR161W-C MSGYFNHLSSNAHFANIQADQGFIGDATGTSSDHGSSGMVDFAL QLGELSLEEKILKEFTLFQSKNMDLLQETATACPSTNPSLRQSRIQGW YGR161W-B MESQQLHQNPHSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAETK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKSQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTHTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDKQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSINPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTVESDTTSPRHSSTFTARNQNRPG STNEMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAVCDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YGR161W-A MESQQLHQNPHSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQNQHSEVPQAETK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKSQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YGR161C-D MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSYASKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKR IIHRSPSIDTSSSESNSLHHVVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTELSD SFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NSNKRIIAKLKMQYDTKIINLGESDDEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPNGRKLGAPGQPGLYINQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YGR161C-C MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YGR162W MTDETAHPTQSASKQESAALKQTGDDQQESQQQRGYTNYNNGSN YTQKKPYNSNRPHQQRGGKFGPNRYNNRGNYNGGGSFRGGHMGANSSNVPWTGYYNNY PVYYQPQQMAAAGSAPANPIPVEEKSPVPTKIEITTKSGEHLDLKEQHKAKLQSQERS TVSPQPESKLKETSDSTSTSTPTPTPSTNDSKASSEENISEAEKTRRNFIEQVKLRKA ALEKKRKEQLEGSSGNNNIPMKTTPENVEEKGSDKPEVTEKTKPAEEKSAEPEVKQET PAEEGEQGEKGQIKEESTPKVLTFAERLKLKKQQKEREEKTEGKENKEVPVQEETKSA IESAPVPPSEQVKEETEVAETEQSNIDESATTPAIPTKSDEAEAEVEAEAGDAGTKIG LEAEIETTTDETDDGTNTVSHILNVLKDATPIEDVFSFNYPEGIEGPDIKYKKEHVKY TYGPTFLLQFKDKLNVKADAEWVQSTASKIVIPPGMGRGNRSRDSGRFGNNSSRGHDF RNTSVRNMDDRANSRTSSKRRSKRMNDDRRSNRSYTSRRDRERGSYRNEEKREDDKPK EEVAPLVPSANRWVPKFKSKKTEKKLAPDGKTELLDKDEVERKMKSLLNKLTLEMFDA ISSEILAIANISVWETNGETLKAVIEQIFLKACDEPHWSSMYAQLCGKVVKELNPDIT DETNEGKTGPKLVLHYLVARCHAEFDKGWTDKLPTNEDGTPLEPEMMSEEYYAAASAK RRGLGLVRFIGFLYRLNLLTGKMMFECFRRLMKDLTDSPSEETLESVVELLNTVGEQF ETDSFRTGQATLEGSQLLDSLFGILDNIIQTAKISSRIKFKLIDIKELRHDKNWNSDK KDNGPKTIQQIHEEEERQRQLKNNSRSNSRRTNNSSNRHSFRRDAPPASKDSFITTRT YSQRNSQRAPPPKEEPAAPTSTATNMFSALMGESDDEE YGR163W MSLEATDSKAMVLLMGVRRCGKSSICKVVFHNMQPLDTLYLEST SNPSLEHFSTLIDLAVMELPGQLNYFEPSYDSERLFKSVGALVYVIDSQDEYINAITN LAMIIEYAYKVNPSINIEVLIHKVDGLSEDFKVDAQRDIMQRTGEELLELGLDGVQVS FYLTSIFDHSIYEAFSRIVQKLIPELSFLENMLDNLIQHSKIEKAFLFDVNSKIYVST DSNPVDIQMYEVCSEFIDVTIDLFDLYKAPVLRNSQKSSDKDNVINPRNELQNVSQLA NGVIIYLRQMIRGLALVAIIRPNGTDMESCLTVADYNIDIFKKGLEDIWANARASQAK NSIEDDV YGR164W MIVEPMTKPRKPTVQRCQSFFTNGANHFYCKKSTHDGGRTHNLL IRSQTRCHYATRATVCWKFSIINKYIPTLANITITTLRKLYKRFIDRESLFLIFFRKD EHIVQNIIN YGR165W MSYGLTGTSSKLRGTSSIFSWTQVRHFSRRRIAYPFYPFKKLGR QHPKKHDTNLKTAMRQFLGPKNYKGEYVMNKYFTVPTNHVPNYIKPDLERGQSLEHPV TKKPLQLRYDGTLGPPPVENKRLQNIFKDRLLQPFPSNPHCKTNYVLSPQLKQSIFEE ITVEGLSAQQVSQKYGLKIPRVEAIVKLVSVENSWNRRNRVSSDLKTMDETLYRMFPV FDSDASFKRENLSEIPVPQKTLASRFLTIAESEPFGPVDAAHVLELEPAVETLRNLST VGEHSSGHQQSTNKNTKVIYGELVEGERSQYKFTNAKVGKVGYRYGSGNRDNKKDRRI GFNKLGQMVYI YGR166W MECFVPLRCDLDGSNIEQLRQSHLSRKFIIFDEQLNLWLWFQGN SQENKRFVLQNMIILINEAQVTRTSTIDDYFTQVENNENLWRLKNDCCSKILFKSNVV MNNGYNNQIKFVFEYKSVDANFNNQDSLQDPQAKYTLDKYSSEEILPSFEPVYSWSSA ATKSSKNTNNHLEKNNRATHRVSSKNSEVHEADVSRNPNTFTLKLQYPIFSLLNMRLR NISLKSEHCILSSLDFQTSKASEQLTKKFIYPQEHNSFLKLNFQEISYKLIDGTSQIE LDPICPLKVPLTAFSYDSISATFKLVLLPKSTQPHRVKITLAYELELHPNLKLPVRTS WETEVTLKRSMPISSTSSQYSSNNNNTNHSASFNGAANNVNSGGLANLRLGGVSSSRF SLGAASTTSLVNSKLSNVKFKFINSNIKVIKGEKFTMRLQIINSSSSPLDLVVYYNNT INPIPSANNVRNSNGINNCGMNNGTIPNSPLTLENQYQLHNKYRKIAEGIILLSNDYK IPVVPPRETYFADLRFIGIMSGYYGTLSGLKVLDLNTNELIEVGNGASVLIQ YGR167W MSEKFPPLEDQNIDFTPNDKKDDDTDFLKREAEILGDEFKTEQD DILETEASPAKDDDEIRDFEEQFPDINSANGAVSSDQNGSATVSSGNDNGEADDDFST FEGANQSTESVKEDRSEVVDQWKQRRAVEIHEKDLKDEELKKELQDEAIKHIDDFYDS YNKKKEQQLEDAAKEAEAFLKKRDEFFGQDNTTWDRALQLINQDDADIIGGRDRSKLK EILLRLKGNAKAPGA YGR168C MKHNRPNGTGKAVSGFKQIIRRLLLLLNKKRRKQLVIILKRITQ VYGINLVFYVKKWKLKKLQGENIQINDIMPWLRESTILVLLNILYPTLMKFPFLKNHY IHWSSIVGISLMLTKGEVPSWIIAHFLVEAIASKLKIAKLTQWLKKKNFSQGTLIKFQ QILVCLAIIVLFAKLDRSSLPFRVLFDHRPFLIDFFTINAIFTVLAVYHRTLKFFFTS GTKSNKNVGGHEVRNFSQYLGVKNHNDWPISSSNLKHVMDRLNEIHEVTIDDNYANIN EKIINSYFTKGFFPSLKWTILRQCIEYLFVTKRRRLMGNKLRCIVMLLTFTFVDPTSK MKISPFFAKFFAKSLVNVYLKKYWHCNFGKYILFFLFQLSIM YGR169C MSTIKVIEVYTQNGLRKVRPYYNRRSAFVKGRWLGKLLIDVLVS EFKLRPRAYYLDQIRKGTYRLIRDGVPLVPDHLMTTIIKNHDVLETTTHKHEPPVKQW CSQEVEAEDLPGRIAGFNIVFEDESILVIDKPSGIPVHPTGQFYQNTITELLKLHGVD ALPCYRLDKITSGLLILAKNSQSAGEIQKSIRSRDMIKIYLARVKGRFPHSELILDNE NAAETTFEDTSKVTVEMTPIYSIDPKRQFPVGLSTSKDAITKFYPIRYFSHADETVVA CKPITGRTHQIRIHLARLGHPIVNDSVYCSHITKYPERLKFITQFPRWENQQDLDAEE LKVRFQKFVDETKNNCRTMETFCPECHTVDLKDPVLSDLELWLHAWKYEEINGKFKFK TDLPKWAQLDNS YGR169C-A MGKRFSESAAKKAAGLARKRDQAHAKQRAQMEQLEAEEASKWEQ GSRKENAKKLEEEQKRQEKARAKKERDALLTAEEEQLGKGGKGKRKMK YGR170W MRIIKGRKRGKNKKPTLILKIHVIQAENIEALKTFNCNPVCFVT TNTFYSQKTNKLKNSNTHWNQTLRIKLPRNPTSEWLRIIVYDALPTGAPPTTPSRPRT TTANTSSSTLSNSGLSSHSHSSRNLNVTSKGNQTSTSINSVSSSATPAPSHSSSSLST TGPGSTHKNRINSYLYLGEAKISLLDLFKRKDTTTSYKFSIEAQRYHLYDMKGGKDQD SLNCNFLVGDILLGFKLECNVKRTPTFQAFNAWRNELNTYLGRIDRNKARMRSSSSLP PPLEDMLSNSSAVSGNEIRREKPYSDTDLAHDEEVNAEDEIDAEESIEDMNSSGSICT ERRYDIDNDTIFDSISEVVSLNDEELDILNDFEEADHPNVPDINVHDIDEDTRISLSS MITALDEYDIVEPEDVAKLPAVSENDITSVDDEESENQQESDEEFDIYNEDEREDSDF QSKEYIGSRLLHLQRGKHNKSYANYLYRRAKSNFFISKKEHAMGVVFMHIGAIKNLPA LRNRLSKTNYEMDPFIVISFGRRVFKTSWRKHTLNPEFNEYAAFEVFPHETNFAFSIK VVDKDSFSFNDDVAKCELAWFDMLQQQQHENEWIPYEIPLDLTVEPAHAPKQPVLYSS FKYVSYPFLKKSFWKEAVDTSVNLERLDIIQVMLYLERLGSFTMADSFELFQHFNKSA WAGQSITRSQLVEGLQSWRKSTNFKRIWTCPRCMRSCKPTRNARRSKLVLENDLITHF AICTFSKEHKTLKPSYVSSAFASKRWFSKVLIKLTYGKYALGSNNANILVQDRDTGII IEEKISAHVKLGMRIIYNGKSPESKKFRSLLKTLSIRQGKKFDSTASAKQIEPFIKFH SLDLSQCRDKDFKTFNEFFYRKLKPGSRLPESNNKEILFSPADSRCTVFPTIQESKEI WVKGRKFSIKKLANNYNPETFNDNNCSIGIFRLAPQDYHRFHSPCNGTIGKPVYVDGE YYTVNPMAVRSELDVFGENIRVIIPIDSPQFGKLLYIPIGAMMVGSILLTCKENDVVE SGQELGYFKFGGSTIIIIIPHNNFMFDSDLVKNSSERIETLVKVGMSIGHTSNVNELK RIRIKVDDPKKIERIKRTISVSDENAKSTGNVTWEYHTLREMMNKDFAGL YGR171C MQCRSIVHRLYSKVSHVTTPIFYPNAKPHLGHLYSSLLSDVYHR WQLFKGNLSFFTTGTDEHGLKIQCASESNGFDQPKKFVDKLYPEFVQLDKIYGINYTR FIRTTDPDHIENVMKLWELCLKNGYIYMGEHKGWYSISDETFYPESKVIKDPKNDGKY LNTESKNEVVYQSETNYFFRLSLFNKKIVDHIRKNPDFIFPASKRDQILKELETGGTL PDLSISRPSARLKWGIPTPNDPSQKVYVWFDALCNYLSSIGGIPSILSNATEVVSRHY SDKSNVKGQLLIPYPKEVQRNTIHVIGKDIAKFHTVYWPSFLLAAGLPLPRQIVVHGH WLCNGMKMSKSLGNVVDPIDMARYYGADIVRWFLLENSKLEEDGDFQEAKLYETRELL VSKWGNLINRCCGSKFNIERAVMKFSDKANFQFQEIFQNEPIVSERIENLAKLLNKSQ EVFDEKIAIFQYPQLLRHVWSIINDANTLVQNSKPWERELDQQDNIIFLAMETSRILS ILCQSIIPSLSQSFLDRIDVSKEKRTINYARLGSDKTYGKQSNKKGREVPLKKIPFRL QEEQTNMRS YGR172C MSFYNTSNNANNGGGFYQPSAQFAVPQGSMSFQNTVGSSNTGND NNLGVAPDPLPVGILHALSTKGYPHEPPLLEEIGINFDHIITKTKMVLIPIRFGSGVP QEILNDSDLAGPLIFFLLFGLFLLMAGKVHFGYIYGVALFGTISLHNLSKLMSNNDTS TQTNLQFFNTASILGYCFLPLCFLSLLGIFHGLNNTTGYVVSVLFVIWSTWTSSGFLN SLLQLQNARLLIAYPLLIFYSVFALMVIFV YGR173W MGIIDKIKAIEEEMARTQKNKATEHHLGLLKGKLARYRQQLLAD EAGSGGGGGSGFEVAKSGDARVVLIGYPSVGKSSLLGKITTTKSEIAHYAFTTLTSVP GVLKYQGAEIQIVDLPGIIYGASQGKGRGRQVVATARTADLVLMVLDATKSEHQRASL EKELENVGIRLNKEKPNIYYKKKETGGVKVTFTSPPKTNLTEQAIKMILRDYRIHNAE VLVRDDQCTIDDFIDVINEQHRNYVKCLYVYNKIDAVSLEEVDKLAREPNTVVMSCEM DLGLQDVVEEIWYQLNLSRVYTKKRGVRPVFDDPLVVRNNSTIGDLCHGIHRDFKDKF KYALVWGSSAKHSPQKCGLNHRIDDEDVVSLFAK YGR174C MQCAITPREAVIAKQRQYKHYLGMERPLWVRWLKVYAIGGAIIG SGFLLFKYTTPTDQQLISQLSPELRLQYEREKKLRQSEQQALMKIVKETSQSDDPIWK TGPLQSPWERNGDNVQSRDHFAKVRAEEVQKEELARIRNELSQLRSETEEKTKEIVQD KQVKSWWRFW YGR174W-A MNLNAYFEAYQAIFPFLLEAFLRKEQKV YGR175C MSAVNVAPELINADNTITYDAIVIGAGVIGPCVATGLARKGKKV LIVERDWAMPDRIVGELMQPGGVRALRSLGMIQSINNIEAYPVTGYTVFFNGEQVDIP YPYKADIPKVEKLKDLVKDGNDKVLEDSTIHIKDYEDDERERGVAFVHGRFLNNLRNI TAQEPNVTRVQGNCIEILKDEKNEVVGAKVDIDGRGKVEFKAHLTFICDGIFSRFRKE LHPDHVPTVGSSFVGMSLFNAKNPAPMHGHVILGSDHMPILVYQISPEETRILCAYNS PKVPADIKSWMIKDVQPFIPKSLRPSFDEAVSQGKFRAMPNSYLPARQNDVTGMCVIG DALNMRHPLTGGGMTVGLHDVVLLIKKIGDLDFSDREKVLDELLDYHFERKSYDSVIN VLSVALYSLFAADSDNLKALQKGCFKYFQRGGDCVNKPVEFLSGVLPKPLQLTRVFFA VAFYTIYLNMEERGFLGLPMALLEGIMILITAIRVFTPFLFGELIG YGR177C MEDIEGYEPHITQELIDRGHARRMGHLENYFAVLSRQKMYSNFT VYAELNKGVNKRQLMLVLKVLLQKYSTLAHTIIPKHYPHHEAYYSSEEYLSKPFPQHD FIKVISHLEFDDLIMNNQPEYREVMEKISEQFKKDDFKVTNRLIELISPVIIPLGNPK RPNWRLICLPGKDTDGFETWKNFVYVTNHCGSDGVSGSNFFKDLALLFCKIEEKGFDY DEEFIEDQVIIDYDRDYTEISKLPKPITDRIDYKPALTSLPKFFLTTFIYEHCNFKTS SESTLTARYSPSSNANASYNYLLHFSTKQVEQIRAQIKKNVHDGCTLTPFIQACFLVA LYRLDKLFTKSLLEYGFDVAIPSNARRFLPNDEELRDSYKYGSNVGGSHYAYLISSFD IPEGDNDKFWSLVEYYYDRFLESYDNGDHLIGLGVLQLDFIVENKNIDSLLANSYLHQ QRGGAIISNTGLVSQDTTKPYYVRDLIFSQSAGALRFAFGLNVCSTNVNGMNMDMSVV QGTLRDRGEWESFCKLFYQTIGEFASL YGR178C MKGNFRKRDSSTNSRKGGNSDSNYTNGGVPNQNNSSMFYENPEI TRNFDDRQDYLLANSIGSDVTVTVTSGVKYTGLLVSCNLESTNGIDVVLRFPRVADSG VSDSVDDLAKTLGETLLIHGEDVAELELKNIDLSLDEKWENSKAQETTPARTNIEKER VNGESNEVTKFRTDVDISGSGREIKERKLEKWTPEEGAEHFDINKGKALEDDSASWDQ FAVNEKKFGVKSTFDEHLYTTKINKDDPNYSKRLQEAERIAKEIESQGTSGNIHIAED RGIIIDDSGLDEEDLYSGVDRRGDELLAALKSNSKPNSNKGNRYVPPTLRQQPHHMDP AIISSSNSNKNENAVSTDTSTPAAAGAPEGKPPQKTSKNKKSLSSKEAQIEELKKFSE KFKVPYDIPKDMLEVLKRSSSTLKSNSSLPPKPISKTPSAKTVSPTTQISAGKSESRR SGSNISQGQSSTGHTTRSSTSLRRRNHGSFFGAKNPHTNDAKRVLFGKSFNMFIKSKE AHDEKKKGDDASENMEPFFIEKPYFTAPTWLNTIEESYKTFFPDEDTAIQEAQTRFQQ RQLNSMGNAVPGMNPAMGMNMGGMMGFPMGGPSASPNPMMNGFAAGSMGMYMPFQPQP MFYHPSMPQMMPVMGSNGAEEGGGNISPHVPAGFMAAGPGAPMGAFGYPGGIPFQGMM GSGPSGMPANGSAMHSHGHSRNYHQTSHHGHHNSSTSGHK YGR179C MAADRDNFLQNIENDSINNGQAMDLSPNRSSSESDSSILMNVND IKTLRLDVAPEAKSTQSKKSLFYENSDDAEEGEIEERTNKEEGQYHHKGSKQLRFEVG KESTGKLQSHLSDGSATSGEGNVRPWEFRKVIQAEYRERLPRNYELKHWKKPSKIMIG SILRLLETNTVSALDSVFEKYEKEMNQMTHGDNNEVKRIYSKKERLLEIILTKIKKKL RQAKFPSRISERDLDIEYIYSKRQFIQNRYSQELQNNERLEAILSREQNLLEETRKLC MNLKTNNKKRLTEKLIQKDLHPVLNKAMEYTYGLESTNGFMHPDGPVTFRNDSHELNL MLNDPIKSTADVRLDKEEVLSLLPSLKEYTKKSKELKETMGQMISDSHEEEIKEVFVP HHESHQDKTEEDIH YGR180C MEAHNQFLKTFQKERHDMKEAEKDEILLMENSRRFVMFPIKYHE IWAAYKKVEASFWTAEEIELAKDTEDFQKLTDDQKTYIGNLLALSISSDNLVNKYLIE NFSAQLQNPEGKSFYGFQIMMENIYSEVYSMMVDAFFKDPKNIPLFKEIANLPEVKHK AAFIERWISNDDSLYAERLVAFAAKEGIFQAGNYASMFWLTDKKIMPGLAMANRNICR DRGAYTDFSCLLFAHLRTKPNPKIIEKIITEAVEIEKEYYSNSLPVEKFGMDLKSIHT YIEFVADGLLQGFGNEKYYNAVNPFEFMEDVATAGKTTFFEKKVSDYQKASDMSKSAT PSKEINFDDDF YGR181W MGLSSIFGGGAPSQQKEAATTAKTTPNPIAKELKNQIAQELAVA NATELVNKISENCFEKCLTSPYATRNDACIDQCLAKYMRSWNVISKAYISRIQNASAS GEI YGR183C MSFSSLYKTFFKRNAVFVGTIFAGAFVFQTVFDTAITSWYENHN KGKLWKDVKARIAAGDGDDDDE YGR184C MSVADDDLGSLQGHIRRTLRSIHNLPYFRYTRGPTERADMSRAL KEFIYRYLYFVISNSGENLPTLFNAHPKQKLSNPELTVFPDSLEDAVDIDKITSQQTI PFYKIDESRIGDVHKHTGRNCGRKFKIGEPLYRCHECGCDDTCVLCIHCFNPKDHVNH HVCTDICTEFTSGICDCGDEEAWNSPLHCKAEEQENDISEDPATNADIKEEDVWNDSV NIALVELVLAEVFDYFIDVFNQNIEPLPTIQKDITIKLREMTQQGKMYERAQFLNDLK YENDYMFDGTTTAKTSPSNSPEASPSLAKIDPENYTVIIYNDEYHNYSQATTALRQGV PDNVHIDLLTSRIDGEGRAMLKCSQDLSSVLGGFFAVQTNGLSATLTSWSEYLHQETC KYIILWITHCLNIPNSSFQTTFRNMMGKTLCSEYLNATECRDMTPVVEKYFSNKFDKN DPYRYIDLSILADGNQIPLGHHKILPESSTHSLSPLINDVETPTSRTYSNTRLQHILY FDNRYWKRLRKDIQNVIIPTLASSNLYKPIFCQQVVEIFNHITRSVAYMDREPQLTAI RECVVQLFTCPTNAKNIFENQSFLDIVWSIIDIFKEFCKVEGGVLIWQRVQKSNLTKS YSISFKQGLYTVETLLSKVHDPNIPLRPKEIISLLTLCKLFNGAWKIKRKEGEHVLHE DQNFISYLEYTTSIYSIIQTAEKVSEKSKDSIDSKLFLNAIRIISSFLGNRSLTYKLI YDSHEVIKFSVSHERVAFMNPLQTMLSFLIEKVSLKDAYEALEDCSDFLKISDFSLRS VVLCSQIDVGFWVRNGMSVLHQASYYKNNPELGSYSRDIHLNQLAILWERDDIPRIIY NILDRWELLDWFTGEVDYQHTVYEDKISFIIQQFIAFIYQILTERQYFKTFSSLKDRR MDQIKNSIIYNLYMKPLSYSKLLRSVPDYLTEDTTEFDEALEEVSVFVEPKGLADNGV FKLKASLYAKVDPLKLLNLENEFESSATIIKSHLAKDKDEIAKVVLIPQVSIKQLDKD ALNLGAFTRNTVFAKVVYKLLQVCLDMEDSTFLNELLHLVHGIFRDDELINGKDSIPE AYLSKPICNLLLSIANAKSDVFSESIVRKADYLLEKMIMKKPNELFESLIASFGNQYV NDYKDKKLRQGVNLQETEKERKRRLAKKHQARLLAKFNNQQTKFMKEHESEFDEQDND VDMVGEKVYESEDFTCALCQDSSSTDFFVIPAYHDHSPIFRPGNIFNPNEFMPMWDGF YNDDEKQAYIDDDVLEALKENGSCGSRKVFVSCNHHIHHNCFKRYVQKKRFSSNAFIC PLCQTFSNCTLPLCQTSKANTGLSLDMFLESELSLDTLSRLFKPFTEENYRTINSIFS LMISQCQGFDKAVRKRANFSHKDVSLILSVHWANTISMLEIASRLEKPYSISFFRSRE QKYKTLKNILVCIMLFTFVIGKPSMEFEPYPQQPDTVWNQNQLFQYIVRSALFSPVSL RQTVTEALTTFSRQFLRDFLQGLSDAEQVTKLYAKASKIGDVLKVSEQMLFALRTISD VRMEGLDSESIIYDLAYTFLLKSLLPTIRRCLVFIKVLHELVKDSENETLVINGHEVE EELEFEDTAEFVNKALKMITEKESLVDLLTTQESIVSHPYLENIPYEYCGIIKLIDLS KYLNTYVTQSKEIKLREERSQHMKNADNRLDFKICLTCGVKVHLRADRHEMTKHLNKN CFKPFGAFLMPNSSEVCLHLTQPPSNIFISAPYLNSHGEVGRNAMRRGDLTTLNLKRY EHLNRLWINNEIPGYISRVMGDEFRVTILSNGFLFAFNREPRPRRIPPTDEDDEDMEE GEDGFFTEGNDEMDVDDETGQAANLFGVGAEGIAGGGVRDFFQFFENFRNTLQPQGNG DDDAPQNPPPILQFLGPQFDGATIIRNTNPRNLDEDDSDDNDDSDEREIW YGR185C MSSAATVDPNEAFGLITKNLQEVLNPQIIKDVLEVQKRHLKLYW GTAPTGRPHCGYFVPMTKLADFLKAGCEVTVLLADLHAFLDNMKAPLEVVNYRAKYYE LTIKAILRSINVPIEKLKFVVGSSYQLTPDYTMDIFRLSNIVSQNDAKRAGADVVKQV ANPLLSGLIYPLMQALDEQFLDVDCQFGGVDQRKIFVLAEENLPSLGYKKRAHLMNPM VPGLAQGGKMSASDPNSKIDLLEEPKQVKKKINSAFCSPGNVEENGLLSFVQYVIAPI QELKFGTNHFEFFIDRPEKFGGPITYKSFEEMKLAFKEEKLSPPDLKIGVADAINELL EPIRQEFANNKEFQEASEKGYPVATPQKSKKAKKPKNKGTKYPGATKTNEIATKLEET KL YGR186W MSRRNPPGSRNGGGPTNASPFIKRDRMRRNFLRMRMGQNGSNSS SPGVPNGDNSRGSLVKKDDPEYAEEREKMLLQIGVEADAGRSNVKVKDEDPNEYNEFP LRAIPKEDLENMRTHLLKFQSKKKINPVTDFHLPVRLHRKDTRNLQFQLTRAEIVQRQ KEISEYKKKAEQERSTPNSGGMNKSGTVSLNNTVKDGSQTPTVDSVTKDNTANGVNSS IPTVTGSSVPPASPTTVSAVESNGLSNGSTSAANGLDGNASTANLANGRPLVTKLEDA GPAEDPTKVGMVKYDGKEVTNEPEFEEGTMDPLADVAPDGGGRAKRGNLRRKTRQLKV LDENAKKLRFEEFYPWVMEDFDGYNTWVGSYEAGNSDSYVLLSVEDDGSFTMIPADKV YKFTARNKYATLTIDEAEKRMDKKSGEVPRWLMKHLDNIGTTTTRYDRTRRKLKAVAD QQAMDEDDRDDNSEVELDYDEEFADDEEAPIIDGNEQENKESEQRIKKEMLQANAMGL RDEEAPSENEEDELFGEKKIDEDGERIKKALQKTELAALYSSDENEINPYLSESDIEN KENESPVKKEEDSDTLSKSKRSSPKKQQKKATNAHVHKEPTLRVKSIKNCVIILKGDK KILKSFPEGEWNPQTTKAVDSSNNASNTVPSPIKQEEGLNSTVAEREETPAPTITEKD IIEAIGDGKVNIKEFGKFIRRKYPGAENKKLMFAIVKKLCRKVGNDHMELKKE YGR187C MTSQLNELVEFLHSPQPAVRQIAIDNLVGFSAGPTSKVFKNDSY RPIKDIIKMIMDPEHGTRVIIQQGVTILVNLSEDKLVRNIILSDDKKFLKFLVWKIVD LTNPNADIMCILLSNLAKDDGILAVLNIKRNSSGEEVDDGLKLAALNKEVFKSLRAMD CLMDCFVKGYDKKLTKYASFNYLAFFFADISRFKLGRMYFIEEQEYDGVVPISKLLVF TEKYDAKVRREGVASTIKNSLFDSETHERLLKDEKINLLPYILLPIASAKDSEIDEED MFNLPDELQLLPEDKERDPIPAIICCHLESILLLCTTHAGREYLRDKSVYPLVRELHK NVENEDIGELCYRIVNMLMRGEPGAGAVEEMPSKNAEEEEEEESEEEEDDDEEDEIVE VA YGR188C MNLDLGSTVRGYESDKDTFPQSKGVSSSQKEQHSQLNQTKIAYE QRLLNDLEDMDDPLDLFLDYMIWISTSYIEVDSESGQEVLRSTMERCLIYIQDMETYR NDPRFLKIWIWYINLFLSNNFHESENTFKYMFNKGIGTKLSLFYEEFSKLLENAQFFL EAKVLLELGAENNCRPYNRLLRSLSNYEDRLREMNIVENQNSVPDSRERLKGRLIYRT APFFIRKFLTSSLMTDDKENRANLNSNVGVGKSAPNVYQDSIVVADFKSETERLNLNS SKQPSNQRLKNGNKKTSIYADQKQSNNPVYKLINTPGRKPERIVFNFNLIYPENDEEF NTEEILAMIKGLYKVQRRGKKHTEDYTSDKNRKKRKLDVLVERRQDLPSSQPPVVPKS TRIEVFKDDDNPSQSTHHKNTQVQVQTTTSILPLKPVVDGNLAHETPVKPSLTSNASR SPTVTAFSKDAINEVFSMFNQHYSTPGALLDGDDTTTSKFNVFENFTQEFTAKNIEDL TEVKDPKQETVSQQTTSTNETNDRYERLSNSSTRPEKADYMTPIKETTETDVVPIIQT PKEQIRTEDKKSGDNTETQTQLTSTTIQSSPFLTQPEPQAEKLLQTAEHSEKSKEHYP TIIPPFTKIKNQPPVIIENPLSNNLRAKFLSEISPPLFQYNTFYNYNQELKMSSLLKK IHRVSRNENKNPIVDFKKTGDLYCIRGELGEGGYATVYLAESSQGHLRALKVEKPASV WEYYIMSQVEFRLRKSTILKSIINASALHLFLDESYLVLNYASQGTVLDLINLQREKA IDGNGIMDEYLCMFITVELMKVLEKIHEVGIIHGDLKPDNCMIRLEKPGEPLGAHYMR NGEDGWENKGIYLIDFGRSFDMTLLPPGTKFKSNWKADQQDCWEMRAGKPWSYEADYY GLAGVIHSMLFGKFIETIQLQNGRCKLKNPFKRYWKKEIWGVIFDLLLNSGQASNQAL PMTEKIVEIRNLIESHLEQHAENHLRNVILSIEEELSHFQYKGKPSRRF YGR189C MKVLDLLTVLSASSLLSTFAAAESTATADSTTAASSTASCNPLK TTGCTPDTALATSFSEDFSSSSKWFTDLKHAGEIKYGSDGLSMTLAKRYDNPSLKSNF YIMYGKLEVILKAANGTGIVSSFYLQSDDLDEIDIEWVGGDNTQFQSNFFSKGDTTTY DRGEFHGVDTPTDKFHNYTLDWAMDKTTWYLDGESVRVLSNTSSEGYPQSPMYLMMGI WAGGDPDNAAGTIEWAGGETNYNDAPFTMYIEKVIVTDYSTGKKYTYGDQSGSWESIE ADGGSIYGRYDQAQEDFAVLANGGSISSSSTSSSTVSSSASSTVSSSVSSTVSSSASS TVSSSVSSTVSSSSSVSSSSSTSPSSSTATSSKTLASSSVTTSSSISSFEKQSSSSSK KTVASSSTSESIISSTKTPATVSSTTRSTVAPTTQQSSVSSDSPVQDKGGVATSSNDV TSSTTQISSKYTSTIQSSSSEASSTNSVQISNGADLAQSLPREGKLFSVLVALLALL YGR191W MPRNPLKKEYWADVVDGFKPATSPAFENEKESTTFVTELTSKTD SAFPLSSKDSPGINQTTNDITSSDRFRRNEDTEQEDINNTNLSKDLSVRHLLTLAVGG AIGTGLYVNTGAALSTGGPASLVIDWVIISTCLFTVINSLGELSAAFPVVGGFNVYSM RFIEPSFAFAVNLNYLAQWLVLLPLELVAASITIKYWNDKINSDAWVAIFYATIALAN MLDVKSFGETEFVLSMIKILSIIGFTILGIVLSCGGGPHGGYIGGKYWHDPGAFVGHS SGTQFKGLCSVFVTAAFSYSGIEMTAVSAAESKNPRETIPKAAKRTFWLITASYVTIL TLIGCLVPSNDPRLLNGSSSVDAASSPLVIAIENGGIKGLPSLMNAIILIAVVSVANS AVYACSRCMVAMAHIGNLPKFLNRVDKRGRPMNAILLTLFFGLLSFVAASDKQAEVFT WLSALSGLSTIFCWMAINLSHIRFRQAMKVQERSLDELPFISQTGVKGSWYGFIVLFL VLIASFWTSLFPLGGSGASAESFFEGYLSFPILIVCYVGHKLYTRNWTLMVKLEDMDL DTGRKQVDLTLRREEMRIERETLAKRSFVTRFLHFWC YGR192C MVRVAINGFGRIGRLVMRIALSRPNVEVVALNDPFITNDYAAYM FKYDSTHGRYAGEVSHDDKHIIVDGKKIATYQERDPANLPWGSSNVDIAIDSTGVFKE LDTAQKHIDAGAKKVVITAPSSTAPMFVMGVNEEKYTSDLKIVSNASCTTNCLAPLAK VINDAFGIEEGLMTTVHSLTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDVSVVDLTVKLNKETTYDEIKKVVKAAAEGKLKGVLGYTE DAVVSSDFLGDSHSSIFDASAGIQLSPKFVKLVSWYDNEYGYSTRVVDLVEHVAKA YGR193C MLSAISKVSTLKSCTRYLTKCNYHASAKLLAVKTFSMPAMSPTM EKGGIVSWKYKVGEPFSAGDVILEVETDKSQIDVEALDDGKLAKILKDEGSKDVDVGE PIAYIADVDDDLATIKLPQEANTANAKSIEIKKPSADSTEATQQHLKKATVTPIKTVD GSQANLEQTLLPSVSLLLAENNISKQKALKEIAPSGSNGRLLKGDVLAYLGKIPQDSV NKVTEFIKKNERLDLSNIKPIQLKPKIAEQAQTKAADKPKITPVEFEEQLVFHAPASI PFDKLSESLNSFMKEAYQFSHGTPLMDTNSKYFDPIFEDLVTLSPREPRFKFSYDLMQ IPKANNMQDTYGQEDIFDLLTGSDATASSVRPVEKNLPEKNEYILALNVSVNNKKFND AEAKAKRFLDYVRELESF YGR194C MLCSVIQRQTREVSNTMSLDSYYLGFDLSTQQLKCLAINQDLKI VHSETVEFEKDLPHYHTKKGVYIHGDTIECPVAMWLEALDLVLSKYREAKFPLNKVMA VSGSCQQHGSVYWSSQAESLLEQLNKKPEKDLLHYVSSVAFARQTAPNWQDHSTAKQC QEFEECIGGPEKMAQLTGSRAHFRFTGPQILKIAQLEPEAYEKTKTISLVSNFLTSIL VGHLVELEEADACGMNLYDIRERKFSDELLHLIDSSSKDKTIRQKLMRAPMKNLIAGT ICKYFIEKYGFNTNCKVSPMTGDNLATICSLPLRKNDVLVSLGTSTTVLLVTDKYHPS PNYHLFIHPTLPNHYMGMICYCNGSLARERIRDELNKERENNYEKTNDWTLFNQAVLD DSESSENELGVYFPLGEIVPSVKAINKRVIFNPKTGMIEREVAKFKDKRHDAKNIVES QALSCRVRISPLLSDSNASSQQRLNEDTIVKFDYDESPLRDYLNKRPERTFFVGGASK NDAIVKKFAQVIGATKGNFRLETPNSCALGGCYKAMWSLLYDSNKIAVPFDKFLNDNF PWHVMESISDVDNENWDRYNSKIVPLSELEKTLI YGR195W MSRLEIYSPEGLRLDGRRWNELRRFESSINTHPHAADGSSYMEQ GNNKIITLVKGPKEPRLKSQMDTSKALLNVSVNITKFSKFERSKSSHKNERRVLEIQT SLVRMFEKNVMLNIYPRTVIDIEIHVLEQDGGIMGSLINGITLALIDAGISMFDYISG ISVGLYDTTPLLDTNSLEENAMSTVTLGVVGKSEKLSLLLVEDKIPLDRLENVLAIGI AGAHRVRDLMDEELRKHAQKRVSNASAR YGR196C MSTEQVGRKKSYRWVSASQASYDGAGWDSSDEYDYSSEDGTKGS EIHKQKISNLPSLPKLNYTDVNGEHDENTGENKDSNDNNVSKSDISPSDKEVGYLSDG VPKLMASRESVELQAKKSSEHSKSDYLSSTASLKSPSENKKSPHTNRAVNEDLDNLIE QISREMTPEIRQTSDFRRDSDSCDEIQNEAPLGEAVPSSSSPVEEDEKSHSLGVSMDT NEADTTFNTPTRNGNEHLSSDGDVSEQKDDEFKVSERGYLADILPAEKEENLQQEDDG EVESSGALEKKEKSEEKTSIRNRNSTSSGQDKVAKPKPVANETKTSDNGYRNSFFNDY QHSSDSEEDDNNEGNSGSSDDDNRSSVSDKHADINRQSKQLDTTDDDALSYTESIKYS TNETEEEDNEDNESIEDKNEDNESIEDENEDTDSYKFSNREKGSILLTSDEEEEEKGM SSDSDEGSLKAPKSGYFSKMIGNDDKGDSALQPNQIDTIENTNLSNSGSELENSDGSD EEDHINEDKVLEESSVKDSTDVDSWKPDSEALRSGFVQDTANKKAPPGYVIDSNGKLV DLTPASMKPRVVSTYSEMESTWDAFPSKGEDDDLETIRDTKTIYDNNTIYNVPGLIGN QSNLPPLPMDAQEQLNAGNDNSTTDNDNSNNTANDLAARSASFKSENRTVSQGEMTSV HEPSTEEMAKLGQQNNLPKLDMNKLLNSKTSHAGKIEQLRNYKRELDEYDTGIQTWIN YTLKSSSNKDKDFIAEEYKQHSHVREAYANADDLSKKHTVINTVASVNQNVTHLRRKV FQHSMKPKDLFASIGKKKL YGR197C MTKSVGDEESQYIEDPSFAAAAAFTGGRDGVSYSNQRFAEGSGH SSDLAKSLEDYRPPDEKPSSLSSVGEGGANEEEKGGNDGGPLARIQTGLFSPRLRNHR KKILSKFVLNNFFIACVCVSLISIYWGACYGTDRYFFKVKNIVVLQDAPSNTSVQSIS AIIPSLLASVPGTWHIYNATSFHRKFGTTNSTEIDRKIVDLIYDERYWLALNVKPNAT DTLYNSLISQDANSEFNSSIFFESVFESGRDPSSVKSTILPLMQQLEVRLQKYYVKEY LPSLMSNITSNDRDLNINMENWAIAGQLLFTYNDYRPFADRILMAPLQVGLIYCILLT VLQLSLYGKLHGEMARVLKPKHILIYRLLISWATYFLLSIGFCTVSAIFRIDFTPAFG RGGFVVYWMSTWLVMMAVGGANENVLSLVIAYCPPYLSIWLMTWIILNISASFYPMVL NNEFYRYGYIMPIHNAVDIYKVIFLNLTKRKMGRNYGILVAWVALNTSLMPFCMKFAG KKMQKNAMQAAEAAVAAATQRASRPAEANTDKNNNPPGN YGR198W MPNSNVRIPPTVPSKIIDVVDQALRARLLGGSTFNSGFDSLDSV LNLQFRLHYHVIGSNGPAKPVCDVLLKESQNLEKNMSMMEELNDYPEITKLVEKILFN CLGILFFHRGQFQESQRCLLHSLKIHNNTASQKTALMEQYDRYLIVENLYYRGLVSQD INIMQNVFYKELLAHVDTIPPESNGLLFEYISLIVAKLRFNQIQDLAENFKTTVENPF ILFLYMIKKFQSPLKKHIDNDDLYLKFGQNVLLKAKFPTASETNDEALEHFNVFLQYY FKFTHIKKIKVNPSWYNFIISSMEKTFQSIEVSKTAMFLFQNLSDNSNDEIKKKTFKR ESILNFVNFVKYNDKYYQLHDNSHRDIISFIDAYSFILQNSSKTDSIENVFDYDNTVS TFATSLNSFYKEYNLPLMSQSESLDWLENSTRCVYPGNISKVLTNAWSTLYEIRKYQL DFLVSNNLTSYLCNAMMLSTKEKDNADVEEQEEGEEEKALRELQFKYSYTLAQQRHIE TAIKTLESLILSKNPNYYKAWHLLALCRSVQEDKEMSYKIVCSVLEAMNESLQNNTLL LNDRWQFIHLKLTQLALIEEIFGTLEALETLPEVFELYATLFPDSQPELNSMGPKYSQ TKEYLLQMVWIFAANMYMRTKDNDEDAKAAIKEASNVESKFKNLNCNIANGYLSIIKD EPGVALKEFETVLYYDENNLDALVGFAELIFPEELGVEETNLERYYTLSLDKKPGKRA KLTFVNDTDRSAAYARLKFLLECAILESIEAYYSPEVWWYLSLIYEKYQDDEYKNSLL KCIKYQELNPIRSLRYCNY YGR199W MSKAKGTGFSSIDTEDENLRERYVNQPKANASDIQDEQLDCFEQ LEEKHRTKKNEEYTALKILRDVIGPLLLTITSFYLRFQHIDQNNYVVWDEAHFGKFGS YYIKHEYYHDVHPPLGKMLIALSEWMAGFDGQFDFSSNNAYPENVNFKLMRQFNATFG ALCTPVAFFTAKWMGFNYFTVYLIATMVTLEHSYIVLSKFILLDSMLLFFSMTTFACM IKLYTLRKQQMTKKWSLWMLLTGLSIGCVCSVKWVGLFITVVVGLYTCIELFLLYCDK ELPRIKYYKHWLIRIINLIVIPFLIYLYCFKIHFVLLYKSGTGDSTTNTLFQINLEGT QIEAGPRDVAFGSELTIRSHGLSPNLLHSHIQVYPEGSGQRQITGYGFADSNNVWKFE FSRSSGLELDQNGTLNGKIIPITDGVEVRLSHKNTGSNLHSHDVPSHVSRGNYEVSGY GSQSVGDEKDDWIVEIVKQMDSPNPVYSNENSTILHPVSTFFRLRHKVLGCYLASTGL TYPAWGFKQAEIVCKDSWSRRDKSTWWNVEDHWNHNLETAEDYVPPKSNFWTDFILTN FAMASSNNALVPDEDKYDSLSSDAWEWPTLHKGLRMCSWAGYITRYYLMGSPFNTWIS TVSLIIFPFIILFILYRWRRQTLYLSDDQIWQITIQGIFPFISWMTHYLPFAMMGRVT YVHHYVPALYFAMLVFGFVLDFTLTRVHWMVKYPIYLSLFGGCIYIYNLFAPICQGMH GDKAEYLPLQWLSTWDIAP YGR200C MVECITPEAIFIGANKQTQVSDIHKVKKIVAFGAGKTIALWDPI EPNNKGVYATLKGHEAEVTCVRFVPDSDFMVSASEDHHVKIWKFTDYSHLQCIQTIQH YSKTIVALSALPSLISVGCADGTISIWRQNIQNDEFGLAHEFTIKKGFFYPLCLSLSK VEEKKYLLAIGGTNVNVFIASFILSDSGIEKCRVVAELEGHEDWVKSLAFRHQETPGD YLLCSGSQDRYIRLWRIRINDLIDDSEEDSKKLTLLSNKQYKFQIDDELRVGINFEAL IMGHDDWISSLQWHESRLQLLAATADTSLMVWEPDETSGIWVCSLRLGEMSSKGASTA TGSSGGFWSCLWFTHERMDFFLTNGKTGSWRMWATKDNIICDQRLGISGATKDVTDIA WSPSGEYLLATSLDQTTRLFAPWIYDASGRKREIATWHEFSRPQIHGYDMICVETVTD TRFVSGGDEKILRSFDLPKGVAGMLQKFVGIQFEEKSEMPDSATVPVLGLSNKAGEDD ANEDDEEEEGGNKETPDITDPLSLLECPPMEDQLQRHLLWPEVEKLYGHGFEITCLDI SPDQKLIASACRSNNVQNAVIRIFSTENWLEIKPALPFHSLTITRLKFSKDGKFLLSV CRDRKWALWERNMEDNTFELRFKNEKPHTRIIWDADWAPLEFGNVFVTASRDKTVKVW RHQKEPADDYVLEASIKHTKAVTAISIHDSMIREKILISVGLENGEIYLYSYTLGKFE LITQLNEDITPADKITRLRWSHLKRNGKLFLGVGSSDLSTRIYSLAYE YGR201C MSDGTLFTDLKERKLIRTIVPRGLVRSLKLDVKLADPSDAQQLY EREFPLRKYPTFVGPHDEWTLTEAMAIDYYLIHLSSDKEAVRQLLGPEGDFKTRADIL RWESLSNSDFLNEVCEVFFPLIGVKPYNATEFKAARENVDTIVSLYEKRLKKQQYLVC DDHETLADLISAAAFSLGFISFFDETWRSKHPEVTRWFNRVIKSRFFEGEFESFKMCE TEMQPIK YGR202C MANPTTGKSSIRAKLSNSSLSNLFKKNKNKRQREETEEQDNEDK DESKNQDENKDTQLTPRKRRRLTKEFEEKEARYTNELPKELRKYRPKGFRFNLPPTDR PIRIYADGVFDLFHLGHMKQLEQCKKAFPNVTLIVGVPSDKITHKLKGLTVLTDKQRC ETLTHCRWVDEVVPNAPWCVTPEFLLEHKIDYVAHDDIPYVSADSDDIYKPIKEMGKF LTTQRTNGVSTSDIITKIIRDYDKYLMRNFARGATRQELNVSWLKKNELEFKKHINEF RSYFKKNQTNLNNASRDLYFEVREILLKKTLGKKLYSKLIGNELKKQNQRQRKQNFLD DPFTRKLIREASPATEFANEFTGENSTAKSPDDNGNLFSQEDDEDTNSNNTNTNSDSD SNTNSTPPSEDDDDNDRLTLENLTQKKKQSAN YGR203W MDSYSITNVKYLDPTELHRWMQEGHTTTLREPFQVVDVRGSDYM GGHIKDGWHYAYSRLKQDPEYLRELKHRLLEKQADGRGALNVIFHCMLSQQRGPSAAM LLLRSLDTAELSRCRLWVLRGGFSRWQSVYGDDESVTAGYLPDLWR YGR204W MAGQVLDGKACAQQFRSNIANEIKSIQGHVPGFAPNLAIIQVGN RPDSATYVRMKRKAAEEAGIVANFIHLDESATEFEVLRYVDQLNEDPHTHGIIVQLPL PAHLDEDRITSRVLAEKDVDGFGPTNIGELNKKNGHPFFLPCTPKGIIELLHKANVTI EGSRSVVIGRSDIVGSPVAELLKSLNSTVTITHSKTRDIASYLHDADIVVVAIGQPEF VKGEWFKPRDGTSSDKKTVVIDVGTNYVADPSKKSGFKCVGDVEFNEAIKYVHLITPV PGGVGPMTVAMLMQNTLIAAKRQMEESSKPLQIPPLPLKLLTPVPSDIDISRAQQPKL INQLAQELGIYSHELELYGHYKAKISPKVIERLQTRQNGKYILVSGITPTPLGEGKST TTMGLVQALTAHLGKPAIANVRQPSLGPTLGVKGGAAGGGYSQVIPMDEFNLHLTGDI HAIGAANNLLAAAIDTRMFHETTQKNDATFYNRLVPRKNGKRKFTPSMQRRLNRLGIQ KTNPDDLTPEEINKFARLNIDPDTITIKRVVDINDRMLRQITIGQAPTEKNHTRVTGF DITVASELMAILALSKDLRDMKERIGRVVVAADVNRSPVTVEDVGCTGALTALLRDAI KPNLMQTLEGTPVLVHAGPFANISIGASSVIADRVALKLVGTEPEAKTEAGYVVTEAG FDFTMGGERFFNIKCRSSGLTPNAVVLVATVRALKSHGGAPDVKPGQPLPSAYTEENI EFVEKGAANMCKQIANIKQFGVPVVVAINKFETDTEGEIAAIRKAALEAGAFEAVTSN HWAEGGKGAIDLAKAVIEASNQPVDFHFLYDVNSSVEDKLTTIVQKMYGGAAIDILPE AQRKIDMYKEQGFGNLPICIAKTQYSLSHDATLKGVPTGFTFPIRDVRLSNGAGYLYA LAAEIQTIPGLATYAGYMAVEVDDDGEIDGLF YGR204C-A MQWNAFSFVSYVYLRYFISFRPNIVLASVRLSWYSII YGR205W MCDKSKTVLDYTIEFLDKYIPEWFETGNKCPLFIFFSGPQGSGK SFTSIQIYNHLMEKYGGEKSIGYASIDDFYLTHEDQLKLNEQFKNNKLLQGRGLPGTH DMKLLQEVLNTIFNNNEHPDQDTVVLPKYDKSQFKGEGDRCPTGQKIKLPVDIFILEG WFLGFNPILQGIENNDLLTGDMVDVNAKLFFYSDLLWRNPEIKSLGIVFTTDNINNVY GWRLQQEHELISKVGKGMTDEQVHAFVDRYMPSYKLYLNDFVRSESLGSIATLTLGID SNRNVYSTKTRCIE YGR206W MNNNVEELLRRIPLYNKYGKDFPQETVTRFQMPEFKLPALQPTR DLLCPWYEECDNITKVCQLHDSSNKKFDQWYKEQYLSKKPPGIVGNTLLSPSRKDNS YGR207C MSAKQQLRILVPVKRVVDFQIKPRVNKTLTGIETSGIKFSINPF DDIAVEEAIRIKEKNKSLVESTHAVSIGSAKAQDILRNCLAKGIDTCSLIDSVGKENI EPLAIAKILKAVVEKKGSNLVLMGKQAIDDDCNNTGQMLAGLLNWPQATNAAKVEFLD NGRVQVTREIDDGEEVIEASLPMVITTDLRLNTPRYVGLPKLMKAKKKPIEKLDIAKD FPEINIEPQLKIVSMEEPKTKSPGVKLNSVDELIEKLKEVKAI YGR208W MSKFVITCIAHGENLPKETIDQIAKEITESSAKDVSINGTKKLS ARATDIFIEVAGSIVQKDLKNKLTNVIDSHNDVDVIVSVDNEYRQAKKLFVFDMDSTL IYQEVIELIAAYAGVEEQVHEITERAMNNELDFKESLRERVKLLQGLQVDTLYDEIKQ KLEVTKGVPELCKFLHKKNCKLAVLSGGFIQFAGFIKDQLGLDFCKANLLEVDTDGKL TGKTLGPIVDGQCKSETLLQLCNDYNVPVEASCMVGDGGNDLPAMATAGFGIAWNAKP KVQKAAPCKLNTKSMTDILYILGYTDDEIYNRQ YGR209C MVTQLKSASEYDSALASGDKLVVVDFFATWCGPCKMIAPMIEKF AEQYSDAAFYKLDVDEVSDVAQKAEVSSMPTLIFYKGGKEVTRVVGANPAAIKQAIAS NV YGR210C MPRDPLIGIVGKPSSGKSTTLNSLTDAGAAVGAFPFTTIEPNQA TGYLQVECACSRFGKEDLCKPNYGWCSKGKRHIPIKLLDVAGLVPGAHSGRGLGNKFL DDLRHADALIHVVDVSGTTDAEGKNTRGYDPLNDIEWLQDEIRLWVEGNLKKRWGSIV RKHTATKSSIVDTLQAQFGGYGSHAPMIQKALDRLKGLPPLEKWDDEWITRVVKSFMM EKFPTVLALNKIDHPDADKNVSKIMLKYPDTKAVLTSAVTEVFLRKLKKQGFILYEEG TEFVDTFEDEPDKLKPLDDKILNRIENIRDLVLYRFGSTGVVQVLQAATDILGLIPVY TVKNIQTFTGGNGTNVFRDCFLVKRGTPVGKVARYIMGGEVTIASIETVGGVRVSEES LVEPGKNDILGFKIAPRSA YGR211W MSEQKEDLFKPVGEAAAEVEDESIAEQNKANDGVKLTGAQDAMG HPVQEIESLCMNCGKNGTTRLLLTSIPYFREIIIMSFDCPHCGFKNCEIQPASQIQEK GSRYVLKVECREDFNRQVIKSETATCKFVELDIEIPAKRGQLTTVEGLLSEMIDDLSQ DQEMRKSIDEALYKKIDDFIQKVKSYINCEPNTIPITFILDDPAGNSWIEYKPGEPQH KWSHTQYVRTDEQNVQVGIITRDQLEQRRQEQLKQLANRERNPSESVKVGSANPQFLS DATDIENFNNEVQTFRASCPSCTQECETHMKPVNIPHFKEVIIMSTVCDHCGYKSNEV KTGGAIPDKGRRITLYCDDAADLSRDILKSETCSMVIPELHLDIQEGTLGGRFTTLEG LLRQVYEELESRIFTQTSDSMDEATKARWVEFFAKLKEAIAGKVKFTVIMEDPLAGSY IQNVYAPDPDPNMTIEDYERTKEQNEDLGLSDIKVE YGR212W MNLKLSAIESYFFHRSRLNLHSCFYVGIKLNELPKKSQLIAALK YTVIQHERLTCNVFYDELKKENFLQNILEPLKFCDLVEYRHDWDQLGETEINHIFQRY NFSYNENKPLWKILILPNQNQMLLLTDHVLMDGMSAIHVWETFMEGLQMQQPVEIDET IYSPSLNSSTEKIMSAPLYGDWPIPWNWHIVRQLVSRLHYWFPQTVVKNNRNLIQFAN YSFPKDLLDDKPSDGTQKYKVKNTNHQWEFRLSPTHLNDILQECKANNTSLTSLLGAL VCTSFEKIAAHEYTGSFLKIELPMNIRKPFERVLKLPSDDKLAVGNFIAVIEFNHKLH QNRGIWDIASQIQRAIRSSSEDKIIDKVNEVKLLEVISSQQYIEDKISLNNGPSSTFE VTNLGFQTFKDACNTSLPFYIVDATFNEPQGISSIFSLSVISTPGNGLHCCISYPNTL TKVLEPHWQYMKDYLNLY YGR213C MAKDGFELYRYTPELGASILFTVLFAVSGVAFVILLFHYSVKSK RRVGSLMKSQPVLRYYGTVNLAGAYIPFIFGCFVECVGFAFRCKSSKDTTLLNPYIIQ TVFLLVSPTLYAASIYMIFGRMATLLFAENLMIMPARFNTTIFVIGDVGSLLLQAIGG AMMSKVTSASSGSHLVTAGLFIQIAFFGLFIINEVLFIFKMSKKPTNVSVRYGSWKYL NIALLVNSFLILIRSIVRAVEFIQGYDGEIASHEWYLYIFDGLPMFLLVLIFIVAFPL INIFRIHEESIQAQQSARFDGTDYPDVEVTSIEEDLASKSE YGR214W MSLPATFDLTPEDAQLLLAANTHLGARNVQVHQEPYVFNARPDG VHVINVGKTWEKLVLAARIIAAIPNPEDVVAISSRTFGQRAVLKFAAHTGATPIAGRF TPGSFTNYITRSFKEPRLVIVTDPRSDAQAIKEASYVNIPVIALTDLDSPSEFVDVAI PCNNRGKHSIGLIWYLLAREVLRLRGALVDRTQPWSIMPDLYFYRDPEEVEQQVAEEA TTEEAGEEEAKEEVTEEQAEATEWAEENADNVEW YGR215W MNVPKARLLKVAELSAKIFDQNFNPSGIRTGSKILNERLKGPSV ASYYGNPDILKFRHLKTLYPDIEFVDLEEQYRLSMVEAKKRRGKGAPKKMKKDAAATA KGKGKKKK YGR216C MPNYIFWPYESLFENSAAQGPQVALAISFEKTHFVVLGVCEPQY LEEVSIRPPYSVVATKNNGAEGWNYKVADPCNVHFRIPKLKFMQFYSSDPISLIIPEK EVGLHSSVGETLNYSKLEQHPRYKRDNKKLSETLNIINLFPAYCKALNELYPFIQTSQ ENLRGTMLNSVAAWCSSTCIYKMVAKIGFYLTFVICSIASLVSSLLNYSHFQLVNYSA FVQQIDLRCQQICYFPVQYERINKKDNIQNVGSMVEKDNSNSQFSHSYMPSKFYPDYI LLYNTIWLIINDISFGLILGAILIENRDFLVSASHRVLKFFLYDSLKTITETLANNPL GIKLNAELANFLSELFLWVIEFSYTTFIKRLIDPKTLSSLLTLTIYMMFLVGFSFAVS LAIDFFAILSFPIYVFYRISSKLYHCQLNIMASLFNLFCGKKRNVLRNRIDHNYFQLD QLLLGTLLFIILVFLTPTVMAFYMSYTVLRMLTITIEIFSEAVIALINHFPLFALLLR LKDPKRLPGGISIELKTTVSNKHTTLELQNNPIKFKSMFRPYNLLLSQMRTNYFSFAT VRKIVRGESIMVNRNKLYYVLYSSLPSKPLSVKDLYKRLTIQA YGR217W MQGRKRTLTEPFEPNTNPFGDNAAVMTENVEDNSETDGNRLESK PQALVPPALNIVPPESSIHSTEEKKGDEYNGNDKDSSLISNIFRTRVGRSSHENLSRP KLSLKTASFGAAESSRRNVSPSTKSAKSSSQYIDLNDERLRRRSFSSYSRSSSRRVSN SPSSTDRPPRSAKVLSLIAADDMDDFEDLQKGFKSAIDEEGLTWLPQLKSEKSRPVSD VGEDRGEGEQESIPDVHTPNVGASATPGSIHLTPEPAQNGSVSEGLEGSINNSRKKPS PKFFHHLSPQKEDKDQTEVIEYAEDILDFETLQRKLESRPFVLYGHSLGVFSPTNPLR IKIARFLLHRRYSLLYNTLLTFYAILLAIRTYNPHNVVFLYRFSNWTDYFIFILSACF TGNDIAKIIAFGFWDDSEMFKAYGREYKSILQRSGIMKLYIYLREKYGRKLIDFIIPF RIISPGEETKYQRSSLSTSLTKPYGAKENQRPFGTPRAFARSSWNRIDLVSSVSFWLG MFLSIKSYDTKTGIRIFKPLAILRILRLVNVDTGMPSILRGLKYGIPQLVNVSSMLVY FWIFFGILGVQIFQGSFRRQCVWFNPEDPTDTYQYDMQFCGGYLDPVTKRKQNYIYED GSEGSVSKGFLCPQYSKCVSNANPYNGRISFDNIVNSMELVFVIMSANTFTDLMYYTM DSDEMAACLFFIVCIFVLTIWLLNLLIAVLVSSFEIANEEYKKKKFIYGSRKTGYVAR IVTGYWKYFKLKANQTKFPNWSQKGLAIYSHVEFIFVILIICDIGMRASVKVSTSANC NNILLKTDRGISIVLFIESLARLVLYLPNMWKFLTKPSYVYDFIISIITLVISCLAVE GVLGHMYAWLSIFHISRFYRVIISFNLTKKLWKQILSNGVMIWNLSSFYFFFTFLVAI IMAVYFEGVIPPEEMADQPFGMYSLPNSFLSLFIIGSTENWTDILYALQKHSPNISST FFCSVFFIIWFLLSNSVILNIFIALISESMEVKEEEKRPQQIKHYLKFVYPQKIQEYT HASLVARIRKKFFGGHRNEDTRDFKQFLMRGTAIMNIAQNMGELADEFKEPPSENLFK KGLSKLTIGVPSLKRLRMFANNPFYKNSDVVFTETNDINGRTYILELNEYEDEKLDYL KKYPLFNYSYYFFSPQHRFRRFCQRLVPPSTGKRTDGSRFFEDSTDLYNKRSYFHHIE RDVFVFIFALATILLIVCSCYVTPLYRMHHKMGTWNWSSALDCAFIGAFSIEFIVKTV ADGFIYSPNAYLRNPWNFIDFCVLISMWINLIAYLKNNGNLSRIFKGLTALRALRCLT ISNTARQTFNLVMFDGLNKIFEAGLISLSLLFPFTVWGLSIFKGRLGTCNDGSLGRAD CYNEYSNSVFQWDIMSPRVYQQPYLHLDSFASAFSSLYQIISLEGWVDLLENMMNSSG IGTPATVMGSAGNALFLVLFNFLSMVFILNLFVSFIVNNQARTTGSAYFTIEEKAWLE SQKLLSQAKPKAIPNLIELSRVRQFFYQLAVEKKNFYYASFLQVVLYLHIIMLLSRSY NPGNLIGYQGVYFMFSTSVFLIQEALHMCGEGPRLYFRQKWNSIRLSIIIIAFIMNAV AFHVPASHYWFHNIKGFFLLVIFLFIIPQNDTLTELLETAMASLPPILSLTYTWGVLF LVYAIALNQIFGLTRLGSNTTDNINFRTVIKSMIVLFRCSFGEGWNYIMADLTVSEPY CSSDDNSTYTDCGSETYAYLLLMSWNIISMYIFVNMFVSLIIGNFSYVYRSGGSRSGI NRSEIKKYIEAWSKFDTDGTGELELSYLPRIMHSFDGPLSFKIWEGRLTIKSLVENYM EVNPDDPYDVKIDLIGLNKELNTIDKAKIIQRKLQYRRFVQSIHYTNAYNGCIRFSDL LLQIPLYTAYSARECLGIDQYVHHLYILGKVDKYLENQRNFDVLEMVVTRWKFHCRMK RTIEPEWDVKDPTVSSHISNINVNLEPAPGILEREPIATPRMDYGVNNFMWSPRMNQD STMEPPEEPIDNNDDSANDLIDR YGR218W MEGILDFSNDLDIALLDQVVSTFYQGSGVQQKQAQEILTKFQDN PDAWQKADQILQFSTNPQSKFIALSILDKLITRKWKLLPNDHRIGIRNFVVGMIISMC QDDEVFKTQKNLINKSDLTLVQILKQEWPQNWPEFIPELIGSSSSSVNVCENNMIVLK LLSEEVFDFSAEQMTQAKALHLKNSMSKEFEQIFKLCFQVLEQGSSSSLIVATLESLL RYLHWIPYRYIYETNILELLSTKFMTSPDTRAITLKCLTEVSNLKIPQDNDLIKRQTV LFFQNTLQQIATSVMPVTADLKATYANANGNDQSFLQDLAMFLTTYLARNRALLESDE SLRELLLNAHQYLIQLSKIEERELFKTTLDYWHNLVADLFYEVQRLPATEMSPLIQLS VGSQAISTGSGALNPEYMKRFPLKKHIYEEICSQLRLVIIENMVRPEEVLVVENDEGE IVREFVKESDTIQLYKSEREVLVYLTHLNVIDTEEIMISKLARQIDGSEWSWHNINTL SWAIGSISGTMSEDTEKRFVVTVIKDLLDLTVKKRGKDNKAVVASDIMYVVGQYPRFL KAHWNFLRTVILKLFEFMHETHEGVQDMACDTFIKIVQKCKYHFVIQQPRESEPFIQT IIRDIQKTTADLQPQQVHTFYKACGIIISEERSVAERNRLLSDLMQLPNMAWDTIVEQ STANPTLLLDSETVKIIANIIKTNVAVCTSMGADFYPQLGHIYYNMLQLYRAVSSMIS AQVAAEGLIATKTPKVRGLRTIKKEILKLVETYISKARNLDDVVKVLVEPLLNAVLED YMNNVPDARDAEVLNCMTTVVEKVGHMIPQGVILILQSVFECTLDMINKDFTEYPEHR VEFYKLLKVINEKSFAAFLELPPAAFKLFVDAICWAFKHNNRDVEVNGLQIALDLVKN IERMGNVPFANEFHKNYFFIFVSETFFVLTDSDHKSGFSKQALLLMKLISLVYDNKIS VPLYQEAEVPQGTSNQVYLSQYLANMLSNAFPHLTSEQIASFLSALTKQYKDLVVFKG TLRDFLVQIKEVGGDPTDYLFAEDKENALMEQNRLEREKAAKIGGLLKPSELDD YGR220C MSKFLQGSIFSISKLHVRYSSTRPFLVAPSIANSITTEAPAINH SPELANARKWLPKRCGLITRKKGMMPYFDKSTGERSAATILEVNNVEVIMHRTSEVNG YFACQVGYGSRHLSKVSRQMLGHFASKVVNPKEHVAEFRVKDEKGLIPPGTLLKPSFF KEGQYVDVRSVSKGKGFTGVMKRYGFKGLRASHGTSIMHRHGGSYGQNQDPGRVLPGR KMPGHMGNEHVTIQNVKVLKVDDENNVIWVKGSVAGPKNSFVKIQDAIKKT YGR221C MFSHYRYKENSCQKREAIPDKSRVSLTFLQKRTDSSNVTVAVAV AVPIGAIIIVLSVVLIVVYRRCKKEPSMQDFDPNFEGDLYYLPKMDSSMNSANSDSNA TEKRFIYGGYDDFLQPSIENSQSFKDYVRRINEHAPSAYNIASLASQNNSKLSVPSKH IDLSNKISFESLENSELIVSPQHSNTGQDCDQRCDSTSNPDVNEKSSHNNDNRLKSNY TSRSGLEPQCSREEEENIDRIRSIYNIYFEKSNSTIRSSVTSSIRRDSKLNIATRKSV NMSSQDNPNDTTLIEQSHFGSTTVQEIDSSSSANEEYEDATDYLQVPAPQENKNIASS VYSEVATREKVIPESSLSLTFPPPNGLSTRITSSIYSDTVAKDHIHSAKAPVRALSEG SGQSNLTSAQQYSTYFIDHCNQSNDDNYYYNYPLPLEHPQNYENIGDLPTPTQFIYST SSHSLTSFKGRPKPPKTLKHVPTARLNGTALNPMDHPEMFYSSPTKIPSTSLTKQFCT PLPYQLRQSVVMTNPSELSMKPRYKPAGSLRNLIKAQYLPGNSSTTTSSSLSQPPSTL SNAINFRVSGLLDDTDILQPPSVGEILPFKASTEDLRKQLGTSHNYEITPYENVHV YGR222W MKASSKAIKLVLDHLKSTGRVLGSVESGNSATISEKTASVNKQQ QLQEKKPSVLQYRSYNPYLVKEDFLSILPENLYKKRGQFTNELDFQLMKVRDPKYFQF KDQYYLFFNDYNSLTEYIKLTKHSRINKIRVKMTPLAQPLPTLLTKLQRYSKNLYNAF RSSEQYFEGLNEKVDVSGEFTTNQLRSILDSVEEIENKSVLVWNIPTKLRSHDILNYF WFYNIRSSFKIYWDDEMKRNLRFISFENSHDAYRFKRNYHGLLAKELLTLSEKGDAAD YSLEMDDSKILIEHLSE YGR223C MDVRRPIREAVNNRRKPKFLSVSFNQDDSCFSVALENGFRIFNT DPLTSKLSKTFKESATNQSRGTGIGYTRMLYRTNYIALVGGGKRPRHALNKLIIWDDL LQKETITLKFMSSIKDVFLSRIHIVVVLENTIEIFQFQTNPQRICPILDIPPNGSVDY VVCSSKHLQSQASQSQSKILEIIAFPSNKCVGQIQVADLSQIKYNSQNPKESALLPTS IIKAHKNPIKLVRLNRQGTMVATCSVQGTLIRIFSTHNGTLIKEFRRGVDKADIYEMS FSPNGSKLAVLSNKQTLHIFQIFETTNTETNTPDHSRANGSSHPLKNYIPKGLWRPKY LDSVWSICNAHLKNPIFDAHRNDNSGDVTHDNEFYKDRCRIGWCQDSNNREQDDSLVL VWQNSGIWEKFVILEKEQQDSSKTHYSLNESLRNEDTKSAGEPTRWELVRESWREL YGR224W MKGEPKTYSMSDLSYYGEKAQQQNEKQQKQYVVRRNSTQSTSKQ NVSVVLEDNASESNELPKGFILYASLIALALSLFLAALDIMIVSTIIEEVAKQFGSYS EIGWLFTGYSLPNALLALIWGRIATPIGFKETMLFAIVIFEIGSLISALANSMSMLIG GRVIAGVGGCGIQSLSFVIGSTLVEESQRGILIAVLSCSFAIASVVGPFLGGVFTSSV TWRWCFYVNLPIGGLAFFLFLFFYNPGLSTFQETMDNIRKFPSQFIEIVRNVAYHLLK IKGFSKLNGWRKPFMELIFMYDIIEFVFCSAGFTCILLAFTFGGNRYAWNSASIIILF IIGIVLVVLAGIYDFLVFPKFNIVKATPHYQPLMSWTNIKKPGIFTVNIALFLTCAGY ISQFTYIVQYFQLIYNDSAWRAAVHLVACIISTVVTAILCGAITDKTRQIKPIIVISS IFGVVGAGILTLLNNNANNSAHIGLLILPGVAFGGLAQSSMLASQIQLDKKSPTFRSD FVSITTFNTFCKNLGQALGGVISNTVFSAAAIKKLTKANIQLPDGTTVDNLVIYRQTN FDGSHSKLGNIISESLTDVFYMALGFYALSLIFAVFASNKKVTASLR YGR225W MATPHLYHRYNSKSSNKNINSSGNSTEVDRFIPKSVSRNAYKSI PMLNGFDISYSELCEKSPSPERLSSPEFFNELRNTGHYESISTTNEFSMSSISSSSES QVTRSGSARASRNDYSKLTKEQKDHRKNIAHSLGFQLPDRVFTFETTSAEILEKNKAI KNCFGPGSCAEIRSTFDFSTLSPDVARYYIANSNARSASPQRQIQRPAKRVKSHIPYR VLDAPCLRNDFYSNLISWSRTTNNVLVGLGCSVYIWSEKEGAVSILDHQYLSEKRDLV TCVSFCPYNTYFIVGTKFGRILLYDQKEFFHSSNTNEKEPVFVFQTESFKGICCLEWF KPGEICKFYVGEENGNVSLFEIKSLHFPIKNWSKRQKLEDENLIGLKLHSTYQAQAQQ VCGISLNEHANLLAVGGNDNSCSLWDISDLDKPIKKFVLPHKAAVKAIAFCPWSKSLL ATGGGSKDRCIKFWHTSTGTLLDEICTSGQVTSLIWSLRHKQIVATFGFGDTKNPVLI TLYSYPKLSKLLEVRSPNPLRVLSAVISPSSMAICVATNDETIRFYELWNDKEEIINE IQESGIYGSNIIEYMEGIETTHNKRIR YGR227W MDAKKNTGEANNDVLEEEAAIQLIAPGIARNLTQEVITGIFCNV VIYPLLLIYFVLTFRYMTTNIVPYEFIDEKFHVGQTLTYLKGKWTQWDPKITTPPGIY ILGLINYYCIKPIFKSWSTLTILRLVNLLGGIIVFPILVLRPIFLFNALGFWPVSLMS FPLMTTYYYLFYTDVWSTILILQSLSCVLTLPFGPVKSIWLSAFFAGVSCLFRQTNII WTGFIMILAVERPAILQKQFNTHTFNNYLKLFIHAIDDFSNLVLPYMINFVLFFIYLI WNRSITLGDKSSHSAGLHIVQIFYCFTFITVFSLPIWISRNFMKLYKLRIKRKPVQTF FEFIGIMLIIRYFTKVHPFLLADNRHYTFYLFRRLIGNKSRLIKYFFMTPIYHFSTFA YLEVMRPNQLTFHPITPLPIKEPVHLPIQLTHVSWTALITCTMVTIVPSPLFEPRYYI LPYFFWRIFITCSCEPLIKDLKPAKEGENPITISSTKRLFMEFLWFMLFNVVTLVIFS KVSFPWTTEPYLQRIIW YGR229C MDLFKRKVKEWVYSLSTDDHYAEYNPDETPTFNMGKRLNSNNGQ VNPSQMHLNSVDEEMSMGFQNGVPSNEDINIDEFTSTESNDGVSETLLAWRHIDFWTS EHNPDLNATLSDPCTQNDITHAEEDLEVSFPNPVKASFKIHDGQEDLESMTGTSGLFY GFQLMTLDQVVAMTQAWRNVAKNLNKRSQQGLSHVTSTGSSSSMERLNGNKFKLPNIP DQKSIPPNAVQPVYAHPAWIPLITDNAGNHIGVDLAPGPNGKYAQIITFGRDFDTKFV IAENWGEFLLSFANDLEAGNWYLVDDNDDYFSGDGELVFRDKKSNGPIQDYFEVLKRR TWIKYQENLRSQQQKSQPDTSLQEQKYVPASQKKVAAEQPSTLNAESIKGEDSGSADV QSVQDHESVKIVKTEPSEAETTTVNTESLGQAEHEIKADNVDIKQESERKEDEKQPKV EEKEHVENEHVTESAKKDDDVNKQTEEMNKKEENEIRSDDAKVEEAREEFENIAL YGR230W MSYGGSASQDIVTKLIRKIQNTSVSCTRRDENLDSFNQHLKMSL KVAHNTKTFAKHCLHRQVFKNTYRKRKAVEDQRKNLNTQLRQKFASPSDNLLSPCSRK LNDHKSKLFSAKSQPKTLEFVRGKQNIPRKPNVDI YGR231C MNRSPGEFQRYAKAFQKQLSKVQQTGGRGQVPSPRGAFAGLGGL LLLGGGALFINNALFNVDGGHRAIVYSRIHGVSSRIFNEGTHFIFPWLDTPIIYDVRA KPRNVASLTGTKDLQMVNITCRVLSRPDVVQLPTIYRTLGQDYDERVLPSIVNEVLKA VVAQFNASQLITQREKVSRLIRENLVRRASKFNILLDDVSITYMTFSPEFTNAVEAKQ IAQQDAQRAAFVVDKARQEKQGMVVRAQGEAKSAELIGEAIKKSRDYVELKRLDTARD IAKILASSPNRVILDNEALLLNTVVDARIDGRGK YGR232W MSNYPLHQACMENEFFKVQELLHSKPSLLLQKDQDGRIPLHWSV SFQAHEITSFLLSKMENVNLDDYPDDSGWTPFHIACSVGNLEVVKSLYDRPLKPDLNK ITNQGVTCLHLAVGKKWFEVSQFLIENGASVRIKDKFNQIPLHRAASVGSLKLIELLC GLGKSAVNWQDKQGWTPLFHALAEGHGDAAVLLVEKYGAEYDLVDNKGAKAEDVALNE QVKKFFLNNV YGR233C MKFGKYLEARQLELAEYNSHFIDYKALKKLIKQLAIPTLKASSD LDLHLTLDDIDEKIIHQRLQENKAAFFFKLERELEKVNGYYLARESDLRIKFNILHSK YKDYKINGKLNSNQATSFKNLYAAFKKFQKDLRNLEQYVELNKTGFSKALKKWDKRSQ SHDKDFYLATVVSIQPIFTRDGPLKLNDETLHILLELNDIDNNNRRADIQSSTFTNDD DDDNNTSNNNKHNNNNNNNNNNNNNNNNNNILHNNYELTTSKISENQLEHLFQASSSS LDMEMEIENWYKEILNIATVKDVQRKHALLRNFRETKIFTYLLQNSSESFHKNVFSLL KECLTTLFLLLVASPLDDNSLHIFYKSNQDHIDLSYCDEDDQVFSRKNVFHEAASCPE KSRLFILDEALTTSKLSKETVQKLLNAQDIHSRVPLHYAAELGKLEFVHSLLITNLLE DVDPIDSDSKTPLVLAITNNHIDVVRDLLTIGGANASPIEKPILDYSKNVISSTKVQF DPLNVACKFNNHDAAKLLLEIRSKQNADNAKNKSSQHLCQPLFKKNSTGLCTLHIVAK IGGDPQLIQLLIRYGADPNEIDGFNKWTPIFYAVRSGHSEVITELLKHNARLDIEDDN GHSPLFYALWESHVDVLNALLQRPLNLPSAPLNEINSQSSTQRLNTIDLTPNDDKFDL DIQDSIPDFALPPPIIPLRKYGHNFLEKKIFIKLKLRPGLESIKLTQDNGIIMSSSPG RITLSSNLPEIIPRNVILPVRSGEINNFCKDISETNDEEDDDEISEDHDDGEIIFQVD SIDDFSMDFEIFPSFGTRIIAKTTAMPFLFKKVAINSIATMNLPLFDTRLNNIGSLTL DYQIIFPYPGNPLKIINYEPYWKSTGSDLMTSSKDGNFVTSSSLNGSFISVLVCALND ETIVAAPKPYVEFKGTKILLNDLTKEQLEKVVDYDFGKIDGSFDEVTLKQYLSSRVVP LRSLLEVIPGSAQLVIRVYFPTDKEIDTIPIKISPFININQFIDKLLLIIFEHERFLR HSGSGSMRQIVFSSCNWEACSILNWKQPNFPVLLQMKNLLRDSTTGKFVGDTPNCLKE LAVNPQKMSYLNTELINIHTMVQFAMNNNLLGVTLPYEVLKICPSLARIIKQNGLLLI ASVGENDQIPADGGYSGIYYACELLFENNIDM YGR234W MLAEKTRSIIKATVPVLEQQGTVITRTFYKNMLTEHTELLNIFN RTNQKVGAQPNALATTVLAAAKNIDDLSVLMDHVKQIGHKHRALQIKPEHYPIVGEYL LKAIKEVLGDAATPEIINAWGEAYQAIADIFITVEKKMYEEALWPGWKPFDITAKEYV ASDIVEFTVKPKFGSGIELESLPITPGQYITVNTHPIRQENQYDALRHYSLCSASTKN GLRFAVKMEAARENFPAGLVSEYLHKDAKVGDEIKLSAPAGDFAINKELIHQNEVPLV LLSSGVGVTPLLAMLEEQVKCNPNRPIYWIQSSYDEKTQAFKKHVDELLAECANVDKI IVHTDTEPLINAAFLKEKSPAHADVYTCGSLAFMQAMIGHLKELEHRDDMIHYEPFGP KMSTVQV YGR235C MTKDFYRQLDPVEEKIVPPENAIVISSEAKEATVNEKEAKQGVL SQRVMKYIGENELVDGISVRDPDYLKRFFNERRKQFSAKWDKVTNKIDDIAGRYYARE ESFTSTIASLHTDPNERLIPGLLSILVASMTGSVLARRRTWLLRATMPIILGSCCFAY AMPTTFRNTMGLIHNLEMNTFPHFTERQDRVWKETKRLSTASVQYYYDAKKWLNKDVE KTGNAIKNWTGVNVK YGR236C MKLDSGIYSEAQRVVRTPKFRYIMLGLVGAAVVPTAYMRRGYTV PAHSLDNINGVDTTKASVMGTEQRAAMTKGKSLQEMMDDDEVTYLMFSSIM YGR237C MSSAKTFTKHISFDDLAPSLIDDQATIIKNDSHHVGLNNHFLHI PPQFNPVYKNTLTGSHGSNDLATDESLDSPEDEEASSPLQLGTPTSTTSGVPHFYTQV FSPAAHDPSKSYLRSPSVERSRSESPMFRSRRRTSVRLPPPPKVSVLKKSRKAADEQG PIDDIDIGDLDFELERKMTKMTERNTQKNSGSRKGYTQAAFANLNEVEDRIETKSMVD LSESENMESSKKRSKSFAGMTDEELAKLEEFYISKGRSNKTKIDQFDFGEQVPVYLNT TESKTDSSNVTDPLAAIYPSRPTIVHNAISMTIDHPDYENYISNTKEKLNCKDKDDDV DLRVVSCYISGRRYTWSSVDWYVENLTRNGDHLVIITTIPEFEAKIDTLAYKEKRRHR LERMTSNTSESMTTASHSLIGPDLSSPLSTGIRIEAIHNEAKQTCSDILNYYARRLAT KIVRISIEMVKENSTRSAIISATSLYRPSLQVISTVSANIQIKFRNGKVKLPFFLMKH FAMPAFVVPFEFIKPELLIKPRVDKDEQDNSDDLKTEVRKKERLQWLSALIRRTLENP FTKHKVVDSDDEESDSDESVTSVNEYFPISPEKKEEMEFFDKMGYVRPKPSRQVLLDD NTLMKYDSSGRKLTPIESRNSRRSSKRSSRIQFNNNGIYKVKSMVDDIYNHETASTPH IKTALKWDNEDPKMKFTSHPMRKTKSAGLSPRTSSTSSSSGQRKAHHHHHHHNHVSRT KTTESTKSGNSKKDSSSSSTNDHQFKRSEKKKKSKFGSIFKKVFG YGR238C MVPFKLTNKVPTDTGPSLISAQSVPRPIVFMDNRNNTRIVTPTL PPNQHRGISGASTALPWSPESKNTGKYIWNRVKLKNSPFPRYRHSSSFIVTNDNRIFV TGGLHDQSVYGDVWQIAANADGTSFTSKRIDIDQNTPPPRVGHASTICGNAYVVFGGD THKLNKNGLLDDDLYLFNINSYKWTIPQPIGRRPLGRYGHKISIIASNPMQTKLYLFG GQVDETYFNDLVVFDLSSFRRPNSHWEFLEPVGDLPPPLTNHTMVAYDNKLWVFGGET PKTISNDTYRYDPAQSEWSKVKTTGEKPPPIQEHASVVYKHLMCVLGGKDTHNAYSND VYFLNLLSLKWYKLPRMKEGIPQERSGHSLTLMKNEKLLIMGGDKTDYASPNIHDLQT SETDQGEGTLLYTLDLSSLNELCPGIMCESLHAGESFSNSLSGGFTPSKSTESENQEI INILTPRLPDSKVLSYNDIDEGAGSYSSALDDKAFERKSDREEKKPQSSKVDSSINKE SPGTGIKVSKKNFPVLRGLTVDSEEYGSSSYKDTSCQKGIPKNLFDDLNLNLQTLRLE AQQKELETARHISQLEKEVQRLMVIKEASKDSNFQTARLKNLEIQKTFLESRINDLKN LLMVKLSQASKLCDQITIQNNGLKTCSEHVTIKRDIIDLENKCDVLKRQNEILVNNMQ KITPELHTYLNESSCYLGKLLKSYPTSARPPSSEKDNQIYEKDSLNKIEKVINEMHET VRAKEKLHLETQKLNDERDSLRANLLDNNNKLDALRKLSDGSSKSMDLTKKAIHLSQS ELEKYRKNNDDLQKEIDRIKTEQAEQDDKQEQRGAITHGNFDAFHRMKINNLKAELYM SKENRDSLKDELLALKKKLYTLEQKK YGR239C MPSVCHTSPIEKIIQQGHRIQNDSLIPSKRTKLAHTELTAHYAT EDSHVEKHFLHNGSNFDGIDNVRYQNQPSPLTFITPNNTVDSSDWVPQFSSMKIDDSL EFSSEYKRLYSNYESQQRLNSSRQHLPFKNCMIRKTSCTYPPQKTLRQQRQGNRDNPT DAFQFDAEFQVLEREIQKERYEPITRRDEKWFDQDQSELQRIATDIVKCCTPPPSSAS SSSTLSSSVESKLSESKFIQLMRNISSGDVTLKKNADGNSASELFSSNNGELVGNRHI FVKDEIHKDILD YGR240C MQSQDSCYGVAFRSIITNDEALFKKTIHFYHTLGFATVKDFNKF KHGENSLLSSGTSQDSLREVWLESFKLSEVDASGFRIPQQEATNKAQSQGALLKIRLV MSAPIDETFDTNETATITYFSTDLNKIVEKFPKQAEKLSDTLVFLKDPMGNNITFSGL ANATDSAPTSKDAFLEATSEDEIISRASSDASDLLRQTLGSSQKKKKIAVMTSGGDSP GMNAAVRAVVRTGIHFGCDVFAVYEGYEGLLRGGKYLKKMAWEDVRGWLSEGGTLIGT ARSMEFRKREGRRQAAGNLISQGIDALVVCGGDGSLTGADLFRHEWPSLVDELVAEGR FTKEEVAPYKNLSIVGLVGSIDNDMSGTDSTIGAYSALERICEMVDYIDATAKSHSRA FVVEVMGRHCGWLALMAGIATGADYIFIPERAVPHGKWQDELKEVCQRHRSKGRRNNT IIVAEGALDDQLNPVTANDVKDALIELGLDTKVTILGHVQRGGTAVAHDRWLATLQGV DAVKAVLEFTPETPSPLIGILENKIIRMPLVESVKLTKSVATAIENKDFDKAISLRDT EFIELYENFLSTTVKDDGSELLPVSDRLNIGIVHVGAPSAALNAATRAATLYCLSHGH KPYAIMNGFSGLIQTGEVKELSWIDVENWHNLGGSEIGTNRSVASEDLGTIAYYFQKN KLDGLIILGGFEGFRSLKQLRDGRTQHPIFNIPMCLIPATVSNNVPGTEYSLGVDTCL NALVNYTDDIKQSASATRRRVFVCEVQGGHSGYIASFTGLITGAVSVYTPEKKIDLAS IREDITLLKENFRHDKGENRNGKLLVRNEQASSVYSTQLLADIISEASKGKFGVRTAI PGHVQQGGVPSSKDRVTASRFAVKCIKFIEQWNKKNEASPNTDAKVLRFKFDTHGEKV PTVEHEDDSAAVICVNGSHVSFKPIANLWENETNVELRKGFEVHWAEYNKIGDILSGR LKLRAEVAALAAENK YGR240C-A MILSKHVNFTYHTYNLIYNFYPDLTNFGMPGSIYGLQSSLKTME KHVEIPQSIHHYSPFYQLPLIF YGR241C MSSLYTKLVKGATKIKMAPPKQKYVDPILSGTSSARGLQEITHA LDIRLSDTAWTIVYKALIVLHLMIQQGEKDVTLRHYSHNLDVFQLRKISHTTKWSSND MRALQRYDEYLKTRCEEYGRLGMDHLRDNYSSLKLGSKNQLSMDEELDHVESLEIQIN ALIRNKYSVSDLENHLLLYAFQLLVQDLLGLYNALNEGVITLLESFFELSIEHAKRTL DLYKDFVDMTEYVVRYLKIGKAVGLKIPVIKHITTKLINSLEEHLREETKRQRGEPSE PQQDRKPSTAISSTSSHNNNSNDKNKSIAQKKLEQIREQKRLLEQQLQNQQLLISPTV PQDAYNPFGSQQQDLNNDTFSFEPTQPQMTAQVPQPTANPFLIPQQQQQALQLTSAST MPQPSEIQITPNLNNQQTGMYASNLQYTPNFTGSGFGGYTTTENNAIMTGTLDPTKTG SNNPFSLENIAREQQQQNFQNSPNPFTLQQAQTTPILAHSQTGNPFQAQNVVTSPMGT YMTNPVAGQLQYASTGAQQQPQMMQGQQTGYVMVPTAFVPINQQQQQQQHQQENPNLI DI YGR243W MSASAFNFAFRRFWNSETGPKTVHFWAPTLKWGLVFAGLNDIKR PVEKVSGAQNLSLLATALIWTRWSFVIKPKNYLLASVNFFLGCTAGYHLTRIANFRIR NGDSFKQVIHYIIKGETPAAVAAKQTASTSMNKGVIGTNPPITH YGR244C MYSRKSLSLISKCGQLSRLNAQAALQARRHLSIHEYRSAQLLRE YGIGTPEGFPAFTPEEAFEAAKKLNTNKLVIKAQALTGGRGKGHFDTGYKSGVHMIES PQQAEDVAKEMLNHNLITKQTGIAGKPVSAVYIVKRVDTKHEAYLSILMDRQTKKPMI IASSQGGMNIEEVAERTPDAIKKFSIETSKGLSPQMAKDVAKSLGFSPDAQDEAAKAV SNLYKIFMERDATQVEINPLSEIEHDPTHKIMCTDAKFGFDDNASFRQEKIYSWRDLS QEDPDEVKAKKYDLNFVKLKGNIGCLVNGAGLAMATMDVIKLNGGDPANFLDCGGGAT PETIKQGFELILSNKNVDAIFVNIFGGIVRCDYVALGLVEAARELEVRVPIVARLQGT KVEEGRDIINKSGVKIYSFDELDPAAKKVVELTQN YGR245C MGRRSRAAMLPTNIILLQNLVKRDPESYQEEFLQQYAHYESLRD IFMLNGLAGGDSAAATNGLDVGNGSSTMAGTNGTTMSTSTSQLIELVGFVSQVCSCFP RETANFPSELKQLLLEHHKSLPFELKEKILSCLTMLRNKDVITAEELIQSLFPLLVAY SSHGNSLGVNSHAKELRKIIYTNLISLLKSCNTNGKNQKLNKSTQAVCFNLLDQPDSQ GIWATKLTRELWRRGIWDDSRTVEIMTQAALHQDVKIVMSGVMFFLDADREREENFEE NSEDEDGFDLDALRHKMQVNKKTGRRGKKLENAIKTVKKKKKNGPGAPQGYLNFSAIH LLRDPQGFAEKLFKEHLSGKTKNKFDMEQKISLMQLLSRLIGTHKLIVLGIYTFFLKY LTPKQRDVTRIMSACAQACHDLVPPEVINVMVRKIADEFVSDGVANEVAAAGINTIRE ICSRAPLAIDEILLQDLVEYKGSKAKGVNMAAKSLIALYRDVAPEMLKKKDRGKNAAM EVQEAKKGGKDSKRPQFGADNSVQGIAGIELLAKWKKEHGEESENEDADANWEVDVDS EEDDVDGEWVTMDSDKEYDVDMEDSDDEKDNAKGKESDSDLELSDDDDEKEVKDEQED ADIDPEAAFREIASTRILTPADFAKLQELRNEESVAKIMGIHKQDKREELVDASTLTG PIKYKQSREERLQKVLEGREGRDKFGSRRGKRDNMRSTTNREKERRKNFVMSIHKRSV RGKQKMSLRDKQKVLRAHITKQKKKGY YGR246C MPVCKNCHGTEFERDLSNANNDLVCKACGVVSEDNPIVSEVTFG ETSAGAAVVQGSFIGAGQSHAAFGGSSALESREATLNNARRKLRAVSYALHIPEYITD AAFQWYKLALANNFVQGRRSQNVIASCLYVACRKEKTHHMLIDFSSRLQVSVYSIGAT FLKMVKKLHITELPLADPSLFIQHFAEKLDLADKKIKVVKDAVKLAQRMSKDWMFEGR RPAGIAGACILLACRMNNLRRTHTEIVAVSHVAEETLQQRLNEFKNTKAAKLSVQKFR ENDVEDGEARPPSFVKNRKKERKIKDSLDKEEMFQTSEEALNKNPILTQVLGEQELSS KEVLFYLKQFSERRARVVERIKATNGIDGENIYHEGSENETRKRKLSEVSIQNEHVEG EDKETEGTEEKVKKVKTKTSEEKKENESGHFQDAIDGYSLETDPYCPRNLHLLPTTDT YLSKVSDDPDNLEDVDDEELNAHLLNEEASKLKERIWIGLNADFLLEQESKRLKQEAD IATGNTSVKKKRTRRRNNTRSDEPTKTVDAAAAIGLMSDLQDKSGLHAALKAAEESGD FTTADSVKNMLQKASFSKKINYDAIDGLFR YGR247W MAIALWYCPPQGSVAYETLQMLIFSFQTLFPDSPVFEPHVTVTS HLVCNSKDDVNKILTSCVAAIQSIRSHQTAKKGRKGQVSHAVAAPLVSFNGCSVGKQY FKKIVLECNKNKILYGVAQVMREMYVEIDPETRSSRAATWVHEEFHPHVSLLYSDIHP VSQASLRVVQQRIEDALDVQLVPREKRKGSGNADGSNEVQMRWDFDVSSSLSWNIPGT FKVVNCVGPVQEWEVLGRVDV YGR248W MVKLQRFSEKKSLIHEFGKFILEKQESALTGDADAVFNIAISGG SMNQALYESLVNDKNIFPHIKWPQWRIFFCDERLVPFEDPQSNYGQFKKTVLDPLVHQ GNQLNLGPTVYTINESLIGGGETANRKIAEEYASMLPASFDLILLGCGEDGHTCSLFP GVEFNYLVEEMDRKVLWCNNSPKAPKDRITFTLAVVAEAKSVCFLVRGAAKKAIMHDV LIVKNSELPSVLVNEMVGTKVTWFLDDEAGALIPENC YGR249W MQPKTFVHQLHAILLEPEVNKWIYWSPTDNTVFFLKPYDPNFST HVLKRYFKHGNVNSFVRQLHMYGFHKLSHPSPDQSSANNGNVKELVEWKFTHPSGFFF KEANAGILNKIQRKSTGVGKDGKRKNILSPISVSYVDASRLNVLSQQSGPVSAREPSN MFMGSPVHYSTSQSPPHISIPQQQQSSGPYLISSLPPQQPTVNMMRRQSISARMMNSY DYPNQFSTQDSIVQPQQPQQVLSPQALSGPPMKKSGTLSSTDDLKTTSLPIVNYPMPY HPGAFAQQQQQQQQPLPTVPPYSSYSTPFPSMMNSLSNSASNSPALGVCNNNVTLPKK SNISERQALDNHIQTLKNSLSTITDLIEKHINSASQDENKTLTNDAMNKDLRTSLSLL QNSKEEIIQLESKWMSMQSVKTTALPLQETTNTSSTLTSLTSSIIPKSIPIITKGEVA TKPASY YGR250C MNIAEEPSDEVISSGPEDTDICSQQTSASAEAGDQSIKIERKTS TGLQLEQLANTNLLTIRIKWQLQEEEDDHCNSRITDQIMDTIQHYKGISVNNSDTETY EFLPDTRRLQVLEQNKDIYLYEHGSQEYEKSYKDNEEEDDWRYDTVLQAQFKYPKSLE NACTDISELLKSEPIGQHIDKWSIGVNKHALTYPGNIFVGGIAKSLSIGELSFLFSKY GPILSMKLIYDKTKGEPNGYGFISYPLGSQASLCIKELNGRTVNGSTLFINYHVERKE RERIHWDHVKENNNDDNFRCLFIGNLPYHNPEKVETLITPKEVIEVIKKELSKKFPDF DIISYYFPKRSNTRSSSSVSFNEEGSVESNKSSNNTNGNAQDEDMLKGYGFIKLINHE QALAAIETFNGFMWHGNRLVVNKAVQHKVYNNHNSHDRHPSISNHNDMEVLEFANNPM YDYNNYTYDRYYFNNNKNGNSNDTSNVRYFDSVRSTPVAEKMDLFYPQRESFSEGRGQ RVPRFMGNKFDMYQYPSTSYSLPIPMSNQQESNLYVKHIPLSWTDEDLYDFYKSFGEI ISVKVITVGGSKNKYRQQSNDSSSDNDLPVGSSRGYGFVSFESPLDAAKAILNTDGYQ VSKDQVLSVSFAQKRGNLSSSDDDDQSQTDNSSKFQNFQPHNDYHKAYPTKYNKKFIN ALMTQNQSQQQVSRENYFIPLQYPNTNTKPVNSYNLISANQNNANWMMPMFPSFGFIP QVPPVPYIIPPQNPAANHIPIMANGSNEEEEFSSGDYSMDY YGR251W MSRAKELQEKLNLQAKLQSTFSNNTAAVLDWLKESDETGISNDT ERNKQLKDHKELEDGKKAFFKLPVLQIGSGLHFRTQDDASAKEDIHTIGEFIEGDKKV SSLAKKKKRSDPGLQRNNMYRITKDDTKAMIALKRKMRKGEKEGLRKKQEHSKSSVSN SYSASDEEDEDAGTMPQKSTKKKFGLLFDKKKKARK YGR252W MVTKHQIEEDHLDGATTDPEVKRVKLENNVEEIQPEQAETNKQE GTDKENKGKFEKETERIGGSEVVTDVEKGIVKFEFDGVEYTFKERPSVVEENEGKIEF RVVNNDNTKENMMVLTGLKNIFQKQLPKMPKEYIARLVYDRSHLSMAVIRKPLTVVGG ITYRPFDKREFAEIVFCAISSTEQVRGYGAHLMNHLKDYVRNTSNIKYFLTYADNYAI GYFKKQGFTKEITLDKSIWMGYIKDYEGGTLMQCSMLPRIRYLDAGKILLLQEAALRR KIRTISKSHIVRPGLEQFKDLNNIKPIDPMTIPGLKEAGWTPEMDALAQRPKRGPHDA AIQNILTELQNHAAAWPFLQPVNKEEVPDYYDFIKEPMDLSTMEIKLESNKYQKMEDF IYDARLVFNNCRMYNGENTSYYKYANRLEKFFNNKVKEIPEYSHLID YGR253C MFLTRSEYDRGVSTFSPEGRLFQVEYSLEAIKLGSTAIGIATKE GVVLGVEKRATSPLLESDSIEKIVEIDRHIGCAMSGLTADARSMIEHARTAAVTHNLY YDEDINVESLTQSVCDLALRFGEGASGEERLMSRPFGVALLIAGHDADDGYQLFHAEP SGTFYRYNAKAIGSGSEGAQAELLNEWHSSLTLKEAELLVLKILKQVMEEKLDENNAQ LSCITKQDGFKIYDNEKTAELIKELKEKEAAESPEEADVEMS YGR254W MAVSKVYARSVYDSRGNPTVEVELTTEKGVFRSIVPSGASTGVH EALEMRDGDKSKWMGKGVLHAVKNVNDVIAPAFVKANIDVKDQKAVDDFLISLDGTAN KSKLGANAILGVSLAASRAAAAEKNVPLYKHLADLSKSKTSPYVLPVPFLNVLNGGSH AGGALALQEFMIAPTGAKTFAEALRIGSEVYHNLKSLTKKRYGASAGNVGDEGGVAPN IQTAEEALDLIVDAIKAAGHDGKIKIGLDCASSEFFKDGKYDLDFKNPNSDKSKWLTG PQLADLYHSLMKRYPIVSIEDPFAEDDWEAWSHFFKTAGIQIVADDLTVTNPKRIATA IEKKAADALLLKVNQIGTLSESIKAAQDSFAAGWGVMVSHRSGETEDTFIADLVVGLR TGQIKTGAPARSERLAKLNQLLRIEEELGDNAVFAGENFHHGDKL YGR255C MFFSKVMLTRRILVRGLATAKSSAPKLTDVLIVGGGPAGLTLAA SIKNSPQLKDLKTTLVDMVDLKDKLSDFYNSPPDYFTNRIVSVTPRSIHFLENNAGAT LMHDRIQSYDGLYVTDGCSKATLDLARDSMLCMIEIINIQASLYNRISQYDSKKDSID IIDNTKVVNIKHSDPNDPLSWPLVTLSNGEVYKTRLLVGADGFNSPTRRFSQIPSRGW MYNAYGVVASMKLEYPPFKLRGWQRFLPTGPIAHLPMPENNATLVWSSSERLSRLLLS LPPESFTALINAAFVLEDADMNYYYRTLEDGSMDTDKLIEDIKFRTEEIYATLKDESD IDEIYPPRVVSIIDKTRARFPLKLTHADRYCTDRVALVGDAAHTTHPLAGQGLNMGQT DVHGLVYALEKAMERGLDIGSSLSLEPFWAERYPSNNVLLGMADKLFKLYHTNFPPVV ALRTFGLNLTNKIGPVKNMIIDTLGGNEK YGR256W MSKAVGDLGLVGLAVMGQNLILNAADHGFTVVAYNRTQSKVDRF LANEAKGKSIIGATSIEDLVAKLKKPRKIMLLIKAGAPVDTLIKELVPHLDKGDIIID GGNSHFPDTNRRYEELTKQGILFVGSGVSGGEDGARFGPSLMPGGSAEAWPHIKNIFQ SIAAKSNGEPCCEWVGPAGSGHYVKMVHNGIEYGDMQLICEAYDIMKRIGRFTDKEIS EVFDKWNTGVLDSFLIEITRDILKFDDVDGKPLVEKIMDTAGQKGTGKWTAINALDLG MPVTLIGEAVFARCLSAIKDERKRASKLLAGPTVPKDAIHDREQFVYDLEQALYASKI ISYAQGFMLIREAARSYGWKLNNPAIALMWRGGCIIRSVFLAEITKAYRDDPDLENLL FNEFFASAVTKAQSGWRRTIALAATYGIPTPAFSTALAFYDGYRSERLPANLLQAQRD YFGAHTFRILPECASAHLPVDKDIHINWTGHGGNISSSTYQA YGR257C MSDRNTSNSLTLKERMLSAGAGSVLTSLILTPMDVVRIRLQQQQ MIPDCSCDGAAEVPNAVSSGSKMKTFTNVGGQNLNNAKIFWESACFQELHCKNSSLKF NGTLEAFTKIASVEGITSLWRGISLTLLMAIPANMVYFSGYEYIRDVSPIASTYPTLN PLFCGAIARVFAATSIAPLELVKTKLQSIPRSSKSTKTWMMVKDLLNETRQEMKMVGP SRALFKGLEITLWRDVPFSAIYWSSYELCKERLWLDSTRFASKDANWVHFINSFASGC ISGMIAAICTHPFDVGKTRWQISMMNNSDPKGGNRSRNMFKFLETIWRTEGLAALYTG LAARVIKIRPSCAIMISSYEISKKVFGNKLHQ YGR258C MGVHSFWDIAGPTARPVRLESLEDKRMAVDASIWIYQFLKAVRD QEGNAVKNSHITGFFRRICKLLYFGIRPVFVFDGGVPVLKRETIRQRKERRQGKRESA KSTARKLLALQLQNGSNDNVKNSTPSSGSSVQIFKPQDEWDLPDIPGFKYDKEDARVN SNKTFEKLMNSINGDGLEDIDLDTINPASAEFEELPKATQYLILSSLRLKSRLRMGYS KEQLETIFPNSMDFSRFQIDMVKRRNFFTQKLINTTGFQDGGASKLNEEVINRISGQK SKEYKLTKTNNGWILGLGANDGSDAQKAIVIDDKDAGALVKQLDSNAEDGDVLRWDDL EDNSLKIVRHESSNATTAPQKRSNRSEDEGCDSDECEWEEVELKPKNVKFVEDFSLKA ARLPYMGQSLNNAGSKSFLDKRHDQASPSKTTPTMRISRISVEDDDEDYLKQIEEIEM MEAVQLSKMEKKPEADDKSKIAKPVTSKGTEARPPIVQYGLLGAQPDSKQPYHVTNLN SKSESVIKRTSKTVLSEFRPPSQQEDKGAILTEGEQNLNFISHKIPQFDFNNENSLLF QKNTESNVSQEATKEKSPIPEMPSWFSSTASQQLYNPYNTTNFVEDKNVRNEQESGAE TTNKGSSYELLTGLNATEILERESEKESSNDENKDDDLEVLSEELFEDVPTKSQISKE AEDNDSRKVESINKEHRKPLIFDYDFSEDEEDNIVENMIKEQEEFDTFKNTTLSTSAE RNVAENAFVEDELFEQQMKDKRDSDEVTMDMIKEVQELLSRFGIPYITAPMEAEAQCA ELLQLNLVDGIITDDSDVFLFGGTKIYKNMFHEKNYVEFYDAESILKLLGLDRKNMIE LAQLLGSDYTNGLKGMGPVSSIEVIAEFGNLKNFKDWYNNGQFDKRKQETENKFEKDL RKKLVNNEIILDDDFPSVMVYDAYMRPEVDHDTTPFVWGVPDLDMLRSFMKTQLGWPH EKSDEILIPLIRDVNKRKKKGKQKRINEFFPREYISGDKKLNTSKRISTATGKLKKRK M YGR260W MSNKFTMESPKHLVDDVLFISPTNDGSEEKPTEVTFQEDEGHDA SLHNRSHDKKSELATEREIMATTTDDDGIPSPSHPMEKRVLRKMDIYLIPLMGMLYFL SNLDKSNIGNAEVAGLSKDIHLVGTQYNTCVTVFFATYVLFDPIGTNLLKIMGPPLMM SICLTCFGAISLGTAWVKNYAQLIVVRLLLGAFEGMIYPAINMYLSVCYRREQYALRF AFVFSAACLSSSFGGLIAYGCSKISGSLKDWQYIYIVEGCISLGFVPFYAFGLSKNLE DSWFFNKEEKEYISERYKTMNTFDPDEKFEWFQVWQAVKDVKTWASAVALFGIDLTTF GLTVFLPIIITSMGFTNVRAQLMTVPIYFLTAIVFFICAVWSDRIKLRSPFILGACLT TSIGIAIVLGSQVHGVRYFGVYILCMGIYVNAACNCLWLSGNTGNYFKRATALGINLF FGSGSGLVSGQIFVAKDKPRYIKGLSISLAFQVFSIFMTVVQIFLYKRENDKKKAIID RCNELGEPIPYDERLSDKNPEFKYMY YGR261C MVDSIHRIASALDTAKVITREAAAVATSKLGESSYTYYSQNINP QQLVTLLNSRNSREVRDAMKRIISIMASDDDSIDVQLYFADVVKNITTNDTKVKRLIH LYLLRFAENDPNLTLLSINSLQKSLSDSNSELRCFALSALSDMKMSSLAPIILHTVKK LVTDPSAMVRGEVALAIIKLYRAGKNDYHEELLDILKELMADTDPKVISCAVLAYKEC YADHLELLHGHFRRYCRIIKQLDSWSQSYLIELLIKYCKQYLPKPTVVDKSSEGSPRS CPLPDKYNEIEYPSYEVVNDPDLDLFLQSLNCLIYSSNPTVILSCCNALYQLASPLQM KNTKFIEALVRTVTMTENQGNKEMLLQAIHFLSILDQTLFLPYTKKFYVFPKDPIVAS IWKIQILSTLINESNVKEIFKELKYYVASAHFPENVVIMAVKSLSRCGQLSTSWESHV MKWLIDHMESHNLSASVLDAYVNVIRMLVQKNPTKHLRIIFKLADLLTVQTSLADNAR AGIVWLFGEIASIEFKICPDVLRRLIQNFSNEGPETRCQILVLSAKLLSYDIDNFKQA QVTGSEENNQNPPYYDFSGSRISQMYNAVLYLAKYDDEFDIRDRARMISSLFDSGKYE IVSLLLQAPKPTARSDDFIVSARLETHTPEIKEFFRMLPWNTEITEVGETGNDIREGA ELKDYNKYKKSFSSQSFITNNSARSFTSSSNAKLTGINDGDSNSISGKGNVNTFTSQN GKKYRLQSLDEFFSDIPERKSKPRKIIKVVEESSDEDEDESEESSDDDEYSDSSLGTS SSGTSSSHLEL YGR262C MTQEFIDKVSSYLTPDVDIAPISQGAEAIVFTTTTHPYLPRAKD SHQKYIIKYRPPKRYRHPQIDQALTKHRTLNESRLLAKLYLIPGLCVPQLIACDPYNG FIWLEFLGEDLPGGHGFSNLKNFLWMHDQDPYSDLVATTLRKVGRQIGLLHWNDYCHG DLTSSNIVLVRDGARWTPHLIDFGLGSVSNLVEDKGVDLYVLERAILSTHSKHAEKYN AWIMEGFEEVYREQGAKGAKKLKEVTKRFEEVRLRGRKRSMLG YGR263C MAANSGLDSKVEYYRLQENEIISAVSSEDADQNDAGFRLSTIHL HLFHGLKFAALLFTVVPVFIILDSMKIIFQRKRRFCLDHVNRSFLRQSSWILDERICQ YVLNPLFVCLYPSTFSSPTYVKCNIPIEDQKSPENNIFQDHQLNAPKIVSTKFYQYVM PEGFDPTTDPVLVFYHGGGYALKLTPTSFSFLNNMRNAFPKMAILVPDYTVTATDDQS KKYPLQILQNVAIFDYVVKTMGCKNVVIMGDSAGGNAVLNIVLYLRKCHREIYPKKVI AISPWANATFFHEGEKEYMQGTQEWDGLCLKSHSMFGRMFVGNNPNVDFTSDPFVNIE KNFETKMWQDILKKCSVMITYGSDELLSFQNKILAKKMSDASEGCNHFTAKNVLVEHQ GYHTGPILNYSRNMDRWTNIPSIARILEFMQS YGR264C MSFLISFDKSKKHPAHLQLANNLKIALALEYASKNLKPEVDNDN AAMELRNTKEPFLLFDANAILRYVMDDFEGQTSDKYQFALASLQNLLYHKELPQQHVE VLTNKAIENYLVELKEPLTTTDLILFANVYALNSSLVHSKFPELPSKVHNAVALAKKH VPRDSSSFKNIGAVKIQADLTVKPKDSEILPKPNERNILITSALPYVNNVPHLGNIIG SVLSADIFARYCKGRNYNALFICGTDEYGTATETKALEEGVTPRQLCDKYHKIHSDVY KWFQIGFDYFGRTTTDKQTEIAQHIFTKLNSNGYLEEQSMKQLYCPVHNSYLADRYVE GECPKCHYDDARGDQCDKCGALLDPFELINPRCKLDDASPEPKYSDHIFLSLDKLESQ ISEWVEKASEEGNWSKNSKTITQSWLKDGLKPRCITRDLVWGTPVPLEKYKDKVLYVW FDATIGYVSITSNYTKEWKQWWNNPEHVSLYQFMGKDNVPFHTVVFPGSQLGTEENWT MLHHLNTTEYLQYENGKFSKSRGVGVFGNNAQDSGISPSVWRYYLASVRPESSDSHFS WDDFVARNNSELLANLGNFVNRLIKFVNAKYNGVVPKFDPKKVSNYDGLVKDINEILS NYVKEMELGHERRGLEIAMSLSARGNQFLQENKLDNTLFSQSPEKSDAVVAVGLNIIY AVSSIITPYMPEIGEKINKMLNAPALKIDDRFHLAILEGHNINKAEYLFQRIDEKKID EWRAKYGGQQV YGR266W MHATNWFDDWNPEALYRDDVTGCDDCSETSPIPKSGIICGPILR LINMDFKEKTYEGSIMVVVRGEENFPKITYQLGPSLPSEDEDIEVNEAFFEGKLFHKD ILKDDNIWFYRYEIKLPMSNYEQMVKYAVDGTMEPHYRFFVPSFTQNSNVISYSCNGF SLSVDTSKFKGSLWYDVLKKHRYVHYHAILGGGDQIYSDNIKLHAPNLKAWLETKDPI KKYNTQTTEETKEQIRQFYLEHYLNWYGYGHWYGSTPKSKTTQKCFVKSLACIPAINV WDDHDIIDGYGSYNDSFMKTENFLTVGRMAYRYYMLFQQHVSASKQDGDEYAYLKSKQ WILGNEKGSSYIGERSHSIFSWLGPKMAMLGLDCRTERKLHEIFSERSYSLIWERVER EIKNLKGGHLLLMLGIPIAYPRLVWLEWLFTSKLLAPIKYLSKKGIFASGFVNEFNGD VELLDDLNDHWCARHHKKERNYLIMKLQDIGAKYGVRITILSGDVHLASVGRFRAKIH RHHLIMSEEKEKENTRIIEEPTKDVRLIFNIIASAIVNTPPPDAMATLLQKRCRLHHF DLETDEDAVPIFAKEVDGVHKRKESCFMNKRNWSDIIPIENLLNNPQLSKELGVKVGD IVIPGIITEQQKLQKLENDDQINSYPVTSGGLFTTIHVERDANQTNSQTVSYCLPIPE LTVTCERLSHKGIKHLNIT YGR267C MHNIQLVQEIERHETPLNIRPTSPYTLNPPVERDGFSWPSVGTR QRAEETEEEEKERIQRISGAIKTILTELGEDVNREGLLDTPQRYAKAMLYFTKGYQTN IMDDVIKNAVFEEDHDEMVIVRDIEIYSLCEHHLVPFFGKVHIGYIPNKKVIGLSKLA RLAEMYARRLQVQERLTKQIAMALSDILKPLGVAVVMEASHMCMVSRGIQKTGSSTVT SCMLGGFRAHKTREEFLTLLGRRSI YGR268C MSKDTHDDELPSYEDVIKEEERLQSQPPRPPRPAANLAQGHQSR PHQRPSTMPATSSSQTYAHSHSYTPTSSQPRPPPRPQQNPSLPWTYPPRFYCSKCGNT GYKLKNGRSCKSCWRRFAPQNNVVSAPTYYTNYTMPVYTNAWQGNRPLYVQPGDPRLG GVLCGECRGSGRTRFLLDEDICPLCHGVGRIITQPQRY YGR270W MARNLRNRRGSDVEDASNAKVGYETQIKDENGIIHTTTRSLRKI NYAEIEKVFDFLEDDQVMDKDETPVDVTSDEHHNNNQKGDDEDDDVDLVSPHENARTN EELTNERNLRKRKAHDPEEDDESFHEEDVDDDEEEEEADEFEDEYLDEDSKDNNRRRR AADRKFVVPDPDDDEEYDEDDEEGDRISHSASSKRLKRANSRRTRSSRHPETPPPVRR ALRSRTRHSRTSNEENDDENDNSRNEALTLADEIRELQEDSPIREKRFLRERTKPVNY KLPPPLTASNAEEFIDKNNNALSFHNPSPARRGRGGWNASQNSGPTRRLFPTGGPFGG NDVTTIFGKNTNFYNQVPSAFSDNNNNKLILDSDSSDDEILPLGVTPKTKKENTQKKK KKKPEIADLDPLGVDMNVNFDDIGGLDNYIDQLKEMVALPLLYPELYQNFNITPPRGV LFHGPPGTGKTLMARALAASCSSDERKITFFMRKGADILSKWVGEAERQLRLLFEEAK KHQPSIIFFDEIDGLAPVRSSKQEQIHASIVSTLLALMDGMDNRGQVIVIGATNRPDA VDPALRRPGRFDREFYFPLPDVKARFKILQIQTRKWSSPLSTNFIDKLAFLTKGYGGA DLRSLCTEAALISIQRSFPQIYRSNDKLLVDPSKIKVKVSDFMLALKKIVPSSARSTG SSPQPLPELIKPLLADQLNNLKNKLDYMLNIKDTTFQRNTSLLQNFIDYEEYSGEEEE HDKYGGNEDTSSFRSYEFFESMAESQICKPRLLINGPKGNGQQYVGAAILNYLEEFNV QNLDLASLVSESSRTIEAAVVQSFMEAKKRQPSVVFIPNLDIWINTIPENVILVLSGL FRSLQSNEKILLLCLAENLDISEVKNGILSDFAFDKNIFQLHKPSKENITRYFSNLIE LLKTKPSDIPMKKRRVKPLPELQKVTSNAAPTNFDENGEPLSEKVVLRRKLKSFQHQD MRLKNVLKIKLSGLMDLFKNRYKRFRKPPIDDAFLVHLFEPETSNDPNWQPAYIKDEN MILEVSTGRKFFNMDLDIVEERLWNGYYSEPKQFLKDIELIYRDANTIGDRERVIKAS EMFANAQMGIEEISTPDFIQECKATRQRDLERQELFLEDEEKRAAMELEAKEQSQENI LQEPDLKDNKANEFGVAAGNQLQAQLQTTINTASIVNNSEVPQPIDTNLYKKEIPAAI PSAVDKEKAVIPEDSGANEEYTTELIQATCTSEITTDDDERARKEPKENEDSLQTQVT EENFSKIDANTNNINHVKEIQSVNKPNSLHETVEKRERSPIPKEVVEPEQGKKSDKEL ILTPEQIKKVSACLIEHCQNFTVSQLEDVHSSVAKIIWKSKSAWDKTGTVDEIIKFLS E YGR271W MSTEYSADSSKSFMIAMQSMIDTSQTFNLDRSKISLPDFDDELK KVQKDEQNQRTELTVLSQDRNDWDDIFEEFKDISFAQLQSIIDSYKTKNAVAVYKKIG KLINEAETTLSSNVLLETVLQMVYKHQKQELEKELLDFLGTGNIDLVSLLLQHRRMIV ATPIETTILLIKNAVNSTPEFLTQQDIRNQVLKSAEDAKNRKLNPATKIIKYPHVFRK YEAGSTTAMAFAGQKFTLPVGTTRMSYNTHEEIIIPAADQASNKNYLYTKLLKISDLD HFCKTVFPYETLNQIQSLVYPVAYKTNENMLICAPTGAGKTDIALLTIINTIKQFSVV NGENEIDIQYDDFKVIYVAPLKALAAEIVDKFSKKLAPFNIQVRELTGDMQLTKAEIL ATQVIVTTPEKWDVVTRKANGDNDLVSKVKLLIIDEVHLLHEDRGSVIETLVARTLRQ VESSQSMIRIIGLSATLPNFMDVADFLGVNRQIGMFYFDQSFRPKPLEQQLLGCRGKA GSRQSKENIDKVAYDKLSEMIQRGYQVMVFVHSRKETVKSARNFIKLAESNHEVDLFA PDPIEKDKYSRSLVKNRDKDMKEIFQFGFGIHHAGMARSDRNLTEKMFKDGAIKVLCC TATLAWGVNLPADCVIIKGTQVYDSKKGGFIDLGISDVIQIFGRGGRPGFGSANGTGI LCTSNDRLDHYVSLITQQHPIESRFGSKLVDNLNAEISLGSVTNVDEAIEWLGYTYMF VRMRKNPFTYGIDWEEIANDPQLYERRRKMIVVAARRLHALQMIVFDEVSMHFIAKDL GRVSSDFYLLNESVEIFNQMCDPRATEADVLSMISMSSEFDGIKFREEESKELKRLSD ESVECQIGSQLDTPQGKANVLLQAYISQTRIFDSALSSDSNYVAQNSVRICRALFLIG VNRRWGKFSNVMLNICKSIEKRLWAFDHPLCQFDLPENIIRRIRDTKPSMEHLLELEA DELGELVHNKKAGSRLYKILSRFPKINIEAEIFPITTNVMRIHIALGPDFVWDSRIHG DAQFFWVFVEESDKSQILHFEKFILNRRQLNNQHEMDFMIPLSDPLPPQVVVKVVSDT WIGCESTHAISFQHLIRPFNETLQTKLLKLRPLPTSALQNPLIESIYPFKYFNPMQTM TFYTLYNTNENAFVGSPTGSGKTIVAELAIWHAFKTFPGKKIVYIAPMKALVRERVDD WRKKITPVTGDKVVELTGDSLPDPKDVHDATIVITTPEKFDGISRNWQTRKFVQDVSL IIMDEIHLLASDRGPILEMIVSRMNYISSQTKQPVRLLGMSTAVSNAYDMAGWLGVKD HGLYNFPSSVRPVPLKMYIDGFPDNLAFCPLMKTMNKPVFMAIKQHSPDKPALIFVAS RRQTRLTALDLIHLCGMEDNPRRFLNIDDEEELQYYLSQVTDDTLKLSLQFGIGLHHA GLVQKDRSISHQLFQKNKIQILIATSTLAWGVNLPAHLVIIKGTQFFDAKIEGYRDMD LTDILQMMGRAGRPAYDTTGTAIVYTKESKKMFYKHFLNVGFPVESSLHKVLDDHLGA EITSGSITNKQEALDFLSWTFLFRRAHHNPTYYGIEDDTSTAGVSEHLSSLIDSTLEN LRESQCVLLHGDDIVATPFLSISSYYYISHLTIRQLLKQIHDHATFQEVLRWLSLAVE YNELPVRGGEIIMNEEMSQQSRYSVESTFTDEFELPMWDPHVKTFLLLQAHLSRVDLP IADYIQDTVSVLDQSLRILQAYIDVASELGYFHTVLTMIKMMQCIKQGYWYEDDPVSV LPGLQLRRIKDYTFSEQGFIEMTPQQKKKKLLTLEEIGRFGYKKLLNVFDQLTFGMTE SEDTKKRFVSVCQRLPVLEGMKFEEQENNEVLTFYSKHLSSKHNNGFEVYCDKFPKIQ KELWFLIGHKGDELLMIKRCQPKQMNKEVIIHCDLFIPEEIRGEELQFSLINDALGLR YDMVHKLIS YGR271C-A MAKLQRKRSKALGSSLEMSQIMDAGTNKIKRRIRDLERLLKKKK DILPSTVIIEKERNLQALRLELQNNELKNKIKANAKKYHMVRFFEKKKALRKYNRLLK KIKESGADDKDLQQKLRATKIELCYVINFPKTEKYIALYPNDTPSTDPKGVELTNLRR EQFLKLVAERMDANTLNVSFEEILKGKKLDEDSIGLTLSPDKDHEDGSQVSPTQDRKE LDQVVGEDEKDDFFE YGR273C MQHFESSDKIEKDDDTSRIKLRSSSLAAPILEAVQEAQPFEEAT FSNLQKIHPLTENSTCNGYVIYDKDGNLKSMKDTFGRNIKTPDISNPTRARNERPLDT IRGFEYSITKDPRWLQELETSKLGFKPRPGFAVINQDSQASINLSQLEEKVMESQKKK EKRHISRLSRLLCR YGR274C MVKQQGSGKTNLANEDEAYEAIFGGEFGSLEIGSYIGGDEGANS KDYTEHLPDAVDFEDEDELADDDDDLPEESDANLHPAMMTMGAYDDVNENGAVLGIDS NSLNMQLPEINGDLSQQFILEDDGGTPATSNALFMGMDANEIHLATETGVLDGSGANE IGHSQLSIGGVNGNDMSINGGFIMEPDMSDGKHKKATKLDLINHEKYLLKKYFPDFEK GKILKWNKLIYRRSVPYHWHSEISRVKKPFMPLNLKFKVQQDDKRLFNSRTISYVAPI YQGKNNLLQSNSSASRRGLIHVSIDELFPIKEQQKKRKIIHDEKTISEDLLIATDDWD QEKIINQGTSSTATLADSSMTPNLKFSGGYKLKSLIEDVAEDWQWDEDMIIDAKLKES KHAELNMNDEKLLLMIEKTNNLAQQKQQLDSSNLILPLNETILQQKFNLSNDDKYQIL KKTHQTKVRSTISNLNIQHSQPAINLQSPFYKVAVPRYQLRHFHRENFGSHIRPGTKI VFSKLKARKRKRDKGKDVKESFSTSQDLTIGDTAPVYLMEYSEQTPVALSKFGMANKL INYYRKANEQDTLRPKLPVGETHVLGVQDKSPFWNFGFVEPGHIVPTLYNNMIRAPVF KHDISGTDFLLTKSSGFGISNRFYLRNINHLFTVGQTFPVEEIPGPNSRKVTSMKATR LKMIIYRILNHNHSKAISIDPIAKHFPDQDYGQNRQKVKEFMKYQRDGPEKGLWRLKD DEKLLDNEAVKSLITPEQISQVESMSQGLQFQEDNEAYNFDSKLKSLEENLLPWNITK NFINSTQMRAMIQIHGVGDPTGCGEGFSFLKTSMKGGFVKSGSPSSNNNSSNKKGTNT HSYNVAQQQKAYDEEIAKTWYTHTKSLSISNPFEEMTNPDEINQTNKHVKTDRDDKKI LKIVRKKRDENGIIQRQTIFIRDPRVIQGYIKIKEQDKEDVNKLLEEDTSKINNLEEL EKQKKLLQLELANLEKSQQRRAARQNSKRNGGATRTENSVDNGSDLAGVTDGKAARNK GKNTTRRCATCGQIGHIRTNKSCPMYSSKDNPASPK YGR275W MDPQTLITKANKVSYYGNPTSKESWRYDWYQPSKVSSNVQQPQQ QLGDMENNLEKYPFRYKTWLRNQEDEKNLQRESCEDILDLKEFDRRILKKSLMTSHTK GDTSKATGAPSANQGDEALSVDDIRGAVGNSEAIPGLSAGVNNDNTKESKDVKMN YGR276C MQVEGPDTNFVSDLALGSKKRRLSKTSVQEDDHTNVVSEVNKNK KKKKAKPMTCTLLKSVVEKGIGIKDVRDMTQYLLQAENNSPKWIDICNRSSLQKMIVL FIPGLQPDDFENGKNTFNEISDDNFKYIPGEIASTFHTFPVMAPGSKMTLFSPYNSFI NVGLSKMEKINKLKELQKKKKITINDLVLSEQQLVANDYPLDSGDTNFDTDWVQTVDF THGGSHIFALDCEMCLSEQGLVLTRISLVNFDNEVIYEELVKPDVPIVDYLTRYSGIT EEKLTVGAKKTLREVQKDLLKIISRSDILIGHSLQNDLKVMKLKHPLVVDTAIIYHHK AGDPFKPSLKYLSETFLNKSIQNGEHDSVEDARACLELTKLKILNGLAFGIGINTENL FTKLHRFEVKTVLLNDMIIKNHTEDDSKGQLIRCVEDDETWTHIHENLNKDVKLIVGR IKNLERSRNYNKKPRKETPSFDASMVLHDIGQHLTQLYENATPGTMILIMSGTGDTRP WNNLSTELEFIQDKKERLDKRREREPEIVEAIKLARGGVASFTVK YGR277C MVEENSRVLIVLPYTPPSATLQRIIGQTIPFLRECQSQLDIVIV PEFKTSFQLDSALGKMYSITRDVLLGYGMINSGINIIFNNIHFVESNLQWKVVLLPQE STFETWKLELGQGQYHSIEHYALHDNIMEEIEGPKDANKFHVTALGGTFDHIHDGHKI LLSVSTFITSQRLICGITCDELLQNKKYKELIEPYDTRCRHVHQFIKLLKPDLSVELV PLRDVCGPTGKVPEIECLVVSRETVSGAETVNKTRIEKGMSPLAVHVVNVLGGREEDG WSEKLSSTEIRRLLKSSASPTCTPQNPCV YGR278W MSTATIQDEDIKFQRENWEMIRSHVSPIISNLTMDNLQESHRDL FQVNILIGRNIICKNVVDFTLNKQNGRLIPALSALIALLNSDIPDIGETLAKELMLMF VQQFNRKDYVSCGNILQCLSILFLYDVIHEIVILQILLLLLEKNSLRLVIAVMKICGW KLALVSKKTHDMIWEKLRYILQTQELSSTLRESLETLFEIRQKDYKSGSQGLFILDPT SYTVHTHSYIVSDEDEANKELGNFEKCENFNELTMAFDTLRQKLLINNTSDTNEGSNS QLQIYDMTSTNDVEFKKKIYLVLKSSLSGDEAAHKLLKLKIANNLKKSVVDIIIKSSL QESTFSKFYSILSERMITFHRSWQTAYNETFEQNYTQDIEDYETDQLRILGKFWGHLI SYEFLPMDCLKIIKLTEEESCPQGRIFIKFLFQELVNELGLDELQLRLNSSKLDGMFP LEGDAEHIRYSINFFTAIGLGLLTEDMRSRLTIIQEVEDAEEEEKKLREEEELEKLRK KARESQPTQGPKIHESRLFLQKDTRENSRSRSPFTVETRKRARSRTPPRGSRNHRNRS RTPPARRQRHR YGR279C MRLSNLIASASLLSAATLAAPANHEHKDKRAVVTTTVQKQTTII VNGAASTPVAALEENAVVNSAPAAATSTTSSAASVATAAASSSENNSQVSAAASPASS SAATSTQSSSSSQASSSSSSGEDVSSFASGVRGITYTPYESSGACKSASEVASDLAQL TDFPVIRLYGTDCNQVENVFKAKASNQKVFLGIYYVDQIQDGVNTIKSAVESYGSWDD VTTVSIGNELVNGNQATPSQVGQYIDSGRSALKAAGYTGPVVSVDTFIAVINNPELCD YSDYMAVNAHAYFDKNTVAQDSGKWLLEQIQRVWTACDGKKNVVITESGWPSKGETYG VAVPSKENQKDAVSAITSSCGADTFLFTAFNDYWKADGAYGVEKYWGILSNE YGR280C MGLAATRTKQRFGLDPRNTAWSNDTSRFGHQFLEKFGWKPGMGL GLSPMNSNTSHIKVSIKDDNVGLGAKLKRKDKKDEFDNGECAGLDVFQRILGRLNGKE SKISEELDTQRKQKIIDGKWGIHFVKGEVLASTWDPKTHKLRNYSNAKKRKREGDDSE DEDDDDKEDKDSDKKKHKKHKKHKKDKKKDKKDKKEHKKHKKEEKRLKKEKRAEKTKE TKKTSKLKSSESASNIPDAVNTRLSVRSKWIKQKRAALMDSKALNEIFMITND YGR281W MTITVGDAVSETELENKSQNVVLSPKASASSDISTDVDKDTSSS WDDKSLLPTGEYIVDRNKPQTYLNSDDIEKVTESDIFPQKRLFSFLHSKKIPEVPQTD DERKIYPLFHTNIISNMFFWWVLPILRVGYKRTIQPNDLFKMDPRMSIETLYDDFEKN MIYYFEKTRKKYRKRHPEATEEEVMENAKLPKHTVLRALLFTFKKQYFMSIVFAILAN CTSGFNPMITKRLIEFVEEKAIFHSMHVNKGIGYAIGACLMMFVNGLTFNHFFHTSQL TGVQAKSILTKAAMKKMFNASNYARHCFPNGKVTSFVTTDLARIEFALSFQPFLAGFP AILAICIVLLIVNLGPIALVGIGIFFGGFFISLFAFKLILGFRIAANIFTDARVTMMR EVLNNIKMIKYYTWEDAYEKNIQDIRTKEISKVRKMQLSRNFLIAMAMSLPSIASLVT FLAMYKVNKGGRQPGNIFASLSLFQVLSLQMFFLPIAIGTGIDMIIGLGRLQSLLEAP EDDPNQMIEMKPSPGFDPKLALKMTHCSFEWEDYELNDAIEEAKGEAKDEGKKNKKKR KDTWGKPSASTNKAKRLDNMLKDRDGPEDLEKTSFRGFKDLNFDIKKGEFIMITGPIG TGKSSLLNAMAGSMRKTDGKVEVNGDLLMCGYPWIQNASVRDNIIFGSPFNKEKYDEV VRVCSLKADLDILPAGDMTEIGERGITLSGGQKARINLARSVYKKKDIYLFDDVLSAV DSRVGKHIMDECLTGMLANKTRILATHQLSLIERASRVIVLGTDGQVDIGTVDELKAR NQTLINLLQFSSQNSEKEDEEQEAVVAGELGQLKYESEVKELTELKKKATEMSQTANS GKIVADGHTSSKEERAVNSISLKIYREYIKAAVGKWGFIALPLYAILVVGTTFCSLFS SVWLSYWTENKFKNRPPSFYMGLYSFFVFAAFIFMNGQFTILCAMGIMASKWLNLRAV KRILHTPMSYIDTTPLGRILNRFTKDTDSLDNELTESLRLMTSQFANIVGVCVMCIVY LPWFAIAIPFLLVIFVLIADHYQSSGREIKRLEAVQRSFVYNNLNEVLGGMDTIKAYR SQERFLAKSDFLINKMNEAGYLVVVLQRWVGIFLDMVAIAFALIITLLCVTRAFPISA ASVGVLLTYVLQLPGLLNTILRAMTQTENDMNSAERLVTYATELPLEASYRKPEMTPP ESWPSMGEIIFENVDFAYRPGLPIVLKNLNLNIKSGEKIGICGRTGAGKSTIMSALYR LNELTAGKILIDNVDISQLGLFDLRRKLAIIPQDPVLFRGTIRKNLDPFNERTDDELW DALVRGGAIAKDDLPEVKLQKPDENGTHGKMHKFHLDQAVEEEGSNFSLGERQLLALT RALVRQSKILILDEATSSVDYETDGKIQTRIVEEFGDCTILCIAHRLKTIVNYDRILV LEKGEVAEFDTPWTLFSQEDSIFRSMCSRSGIVENDFENRS YGR282C MRFSTTLATAATALFFTASQVSAIGELAFNLGVKNNDGTCKSTS DYETELQALKSYTSTVKVYAASDCNTLQNLGPAAEAEGFTIFVGVWPTDDSHYAAEKA ALQTYLPKIKESTVAGFLVGSEALYRNDLTASQLSDKINDVRSVVADISDSDGKSYSG KQVGTVDSWNVLVAGYNSAVIEASDFVMANAFSYWQGQTMQNASYSFFDDIMQALQVI QSTKGSTDITFWVGETGWPTDGTNFESSYPSVDNAKQFWKEGICSMRAWGVNVIVFEA FDEDWKPNTSGTSDVEKHWGVFTSSDNLKYSLDCDFS YGR283C MAVKHKSESLKHEEGAAKKAKTGLLKLKKIMDIESNVVKYSICI PTTVIDNCNNLEQVTFTAYQIARTAVLFNVQEIIVLDQSKDKKHEKKSRSKETISDCL LLATLLQYFVTPPNLLDTTFKKKNKLYLKCASTFPPLNQLPFMNASAEQHYKEGLSIA RDSSKGKSDDALTNLVYIGKNQIITLSNQNIPNTARVTVDTERKEVVSPIDAYKGKPL GYHVRMASTLNEVSEGYTKIVWVNSGDFHYDEELSKYHKVETKLPYIAKLKKSSTSEK PCNILLIFGKWGHLKRCFRRSDLESSSLHHYFSGQLQFPASVPQGNIPIQDSLPIALT MFQRWAS YGR284C MSYRGPIGNFGGMPMSSSQGPYSGGAQFRSNQNQSTSGILKQWK HSFEKFASRIEGLTDNAVVYKLKPYIPSLSRFFIVATFYEDSFRILSQWSDQIFYLNK WKHYPYFFVVVFLVVVTVSMLIGASLLVLRKQTNYATGVLCACVISQALVYGLFTGSS FVLRNFSVIGGLLIAFSDSIVQNKTTFGMLPELNSKNDKAKGYLLFAGRILIVLMFIA FTFSKSWFTVVLTIIGTICFAIGYKTKFASIMLGLILTFYNITLNNYWFYNNTKRDFL KYEFYQNLSIIGGLLLVTNTGAGELSVDEKKKIY YGR285C MFSLPTLTSDITVEVNSSATKTPFVRRPVEPVGKFFLQHAQRTL RNHTWSEFERIEAEKNVKTVDESNVDPDELLFDTELADEDLLTHDARDWKTADLYAAM GLSKLRFRATESQIIKAHRKQVVKYHPDKQSAAGGSLDQDGFFKIIQKAFETLTDSNK RAQYDSCDFVADVPPPKKGTDYDFYEAWGPVFEAEARFSKKTPIPSLGNKDSSKKEVE QFYAFWHRFDSWRTFEFLDEDVPDDSSNRDHKRYIERKNKAARDKKKTADNARLVKLV ERAVSEDPRIKMFKEEEKKEKERRKWEREAGARAEAEAKAKAEAEAKAKAESEAKANA SAKADKKKAKEAAKAAKKKNKRAIRNSAKEADYFGDADKATTIDEQVGLIVDSLNDEE LVSTADKIKANAAGAKEVLKESAKTIVDSGKLPSSLLSYFV YGR286C MMSTIYRHLSTARPALTKYATNAAVKSTTASSEASTLGALQYAL SLDEPSHSWTKSQLKEIYHTPLLELTHAAQLQHRKWHDPTKVQLCTLMNIKSGGCSED CKYCAQSSRNDTGLKAEKMVKVDEVIKEAEEAKRNGSTRFCLGAAWRDMKGRKSAMKR IQEMVTKVNDMGLETCVTLGMVDQDQAKQLKDAGLTAYNHNIDTSREHYSKVITTRTY DDRLQTIKNVQESGIKACTGGILGLGESEDDHIGFIYTLSNMSPHPESLPINRLVAIK GTPMAEELADPKSKKLQFDEILRTIATARIVMPKAIIRLAAGRYTMKETEQFVCFMAG CNSIFTGKKMLTTMCNGWDEDKAMLAKWGLQPMEAFKYDRS YGR287C MTISSAHPETEPKWWKEATFYQIYPASFKDSNDDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWKSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVVGLPDAPVVDKNSTWQSSDPYTLNGPRIHEFHQEMNQFIRNRVKDGREIMTVG EMQHASDETKRLYTSASRHELSELFNFSHTDVGTSPLFRYNLVPFELKDWKIALAELF RYINGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLSALTGTLYVYQGQ ELGQINFKNWPVEKYEDVEIRNNYNAIKEEHGENSEEMKKFLEAIALISRDHARTPMQ WSREEPNAGFSGPSAKPWFYLNDSFREGINVEDEIKDPNSVLNFWKEALKFRKAHKDI TVYGYDFEFIDLDNKKLFSFTKKYNNKTLFAALNFSSDATDFKIPNDDSSFKLEFGNY PKKEVDASSRTLKPWEGRIYISE YGR288W MTLTKQTCAKQACDCCRIRRVKCDGKRPCSSCLQNSLDCTYLQP SRKRGPKSIRLRSLKRIAEVQRESGPNTIATAPVIYKRVPKKLIDQCLRLYHDNLYVI WPLLSYDDLHKLLEEKYNDNYVYWFLTALSAATLSDLQTEIKSEEEVTFTGKQLSNLC ISSCQQFDDLDNSNIFNIMTYYCLHRSFAQISNARTSYRLCCEAVGLITVAGLHREET YGSLTFEEQQLRRKLYYLLLMTERYYAIYLHCATSLDATIAPPQLELVTDPQLSMDSF LEMIRVFTVPGKCFFDALAADSTDASCTEESLKKIWNELHTTSSEIEPWSNGYIDISF SRHWIRILAWKLAYQMRGSNFSLNANNGQIPIEIARDMLIDTYLTPENLYDVHGPGVP VKTLEIATALVDIVGQYDHNMKLEAWNVLHDVCKFAFSLNHYNNDMLKRFSTKCQNAL ITLPISKPLQLDGYPKDNEDIDP YGR289C MKNIISLVSKKKAASKNEDKNISESSRDIVNQQEVFNTEDFEEG KKDSAFELDHLEFTTNSAQLGDSDEDNENVINEMNATDDANEANSEEKSMTLKQALLK YPKAALWSILVSTTLVMEGYDTALLSALYALPVFQRKFGTLNGEGSYEITSQWQIGLN MCVLCGEMIGLQITTYMVEFMGNRYTMITALGLLTAYIFILYYCKSLAMIAVGQILSA IPWGCFQSLAVTYASEVCPLALRYYMTSYSNICWLFGQIFASGIMKNSQENLGNSDLG YKLPFALQWIWPAPLMIGIFFAPESPWWLVRKDRVAEARKSLSRILSGKGAEKDIQVD LTLKQIELTIEKERLLASKSGSFFNCFKGVNGRRTRLACLTWVAQNSSGAVLLGYSTY FFERAGMATDKAFTFSLIQYCLGLAGTLCSWVISGRVGRWTILTYGLAFQMVCLFIIG GMGFGSGSSASNGAGGLLLALSFFYNAGIGAVVYCIVAEIPSAELRTKTIVLARICYN LMAVINAILTPYMLNVSDWNWGAKTGLYWGGFTAVTLAWVIIDLPETTGRTFSEINEL FNQGVPARKFASTVVDPFGKGKTQHDSLADESISQSSSIKQRELNAADKC YGR292W MTISDHPETEPKWWKEATIYQIYPASFKDSNNDGWGDLKGITSK LQYIKDLGVDAIWVCPFYDSPQQDMGYDISNYEKVWPTYGTNEDCFELIDKTHKLGMK FITDLVINHCSTEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWKSFFGG SAWTFDETTNEFYLRLFASRQVDLNWENEDCRRAIFESAVGFWLDHGVDGFRIDTAGL YSKRPGLPDSPIFDKTSKLQHPNWGSHNGPRIHEYHQELHRFMKNRVKDGREIMTVGE VAHGSDNALYTSAARYEVSEVFSFTHVEVGTSPFFRYNIVPFTLKQWKEAIASNFLFI NGTDSWATTYIENHDQARSITRFADDSPKYRKISGKLLTLLECSLTGTLYVYQGQEIG QINFKEWPIEKYEDVDVKNNYEIIKKSFGKNSKEMKDFFKGIALLSRDHSRTPMPWTK DKPNAGFTGPDVKPWFLLNESFEQGINVEQESRDDDSVLNFWKRALQARKKYKELMIY GYDFQFIDLDSDQIFSFTKEYEDKTLFAALNFSGEEIEFSLPREGASLSFILGNYDDT DVSSRVLKPWEGRIYLVK YGR294W MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YGR295C MKENELKNEKSVDVLSVKQLESQKTVLPQDLFRSSFTWFCYEIY KSLVFRIWMLLWLPLSVWWKLSNNWIYPLMVSLLVLFWGPVFVLVIFRLSRKRSLSKQ LTQFCKEITKNTPSSDPHDWEVVAANLNSYFYENKAWNTKYFFFSAMSCQEAFRTTLL EPFSLKKDEAAKVKSFKDSVPYIEEALEVYFTEVEKQWKLFNSEKSWSPVGLEDAKLP KEAYRFKLTWVLKRIFNRRCLPLFLFYLHNVFISRNDGTIARPLFLVVLFFIMTRDFR NMRMIVLSVKMEHKMQFLSTIINEQESGANGWDEIAKKMNRYLFEKKVWKNEEFFFDG IDCEWFFSHFFYRVLSAKKSMRALSLNVELWPYIKEAQLSCSEESLA YGR296W MEIENEQICTCIAQILHLLNSLIITFLDDDKTETGQSFVYIDGF LVKKHNNQHTIVNFETYKNKMKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFES IPTEVRSFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPD FQGGPIPSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLE RCYEIASARPNDSSTMRTFTDFVSGTPIVRGLQKSTIRKYGYNLAPYMFLLLHVDELS IFSAYQASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDILHIILR ACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAV KALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETALKDSYRITLVPSSDGV SVCGKLFNREYVRGFYFACKAQFDNLWEELNDCFYMPTVVDIASLILRNREVLFREPK RGIDEYLENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMI HFAVGYPYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTS DYVFYFVTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFL NTAKGCLVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESAT SELMANHSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSS TRNAEDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQL RLCHEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANC MIRLSRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFR TNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQ RIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVES QPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVS RTKEFVTDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCY LLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSAD TVELIERMDRLAEKQATASMSIVALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANA STNATTNSSTNATTTASTNVRTSATTTASINVRTSATTTESTNSSTNATTTASTNVRT SATTTASINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNS STNATTTASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQ MVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCK GCQKMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLK YFSVKRGEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYK VWSNLRESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQ GPQYVKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFCNK TFRQKLEYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPD GRFDILLCRDSSREVGE YHL050C MADTPSVAVQAPPGYGKTELFHLPLIALASKGDVEYVSFLFVPY TVLLANCMIRLGRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWEN IVECTFRTNNVKLGYLIVDELHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEA VADAALQRIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSKVPLGTNAT TTASTNVRTSATTTASINVRTSATTTASINVRTSATTTESTNSNTNATTTESTNSSTN ATTTASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKEPYKRKGSQMVL LERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQ KMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKFFS VKRREIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWS NLRESKTEVLQYFLNWDEKKCQEEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQ YVKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFR QKLEYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRF DIILLCRDSSREVGE YHL049C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPHMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDVLHVCCPS YHL048C-A MHDIWVITTSPACFEILYKYCKQKGRARMGGLIVKIIRFNHASV YHL048W MKENEVKDEKSVDVLSFKQLEFQKTVLPQDVFRNELTWFCYEIY KSLAFRIWMLLWLPLSVWWKLSSNWIHPLIVSLLVLFLGPFFVLVICGLSRKRSLSKQ LIQFCKEITEDTPSSDPHDWEVVAANLNSYFYENKTWNTKYFFFNAMSCQKAFKTTLL EPFSLKKDESAKVKSFKDSVPYIEEALQVYAAGFDKEWKLFNTEKEESPFDLEDIQLP KEAYRFKLTWILKRIFNLRCLPLFLYYFLIVYTSGNADLISRFLFPVVMFFIMTRDFQ NMRMIVLSVKMEHKMQFLSTIINEQESGANGWDEIAKKMNRYLFEKKVWNNEEFFYDG LDCEWFFRRFFYRLLSLKKPMWFASLNVELWPYIKEAQSARNEKPLK YHL047C MIEVPEDNRSSQTKRKNTEKNCNELMVDEKMDDDSSPRDEMKDK LKGTKSLIIRKSELMAKKYDTWQLKAIFLFSAFICTFAYGLDSSIRGTYMTYAMNSYS AHSLISTVSVIVLMISAVSQVIFGGLSDIFGRLTLFLVSIVLYIVGTIIQSQAYDVQR YAAGAVFYYVGLVGVMLQVVLMLSDNSSLKWRLFYTLIPSWPSIITTWVSGSVVEAAN PLENWSWNIAMWAFIFPLCCIPLILCMLHMRWKVRNDVEWKELQDEKSYYQTHGLVQM LVQLFWKLDVVGVLLFTAGVGCILVPLTLAGGVSTNWRNSKIIGPFVLGFVLVPGFIY WESRLALVPFAPFKLLKDRGVWAPLGIMFFICFVYQMAAGYLYTILVVAVDESASSAT RIINLYSFVTAVVAPFLGLIVTRSSRLKSYIIFGGSLYFITMGLFYRYRSGQDADGGI IAGMVIWGLSSCLFDYPTIVSIQSVTSHENMATVTALNYTVFRIGGAVAAAISGAIWT QSLYPKLLHYMGDADLATAAYGSPLTFILSNPWGTPVRSAMVEAYRHVQKYEVIVALV FSAPMFLLTFCVRDPRLTEDFAQKLPDREYVQTKEDDPINDWIAKRFAKALGRS YHL046C MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTITN YHL044W MSSELLISNSKPRPEGLRKLCEGETVILPRDITPSKCAYFLKQN IVFISYIFIHIIITIILNRLALSAHGNTLIIILAALLITISLFLLLLLPYLSCSRYKL RCLDDDCKFKLLAEVITHKPNMDLSTWDRIAYDMNQFVYDRRICADRSFFYDGSYCYQ VFKKLVATPYLVNSNMNSIYADLEMRSNGATNINDSGNSSLHIELGTYIFKALAVFRN SVDKYWEDKYPEMGVTV YHL043W MEGRKSEDEKNEAALACDVFESSNAKLPKNVFRSSFTWYCYEVI NRSAFHIWLLLCLTLIVGWKVFSGIGGRRPSDSNMDGPQTKHKRNPGFLRRHSTIVIL VISLAVSFSWEAFKMYRERTFGKQITQFAKEIIKSAPSTDMESWDRVAADFNSYMYEN KLWNTEYFFC YHL042W MKQRFSQVATVIFFVMSIRSPRNLGFFFTLALFVVLVCSQEWFS FEMNRSCSMKVEHRMQFLSTIISEHQKSDVNCWDQIAKKMNVYLFEQKVSGSDVFFLD GADCERFFERNFLRYLPSRKSSHPDLPIAELLPYIRKADIACAGKQLI YHL041W MHLYSIAKSTTILRFLRHILLPFLHLSIHFTSCLCRIIYVGWKS FWKHFFFCGHKIKTPLFIASYAMFLAKQKIIVTMFEVSSVLEKLPQNILLFHYPLPSK MGNNLLMSFLTQRSIDEKEIDALLHPNAVYRFCNMRTTFRTLSSYGK YHL040C MESVHSRDPVKEEKKHVFMGMEHELNPETHNDSNSDSYGLPQLS EKYNALRQNRSLIIQQTEIIGSAYNKWYLQAILLLSAFICGYGYGLDGNIRYIYTGYA TSSYSEHSLLSTINVINAVVSAASQIIYARLSDVFGRLYLFISAVILYVVGTIIQSQA YDVQRYAAGAIFYNAGYVGVILILLIILSDFSSLKWRLLYQFVPTWPFIINTWIAGNI TSRANPVVNWSWDVGMWAFIFPLSCVPIVLCMLHMQWRARKTPEWHALKGQKSYYQEH GFIKILKQLFWMLDVVGVLLMGCSLGCILVPLTLAGGVKTTWNDSRLIGPFVLGFVLI PILWIWEYRFARDPILPYRLVKDRAVWSSMGISFLIDFIYYMAADYLYTVMIVAVNES VKSATRIATLSSFVSTVASPFFALLVTRCTRLKPFIMFGCALWMVAMGLLYHFRGGSQ SHSGIIGALCVWGVGTTLFTYPVTVSVQSAVSHENMATVTALNYTLYRIGSAVGSAVS GAIWTQTLYKQILKRMGDVALATTAYESPYTFIETYTWGTPQRNALMNAYKYVQRLET IVALVFCVPLIAFSLCLRDPKLTDTVAVEYIEDGEYVDTKDNDPILDWFEKLPSKFTF KRE YHL039W MITQTELDNCLQWAQNNGAFIDPKISFRITEDAGVSAFVNEKFS PKPDQALIRVPETLLITSQQALSEFSQAANERSLLNSVTQLYLSKLKFGTDAVHLKSF YKPYLDVLPLHLPQPYFWSTDEVMNLHGTDVYLTMRDTLNKLVKEWRMLFQALSIEHS SQDKQFLSLFQENKDSAVVPLEQFCAHINGCKLEDSEWNSFVAYLWSYCIFNSRAFPR VILGRAGTDRTNLNEGFLYPIVDLLNHKNDVPVRWEMNEQNELCFMSQTTTFSAQDEL FNNYGNISNEKCLLNYGFWDSSNKFDFSRLTLKLPSTLVSGLPVDFNKSGNFVTDDGE TTILQFSLKISEPLPPVLLALFAYLSKLKSEETPTVRSVLEGIDQLTSVVSQRLLFYK NFKIKTSSTQKLRPHVIKLIKLYYQDNKKILNATTEKLSVLQKKIYSNNKEFSLSFKT IFKNDKIFANSLLLVFGAINYEDLITKDCLNDALLLWIVKLINDKSNNQGGFIKQTFK EVSDSIVIEKEDVMEFLPFYKKYFPNLSERIPEIYSVGDWGIRQFIVADTAIDRLVWI RKSNKEPIFLMKKAYDLQI YHL038C MVNWQTLFMVSLRRQGSSSRYRYKFNMENITHQVFPRCKQAFKK TNLSYEYCDLEGVLYNISLTDLQKLLLRDINAPREHAFKIVRTDLTQKSSKKRIQHWE RIAPMFDHPLSLYEKLFSEMDEDFKPSFEWQQLIRVRCKDDKLKLQRVIWPKSIFSNF CRGIGVKKSTYDRLLEQNNGEVPMFVNPANAKPLPLFQVSDEAMIGEFDGIGIFPYFV DKHREFFVTEVDKLKTKIASPLCTLNERKRIEKANAGRILANEEGKPFYLDANSATTR IAGGNVVTLKQLLERSVSHKTLWSKQSNKDRTCPGDILRATILSNDFSIRQLRAEFCK NFILYNIFTILQRNKKSIRSFSGNDNAPSFQFSWNVWDSYIWKQYQETESMKIPTDQA SLINYKTKYDSFLHDLQTYSALVISEMKWNQFSIFQNDETTLSRFEHITLILQTVLTK SKMIRIFQPNLYKFMQDDLRPTLMELVGFTESINATIEPGFANEQSLQSANGLKKLAN QLLYFEQEIYGEKFRVNRPIQLRPITLSANYKIVILDKKNAIPEIFQTLLKFMTQITT YFVKDLSEVELHGHMHCIDKKMLDKSKFMYLYEEKSNEEVKAASPQKEKIVDNIIGLL SNDEEH YHL037C MYRSSISIQVFICVLFLPLDSGRPLIYMIDLSYICSDATVFSGK SRVIFFSNPICEHTRGNFYLFYLNYIINTFAPKNRGTRRCKPFGLHINCRKKIDCLHV QLSYILCKNKSRKRHMDAHAITLAWLAHALT YHL036W MEPLLFNSGKANPSQDVFIDVEVGDITTKYGSTNTGSFSSMDTV EAQAIKAETARFMEVPQGRHLGVFSTVVLFVSRIMGSGIFAVPSVILLNTGGNKLIYF AIWVFSAAIAFAGLYLFLEFGSWIPKSGGRKNFLERSFERPRLLISVVFSCYSVLTGY ALTGSIVFGKYVLSAFGVTDDSWSKYVSISFIIFAVLIHGVSVRHGVFIQNALGGLKL IMIVLMCFAGLYTLFFYKSTGQVAWDLPVTQVEKDSLLSVSSIATAFISSFFCFSGWD TVHTVTSEIKNPVKTLKVSGPLSLIICFVCYTMMNVAYLKVLTYEEIVSAGPLVGSVL FTKLFGPRVGGKFIAFSIAISAASNILVVIYSISRVNQEIFKEGYLPFSIHMSKNWPF DAPLPSISLCGFITIAWILILPKEGESFNYLVSMDGYGNQFFLLLVAIGLFIWRFKHK NEVPEIRASTFGVLAIITLSLYMLMAPFFADPSLNRVGFLPPYQIMSLLVIVACFFFW LVKFVLLPKFFHYKLLPKITYLHDGLIVTEWVKKPCLC YHL035C MGTDPLIIRNNGSFWEVDDFTRLGRTQLLSYYLPLAIIASIGIF ALCRSGLSRYVRSAECDLVNEYLFGAQEERKEDNSIERLLRNSNTQANYVNVKKQGRI LKLRHFDITTIDVKQIDAKNHGGLTFSRPSTSDHLRKSSEIVLMSLQIIGLSFLRVTK INIELTNRDVTTLLLFWLILLSLSILRVYKRSTNLWAICFTAHTTIWISTWIPIRSVY IGNIDDVPSQIFYIFEFVITSTLQPIKLTSPIKDNSSIIYVRDDHTSPSREHISSILS CITWSWITNFIWEAQKNTIKLKDIWGLSMEDYSIFILKGFTRRNKHINNLTLALFESF KTYLLIGMLWVLVNSIVNLLPTILMKRFLEIVDNPNRSSSCMNLAWLYIIGMFICRLT LAICNSQGQFVSDKICLRIRAILIGEIYAKGLRRRLFTSPKTSSDSDSISANLGTIIN LISIDSFKVSELANYLYVTVQAVIMIIVVVGLLFNFLGVSAFAGISIILVMFPLNFLL ANLLGKFQKQTLKCTDQRISKLNECLQNIRIVKYFAWERNIINEIKSIRQKELRSLLK KSLVWSVTSFLWFVTPTLVTGVTFAICTFVQHEDLNAPLAFTTLSLFTLLKTPLDQLS NMLSFINQSKVSLKRISDFLRMDDTEKYNQLTISPDKNKIEFKNATLTWNENDSDMNA FKLCGLNIKFQIGKLNLILGSTGSGKSALLLGLLGELNLISGSIIVPSLEPKHDLIPD CEGLTNSFAYCSQSAWLLNDTVKNNIIFDNFYNEDRYNKVIDACGLKRDLEILPAGDL TEIGEKGITLSGGQKQRISLARAVYSSAKHVLLDDCLSAVDSHTAVWIYENCITGPLM KNRTCILVTHNVSLTLRNAHFAIVLENGKVKNQGTITELQSKGLFKEKYVQLSSRDSI NEKNANRLKAPRKNDSQKIEPVTENINFDANFVNDGQLIEEEEKSNGAISPDVYKWYL KFFGGFKALTALFALYITAQILFISQSWWIRHWVNDTNVRINAPGFAMDTLPLKGMTD SSKNKHNAFYYLTVYFLIGIIQAMLGGFKTMMTFLSGMRASRKIFNNLLDLVLHAQIR FFDVTPVGRIMNRFSKDIEGVDQELIPYLEVTIFCLIQCASIIFLITVITPRFLTVAV IVFVLYFFVGKWYLTASRELKRLDSITKSPIFQHFSETLVGVCTIRAFGDERRFILEN MNKIDQNNRAFFYLSVTVKWFSFRVDMIGAFIVLASGSFILLNIANIDSGLAGISLTY AILFTDGALWLVRLYSTFEMNMNSVERLKEYSSIEQENYLGHDEGRILLLNEPSWPKD GEIEIENLSLRYAPNLPPVIRNVSFKVDPQSKIGIVGRTGAGKSTIITALFRLLEPIT GCIKIDGQDISKIDLVTLRRSITIIPQDPILFAGTIKSNVDPYDEYDEKKIFKALSQV NLISSHEFEEVLNSEERFNSTHNKFLNLHTEIAEGGLNLSQGERQLLFIARSLLREPK IILLDEATSSIDYDSDHLIQGIIRSEFNKSTILTIAHRLRSVIDYDRIIVMDAGEVKE YDRPSELLKDERGIFYSMCRDSGGLELLKQIAKQSSKMMK YHL034C MSAEIEEATNAVNNLSINDSEQQPRAPTHKTVIDPEDTIFIGNV AHECTEDDLKQLFVEEFGDEVSVEIPIKEHTDGHIPASKHALVKFPTKIDFDNIKENY DTKVVKDREIHIKRARTPGQMQRGGFRGRGGFRGRGGFRGGFRGGYRGGFRGRGNFRG RGGARGGFNGQKREKIPLDQMERSKDTLYINNVPFKATKEEVAEFFGTDADSISLPMR KMRDQHTGRIFTSDSANRGMAFVTFSGENVDIEAKAEEFKGKVFGDRELTVDVAVIRP ENDEEEIEQETGSEEKQE YHL033C MAPGKKVAPAPFGAKSTKSNKTRNPLTHSTPKNFGIGQAVQPKR NLSRYVKWPEYVRVQRQKKILSIRLKVPPTIAQFQYTLDRNTAAETFKLFNKYRPETA AEKKERLTKEAAAVAEGKSKQDASPKPYAVKYGLNHVVALIENKKAKLVLIANDVDPI ELVVFLPALCKKMGVPYAIVKGKARLGTLVNQKTSAVAALTEVRAEDEAALAKLVSTI DANFADKYDEVKKHWGGGILGNKAQAKMDKRAKNSDSA YHL032C MFPSLFRLVVFSKRYIFRSSQRLYTSLKQEQSRMSKIMEDLRSD YVPLIASIDVGTTSSRCILFNRWGQDVSKHQIEYSTSASKGKIGVSGLRRPSTAPARE TPNAGDIKTSGKPIFSAEGYAIQETKFLKIEELDLDFHNEPTLKFPKPGWVECHPQKL LVNVVQCLASSLLSLQTINSERVANGLPPYKVICMGIANMRETTILWSRRTGKPIVNY GIVWNDTRTIKIVRDKWQNTSVDRQLQLRQKTGLPLLSTYFSCSKLRWFLDNEPLCTK AYEENDLMFGTVDTWLIYQLTKQKAFVSDVTNASRTGFMNLSTLKYDNELLEFWGIDK NLIHMPEIVSSSQYYGDFGIPDWIMEKLHDSPKTVLRDLVKRNLPIQGCLGDQSASMV GQLAYKPGAAKCTYGTGCFLLYNTGTKKLISQHGALTTLAFWFPHLQEYGGQKPELSK PHFALEGSVAVAGAVVQWLRDNLRLIDKSEDVGPIASTVPDSGGVVFVPAFSGLFAPY WDPDARATIMGMSQFTTASHIARAAVEGVCFQARAILKAMSSDAFGEGSKDRDFLEEI SDVTYEKSPLSVLAVDGGMSRSNEVMQIQADILGPCVKVRRSPTAECTALGAAIAANM AFKDVNERPLWKDLHDVKKWVFYNGMEKNEQISPEAHPNLKIFRSESDDAERRKHWKY WEVAVERSKGWLKDIEGEHEQVLENFQ YHL031C MSSQPSFVTIRGKAISLETQTESLLSKYSTFAQTTSSEQTGQEK KIDKQLEGILGQRQDVIDSLTQICDSNPAISASKLSQLHRHKEILQDHWKSFRNIRSS IQQERNRLNLLFSVKNDIANSTTDAPAPIGDADEYIQNETRRIDQSNNVVDRLISQAW ETRSQFHSQSNVLNTANNKVLQTLQRIPGVNQLIMKINTRRKKNAFVLATITTLCILF LFFTW YHL030W MSISSDEAKEKQLVEKAELRLAIADSPQKFETNLQTFLPPLLLK LASPHASVRTAVFSALKNLISRINTLPQVQLPVRALIVQAKEPNLAAQQDSTNVRLYS LLLASKGIDRLSLQDRQQLLPLVVSNISCLTGTVAARMFHILLKLILEWVAPQESSHE QEEFVQFLQLDNDGFSFLMRQFTRFFLLVPSKQVQVSQQPLSRGYTCPGLSLTDVAFF TYDAGVTFNKEQLNKFKKAIFQFVCRGMAATQTIEQSPRMIELMEFLCVVSTDSTNLS DDAAQFMKRFPMPYENEEFITFLQTLYIGNTANGRPPVKAILQEKILSILNRSHFATT KAECISLICSIGLHSSEYKLRSLTLSFIRHVAKLNYKNLNPASSSPSSTDFSTCIVSL IRNNLHAEGWPKLQLGPQTPAFNTAILQRQLQYETLGDILKRDFELVSDLSYIEFLFE SLKNDLPQFRSSIQESLLSLVGHLSILPQQSKLKLKNLLRKNLSIDEQQREDNNDAVN SIMALKFVSIKFTNAAFPFHDPEARLFNIWGTVRTNRFDIIEESFKGLQPFWFRVNNA SINTSATVKTSDLLGSHLSETEFPPFREFLQVLIDQLDSEAASITRKSLNNAVRFSKQ CLISNAIYGKKTMVIQDEDWSVRIDKALELDDTVVSRVNEMVQGMNDDIFIRYLTLLS NEFTATNSKGEQIAIFPYQDPIFGSVLLTLLNFVSNNVLRRLEILVPDLYHLVIMKFQ SLSDNDLAVCATIIGIISTAIADSTHVKRITKIAQSQTMAETYVASYVVPRLYLKDQT NHIESDSILNLLNILTTHLSHPGTNKDMILKLVCQVTKFGLLLQVSAQERKDFLKKVM DTIQDKLINDVTAIQTWSYLSLYSTDLENSSLFQEKLLETNVSKQNDFLFSVGESLSV VAGKWSSKYLIKQIDIPNFNVEIMQQKFPATNVTTILDEIFSGCDSTKPSLRKASCIW LLSYIQYLGHLPEVSSKCNDIHLRFMRFLADRDEFIQDSAARGLSLVYEIGGSDLKES MVKGLLKSFTESTAGSASTSATGISGSVSEETELFEPGVLNTGDGSISTYKDILNLAS EVGDPALVYKFMSLAKSSALWSSRKGIAFGLGAIMSKSSLEELLLKDQQTAKKLIPKL YRYRFDPFQAVSRSMTDIWNTLIPESSLTISLYFNDILDELLCGMANKEWRVREASTS ALLQLIQSQPQEKFSEKMLKIWTMAFRTMDDIKDSVREVGTKFTTVLAKILARSIDVE KGVNPTKSKEILDNILPFLWGPHGLNSDAEEVRNFALTTLIDLVKHSPGAIKPFTPKL IYDFITLFSSIEPQVINYLALNAANYNIDANVIDTQRKNGVTNSPLFQTIEKLINNSD DCMMEEIINVVIKASRKSVGLPSKVASSLVIIILVKRYSIEMKPYSGKLLKVCLTMFE DRNESVNIAFAISMGYLFKVSALDKCIKYSEKLITKYFEPTSTENNKKVVGTAIDSIL NYAKSEFDNVASVFMPLIFIACNDEDKDLETLYNKIWTEASSSGAGTVKLYLPEILNV LCVNIKSNDFSIRKTCAKSVIQLCGGINDSIPYPQIVKLFDISREALSGRSWDGKEHI VAALVSLTEKFSQTVADNNDLQESINHVMYTEVSRKSMKYVKKILPLYARYINVNPQE ETITFLIEKAKEMIRLLGSESDDSEGSIKQTSDESTIKRIKPNTEITQKSSKENIENE EYVINLLKVSVDICNNSKSRYPMNLLEFIIDEIAYLFHNDRIIHTWRTQLAASEIGIS IVGRFSTISSADFIQNVGRLWDQTFPINCNKETIENVKLQMIKFGGLIIQKIPSLQNN IEENLRLLNSIDSTSRIELELKNIGL YHL029C MHDKKSPMANSHYLKNLKQQFRNKNLIETTIHLVKCNDHDSLAF LARTYGVPPQLRHVVWPILLKYHPMCISPNITSNTISWDPITNDFILNDPFLKSKAPT DKQDKSDDENILPYDIESIILHDLKKYFHSRSNPAGSSSNANTTNIATPTPVSSSDAS TISSMEVLSPSLDYEFQIIETLKNAIVKFLLKWSKIFKYESGLAWIALGLAEWYPIYP YETMSPFNETHSFYEVEDYVVLSGRKHALLSTNNGNNGNSNSSSNNTNNNNTNITSGM HNLSINTNTSLHNSPYISHTLSYLYKEYPLPFELRSKLPTKPIFSFSALFERLALVIL HCPDTILAHKQLKNDSNASSSSKANSNFNTNYFPIISGGDLSFQTQVFFKVFSSILPE LYQPLTEESSLQPSSSRNSWIYWWLKCSGAKALQRQDRGRVWDLLLGWRPKPNMDTIN FFLNYNDKKMDHLYHDTPQCDNEQYWMKDWIALYNNDPFWFPDLDSMALGSKKFPYDY SVFKELILRNRYGGTQSKAQKDNTVPSPGSDSNDKSELKLPFSSIDPHMQLIFIFIAI LQFNEFKLLEFEEAEISEFLNNVPLLTKFDDSSYRKLYENTESSITSLPSSPTTSTMA SLQSSSNSSAHISNYHMLIEVGNDAKASHCFDDLLNMAGDIWRKWLWRELEESSL YHL028W MQTSMVSAKVSIWLVCSVICSSLVRATQSVCSSQNTATTDGVRN QFQSNGWCSNNCAGHQFAIVQGFMCWCSDSEPSTQTSVGDCSGTCPGYGYEDCGNADK DLFGYIYLGQTPLSSVQSVETSTESSVYVSSSSITSSSSTSIVDTTTISPTLTSTSTT PLTTASTSTTPSTDITSALPTTTSTKLSTSIPTSTTSSTSTTTSTSSSTSTTVSVTSS TSTTTSTTSSTLISTSTSSSSSSTPTTTSSAPISTSTTSSTSTSTSTTSPTSSSAPTS SSNTTPTSTTFTTTSPSTAPSSTTVTYTSTTASPITSTITSVNLQTSLKYSVITVTSV HTMDTNISEITSRYLTMKKVITQIYSSTLGATPTSAVATTSASVGGRITNNNNSNTTN SNTPTNKSTEKKGYWDSPGKIAATFVVVGVVCLVIICILIYLIHHYRTRPARKAQDFE NEYQSKFYQSKYPNEVTTTTLHTPSPSSNSTFSTPRLIYTDEKGQIMSESPSPRQSTY SLTAGSPPNDPSTLASPFHDPILPRRTSTFLHSPIQKQHEKMESNVTLGEDTVLVDQR LDPSKMLNTLANDDATNHSTISLSDNVDYSRRVLRLMNE YHL027W MVPLEDLLNKENGTAAPQHSRESIVENGTDVSNVTKKDGLPSPN LSKRSSDCSKRPRIRCTTEAIGLNGQEDERMSPGSTSSSCLPYHSTSHLNTPPYDLLG ASAVSPTTSSSSDSSSSSPLAQAHNPAGDDDDADNDGDSEDITLYCKWDNCGMIFNQP ELLYNHLCHDHVGRKSHKNLQLNCHWGDCTTKTEKRDHITSHLRVHVPLKPFGCSTCS KKFKRPQDLKKHLKIHLESGGILKRKRGPKWGSKRTSKKNKSCASDAVSSCSASVPSA IAGSFKSHSTSPQILPPLPVGISQHLPSQQQQRAISLNQLCSDELSQYKPVYSPQLSA RLQTILPPLYYNNGSTVSQGANSRSMNVYEDGCSNKTIANATQFFTKLSRNMTNNYIL QQSGGSTESSSSSGRIPVAQTSYVQPPNAPSYQSVQGGSSISATANTATYVPVRLAKY PTGPSLTEHLPPLHSNTAGGVFNRQSQYAMPHYPSVRAAPSYSSSGCSILPPLQSKIP MLPSRRTMAGGTSLKPNWEFSLNQKSCTNDIIMSKLAIEEVDDESEIEDDFVEMLGIV NIIKDYLLCCVMEDLDDEESEDKDEENAFLQESLEKLSLQNQMGTNSVRILTKYPKIL V YHL026C MKSSEPAPATPTGFRNSIWFIIFYLFVIQALGSAIISGGIEFAI AYAMYHSRVDLITLWAFPHTISGDCALSLFIQVGLTWASEEILVGFDDYKRPVFRLNK WITKPSPLKTESNEEIPPPKKRFIVDYFESKDNVVAKQNTLYHKHNWLFGYLEVNRGI IPKGKEATLKGFLTSQFIHDSTQSKFMNFIEWFVQKFIRSMILAIAMFIVIWPVTMGI LAGIGHKVGSHDYYFNDYPLPQVMKLIYAVVIAFVCTPVAIIVIVLRNQFHEELYYEG LANGTLQQDQEVCSTGNRSSGSTDQDISTTKQQSQEAVA YHL025W MGVIKKKRSHHGKASRQQYYSGVQVGGVGSMGAINNNIPSLTSF AEENNYQYGYSGSSAGMNGRSLTYAQQQLNKQRQDFERVRLRPEQLSNIIHDESDTIS FRSNLLKNFISSNDAFNMLSLTTVPCDRIEKSRLFSEKTIRYLMQKQHEMKTQAAELQ EKPLTPLKYTKLIAAAEDGSRSTKDMIDAVFEQDSHLRYQPDGVVVHRDDPALVGKLR GDLREAPADYWTHAYRDVLAQYHEAKERIRQKEVTAGEAQDEASLQQQQQQDLQQQQQ VVTTVASQSPHATATEKEPVPAVVDDPLENMFGDYSNEPFNTNFDDEFGDLDAVFF YHL024W MKTEISTADSLRDPPSNGLKADSELVIREDIDQFLPSEVSSLGS DHQNDGEDSDTDSDNFLQDPEDDVDEESTGRGTVTTTSTSTESRGRPSSCIFVASLAA ALSDDELCLSVTENFKKYGDLARVKVLRDNANRPYAFVQYNNDHDAKHALIRAQGTLL NGRRLRCEPAKVNRTLYLKNQQSIDFNEISQICEKFGGLEQIVPDRTDNQYTRRYTYP ISSANSWFVQFVYRDDAIRAYANLRTDPNWIIEWAQNINVPKNYNLLHKSKFKSSKYH QNNGIINNDGSNNNDNNNSNNNNREDSRRNGDVIEEECGHVHGSDSEEKLTSDGIYDD EDKDSEITIDKRSIFVGQLDKETTREELNRRFSTHGKIQDINLIFKPTNIFAFIKYET EEAAAAALESENHAIFLNKTMHVQYKEVGGRHNRKFSGKNGGSNFNHHQFFSTRSGKT FTGPELNLAPPPINMYRKMSGGSQQESETMMPYMPMGPMPMGPPPPNAASLSDFDMFP PSYSTFMKGMMPLRRKSMPNSWSSPSSKSVNSENESVNGGDENSELPSEIPESSGRYN AANSFTTYNNSSAGNSNNNNNNNNSNSNKSQYKKRYARRSSYGYNEVPPKPYYFQPYY YHPMQYHMGPMGPLHPSQGSAGNHHPYMMVYPMSPPPPSGLDGSMIPPPINVSQSHAA NHGSTHVHANEFISNDTGDINEDNKAYSLDY YHL023C MDECLPNSCLLGVHLVISTHSGPQIVYHYPPSNTAFLTNNPTKH QHLYGNHANLNKNTSTNKEEKLFNSGSTKTASQIALNESAKSYNTAITPSMTNTNTNN VTLPPTRSHANTVGSQSSIPAATNGVGYRKTDIEDTSRTFQYQETESETSSSGLSDSE LSTDYLDISSDSFSISSSLSSSSLSSSPSSSSSSSPPQDGLSRTNSSFQSTDSMSPTS PQMIMENDSISVAESYLDSGTNNKSRAASKRSQNFFHKLSTKKSTDSKTHSPVRKLKS KPSQSTKKGNKLLKNTSNETDGNAFTGSCSISSKKSLSSTGEHNQELRNSSLNDTPGQ SPHHYHHRYHHYHKNAATSQRNSHTQYDVEEEDMEVSAMLQDGKISMNEIFFEEENFQ DINKILEFDNDFVAEFCSPEREMCNTRFEFTVDNFCFLGLPIHVDSQGRWRKSKHKNK TRSKRSSSTTTNISRKKSIASKISSLSENTLKKVNSGEADTVYDSNIGHEASTDTPNL RINTDVSGNEFEREKEDLGKNMNMFHVCFVMNPHLIEYNKRIDDMYQFVVTRLSLLLR YVQSKTSYISSECHIILKEKERVLKHSKTYQSIRGAGNKGKYLYQRILAKSSLARALT ECVDKIQRNEIACLEINDDKVISLQIPIQNEFEKMPNFKLQPVLRGSYLTSILNMKFL EKSSLRIESQNRQNDQAQFSDTNNNIYRFGNNINSTGHCGAANVDDGDDNESNYYCDD NDDLLNYALLLLDEPNNIISSLETFSYQDDIGTIILKHLVRNIQPNIPLRSYRYLITD LLDNPSSLDDLTTETNSLESSILRSCALHLMYWRHARIVIPLSSKYTYIVSPLAPIQG YTIDDYKSTSQNDGNVKKMDDRENNKSGSDRVPLIYQNSMLFRSKFPSLPSLPIFLSL LSTDKPQAYSNIIPSREHKPVYLNALAWLIQYGYVTQLLTFINIRVDKHIKMAVDEDL EKEGFRKTNTARRPSMDYKKTDKKLDDEDGQSRDANASEACSGKNEGMQSNDNNKDVD EKDNENDSRVDDRDDNEIAIADEEEILHFEYDDPEMQHDYTIILEPERATAIEKRWLY RCIYGQPSDIQILFNKLLKYFNGKVPMELVIIKEEISRHDLKKLLNALDKYLIEIHHW YHL022C MALEGLRKKYKTRQELVKALTPKRRSIHLNSNGHSNGTPCSNAD VLAHIKHFLSLAANSLEQHQQPISIVFQNKKKKGDTSSPDIHTTLDFPLNGPHLCTHQ FKLKRCAILLNLLKVVMEKLPLGKNTTVRDIFYSNVELFQRQANVVQWLDVIRFNFKL SPRKSLNIIPAQKGLVYSPFPIDIYDNILTCENEPKMQKQTIFPGKPCLIPFFQDDAV IKLGTTSMCNIVIVEKEAVFTKLVNNYHKLSTNTMLITGKGFPDFLTRLFLKKLEQYC SKLISDCSIFTDADPYGISIALNYTHSNERNAYICTMANYKGIRITQVLAQNNEVHNK SIQLLSLNQRDYSLAKNLIASLTANSWDIATSPLKNVIIECQREIFFQKKAEMNEIDA RIFEYK YHL021C MLRSNLCRGSRILARLTTTPRTYTSAATAAAANRGHIIKTYFNR DSTTITFSMEESSKPVSVCFNNVFLRDASHSAKLVTTGELYHNEKLTAPQDIQISEDG KSLVVKWKDGGHHQFPLQFFIDYKGSSFVSPATRKQESRYRPQLWNKRILKDNVKDLL SVSYNEFIDPKDDSKLFQTLVNLQKFGIAFISGTPSSSSEGLTIQKICERIGPIRSTV HGEGTFDVNASQATSVNAHYANKDLPLHTDLPFLENVPGFQILQSLPATEGEDPNTRP MNYFVDAFYATRNVRESDFEAYEALQIVPVNYIYENGDKRYYQSKPLIEHHDINEDNT LLGNYEALIKCINYSPPYQAPFTFGIYDKPSDLNNNLDLNLITTPAKLTERFLFKSFI RGLNLFESHINDFNNQFRLQLPENCCVIFNNRRILHANSLTSSNQQWLKGCYFDSDTF KSKLKFLEEKFPHDK YHL020C MSENQRLGLSEEEVEAAEVLGVLKQSCRQKSQPSEDVSQADKMP ASESSTTPLNILDRVSNKIISNVVTFYDEINTNKRPLKSIGRLLDDDDDEHDDYDYND DEFFTNKRQKLSRAIAKGKDNLKEYKLNMSIESKKRLVTCLHLLKLANKQLSDKISCL QDLVEKEQVHPLHKQDGNARTTTGAGEDETSSDEDDDDEEFFDASEQVNASEQSIVVK MEVVGTVKKVYSLISKFTANSLPEPARSQVRESLLNLPTNWFDSVHSTSLPHHASFHY ANCEEQKVEQQQQQQQQQQQQQLLQQQLLQQQQQKRNKDGDDSASPSSSVTANGKVLI LAKESLEMVRNVMGVVDSTLGKAEEWVKQKQEVKEMIRERFLQQQQQYRQQQQKDGNY VKPSQDNVDSKD YHL019C MSSSLFILDENLEPLVSKNIRALPNLSSVLSSFKQCYHDGSPPI LSQNDWFFIHLKRDFLHFVSVIHTTDKPNIDLMTILAFLEQFYHLLQKYFEIEVLTKN VILDNILLVLELIDECIDFGIVQVTDPSIIKDYIRVKVNVPRVTVDNEEWSPGEESSS SSGSDSDSEYSNTNKRKDKKKKRKKKKGTKGKSVGKSKLKSIMVNNKENRGINVVETV KETLRNKNDTGKEAANDELPNDGNDLYINGDIAKTIIMPISWRTKGIHYAKNEFFLDV IERVQYLMDFEKGVIRKNLIHGEIVCRCYLSGMPKLKISINKILNRDPQFMSNSSFHQ CVSLDSINTIEKDEEKNSDDDAGLQAATDAREIEFIPPDGEFVLCQYELKRHVKDAPM VRLKDFEIKPKLKKFKIQIVTKIQTNFKPTNSTSKLNVRIPLTKVFQEYKIDLSKQIR FKANIGKVVFNLSDDFLLWEIQTMKGHREHSTNKSSQYNSDEDDPNTCASMVAEFPLF NQEEYDRLQEEMKTSMNPPPLRTGPRLEELYRQVHDQQTSHVTPRDKLVNIDFEIPYC TCSGLKVEYLKVEEPQLQYQSFPWVRYKTVSDEEYAYIV YHL018W MHNKIVRIASSALTGGKLLEKLKPLTRWEVQWDPNKTKCLGITR EVTFKDYETTWAFLTRVSMRSHLWGHHPLIHTSYTWVKLELHTHDIDPKDGAHSQLSD IDVRMAKRIDSYIDEMTT YHL017W MDRTWLLLTWLLLLCGVVQGNQESINQKYHDVCSGMYSKEDFNG KVDPYISFTLEELSLADEDDDGEGVSVAVFDFQDYEHIGVRLPNGEIQYICDDYALDL GLCEDSSEGQFIIQETAIDPFTSKEHKLTSQILTFTQQELGTNDKVYSINKTGYYCVT TSSFISSSSKFKATVNFRNAYGQLDASEAYKMPIYAFLAVAYAVCTLVYSWLCWKHRH ELLPLQRYILVFCIFLTADTIFVWMYYIIENQKGNSSVALHVYMVFISIFSAGKMTFT LLLALLISLGYGIVYPKLDRTLLRRCQIFAIFTFAVCVAFLVQKYSQNSESLSNLILI TAIPLVLCLFAFYYLTLSSMNKTMTYLREQNQVVKLNMYRKLIILCYISLFILFLGLL VSTFAYVGMDTVDMIEQYWKTEFLITDTWPSFVYFLVFVIFAFFWRPTSTSYLLACSH QLPTDMENVSEFDLDDINSLSDEALPNREPRNDYQEHDHNMDIDLASDFEEVPSVNAN TNANNDVLFDVDYDRDAKNDRSTT YHL016C MGEFKPPLPQGAGYAIVLGLGAVFAGMMVLTTYLLKRYQKEIIT AEEFTTAGRSVKTGLVAAAVVSSWIWCSTLLTSSTKEYADGIFGGYAYAAGACFQIIA FAILAIKTKQMAPNAHTYLELVRTRYGKIGHGCYLFYAIATNILVTSMLLTSGSAVFS DLTGMNTIASCFLLPVGVVVYTLFGGIKATFLTDYMHTCVIIIIVLVFAFKVYATSDV LGSPGKVYDLVREAAKRHPVDGNYQGEYMTMTSKSAGILLIINLIGNFGTVFLDNGYW NKAISASPAASLKAYAIGGLAWFAVPSLISLTMGLACLAVETSPNFPTYPDPLTSFQA NSGLVLPAAAIAIMGKGGAVASLLMIFMAVTSAMSAELIAVSSVFTYDIYREYIDPRA SGKKLIYTSHVACIFFGLAMSGFSVGLYYGGISMGYIYEMMGIIISSAVLPVVLTLCS KDMNLVAAVVSPILGTGLAIMSWLVCTKSLYKELTVDTTFMDYPMLTGNLVALLSPAI FIPILTYVFKPQNFDWEKMKDITRVDETAELVQADPDIQLYDAEANDKEQEEETNSLV SDSEKNDVRVNNEKLIEPNLGVVISNAIFQEDDTQLQNELDEEQRELARGLKIAYFLC VFFALAFLVVWPMPMYGSKYIFSKKFFTGWVVVMIIWLFFSAFAVCIYPLWEGRHGIY TTLRGLYWDLSGQTYKLREWQNSNPQDLHVVTSQISARAHRQSSHFGQVDEII YHL015W-A MTAFASLREPLVLANLKIKVHIYRMKR YHL015W MSDFQKEKVEEQEQQQQQIIKIRITLTSTKVKQLENVSSNIVKN AEQHNLVKKGPVRLPTKVLKISTRKTPNGEGSKTWETYEMRIHKRYIDLEAPVQIVKR ITQITIEPGVDVEVVVASN YHL014C MNIGGGKFLLGRISNNPTSGIVGLANVGKSTFFQAITNSKLGNP ANYPFATIDAECAKVNIPSVPLSNLLRIYQSAKCVPGTLTIYDIAGLTRGASQGHGLG NKFLNDIRHVEGIFQVVRGFLKEDITHIEGNVDPVRDLSVVQDELILKDLEFLENIRE RLSKKMRMVSKNSKEHQEMKIETELLDALEEHLFNGKKIRHFKDHWNLDEVKILNKHN FLTSKPTLILLNVSPQDYVRNENKFVRNIIEWINEFSPGDKFLLFSAEFESQLMECKG IASEYFDKIKEDTNVSDQQLVSAIPQIILEMRKLLNLISFFTCGPQEVHQWNIREGTT AQEAAGVIHSDLRETFISADVIKYDDLKKMEPPLNESLLKSKGLIKRAGKQYIMQDND IALFKAAGGKIKK YHL013C MTGMESGENLENMEDILARHRKENKDLQNKITGMKKQATKSKRK EVNSKCLDLQDKLKTKQENEIRDWKIANNEVFDAEQEDEVTPEKLLEQLSISRDEKEQ QNVPVQQQQQGQTKKRRNRQKERLAKRDAAIAKMKEEAALEASKQPDLKKMEQESIDQ LCELKKLKQFDIQPDGHCLFASILDQLKLRHDPKKLDQDMDVMKLRWLSCNYVQEHRD DFIPYLFDEETMKMKDIDEYTKEMEHTAQWGGEIEILALSHVFDCPISILMSGRPIQV YNECGKNPELKLVYYKHSYALGEHYNSLHDS YHL012W MTVFSGVNKIEFEGTFEGIGKDVVMSQMIRALQKHFPSIRDKNY EFSLFLHIFQRYVLENTSITHDLVCDKIRLPIIDEVVELDDIKNYGLLEGKLLSKLAI LKLTGKANPIIGKESPLFEVKNGMSSLDVIVRQTQNLNVRYNSDVPLIFMTSLETESQ VSNFLEEHYSSSKVRWKTVVQSSFPQIDKDRLLPIDLQINSHENDFWYPCGTGNLTDT LYFSGELDKLIAQGKEILFVSNVDNLGATGDLNILNFIINEKIEYLVEVVERTANVSN TGVLATYKGKLRSVYYNCLSNESASTCRIVNTNNIWIDLKKLKVLIESNSLNLPIHSS ESKITHKNEEIECLQFKTQLVDCIAFFPNSRVLKVSRDRFLPLRTCKDLFLLKSTLYD LDSNGTFNLYPLKFGLLPSIDLGDEFATYETFKIGVPDIPNILELEHLTVMGNVFFGR NITLKGTVIIICDENDVITVPDGSILENVTIWHKSQLEDMNGY YHL011C MPTNSIKLLAPDVHRGLAELVAKRLGLQLTSSKLKRDPTGEVSF SIGESVRDQDIFIITQIGSGVVNDRVLELLIMINASKTASARRITAIIPNFPYARQDR KDKSRAPITAKLMADMLTTAGCDHVITMDLHASQIQGFFDVPVDNLYAEPSVVRYIKE NVNYMDSIIISPDAGGAKRAATLADRLDLNFALIHKERARANEVSRMVLVGDVTDKIC IIVDDMADTCGTLAKAAEILLENRAKSVIAIVTHGVLSGRAIENINNSKLDRVVCTNT VPFEEKIKKCPKLAVIDISSVLAESIRRLHNGESISYLFKNYPL YHL010C MDQFEYIITLEFGNQNQVESAYQIFKSIPKKLKAKSIGEESIKS NNQDWQDWRVCDLEIDMITDFKNQTSKEEESDLITSQYLGHGIIRLFKLSNANNTLNE KEILTIPGDDTMICILFVPTYFTVHDLLHFYIGDDIVNKQVSNFRILRNQQKGMGFNF TVLIKFRNALDAKNFKEEFNGKSFSRMDPETCHVISVKEIVFQKKLFQRPAANEDFPY LLTDPFTVKKKKELVKVELPTCPVCLERMDSETTGLVTIPCQHTFHCQCLNKWKNSRC PVCRHSSLRLSRESLLKQAGDSAHCATCGSTDNLWICLICGNVGCGRYNSKHAIKHYE ETLHCFAMDIRTQRVWDYAGDNYVHRLVQNEVDGKLVEVGGSGDDDNNDIGNSDELQN VVYGNRSKNGEKSNSNKKDGELAANFLRHREYHLEYVQVLISQLESQREYYELKLQEK DQTASDSSNVESLKKSMEDLKLQFQVTQKEWQKREMAQKSKLEEDMLVIEGLQANLDH LSKKQEQLERENKALEESKQDLEEQVKDLMFYLDSQEKFKDADESVKEGTILIQQPHG AAQASKSKKKRNKNKKAGK YHL009C MTPSNMDDNTSGFMKFINPQCQEEDCCIRNSLFQEDSKCIKQQP DLLSEQTAPFPILEDQCPALNLDRSNNDLLLQNNISFPKGSDLQAIQLTPISGDYSTY VMADNNNNDNDSYSNTNYFSKNNGISPSSRSPSVAHNENVPDDSKAKKKAQNRAAQKA FRERKEARMKELQDKLLESERNRQSLLKEIEELRKANTEINAENRLLLRSGNENFSKD IEDDTNYKYSFPTKDEFFTSMVLESKLNHKGKYSLKDNEIMKRNTQYTDEAGRHVLTV PATWEYLYKLSEERDFDVTYVMSKLQGQECCHTHGPAYPRSLIDFLVEEATLNE YHL009W-B MATPVRDETRNVIDDNISARIQSKVKTNDTVRQTPSSLRKVSIK DEQVKQYQRNLNRFKTILNGLKAEEEKLSETDDIQMLAEKLLKLGETIDKVENRIVDL VEKIQLLETNENNNILHEHIDATGTYYLFDTLTSTNKRFYPKDCVFDYRTNNVENIPI LLNNFKKFIKKYQFDDVFENDIIEIDPRENEILCKIIKEGLGESLDIMNTNTTDIFRI IDGLKNKYRSLHGRDVRIRAWEKVLVDTTCRNSALLMNKLQKLVLMEKWIFSKCCQDC PNLKDYLQEAIMGTLHESLRNSVKQRLYNIPHNVGINHEEFLINTVIETVIDLSPIAD DQIENSCMYCKSVFHCSINCKKKPNRELGLTRPISQKPIIYKVHRDNNNLSPVQNEQK SWNKTQKKSNKVYNSKKLVIIDTGSGVNITNDKTLLHNYEDSNRSTRFFGIGKNSSVS VKGYGYIKIKNGHNNTDNKCLLTYYVPEEESTIISCYDLAKKTKMVLSRKYTRLGNKI IKIKTKIVNGVIHVKMNELIERPSDDSKINAIKPTSSPGFKLNKRSITLEDAHKRMGH TGIQQIENSIKHNHYEESLDLIKEPNEFWCQTCKISKATKRNHYTGSMNNHSTDHEPG SSWCMDIFGPVSSSNADTKRYMLIMVDNNTRYCMTSTHFNKNAETILAQIRKNIQYVE TQFDRKVREINSDRGTEFTNDQIEEYFISKGIHHILTSTQDHAANGRAERYIRTIVTD ATTLLRQSNLRVKFWEYAVTSATNIRNCLEHKSTGKLPLKAISRQPVTVRLMSFLPFG EKGIIWNHNHKKLKPSGLPSIILCKDPNSYGYKFFIPSKNKIVTSDNYTIPNYTMDGR VRNTQNIYKSHQFSSHNDNEEDQIETVTNLCEALENYEDDNKPITRLEDLFTEEELSQ IDSNAKYPSPSNNLEGDLDYVFSDVEESGDYDVESELSTTNTSISTDKNKILSNKDFN SELASTEISISEIDKKGLINTSHIDEDKYDEKVHRIPSIIQEKLVGSKNTIKINDENR ISDRIRSKNIGSILNTGLSRCVDITDESITNKDESMHNAKPELIQEQFNKTNHETSFP KEGSIGTNVKFRNTDNEISLKTGDTSLPIKTLESINNHHSNDYSTNKVEKFEKENHHP PPIEDIVDMSDQTDMESNCQDGNNLKELKVTDKNVPTDNGTNVSPRLEQNIEASGSPV QTVNKSAFLNKEFSSLNMKRKRKRHDKNNSLTSYELERDKKRSKRNRVKLIPDNMETV SAQKIRAIYYNEAISKNPDLKEKHEYKQAYHKELQNLKDMKVFDVDVKYSRSEIPDNL IVPTNTIFTKKRNGIYKARIVCRGDTQSPDTYSVITTESLNHNHIKIFLMIANNRNMF MKTLDINHAFLYAKLEEEIYIPHPHDRRCVVKLNKALYGLKQSPKEWNDHLRQYLNGI GLKDNSYTPGLYQTEDKNLMIAVYVDDCVIAASNEQRLDEFINKLKSNFELKITGTLI DDVLDTDILGMDLVYNKRLGTIDLTLKSFINRMDKKYNEELKKIRKSSIPHMSTYKID PKKDVLQMSEEEFRQGVLKLQQLLGELNYVRHKCRYDINFAVKKVARLVNYPHERVFY MIYKIIQYLVRYKDIGIHYDRDCNKDKKVIAITDASVGSEYDAQSRIGVILWYGMNIF NVYSNKSTNRCVSSTEAELHAIYEGYADSETLKVTLKELGEGDNNDIVMITDSKPAIQ GLNRSYQQPKEKFTWIKTEIIKEKIKEKSIKLLKITGKGNIADLLTKPVSASDFKRFI QVLKNKITSQDILASTDY YHL009W-A MATPVRDETRNVIDDNISARIQSKVKTNDTVRQTPSSLRKVSIK DEQVKQYQRNLNRFKTILNGLKAEEEKLSETDDIQMLAEKLLKLGETIDKVENRIVDL VEKIQLLETNENNNILHEHIDATGTYYLFDTLTSTNKRFYPKDCVFDYRTNNVENIPI LLNNFKKFIKKYQFDDVFENDIIEIDPRENEILCKIIKEGLGESLDIMNTNTTDIFRI IDGLKNKYRSLHGRDVRIRAWEKVLVDTTCRNSALLMNKLQKLVLMEKWIFSKCCQDC PNLKDYLQEAIMGTLHESLRNSVKQRLYNIPHNVGINHEEFLINTVIETVIDLSPIAD DQIENSCMYCKSVFHCSINCKKKPNRELRPDSTNFSKTYYLQGAQRQQQLKSSAKRTK VLEQDTKKVKQSVQQQKTGNY YHL008C MVDDSNYLTPHETALAVVATAMKKARLQLDTLLINSILGGVLFS SGSFLLVAVYSEDPDIVARNPGIVNLITGVNFAMGLFYVVMMGADLFNSNILFFSVGV LRKAVTIYDLMISWVVSWLGNIAGSLFVSYLFGHLSGISSQKLWIIGSRQIIEQKVSY SFVQTFLKGIACNFFVCLAIYLQLMAKPIHVKFILMSFPIIDFIGIGFTHVVGDMSAS FIAMLNGANVSVGKYIWKLLIPASLGNIVGGLFFSAVVPFYLHLVVVERDRKRLSLPE YEARDEQPELNMDSRVVRIQKNECDDDATETGEDLENLTEKGFASIYNTNHDNSSYFT GRSLNSLRSIPSSVITSDNVTMESDLGEPVQFIPKSNSTTRSPHLGLPHNLPHNHSIK SINRHRINKRHSLRSPPGVFPVRGMGEPLEREKTIEDATYDPKENELFLRRAETHNSA YVKNKKKEDDNLLRLVKTEEDREQKEYEKNGGYNILENKPGTRLEKIITHLAENVSSR EVTPPILPRTTQDTFPHNAPASSPAYTDDAHSLRKANSTTLGGLFRAVSKEFHSSKDA ESPDDLLKKMAAVGINRNARITANNVAGIVNLNKEDLDSTTRRQKITEPKNFYNRHTS PQL YHL007C MSNDPSAVSELPDKDSLDNGISNDNERAMGGNGDGGDGLRLPRT TGTLNVNALQKGTNAAHEAGGYKSMDPAKNAETTNDDDNNVVSLDDPIQFTRVSSSSV ISGMSSSMSPHSNIDETKSLEAVTPNINTSNITPDHSADNTFSTINASESDHQFNDTL LSKLSLTDSTETIENNATVKHQQPVASSTVNSNKSSTDIRRATPVSTPVISKPSMTTT PRQINSASHSLSNPKHKQHKPKVKPSKPEAKSKPVSVKKSFPSKNPLKNSSPPKKQTE KSYYSSSSKKRKSGSNSGTLRMKDVFTSFVQNIKRNSQDDKRASSSSNNSSSSSITTA LRISTPYNAKHIHHVGVDSKTGEYTGLPEEWEKLLTSSGISKREQQQNMQAVMDIVKF YQDVTETNGEDKMFKTFNTTTGLPGSPQVSTPPANSFNKFPPSTSDSHNYGSRTGTPM SNHVMSPTLNTDSSSANGKFIPSRPAPKPPSSASASAPIIKSPVMNSAANVSPLKQTH APTTPNRTSPNRSSISRNATLKKEEQPLPPIPPTKSKTSPIISTAHTPQQVAQSPKAP AQETVTTPTSKPAQARSLSKELNEKKREERERRKKQLYAKLNEICSDGDPSTKYANLV KIGQGASGGVYTAYEIGTNVSVAIKQMNLEKQPKKELIINEILVMKGSKHPNIVNFID SYVLKGDLWVIMEYMEGGSLTDVVTHCILTEGQIGAVCRETLSGLEFLHSKGVLHRDI KSDNILLSMEGDIKLTDFGFCAQINELNLKRTTMVGTPYWMAPEVVSRKEYGPKVDIW SLGIMIIEMIEGEPPYLNETPLRALYLIATNGTPKLKEPENLSSSLKKFLDWCLCVEP EDRASATELLHDEYITEIAEANSSLAPLVKLARLKKVAENMDADEDNDDDNDNEHINK TNNCDDNNDSKETVNLDVTEDDKQK YHL006C MQFEERLQQLVESDWSLDQSSPNVLVIVLGDTARKYVELGGLKE HVTTNTVAGHVASRERVSVVFLGRVKYLYMYLTRMQAQANGPQYSNVLVYGLWDLTAT QDGPQQLRLLSLVLRQCLSLPSKVEFYPEPPSSSVPARLLRFWDHIIR YHL005C MRKESFLTFYFSNHLYLCPAIIRLSSVCTLARTDYYLPSNIAVT YDIQISSLGFTYRIDFFLALFSDPARPFLTEINRKIGQYACVIREREQAGEYSFHYSL CININVYILHIHIYIDRYIYAYINAQVQ YHL004W MQRHVFARNFRRLSLLRNPSLTKRFQSSASGAANTPNNNDEVML LQQKLLYDEIRSELKSLSQVPEDEILPELKKSLEQDKLSDKEQQLEAELSDFFRNYAL LNKLFDSKTLDGQSSTTTAAATPTKPYPNLIPSANDKPYSSQELFLRQLNHSMRTAKL GATISKVYYPHKDIFYPPLPENITVESLMSAGVHLGQSTSLWRSSTQSYIYGEYKGIH IIDLNQTLSYLKRAAKVVEGVSESGGIILFLGTRQGQKRGLEEAAKKTHGYYVSTRWI PGTLTNSTEISGIWEKQEIDSNDNPTERALSPNETSKQVKPDLLVVLNPTENRNALLE AIKSRVPTIAIIDTDSEPSLVTYPIPGNDDSLRSVNFLLGVLARAGQRGLQNRLARNN EK YHL003C MTSATDKSIDRLVVNAKTRRRNSSVGKIDLGDTVPGFAAMPESA ASKNEAKKRMKALTGDSKKDSDLLWKVWFSYREMNYRHSWLTPFFILVCVYSAYFLSG NRTESNPLHMFVAISYQVDGTDSYAKGIKDLSFVFFYMIFFTFLREFLMDVVIRPFTV YLNVTSEHRQKRMLEQMYAIFYCGVSGPFGLYIMYHSDLWLFKTKPMYRTYPVITNPF LFKIFYLGQAAFWAQQACVLVLQLEKPRKDYKELVFHHIVTLLLIWSSYVFHFTKMGL AIYITMDVSDFFLSLSKTLNYLNSVFTPFVFGLFVFFWIYLRHVVNIRILWSVLTEFR HEGNYVLNFATQQYKCWISLPIVFVLIAALQLVNLYWLFLILRILYRLIWQGIQKDER SDSDSDESAENEESKEKCE YHL002W MSSSAIKIRNALLKATDPKLRSDNWQYILDVCDLVKEDPEDNGQ EVMSLIEKRLEQQDANVILRTLSLTVSLAENCGSRLRQEISSKNFTSLLYALIESHSV HITLKKAVTDVVKQLSDSFKDDPSLRAMGDLYDKIKRKAPYLVQPNVPEKHNMSTQAD NSDDEELQKALKMSLFEYEKQKKLQEQEKESAEVLPQQQQQHQQQNQAPAHKIPAQTV VRRVRALYDLTTNEPDELSFRKGDVITVLEQVYRDWWKGALRGNMGIFPLNYVTPIVE PSKEEIEKEKNKEAIVFSQKTTIDQLHNSLNAASKTGNSNEVLQDPHIGDMYGSVTPL RPQVTRMLGKYAKEKEDMLSLRQVLANAERSYNQLMDRAANAHISPPVPGPALYAGMT HANNTPVMPPQRQSYQSNEYSPYPSNLPIQHPTNSANNTPQYGYDLGYSVVSQPPPGY EQ YHL001W MSTDSIVKASNWRLVEVGRVVLIKKGQSAGKLAAIVEIIDQKKV LIDGPKAGVPRQAINLGQVVLTPLTFALPRGARTATVSKKWAAAGVCEKWAASSWAKK IAQRERRAALTDFERFQVMVLRKQKRYTVKKALAKA YHR001W MALNKLKNIPSLTNSSHSSINGIASNAANSKPSGADTDDIDEND ESGQSILLNIISQLKPGCDLSRITLPTFILEKKSMLERITNQLQFPDVLLEAHSNKDG LQRFVKVVAWYLAGWHIGPRAVKKPLNPILGEHFTAYWDLPNKQQAFYIAEQTSHHPP ESAYFYMIPESNIRVDGVVVPKSKFLGNSSAAMMEGLTVLQFLDIKDANGKPEKYTLS QPNVYARGILFGKMRIELGDHMVIMGPKYQVDIEFKTKGFISGTYDAIEGTIKDYDGK EYYQISGKWNDIMYIKDLREKSSKKTVLFDTHQHFPLAPKVRPLEEQGEYESRRLWKK VTDALAVRDHEVATEEKFQIENRQRELAKKRAEDGVEFHSKLFRRAEPGEDLDYYIYK HIPEGTDKHEEQIRSILETAPILPGQTFTEKFSIPAYKKHGIQKN YHR001W-A MAYTSHLSSKTGLHFGRLSLRSLTAYAPNLMLWGGASMLGLFVF TEGWPKFQDTLYKKIPLLGPTLEDHTPPEDKPN YHR002W MTRDSPDSNDSYKHINKNTTQKTSFDRNSFDYIVRSGLAGGISG SCAKTLIAPLDRIKILFQTSNPHYTKYTGSLIGLVEAAKHIWINDGVRGFFQGHSATL LRIFPYAAVKFVAYEQIRNTLIPSKEFESHWRRLVSGSLAGLCSVFITYPLDLVRVRL AYETEHKRVKLGRIIKKIYKEPASATLIKNDYIPNWFCHWCNFYRGYVPTVLGMIPYA GVSFFAHDLLHDVLKSPFFAPYSVLELSEDDELERVQKKQRRPLRTWAELISGGLAGM ASQTAAYPFEIIRRRLQVSALSPKTMYDHKFQSISEIAHIIFKERGVRGFFVGLSIGY IKVTPMVACSFFVYERMKWNFGI YHR003C MANNTWKLIATTALISVFSTQLAKSVWKEYKLSCAANKNKTVSR PRQYDDHLFREQLARNYAFLGEEGMRKIKEQYIVIVGAGEVGSWVCTMLIRSGCQKIM IIDPENISIDSLNTHCCAVLSDIGKPKVQCLKEHLSKIAPWSEIKARAKAWTKENSHD LIFADGESPTFIVDCLDNLESKVDLLEYAHHNKIDVISSMGVATKSDPTRVSINDISM TEFDPISRCVRRKLRKRGIATGISVVFSNEMLDPRRDDILSPIDCEHRAINAVRDEAL RHLPELGTMPGIFGLSIATWILTKVSGYPMKENEVKNRLKFYDSILETFQKQMARLNE NKERSSLLGLEEVGYIVEEMFRGKSPISGYSTKLALTKWEANKEISLTNVVLMTKEEQ EIHEKRILLDGEKLTAVYSEEVLDFIERLFKEEEYYS YHR004C MNALKYFSNHLITTKKQKKINVEVTKNQDLLGPSKEVSNKYTSH SENDCVSEVDQQYDHSSSHLKESDQNQERKNSVPKKPKALRSILIEKIASILWALLLF LPYYLIIKPLMSLWFVFTFPLSVIERRVKHTDKRNRGSNASENELPVSSSNINDSSEK TNPKNCNLNTIPEAVEDDLNASDEIILQRDNVKGSLLRAQSVKSRPRSYSKSELSLSN HSSSNTVFGTKRMGRFLFPKKLIPKSVLNTQKKKKLVIDLDETLIHSASRSTTHSNSS QGHLVEVKFGLSGIRTLYFIHKRPYCDLFLTKVSKWYDLIIFTASMKEYADPVIDWLE SSFPSSFSKRYYRSDCVLRDGVGYIKDLSIVKDSEENGKGSSSSLDDVIIIDNSPVSY AMNVDNAIQVEGWISDPTDTDLLNLLPFLEAMRYSTDVRNILALKHGEKAFNIN YHR005C MGCTVSTQTIGDESDPFLQNKRANDVIEQSLQLEKQRDKNEIKL LLLGAGESGKSTVLKQLKLLHQGGFSHQERLQYAQVIWADAIQSMKILIIQARKLGIQ LDCDDPINNKDLFACKRILLKAKALDYINASVAGGSDFLNDYVLKYSERYETRRRVQS TGRAKAAFDEDGNISNVKSDTDRDAETVTQNEDADRNNSSRINLQDICKDLNQEGDDQ MFVRKTSREIQGQNRRNLIHEDIAKAIKQLWNNDKGIKQCFARSNEFQLEGSAAYYFD NIEKFASPNYVCTDEDILKGRIKTTGITETEFNIGSSKFKVLDAGGQRSERKKWIHCF EGITAVLFVLAMSEYDQMLFEDERVNRMHESIMLFDTLLNSKWFKDTPFILFLNKIDL FEEKVKSMPIRKYFPDYQGRVGDAEAGLKYFEKIFLSLNKTNKPIYVKRTCATDTQTM KFVLSAVTDLIIQQNLKKIGII YHR005C-A MSFLGFGGGQPQLSSQQKIQAAEAELDLVTDMFNKLVNNCYKKC INTSYSEGELNKNESSCLDRCVAKYFETNVQVGENMQKMGQSFNAAGKF YHR006W MPILSLSSTRNSVLTRIYDYLKALVQQVIVPNVEDDKSSKSTPF EKLEPAKQNHPQKDCCATEKDDLVDVSELFPKQNNKQLSLTSKSSVVPCALNLDNLET PFSIKIDNNGAVTTQLNLDEPILRGPSRGEPAKLQNDLISSPPLEESYINNDQYKALF PSNFLPITPVSSVITPASKKSIDESPLSDEVQGIADESSETLPYICHYCDARFRIRGY LTRHIKKHAKRKAYHCPFFDNSISQELRCHTSGGFSRRDTYKTHLKSRHFTYPEGVKP QDRNKSPGVCTQCGEHFSTSESWVENHIEAGSCKGLPEGYSEGIREKKKTSKMKMIKT SDGQTRFISSDESVSEPALQNKNCIEATVMQSKERPNDKIIPTKTEKNDFGIGTQWFE RKQISRPTQTTQSRGPTEVQNLKEWSIISPPILSPQNASSVPQEYQSSRYTLHMDSPA LSSASSALSPLSGDPITTTETNKSYPLDSEQSLLEPDKTEEDAINQSKESNMISINEM LQKQMDFELLGENHLKETQDYLALYKKAYGIEF YHR007C MSATKSIVGEALEYVNIGLSHFLALPLAQRISLIIIIPFIYNIV WQLLYSLRKDRPPLVFYWIPWVGSAVVYGMKPYEFFEECQKKYGDIFSFVLLGRVMTV YLGPKGHEFVFNAKLADVSAEAAYAHLTTPVFGKGVIYDCPNSRLMEQKKFVKGALTK EAFKSYVPLIAEEVYKYFRDSKNFRLNERTTGTIDVMVTQPEMTIFTASRSLLGKEMR AKLDTDFAYLYSDLDKGFTPINFVFPNLPLEHYRKRDHAQKAISGTYMSLIKERRKNN DIQDRDLIDSLMKNSTYKDGVKMTDQEIANLLIGVLMGGQHTSAATSAWILLHLAERP DVQQELYEEQMRVLDGGKKELTYDLLQEMPLLNQTIKETLRMHHPLHSLFRKVMKDMH VPNTSYVIPAGYHVLVSPGYTHLRDEYFPNAHQFNIHRWNKDSASSYSVGEEVDYGFG AISKGVSSPYLPFGGGRHRCIGEHFAYCQLGVLMSIFIRTLKWHYPEGKTVPPPDFTS MVTLPTGPAKIIWEKRNPEQKI YHR007C-A MHRKKRKKEKKRTEKDNTTNLPPLFLFPCSLSLPTLLAPVHYIP TRLTHHQAENQLFLLLFQPIIVKPLRS YHR008C MFAKTAAANLTKKGGLSLLSTTARRTKVTLPDLKWDFGALEPYI SGQINELHYTKHHQTYVNGFNTAVDQFQELSDLLAKEPSPANARKMIAIQQNIKFHGG GFTNHCLFWENLAPESQGGGEPPTGALAKAIDEQFGSLDELIKLTNTKLAGVQGSGWA FIVKNLSNGGKLDVVQTYNQDTVTGPLVPLVAIDAWEHAYYLQYQNKKADYFKAIWNV VNWKEASRRFDAGKI YHR009C MGEDFMHPPFQTYPSKNSEGKKHIVIVGGGIIGCCTAYYLTQHP SFSPSTHHITIIESRRIAGGASGKAGGLLASWAFPHQIVPLSFQLHQELSDEYDGENN WDYRRLTTVSLEADVREEVIENYERLSKKAYNLNVPPPKKRPGYISNKFNIGDSNSSL SSSGSSLKNDSASNEEEGSDIHVSSSVPSLHSLTNERMRSHTNSASDLDSVSPVEQLR ETNIHNPLPADLDWIRRELVNDWSSLGGTDTTAQLHPYKFTHFILSKAMETGAVDLLL GKVVGLKCDEMDCVHSLKYLPSVVKNRRNSRGHAENPDIKLGTIFNDENAKPIEINDI QQIVLSMGPWTSKILKDCPISGLRAHSVTIKPSEKTVSPYAILAELKVNDREFFSPEM YARKDEVYVCGEGDTLVNIPESSDDVEVVSEKCDELYHYVSKLSPTLSKGHLLRKQAC FLPVLNVPTSSGPLIGETNVKDLYIASGHSCWGINNAPATGKLMAEILLDGEATSAEI SSLDPKLYFDATILS YHR010W MAKFLKAGKVAVVVRGRYAGKKVVIVKPHDEGSKSHPFGHALVA GIERYPLKVTKKHGAKKVAKRTKIKPFIKVVNYNHLLPTRYTLDVEAFKSVVSTETFE QPSQREEAKKVVKKAFEERHQAGKNQWFFSKLRF YHR011W MIIRRLFSISNRSFFLKKPQFDVKKIIEMIPQYQTSIQNRELIE ADSIIRSLQLLGERYQNIKEIDKVIADIQIQRKSIEAQIKKDKTKITEYSAALKALKE QYNDQNSKSSELKKKILETCKSLPNTLDPTVPLDAPQIEQWINPLKTHKTSEAQAHVD IMLKKNMLDLQTASNVTGMSWYYLLNDGARLEQALVAYALKKANENGFSSCVPPSITK KELIDACGFNPRDMNNERQIYALQDTNLGLVATAEIPLAGLGANKVLELNSGECSKKL VGVSRCYRAEAGARGKDTKGLYRVHEFTKVELFCWSKPETSAKVLEEIKQFQISVVEE LGIPAKVLNMPSNDLGNPAFKKYDIEAWMPGRGKFGEISSASNCTDFQSRRLNTKYRD DNTGKLEYVHTLNGTAMAIPRVIVALVENFYDPSTGKISVPECLREFMNGQRYI YHR012W MLLLALSDAHIPDRATDLPVKFKKLLSVPDKISQVALLGNSTKS YDFLKFVNQISNNITIVRGEFDNGHLPSTKKDKASDNSRPMEEIPMNSIIRQGALKIG CCSGYTVVPKNDPLSLLALARQLDVDILLWGGTHNVEAYTLEGKFFVNPGSCTGAFNT DWPIVFDVEDSDEAVTSEVDKPTKENQSEDDDAKGGSTGKEQPGSYTPKEGTAGEREN ENESNVKPENQFKEDEVDMSDSDINGSNSPSFCLLDIQGNTCTLYIYLYVNGEVKVDK VVYEKE YHR013C MPINIRRATINDIICMQNANLHNLPENYMMKYYMYHILSWPEAS FVATTTTLDCEDSDEQDENDKLELTLDGTNDGRTIKLDPTYLAPGEKLVGYVLVKMND DPDQQNEPPNGHITSLSVMRTYRRMGIAENLMRQALFALREVHQAEYVSLHVRQSNRA ALHLYRDTLAFEVLSIEKSYYQDGEDAYAMKKVLKLEELQISNFTHRRLKENEEKLED DLESDLLEDIIKQGVNDIIV YHR014W MAPRKRFRLLELGSPTHSKRKVQKPLQEKTPNLRVSPLAFKIGK EIKNKEIRKTKKTESENIFNSKHVDLRLESPHPGLNFVSDAQQYSKAGDVRYLKNKSS NTLKNERQTIERPSFDNSLRFEDIEQPPKSTSTPVLSQSSQINVEREAPMFPVPYYIA PSPMYNFSPYQNFVGNPTFLTPSHNPNLNYAIPIQRPELLYPNVNVYDSPLFKKTRLP HQTKSLDKEKNYQYLPIYPVSISNNGDFVGQETPRAAPKLSKKRLSNTLDVNCSDYES SGQNATYNDSESSLN YHR015W MPNSHGNVLNNISLNSKQNPRSISKSCPNDKDARQKSFKTISAQ ALVRVQGAGYKLGDVKLKDAEVKEKNSLKKYDCKNATQEKKEQEQVFEKTVAKGSVQK YITKTSKTNSLFIGNLKSTVTEEMLRKIFKRYQSFESAKVCRDFLTKKSLGYGYLNFK DKNDAESARKEFNYTVFFGQEVKIMPSMKNTLFRKNIGTNVFFSNLPLENPQLTTRSF YLIMIEYGNVLSCLLERRKNIGFVYFDNDISARNVIKKYNNQEFFGNKIICGLHFDKE VRTRPEFTKRKKMIGSDIVIEDELLASNNLSDNARSKTILVKNLPSDTTQEEVLDYFS TIGPIKSVFISEKQANTPHKAFVTYKNEEESKKAQKCLNKTIFKNHTIWVGPGKDKPV HNQIGTNKKTKVYLKNLSFNCNKEFISQLCLQEKIRFSEIKITNYNSLNWTFCGHVEC FSRSDAERLFNILDRRLIGSSLVEASWSKNNDNILNEIDYDDGNNNENYKKLINISSM MRFRTQELSAHQKGLTSQFQQVVSPFSSYSNSYTNMNSLVATPMKPHPAFNLITNTVD EKLHQPKRTKQENAEILESLKKIINRNLQRISISGLNKEENLRSISEFIFDVFWEHDS ERLSHFLLMTNTSLESQKILQKQVTRAAESLGFTV YHR016C MGINNPIPRSLKSETKKAAKVLRSFVKPNQVFGADQVIPPYVLK RAKGLAIITVLKAGFLFSGRAGSGVIVARLKDGTWSAPSAIAMAGAGAGGMVGVELTD FVFILNSEEAVRSFSEFGTITLGGNVSVSAGPLGRSAEAAASASTGGVSAVFAYSKSK GLFAGVSVEGSAILERREANRKFYGDNCTSKMILSGRVKVPPAADPLLRILESRAFNF TRHDHDDNASGDDFYDDGQYSDNTSHYYDDIPDSFDSTDESSTRPNTRSSRRRGMSLG SRSRYDDDYDDDGYGRGRGYGDFDSEDEDYDYGRSPNRNSSRNRGPQIDRGTKPRANT RWEDDLYDRDTEYSRPNHSGRDYDNTRGNRRGYGRERGYSLGHGPTHPSNMSNVDDLS HKMSKTGLGNESTATNSATPTAVALYNFAGEQPGDLAFKKGDVITILKKSDSQNDWWT GRTNGKEGIFPANYVRVS YHR017W MAWSGGKDIVDQIFDAGYWLVSKSAVLSDEIKNHVEKSIESISG KISNKETPRLQENDSNRSKVYKTLRIGLQDHWKLGLGISATSLCLYLGYRTFFKLPPN LPEAESQVVLILGDMNDPIIRNQVMDLYRRRFTVYICTENADVYKKHEEDQDFIYYID PTCEKDFEGFFVDVPRLASILFMPRLSYHPSGVISCDSLESEIHSSIFVYYQALLSII PHLKRKTQLIMFNPSLTADLNLVHHSTEIITSGIIDSLFKIFKEYQRLNVSTIKLGIL QIGSQPSNYKFLRMAGSDIHEALHYPVYKMIMSANGYKLRQLLSWLTTLGGYNSVYYC GRFSYLVSWPFASLIFNHHTRLSLKRLRGRLAKVYSSIISFFCRSSSKSSK YHR018C MSDGTQKLWGGRFTGETDPLMHLYNASLPYDYKMYKADLEGTKV YTAGLQKLGLLTETELAKIHEGLAEIKKEWDADKFVRHPNDEDIHTANERRLGELIGR DIAGKVHTGRSRNDQVVTDLRIYCRDIVNDTLFPALKGLVEVLIKRAEGEIDVLMPGY THLQRAQPIRWSHWLSSYATYFTEDYKRLGQILHRLNQSPLGAGALAGHPYGIDREFL AEGLGFNSVIGNSLVAVSDRDFIVELMFWGTLFMNHISRFAEDLIIYCTAEFGFIQLS DAYSTGSSLMPQKKNADSLELLRGKSGRVFGDLTGFLMSLKGIPSTYDKDMQEDKEPL FDCLTTVEHSMLIATGVISTLTVNKEKMEAALTMDMLATDLADYLVRKGVPFRETHHI SGECVATAERLGLSGIDKLTLEQYQKIDSRFGQDLFETFNFEQSVERRDATGGTAKSA VLKQLDNLKSQLN YHR019C MSSLYIKEATGVDELTTAGSQDHPFKTPAYALFASQQKSDATEP KLFVFKTEDNEYQEISASALKKARKGCDGLKKKAVKQKEQELKKQQKEAENAAKQLSA LNITIKEDESLPAAIKTRIYDSYSKVGQRVKVSGWIHRLRSNKKVIFVVLRDGSGFIQ CVLSGDLALAQQTLDLTLESTVTLYGTIVKLPEGKTAPGGVELNVDYYEVVGLAPGGE DSFTNKIAEGSDPSLLLDQRHLALRGDALSAVMKVRAALLKSVRRVYDEEHLTEVTPP CMVQTQVEGGSTLFKMNYYGEEAYLTQSSQLYLETCLASLGDVYTIQESFRAEKSHTR RHLSEYTHIEAELAFLTFDDLLQHIETLIVKSVQYVLEDPIAGPLVKQLNPNFKAPKA PFMRLQYKDAITWLNEHDIKNEEGEDFKFGDDIAEAAERKMTDTIGVPIFLTRFPVEI KSFYMKRCSDDPRVTESVDVLMPNVGEITGGSMRIDDMDELMAGFKREGIDTDAYYWF IDQRKYGTCPHGGYGIGTERILAWLCDRFTVRDCSLYPRFSGRCKP YHR020W MPVSEAFAKLCVNEKPPAESAVAVKSLVFKPKTPKSATPVPIVV VALQSTTTPSALIANATSSKDPRLARDDLVKQAFQSESARAFILGDLANATSNFHLLI DHELGTVDGDTILQLNDSTYMKKSDMMKFLNNFEDSQKVVDFSQEVSKETATEGKKQQ KKQQPSKAGTAAAAAAAALEDAKLIGITVDKALDFPGWYQQILTKGEMLDYYDVSGCY ILRPPSYAIWENIQKWFDDKIKAIGVQNAYFPMFVSSRVLEKEKDHVEGFAPEVAWVT RAGSSELEEPIAIRPTSETVMYPYYAKWVQSYRDLPLKLNQWNSVVRWEFKHPQPFLR TREFLWQEGHTAHLTAKDAEEEVLQILDFYAGVYEELLAVPVVKGRKTEKEKFAGGDF TTTCEGYIPQTGRGIQGATSHHLGQNFSKMFNLSVENPLGSDHPKIFAYQNSWGLSTR VIGVMVMIHSDNKGLVIPPRVSQFQSVVIPVGITKKTSEEQRKHIHETARSVESRLKK VGIRAFGDYNDNYTPGWKFSQYELKGIPIRIELGPKDIEKNQVVVVRRNDSKKYVVSF DELEARIPEILEEMQGDLFKKAKELFDTHRVIVNEWSGFVPALNKKNVILAPWCGVME CEEDIKESSAKKDDGEEFEEDDKAPSMGAKSLCIPFDQPVLNEGQKCIKCERIAVNYC MFGRSY YHR021C MVLVQDLLHPTAASEARKHKLKTLVQGPRSYFLDVKCPGCLNIT TVFSHAQTAVTCESCSTVLCTPTGGKAKLSEGTSFRRK YHR021W-A MNRSFHFLIKYIYIHVLLVFYFHIKQQAIMPFFIFFFSSFDGLS FDLRVVAFLAKHVFVGVCSPFFVVGFFGSSRVVVTEWLSKLVLPPPPVSITQVFSLSR KRGEFSSGYILIINPYKSFLRSLLDFSIFNNTAKNKSSTFTLNLEDVSK YHR022C MSVRLSYGASLASIPRCFDLKSSKITVMGDDHSGKTSLVRSWLG SSFQISDANRYRVSDLYHKTIQFDTLVKYYRTFGVKGQLPNYAGFKAKNSGTIYESCG NFLEERLINANKSTAQRRTSIDVQVFDTNQMEVSYLSELTTLQIRQSDAIILCFDSTN DSSLASLESYICIIHHVRLECELDIPIIIACTKCDLDSERTITHEKVLTFIQELGFSP GNLDYFETSSKFNVNVEDLFLAVLLKIEKSKSDRRKLL YHR022C-A MKIKFSRGARFSATFSFDKYPFLLYEVVR YHR023W MTGGQSCSSNMIVWIPDEKEVFVKGELMSTDINKNKFTGQEEQI GIVHPLDSTEVSNLVQVRISDVFPVNPSTFDKVENMSELTHLNEPSVLYNLEKRYDCD LIYTYSGLFLVAINPYHNLNLYSEDHINLYHNKHNRLSKSRLDENSHEKLPPHIFAIA EEAYENLLSEGKDQSILVTGESGAGKTENTKKILQYLASITSGSPSNIAPVSGSSIVE SFEMKILQSNPILESFGNAQTVRNNNSSRFGKFIKIEFNEHGMINGAHIEWYLLEKSR IVHQNSKERNYHIFYQLLSGLDDSELKNLRLKSRNVKDYKILSNSNQDIIPGINDVEN FKELLSALNIIGFSKDQIRWIFQVVAIILLIGNIEFVSDRAEQASFKNDVSAICSNLG VDEKDFQTAILRPRSKAGKEWVSQSKNSQQAKFILNALSRNLYERLFGYIVDMINKNL DHGSATLNYIGLLDIAGFEIFENNSFEQLCINYTNEKLQQFFNNHMFVLEQSEYLKEN IQWDYIDYGKDLQLTIDLIESKGPPTGVLPLLDEEAVLPKSTDESFYSKLISTWDQNS SKFKRSRLKNGFILKHYAGDVEYTVEGWLSKNKDPLNDNLLSLLSSSQNDIISKLFQP EGEKSSSAGVEANISNQEVKKSARTSTFKTTSSRHREQQITLLNQLASTHPHFVRCII PNNVKKVKTFNRRLILDQLRCNGVLEGIRLAREGYPNRIAFQEFFQRYRILYPENSTT TTFSSKLKASTKQNCEFLLTSLQLDTKVYKIGNTKLFFKAGVLADLEKQKDVKLNNIM IKLTATIRGYTVRKEITYHLQKLKKTRVIGNTFRLYNRLVKEDPWFNLFIRIKPLLTS SNDMTRTKKFNEQINKLKNDLQEMESKKKFLEEKNQKTVNELENTQDLLNQEKENLRK NESLLNRVKTSSETLQKQFDDLVSEKDEISREKLEVAQNLEEAHQKIQGLQETIRERE ATLEKLHSKNNELIKQISDLNCDISKEQSSQSLIKESKLKLENEIKRLKDVINSKEEE IKSFNDKLSSSEEDLDIKLVTLEKNCNIAMSRLQSLVTENSDLRSKNENFKKEKAALN NQLKNKESELLKMKEKIDNHKKELATFSKQRDDAVSEHGKITAELKETRIQLTEYKSN YQKIKEEYSNFQRETKEQEQKKRNSLVESLNDSKIKELEARLSQEISLNQYLNKRISG NSVETNISSTRRSTSYSDDPLDKEDIIKKYYDLQLAFTEITRNLENEIEEKKNLISRL RFTETRLASSSFEDQKIKAQMKKLKKLIQDMDPSIPLDSILNEPLDNCPDKESDINKL MLEVDYLKRQLDIETRAHYDAENAISALHSKFRKIQGESSLSSSDIYKLKFEASEERV KSLEDKLKTMPLRDRTNLPVGDIIKNRDSISKYEEEIRYYKLENYKLQEILNESNGKL SQLTLDLRQSKSKEALLSEQLDRLQKDLESTERQKELLSSTIKQQKQQFENCMDDLQG NELRLREHIHALKQAEEDVKNMASIIEKLKTQNKQKEKLIWEREMERNDSDMQLQETL LELKRVQDVKKILSDDLAHLKERLSAVEDRSQYTDEINRLKEELNCSLKAETNLKKEF ATLKYKLETSTNDSEAKISDLLKQLDHYTKVVEMLNNEKDAISLAEKELYQKYEALNT ECESLKGKIVSLTKIKQELESDLNQKTDALQISNAALSSSTQKNKEITEKIKYLEETL QLQMEQNSRNGELVKTLQASCNGYKDKFDDEKQKNIDLYEENQTLQKLNTDLQLQLKN LHERLSDTTEKNAWLSKIHELENMVSLETDLKYEEMKKNKSLERAVEELQTKNSQQTD VIELANKNRSEFEEATLKYEAQISDLEKYISQQELEMKKSIRDNSSYRDKVQEMAQEI EFWKSRYESTMIGSKNIDSNNAQSKIFS YHR024C MLRNGVQRLYSNIARTDNFKLSSLANGLKVATSNTPGHFSALGL YIDAGSRFEGRNLKGCTHILDRLAFKSTEHVEGRAMAETLELLGGNYQCTSSRENLMY QASVFNQDVGKMLQLMSETVRFPKITEQELQEQKLSAEYEIDEVWMKPELVLPELLHT AAYSGETLGSPLICPRELIPSISKYYLLDYRNKFYTPENTVAAFVGVPHEKALELTEK YLGDWQSTHPPITKKVAQYTGGESCIPPAPVFGNLPELFHIQIGFEGLPIDHPDIYAL ATLQTLLGGGGSFSAGGPGKGMYSRLYTHVLNQYYFVENCVAFNHSYSDSGIFGISLS CIPQAAPQAVEVIAQQMYNTFANKDLRLTEDEVSRAKNQLKSSLLMNLESKLVELEDM GRQVLMHGRKIPVNEMISKIEDLKPDDISRVAEMIFTGNVNNAGNGKGRATVVMQGDR GSFGDVENVLKAYGLGNSSSSKNDSPKKKGWF YHR025W MVRAFKIKVPASSANIGPGYDVLGVGLSLFLELDVTIDSSQAQE TNDDPNNCKLSYTKESEGYSTVPLRSDANLITRTALYVLRCNNIRNFPSGTKVHVSNP IPLGRGLGSSGAAVVAGVILGNEVAQLGFSKQRMLDYCLMIERHPDNITAAMMGGFCG SFLRDLTPQEVERREIPLAEVLPEPSGGEDTGLVPPLPPTDIGRHVKYQWNPAIKCIA IIPQFELSTADSRGVLPKAYPTQDLVFNLQRLAVLTTALTMDPPNADLIYPAMQDRVH QPYRKTLIPGLTEILSCVTPSTYPGLLGICLSGAGPTILALATENFEEISQEIINRFA KNGIKCSWKLLEPAYDGASVEQQ YHR026W MNKESKDDDMSLGKFSFSHFLYYLVLIVVIVYGLYKLFTGHGSD INFGKFLLRTSPYMWANLGIALCVGLSVVGAAWGIFITGSSMIGAGVRAPRITTKNLI SIIFCEVVAIYGLIIAIVFSSKLTVATAENMYSKSNLYTGYSLFWAGITVGASNLICG IAVGITGATAAISDAADSALFVKILVIEIFGSILGLLGLIVGLLMAGKASEFQ YHR027C MVDESDKKQQTIDEQSQISPEKQTPNKKDKKKEEEEQLSEEDAK LKTDLELLVERLKEDDSSLYEASLNALKESIKNSTSSMTAVPKPLKFLRPTYPDLCSI YDKWTDPNLKSSLADVLSILAMTYSENGKHDSLRYRLLSDVSDFEGWGHEYIRHLALE IGEVYNDQVEKDAEDETSSDGSKSDGSAATSGFEFSKEDTLRLCLDIVPYFLKHNGEE DAVDLLLEIESIDKLPQFVDENTFQRVCQYMVACVPLLPPPEDVAFLKTAYSIYLSQN ELTDAIALAVRLGEEDMIRSVFDATSDPVMHKQLAYILAAQKTSFEYEGVQDIIGNGK LSEHFLYLAKELNLTGPKVPEDIYKSHLDNSKSVFSSAGLDSAQQNLASSFVNGFLNL GYCNDKLIVDNDNWVYKTKGDGMTSAVASIGSIYQWNLDGLQQLDKYLYVDEPEVKAG ALLGIGISASGVHDGEVEPALLLLQDYVTNPDTKISSAAILGLGIAFAGSKNDEVLGL LLPIAASTDLPIETAAMASLALAHVFVGTCNGDITTSIMDNFLERTAIELKTDWVRFL ALALGILYMGQGEQVDDVLETISAIEHPMTSAIEVLVGSCAYTGTGDVLLIQDLLHRL TPKNVKGEEDADEEETAEGQTNSISDFLGEQVNEPTKNEEAEIEVDEMEVDAEGEEVE VKAEITEKKNGESLEGEEIKSEEKKGKSSDKDATTDGKNDDEEEEKEAGIVDELAYAV LGIALIALGEDIGKEMSLRHFGHLMHYGNEHIRRMVPLAMGIVSVSDPQMKVFDTLTR FSHDADLEVSMNSIFAMGLCGAGTNNARLAQLLRQLASYYSREQDALFITRLAQGLLH LGKGTMTMDVFNDAHVLNKVTLASILTTAVGLVSPSFMLKHHQLFYMLNAGIRPKFIL ALNDEGEPIKVNVRVGQAVETVGQAGRPKKITGWITQSTPVLLNHGERAELETDEYIS YTSHIEGVVILKKNPDYREEE YHR028C MEGGEEEVERIPDELFDTKKKHLLDKLIRVGIILVLLIWGTVLL LKSIPHHSNTPDYQEPNSNYTNDGKLKVSFSVVRNNTFQPKYHELQWISDNKIESNDL GLYVTFMNDSYVVKSVYDDSYNSVLLEGKTFIHNGQNLTVESITASPDLKRLLIRTNS VQNWRHSTFGSYFVYDKSSSSFEEIGNEVALAIWSPNSNDIAYVQDNNIYIYSAISKK TIRAVTNDGSSFLFNGKPDWVYEEEVFEDDKAAWWSPTGDYLAFLKIDESEVGEFIIP YYVQDEKDIYPEMRSIKYPKSGTPNPHAELWVYSMKDGTSFHPRISGNKKDGSLLITE VTWVGNGNVLVKTTDRSSDILTVFLIDTIAKTSNVVRNESSNGGWWEITHNTLFIPAN ETFDRPHNGYVDILPIGGYNHLAYFENSNSSHYKTLTEGKWEVVNGPLAFDSMENRLY FISTRKSSTERHVYYIDLRSPNEIIEVTDTSEDGVYDVSFSSGRRFGLLTYKGPKVPY QKIVDFHSRKAEKCDKGNVLGKSLYHLEKNEVLTKILEDYAVPRKSFRELNLGKDEFG KDILVNSYEILPNDFDETLSDHYPVFFFAYGGPNSQQVVKTFSVGFNEVVASQLNAIV VVVDGRGTGFKGQDFRSLVRDRLGDYEARDQISAASLYGSLTFVDPQKISLFGWSYGG YLTLKTLEKDGGRHFKYGMSVAPVTDWRFYDSVYTERYMHTPQENFDGYVESSVHNVT ALAQANRFLLMHGTGDDNVHFQNSLKFLDLLDLNGVENYDVHVFPDSDHSIRYHNANV IVFDKLLDWAKRAFDGQFVK YHR029C MTLMVPFKQVDVFTEKPFMGNPVAVINFLEIDENEVSQEELQAI ANWTNLSETTFLFKPSDKKYDYKLRIFTPRSELPFAGHPTIGSCKAFLEFTKNTTATS LVQECKIGAVPITINEGLISFKAPMADYESISSEMIADYEKAIGLKFIKPPALLHTGP EWIVALVEDAETCFNANPNFAMLAHQTKQNDHVGIILAGPKKEAAIKNSYEMRAFAPV INVYEDPVCGSGSVALARYLQEVYKFEKTTDITISEGGRLKRNGLMLASIKKEADNST SYYIAGHATTVIDGKIKV YHR030C MADKIERHTFKVFNQDFSVDKRFQLIKEIGHGAYGIVCSARFAE AAEDTTVAIKKVTNVFSKTLLCKRSLRELKLLRHFRGHKNITCLYDMDIVFYPDGSIN GLYLYEELMECDMHQIIKSGQPLTDAHYQSFTYQILCGLKYIHSADVLHRDLKPGNLL VNADCQLKICDFGLARGYSENPVENSQFLTEYVATRWYRAPEIMLSYQGYTKAIDVWS AGCILAEFLGGKPIFKGKDYVNQLNQILQVLGTPPDETLRRIGSKNVQDYIHQLGFIP KVPFVNLYPNANSQALDLLEQMLAFDPQKRITVDEALEHPYLSIWHDPADEPVCSEKF EFSFESVNDMEDLKQMVIQEVQDFRLFVRQPLLEEQRQLQLQQQQQQQQQQQQQQQQP SDVDNGNAAASEENYPKQMATSNSVAPQQESFGIHSQNLPRHDADFPPRPQESMMEMR PATGNTADIPPQNDNGTLLDLEKELEFGLDRKYF YHR031C MFRSHASGNKKQWSKRSSNGSTPAASASGSHAYRQQTLSSFFMG CGKKSAAASKNSTTIIDLESGDEGNRNITAPPRPRLIRNNSSSLFSQSQGSFGDDDPD AEFKKLVDVPRLNSYKKSSRSLSMTSSLHKTASASTTQKTYHFDEDETLREVTSVKSN SRQLSFTSTINIEDSSMKLSTDSERPAKRSKPSMEFQGLKLTVPKKIKPLLRKTVSNM DSMNHRSASSPVVLTMEQERVVNLIVKKRTNVFYTGSAGTGKSVILQTIIRQLSSLYG KESIAITASTGLAAVTIGGSTLHKWSGIGIGNKTIDQLVKKIQSQKDLLAAWRYTKVL IIDEISMVDGNLLDKLEQIARRIRKNDDPFGGIQLVLTGDFFQLPPVAKKDEHNVVKF CFESEMWKRCIQKTILLTKVFRQQDNKLIDILNAIRYGELTVDIAKTIRNLNRDIDYA DGIAPTELYATRREVELSNVKKLQSLPGDLYEFKAVDNAPERYQAILDSSLMVEKVVA LKEDAQVMMLKNKPDVELVNGSLGKVLFFVTESLVVKMKEIYKIVDDEVVMDMRLVSR VIGNPLLKESKEFRQDLNARPLARLERLKILINYAVKISPHKEKFPYVRWTVGKNKYI HELMVPERFPIDIPRENVGLERTQIPLMLCWALSIHKAQGQTIQRLKVDLRRIFEAGQ VYVALSRAVTMDTLQVLNFDPGKIRTNERVKDFYKRLETLK YHR032W MSKQFSHTTNDRRSSIIYSTSVGKAGLFTPADYIPQESEENLIE GEEQEGSEEEPSYTGNDDETEREGEYHSLLDANNSRTLQQEAWQQGYDSHDRKRLLDE ERDLLIDNKLLSQHGNGGGDIESHGHGQAIGPDEEERPAEIANTWESAIESGQKISTT FKRETQVITMNALPLIFTFILQNSLSLASIFSVSHLGTKELGGVTLGSMTANITGLAA IQGLCTCLDTLCAQAYGAKNYHLVGVLVQRCAVITILAFLPMMYVWFVWSEKILALMI PERELCALAANYLRVTAFGVPGFILFECGKRFLQCQGIFHASTIVLFVCAPLNALMNY LLVWNDKIGIGYLGAPLSVVINYWLMTLGLLIYAMTTKHKERPLKCWNGIIPKEQAFK NWRKMINLAIPGVVMVEAEFLGFEVLTIFASHLGTDALGAQSIVATIASLAYQVPFSI SVSTSTRVANFIGASLYDSCMITCRVSLLLSFVCSSMNMFVICRYKEQIASLFSTESA VVKMVVDTLPLLAFMQLFDAFNASTAGCLRGQGRQKNRWVHQPSRILLPRCAHGICVS IPVSSGCRRLMVGYN YHR033W MTKAYTIVIKLGSSSLVDESTKEPKLSIMTLIVETVTNLKRMGH KVIIVSSGGIAVGLDALNIPHKPKQLSEVQAIAAVGQGRLIARWNMLFSQYGEQTAQI LLTRNDILRWNQYNNARNTINELLAMGVIPIVNENDTLSISEIEFGDNDTLSAITAAL VGADFLFLLTDVDCLYTDNPRTNPDARPIVLVPELSEGLPGVNTSSGSGSEVGTGGMR TKLIAADLASNAGIETIVMKSDRPEYVPKIVDYIQHHFRPPRHIGNGTQQQFLDLQDT ELEQLRRYDVPMHTKFLANDNKHKLKNREFWILHGLITKGAIIIDENSYDKLLSKDMA SLTPNAVIEVRDNFHELECVDLKIGQRLPNGELDISKPIQSVGCVRSNYTSLELAKIK GLPSEKIHDVLGYSVSEYVAHRENIAFPPQF YHR034C MADFLLRPIKQRHRNEDKYVSVDAADGSVSKIEPIADFVIKTKL LSANGPEKLQDGRKVFINVCHSPLVPKPEVDFNARIVFPLIIQNEWEIPIITSCYRMD HDKKGQECYVWDCCINSDCSRWICDDIQLREILVEWCLESCEIRDSVVLCRDRIAFPK MKKKGAELPALEVLNDELHQDYKAKMHKIIEEEAGDPMSILRGRNDDGDDNNDPDDGT LPPLFPIENKISGAKIEEIDKNEIAHRNLKQAPAPAPAPHEQQEDVPEYEVKMKRFKG AAYKLRILIENKAPNSKPDRFSPSYNFAENILYINGKLSIPLPRDIVVNAADIKIFHI RKERTLYIYI YHR035W MCSPTNFLYEPFSSDAVTQNYDQNLKCTKCGAYYSMACSLREQN VWTCLFCNQSNSNAELPLVPSNTYTLTSAKKEILSRRTIMIIDAICDPHELNYLVSIL CNNYITRQQEPLSIITIQQSGHVILHNAVNHRRDAVFSINEFMTKYNLDKLNASYFEK KISEINQESYWFDKSTQGSLRKLLREICKIANKVNISSKRDKRCTGLALFVSSVLASQ CSLSAYCHIVSFLNGPCTKGGGKVMSRERGESMRQNHHFESKSSQLQLSKSPTKFYKK MLEKFANQSLIYEFFIASLDQIGILEMSPLITSSMAVSQFDSFNDERFAMSFQKYLNL RDHNAIYNCHSKIMTAKNAIVVKDFPKYSLNPKNLSLPLEISLGHNSAEAPIQFQTTF ENQTEKYIRIETLLLPKANRSFGAQNEIVFSMKKIASRIIDSFAYSSKHTKELMKQLF LLPNQIRGKDVDMVNLIQWCYHIYRSPILSVRNTSPDERYLFLHRIINASKDTCLSLC KPFIWSYSDLKHDWIVLDVPLTRAQILQDDKTTICVDGGSYLVLRRGKLLEKEGRELC CKLLNDLQRFPQPLYVETKTGGSQDRFLKSKIIPLDITDKETLGTEDMTFNEYFNLFT DLSGSK YHR036W MESFENLSIRDSFTSGMEHVDEELGGLSDLSISKQGPTLSPQLI NRFMPHFPSSPSPLRNTLDFSAAKADEEEDDRMEIDEVDDTSFEEEYNNEPIETHTEA TENAVVEEIEATPEERQKQEKNESQDQSVEEVENIVSPHRSTVIKALLSPTDLGVAAA TKVEGVVPLPPSANQDDNESSNNNAEGEDIIRNEEVEDEIKSSLGNHKSSQYANAFDS EIIKRELRSRSKYQPIQVSFNTHNYFYSDKDGIKTYSLTKPNHNKIDEFYDQNEAFKL PKPWSPNSHPASRASYALMSYLQLFLNAITTVVIFSFILSFIIALQKDLKSTWEQRKH ELQYESRICQEQYLTNRCNQTPGLPALGEQCAIWKQCMDRNNDIFFRARSTLSAKLFG DIINSFIDPLNWKTLFVIFCGVITWCFSSNFLLGFVRAKSYYGNGIKTYPLPSSPKSP TSEETHSSMTASGEDSHLLKQ YHR037W MLSARCLKSIYFKRSFSQLGHIKPPKHIRNEPVKPFRNIDLKDW DLLRASLMKFKSSSLEVPLVINGERIYDNNERALFPQTNPANHQQVLANVTQATEKDV MNAVKAAKDAKKDWYNLPFYDRSAIFLKAADLISTKYRYDMLAATMLGQGKNVYQAEI DCITELSDFFRYYVKYASDLYAQQPVESADGTWNKAEYRPLEGFVYAVSPFNFTAIAA NLIGAPALMGNTVVWKPSQTAALSNYLLMTVLEEAGLPKGVINFIPGDPVQVTDQVLA DKDFGALHFTGSTNVFKSLYGKIQSGVVEGKYRDYPRIIGETGGKNFHLVHPSANISH AVLSTIRGTFEFQGQKCSAASRLYLPESKSEEFLSDMFGILQSQNVVPMNTSASPISG GNLRGFMGPVIHEQSFDKLVKVIEDAKKDPELEILYGGQYDKSQGWFVGPTVIKAKRP DHPYMSTEFFGPILTVYEYPDTEFNEICDIIDNTSQYALTGAIFAKDRKAIEYADEKL KFSAGNFYINDKCTGAVVSQQWFGGARMSGTDDKAGGPNILSRFVSIRNTKENFYELT DFKYPSNYE YHR038W MILTTARLNCRPVTVPRLFNRSFSQSFIILKKKSSTPTEKVEED EIDVNELLKKAETQFKKTLEIQKQKMNEIKQGNFNPKVFNSLVFKNNRKFTDIATTSL KGKNALLITVFDPKDVKTVISGVLAANLNLTPERVPNNDLQLKVSLPPPTTESRLKVA KDLKRVFEEYKQSSLKDSLGTIRGSILKEFKSFKKDDAVRKAERDLEKLHKDYVNKLH DQFQKVEKSIVK YHR039C MSKVYLNSDMINHLNSTVQAYFNLWLEKQNAIMRSQPQIIQDNQ KLIGITTLVASIFTLYVLVKIISTPAKCSSSYKPVKFSLPAPEAAQNNWKGKRSVSTN IWNPEEPNFIQCHCPATGQYLGSFPSKTEADIDEMVSKAGKAQSTWGNSDFSRRLRVL ASLHDYILNNQDLIARVACRDSGKTMLDASMGEILVTLEKIQWTIKHGQRALQPSRRP GPTNFFMKWYKGAEIRYEPLGVISSIVSWNYPFHNLLGPIIAALFTGNAIVVKCSEQV VWSSEFFVELIRKCLEACDEDPDLVQLCYCLPPTENDDSANYFTSHPGFKHITFIGSQ PVAHYILKCAAKSLTPVVVELGGKDAFIVLDSAKNLDALSSIIMRGTFQSSGQNCIGI ERVIVSKENYDDLVKILNDRMTANPLRQGSDIDHLENVDMGAMISDNRFDELEALVKD AVAKGARLLQGGSRFKHPKYPQGHYFQPTLLVDVTPEMKIAQNEVFGPILVMMKAKNT DHCVQLANSAPFGLGGSVFGADIKECNYVANSLQTGNVAINDFATFYVCQLPFGGING SGYGKFGGEEGLLGLCNAKSVCFDTLPFVSTQIPKPLDYPIRNNAKAWNFVKSFIVGA YTNSTWQRIKSLFSLAKEAS YHR039C-A MSQKNGIATLLQAEKEAHEIVSKARKYRQDKLKQAKTDAAKEID SYKIQKDKELKEFEQKNAGGVGELEKKAEAGVQGELAEIKKIAEKKKDDVVKILIETV IKPSAEVHINAL YHR040W MAVLCGVCGIKEFKYKCPRCLVQTCSLECSKKHKTRDNCSGQTH DPKEYISSEALKQADDDKHERNAYVQRDYNYLTQLKRMVHVQKMDARMKNKRVLGPVG GHNSNFKKRRYDIDEDDRDSTECQRIIRRGVNCLMLPKGMQRSSQNRSKWDKTMDLFV WSVEWILCPMQEKGEKKELFKHVSHRIKETDFLVQGMGKNVFQKCCEFYRLAGTSSCI EGEDGSETKEERTQILQKSGLKFYTKTFPYNTTHIMDSKKLVELAIHEKCIGELLKNT TVIEFPTIFVAMTEADLPEGYEVLHQEPRPLEHTSTLNKFIDNAREEEDAEEDSQPTE EPVQKETQDASDSDSDSDDDYNPGLSMDFLTA YHR041C MGKSAVIFVERATPATLTELKDALSNSILSVRDPWSIDFRTYRC SIKNLPADVSKLMYSITFHHHGRQTVLIKDNSAMVTTAAAADIPPALVFNGSSTGVPE SIDTILSSKLSNIWMQRQLIKGDAGETLILDGLTVRLVNLFSSTGFKGLLIELQADEA GEFETKIAGIEGHLAEIRAKEYKTSSDSLGPDTSNEICDLAYQYVRALEL YHR042W MPFGIDNTDFTVLAGLVLAVLLYVKRNSIKELLMSDDGDITAVS SGNRDIAQVVTENNKNYLVLYASQTGTAEDYAKKFSKELVAKFNLNVMCADVENYDFE SLNDVPVIVSIFISTYGEGDFPDGAVNFEDFICNAEAGALSNLRYNMFGLGNSTYEFF NGAAKKAEKHLSAAGAIRLGKLGEADDGAGTTDEDYMAWKDSILEVLKDELHLDEQEA KFTSQFQYTVLNEITDSMSLGEPSAHYLPSHQLNRNADGIQLGPFDLSQPYIAPIVKS RELFSSNDRNCIHSEFDLSGSNIKYSTGDHLAVWPSNPLEKVEQFLSIFNLDPETIFD LKPLDPTVKVPFPTPTTIGAAIKHYLEITGPVSRQLFSSLIQFAPNADVKEKLTLLSK DKDQFAVEITSKYFNIADALKYLSDGAKWDTVPMQFLVESVPQMTPRYYSISSSSLSE KQTVHVTSIVENFPNPELPDAPPVVGVTTNLLRNIQLAQNNVNIAETNLPVHYDLNGP RKLFANYKLPVHVRRSNFRLPSNPSTPVIMIGPGTGVAPFRGFIRERVAFLESQKKGG NNVSLGKHILFYGSRNTDDFLYQDEWPEYAKKLDGSFEMVVAHSRLPNTKKVYVQDKL KDYEDQVFEMINNGAFIYVCGDAKGMAKGVSTALVGILSRGKSITTDEATELIKMLKT SGRYQEDVW YHR043C MPQFSVDLCLFDLDGTIVSTTTAAESAWKKLCRQHGVDPVELFK HSHGARSQEMMKKFFPKLDNTDNKGVLALEKDMADNYLDTVSLIPGAENLLLSLDVDT ETQKKLPERKWAIVTSGSPYLAFSWFETILKNVGKPKVFITGFDVKNGKPDPEGYSRA RDLLRQDLQLTGKQDLKYVVFEDAPVGIKAGKAMGAITVGITSSYDKSVLFDAGADYV VCDLTQVSVVKNNENGIVIQVNNPLTRD YHR044C MAEFSADLCLFDLDGTIVSTTVAAEKAWTKLCYEYGVDPSELFK HSHGARTQEVLRRFFPKLDDTDNKGVLALEKDIAHSYLDTVSLIPGAENLLLSLDVDT ETQKKLPERKWAIVTSGSPYLAFSWFETILKNVGKPKVFITGFDVKNGKPDPEGYSRA RDLLRQDLQLTGKQDLKYVVFEDAPVGIKAGKAMGAITVGITSSYDKSVLFDAGADYV VCDLTQVSVVKNNENGIVIQVNNPLTRA YHR045W MNWSFLLQLVITILLIVLGANWLLSSFLLDFKRDLTGVALSQQS SISSVRKENETAYYRSILVPTGFPLTTGLGLSLKYKIRNGNFGDVWNAIMEVSKGKNI IKFTGREKSYSLSELNGMAKRIFPKLSNKNFKNIGIANSIATVEGFTLSLASMMTSIR TGSIPHFLPAVPRQRLEDVDVLIIDSWKSFKMLNGSEDWYKLIVVCDDPIESLQFDAN CDVITWKELIDGFTKDTEYQYTPPDDNSDDKKLFAYVTSPWNGTNSFNQICLVSNIAE FIKGFPLGNELNSNEYLTISTKLANSSASLQIWGKLFAVLLHGGSASFINPTTIDCES LQETTLLFTETKDVVKLIDSNSRSGLLNKIYLSWATNLLSEGIFTKIARIEPHSLEKL RCVYLADNVKDAEVISTFPEKIPQLKKTNRRITPSTEQLNKIRAQLGSRVVLELYCPY AIMGPVAHTNFYDYRVFGKSVDDNVVCYGTLSTTLEGKMVETETNPHLNIEKKQGMLC IRGFSIGKPVESDRLEKALHLAERFGGGEGWMPLVGVFGLFGQDGCLYIYNQ YHR046C MTIDLASIEKFLCELATEKVGPIIKSKSGTQKDYDLKTGSRSVD IVTAIDKQVEKLIWESVKTQYPTFKFIGEESYVKGETVITDDPTFIIDPIDGTTNFVH DFPFSCTSLGLTVNKEPVVGVIYNPHINLLVSASKGNGMRVNNKDYDYKSKLESMGSL ILNKSVVALQPGSAREGKNFQTKMATYEKLLSCDYGFVHGFRNLGSSAMTMAYIAMGY LDSYWDGGCYSWDVCAGWCILKEVGGRVVGANPGEWSIDVDNRTYLAVRGTINNESDE QTKYITDFWNCVDGHLKYD YHR047C MSREVLPNNVTPLHYDITLEPNFRAFTFEGSLKIDLQINDHSIN SVQINYLEIDFHSARIEGVNAIEVNKNENQQKATLVFPNGTFENLGPSAKLEIIFSGI LNDQMAGFYRAKYTDKVTGETKYMATTQMEATDARRAFPCFDEPNLKATFAVTLVSES FLTHLSNMDVRNETIKEGKKYTTFNTTPKMSTYLVAFIVADLRYVESNNFRIPVRVYS TPGDEKFGQFAANLAARTLRFFEDTFNIEYPLPKMDMVAVHEFSAGAMENWGLVTYRV IDLLLDIENSSLDRIQRVAEVIQHELAHQWFGNLVTMDWWEGLWLNEGFATWMSWYSC NKFQPEWKVWEQYVTDNLQRALNLDSLRSSHPIEVPVNNADEINQIFDAISYSKGSSL LRMISKWLGEETFIKGVSQYLNKFKYGNAKTGDLWDALADASGKDVCSVMNIWTKRVG FPVLSVKEHKNKITLTQHRYLSTGDVKEEEDTTIYPILLALKDSTGIDNTLVLNEKSA TFELKNEEFFKINGDQSGIFITSYSDERWAKLSKQANLLSVEDRVGLVADAKALSASG YTSTTNFLNLISNWKNEDSFVVWEQIINSLSALKSTWVFEPEDILNALDKFTLDLVLN KLSELGWNIGEDDSFAIQRLKVTLFSAACTSGNEKMQSIAVEMFEEYANGNKQAIPAL FKAVVFNTVARLGGENNYEKIFNIYQNPVSSEEKIIALRALGRFEDKELLERTLSYLL DGTVLNQDFYIPMQGIRVHKKGIERLWAWMQEHWDEIAKRLQPGSPVLGGVLTLGLTN FTSFEALEKISAFYSRKVTKGFDQTLAQALDTIRSKAQWVSRDREIVATYLREHEYDQ YHR048W MVAEFQIASAQSSALTSTEEEHCSINSDKAAKLDLELTSERKND GKQSHEVTFNEDIADPEDIARHMSTARRYYISSLITFTSMVITMISSSWTLPSTHIIE HFHISHEVSTLGITLYVFGLGIGPLFLSPLSELYGRRITFLYALTLSIIWQCLTIWSK TITGVMFGRFLSGFFGSAFLSVAGGAIADIFDKDQIGIPMAIYTTSAFLGPSLGPIIG GALYHQSYKWTFITLLITSGCCLVMIIFTIPETYKPMLLIRKAKRLRKEKNDQRYYAV LEVTREQTSLLSAIFLSTKRPFGLLLRDRMMGVLCFYTGLELAIIYLYFVAFPYVFKK LYNFGPMEIACSYIGIMVGMILSAPTCLLFQKTFEWRVKRNNGVKTPEMRFEPLFYGA FLTPVGLFIFAFTCYKHVHWIAPIIGSAIFGSGVYFVFTGVFAYTVDAYRRYAASGMA CNTFVRCIMAGVFPLFGLQMYKSMGVNWAGFLLAMVTVAMIPVPFLFTKYGARLRAKS PYAWDD YHR049W MTVQIPKLLFLHGFLQNGKVFSEKSSGIRKLLKKANVQCDYIDA PVLLEKKDLPFEMDDEKWQATLDADVNRAWFYHSEISHELDISEGLKSVVDHIKANGP YDGIVGFSQGAALSSIITNKISELVPDHPQFKVSVVISGYSFTEPDPEHPGELRITEK FRDSFAVKPDMKTKMIFIYGASDQAVPSVRSKYLYDIYLKAQNGNKEKVLAYEHPGGH MVPNKKDIIRPIVEQITSSLQEASE YHR050W MTSQEYEPIQWSDESQTNNDSVNDAYADVNTTHESRRRTTLQPN STSQSMIGTLRKYARFIGPGLMVSVSYMDPGNYSTAVAAGSAHRYKLLFSVLVSNFMA AFWQYLCARLGAVTGLDLAQNCKKHLPFGLNITLYILAEMAIIATDLAEVVGTAISLN ILFHIPLALGVILTVVDVLIVLLAYKPNGSMKGIRIFEAFVSLLVVLTVVCFTVELFY AKLGPAKEIFSGFLPSKAVFEGDGLYLSLAILGATVMPHSLYLGSGVVQPRLREYDIK NGHYLPDANDMDNNHDNYRPSYEAISETLHFTITELLISLFTVALFVNCAILIVSGAT LYGSTQNAEEADLFSIYNLLCSTLSKGAGTVFVLALLFSGQSAGIVCTLSGQMVSEGF LNWTVSPALRRSATRAVAITPCLILVLVAGRSGLSGALNASQVVLSLLLPFVSAPLLY FTSSKKIMRVQLNRTKELSRTTDKKPVADRTEDDETIELEEMGIGSSSQERSLVSPAP EYKDMSNGMIVTVLAIIVWLIISGLNFYMLLGFTTGKEVHL YHR050W-A MKRDLIGPVKALIKINNCSCLSRCQISRLPHFFTFHPHFSTLIY YYNLKNCITSET YHR051W MLSRAIFRNPVINRTLLRARPGAYHATRLTKNTFIQSRKYSDAH DEETFEEFTARYEKEFDEAYDLFEVQRVLNNCFSYDLVPAPAVIEKALRAARRVNDLP TAIRVFEALKYKVENEDQYKAYLDELKDVRQELGVPLKEELFPSSS YHR052W MAKKSNSKKSTPVSTPSKEKKKVIEKKSSTAIPRERVIKAVNEL IKFTSKPQDENNEEGNNGKKNLLEDDEEELKKDLQLIVVNNKSFTGTSKSFKLKLLNV KHSFYKPWKEASATAVKDFKVLLILKDSDIKKVSEDDLFDQLDSEGIKVDEIICGKDL KTVYKAYEARNAFISQFSLILADDSIVTSLPKLMGGKAYNKVETTPISIRTHANKEFS LTTLTNNIKKVYMNQLPVKLPRGTTLNVHLGNLEWLRPEEFVDNVELISEQLIKAYQI RSIFIKTNRSPVLPLYYNQDVLDELEAKKDKIEETHEDDMVTIDGVQVHLSTFNKGLM EIANPSELGSIFSKQINNAKKRSSSELEKESSESEAVKKAKS YHR053C MFSELINFQNEGHECQCQCGSCKNNEQCQKSCSCPTGCNSDDKC PCGNKSEETKKSCCSGK YHR054C MVPAAENLSPIPASIDTNDIPLIANDLKLLETQAKLINILQGVP FYLPVNLTKIESLLETLTMGVSNTVDLYFHDNEVRKEWKDTLNFINTIVYTNFFLFVQ NESSLSMAVQHSSNNNKTSNSERCAKDLMKIISNMHIFYSITFNFIFPIKSIKSFSSG NNRFHSNGKEFLFANHFIEILQNFIAITFAIFQRCEVILYDEFYKNLSNEEINVQLLL IHDKILEILKKIEIIVSFLRDEMNSNGSFKSIKGFNKVLNLIKYMLRFSKKKQNFARN SDNNNVTDYSQSAKNKNVLLKFPVSELNRIYLKFKEISDFLMEREVVQRSIIIDKDLE SDNLGITTANFNDFYDAFYN YHR055C MFSELINFQNEGHECQCQCGSCKNNEQCQKSCSCPTGCNSDDKC PCGNKSEETKKSCCSGK YHR056C MMDMQVRKVRKPPACTQCRKRKIGCDRAKPICGNCVKYNKPDCF YPDGPGKMVAVPSASGMSTHGNGQGSNHFSQGNGVNQKNVMIQTQYPIMQTSIEAFNF SFNPSVDTAMQWTKAASYQNNNTNNNTAPRQNSSTVSSNVHGNTIVRSDSPDVPSMDQ IREYNTRLQLVNAQSFDYTDNPYSFNVGINQDSAVFDLMTSPFTQEEVLIKEIDFLKN KLLDLQSLQLKSLKEKSNLNADNTTANKINKTGENSKKGKVDGKRAGFDHQTSRTSQS SQKYFTALTITDVQSLVQVKPLKDTPNYLFTKNFIIFRDHYLFKFYNILHDICHINQF KVSPPNNKNHQQYMEVCKVNFPPKAIIIETLNSESLNNLNIEEFLPIFDKTLLLEFVH NSFPNGDTCPSFSTVDLPLSQLTKLGELTVLLLLLNDSMTLFNKQAINNHVSALMNNL RLIRSQITLINLEYYDQETIKFIAITKFYESLYMHDDHKSSLDEDLSCLLSFQIKDFK LFHFLKKMYYSRHSLLGQSSFMVPAAENLSPIPASIDTNDIPLIANDLKLLETQAKLI NILQGVPFYLPVNLTKIESLLETLTMGVSNTVDLYFHDNEVRKEWKDTLNFINTIVYT NFFLFVQNESSLSMAVQHSSNNNKTSNSERCAKDLMKIISNMHIFYSITFNFIFPIKS IKSFSSGNNRFHSNGKEFLFANHFIEILQNFIAITFAIFQRCEVILYDEFYKNLSNEE INVQLLLIHDKILEILKKIEIIVSFLRDEMNSNGSFKSIKGFNKVLNLIKYMLRFSKK KQNFARNSDNNNVTDYSQSAKNKNVLLKFPVSELNRIYLKFKEISDFLMEREVVQRSI IIDKDLESDNLGITTANFNDFYDAFYN YHR057C MKFSGLWCWLLLFLSVNVIASDVGELIDQDDEVITQKVFFDIEH GEEKVGRIVIGLYGKVCPKTAKNFYKLSTTTNSKKGFIGSTFHRVIPNFMVQGGDFTD GTGVGGKSIYGDTFPDENFTLKHDRKGRLSMANRGKDTNGSQFFITTTEEASWLDGKH VVFGQVVDGMDVVNYIQHVSRDANDKPLEAVKIAKCGEWTPELSS YHR058C MNVTPLDELQWKSPEWIQVFGLRTENVLDYFAESPFFDKTSNNQ VIKMQRQFSQLNDPNAAVNMTQNIMTLPDGKNGNLEEEFAYVDPARRQILFKYPMYMQ LEEELMKLDGTEYVLSSVREPDFWVIRKQRRTNNSGVGSAKGPEIIPLQDYYIIGANI YQSPTIFKIVQSRLMSTSYHLNSTLESLYDLIEFQPSQGVHYKVPTDTSTTATAATNG NNAGGGSNKSSVRPTGGANMATVPSTTNVNMTVNTMGTGGQTIDNGTGRTGNGNMGIT TEMLDKLMVTSIRSTPNYI YHR059W MIPSRISHKFPLFLRSSLAAPKAAYRFSSTIPKPSDQVPDVDAF LNKIGRNCNELKDTFENNWNNLFQWDSKILKEKGVNIQQRKYILKQVHNYRNNRPIHE IKLGKKSFFGGERKRKAFTAKWKAENKQ YHR060W MSETRMAQNMDTTDEQYLRLIELLSNYDSTLEQLQKGFQDGYIQ LSRSNYYNKDSLRGNYGEDYWDETYIGQLMATVEEKNSKVVVEIVKRKAQDKQEKKEE EDNKLTQRKKGTKPEKQKTQSHKLKQDYDPILMFGGVLSVPSSLRQSQTSFKGCIPLI AQLINYKNEILTLVETLSEQE YHR061C MTEGKRLQQMELPQMKSIWIDEDQEMEKLYGFQVRQRFMNGPST DSDEDADEDLGIVLVDSKKLALPNKNNIKLPPLPNYMTINPNINSNHKSLTNKKKNFL GMFKKKDLLSRRHGSAKTAKQSSISTPFDFHHISHANGKREDNPLESHEEKHDVESLV KFTSLAPQPRPDSNVSSKYSNVVMNDSSRIVSSSTIATTMDSHHDGNETNNTPNGNKQ LDSPTDLEMTLEDLRNYTFPSVLGDSVSEKTNPSSPSVSSFSGKFKPRELSALHTPEL GNCFNVDQSLNSPGNRISVDDVLKFYYQCSETSTPRNT YHR062C MLVDLNVPWPQNSYADKVTSQAVNNLIKTLSTLHMLGYTHIAIN FTVNHSEKFPNDVKLLNPIDIKRRFGELMDRTGLKLYSRITLIIDDPSKGQSLSKISQ AFDIVAALPISEKGLTLSTTNLDIDLLTFQYGSRLPTFLKHKSICSCVNRGVKLEIVY GYALRDVQARRQFVSNVRSVIRSSRSRGIVIGSGAMSPLECRNILGVTSLIKNLGLPS DRCSKAMGDLASLVLLNGRLRNKSHKQTIVTGGGSGNGDDVVNDVQGIDDVQTIKVVK RSMDAEQLGHASKRHKP YHR063C MTAPHRSTIHILGLGAMGTVLAVDLLRFTNALVVPLFRSQERLA QFQKTNGNNISIRKLYLEGSPIFSYPVEKCECPETFSKKPIDNLVVTTKTYQTKEALA PYLPYINKNTNLILIQNGLGVLELLREEIFTDSKNRPHLFQGVISHGVYQDKAGVFNH AGWAGMKIAKLPWTEEEMIQKKSVVEDDAANNSLVKLLTEPKFAKEFGIEHSTYQEML FGQLFKFLVNACMNPVTAILDCVNGEMKASCGPVFTSIIDECLQILRVAYRPLFQYHE KYSGNEEYPEMDVNAVLTTDNMVSEVTRIGCDINSRNSSSMRQDTLFLRDIEIEYING YVVKLADNLNLDPNCCKVNKTIGELATMRLALNRSRSINGDWRKD YHR064C MSSPVIGITFGNTSSSIAYINPKNDVDVIANPDGERAIPSALSY VGEDEYHGGQALQQLIRNPKNTIINFRDFIGLPFDKCDVSKCANGAPAVEVDGKVGFV ISRGEGKEEKLTVDEVVSRHLNRLKLAAEDYIGSAVKEAVLTVPTNFSEEQKTALKAS AAKIGLQIVQFINEPSAALLAHAEQFPFEKDVNVVVADFGGIRSDAAVIAVRNGIFTI LATAHDLSLGGDNLDTELVEYFASEFQKKYQANPRKNARSLAKLKANSSITKKTLSNA TSATISIDSLADGFDYHASINRMRYELVANKVFAQFSSFVDSVIAKAELDPLDIDAVL LTGGVSFTPKLTTNLEYTLPESVEILGPQNKNASNNPNELAASGAALQARLISDYDAD ELAEALQPVIVNTPHLKKPIGLIGAKGEFHPVLLAETSFPVQKKLTLKQAKGDFLIGV YEGDHHIEEKTLEPIPKEENAEEDDESEWSDDEPEVVREKLYTLGTKLMELGIKNANG VEIIFNINKDGALRVTARDLKTGNAVKGEL YHR065C MSKIVKRKEKKANDELTSLAEKIRAKALENQKKLIEAEKEGGSE SDSEEDATAEKKKVLKSKSKSTVSTQNENTNEDESFESFSELNLVPELIQACKNLNYS KPTPIQSKAIPPALEGHDIIGLAQTGSGKTAAFAIPILNRLWHDQEPYYACILAPTRE LAQQIKETFDSLGSLMGVRSTCIVGGMNMMDQARDLMRKPHIIIATPGRLMDHLENTK GFSLRKLKFLVMDEADRLLDMEFGPVLDRILKIIPTQERTTYLFSATMTSKIDKLQRA SLTNPVKCAVSNKYQTVDTLVQTLMVVPGGLKNTYLIYLLNEFIGKTMIIFTRTKANA ERLSGLCNLLEFSATALHGDLNQNQRMGSLDLFKAGKRSILVATDVAARGLDIPSVDI VVNYDIPVDSKSYIHRVGRTARAGRSGKSISLVSQYDLELILRIEEVLGKKLPKESVD KNIILTLRDSVDKANGEVVMEMNRRNKEKIARGKGRRGRMMTRENMDMGER YHR066W MAKRRQKKRTHAQLTPEQEQGIPKSMVIRVGQTSLANHSLNQLV KDFRQIMQPHTAIKLKERKSNKLKDFVVMCGPLGVTHLFMFTQSEKTGNVSLKIARTP QGPTVTFQVLDYSLGRDIKKFLKRPKSLNNDDVLNPPLLVLNGFSTSKRSGEDDQDVN VEKVIVSMFQNIFPPLNPARTSLNSIKRVFMINKDRETGEISMRHYFIDIREVEISRN LKRLYKAKNNLSKTVPNLHRKEDISSLILDHDLGAYTSESEIEDDAIVRVVDNQDVKA KHSQSLKSQRTPVEKKDNKEREKETEEEDVEMEEPKPSENLQPTPRKKAIKLTELGPR LTLKLVKIEEGICSGKVLHHEFVQKSSEEIKALEKRHAAKMRLKEQRKKEQEENIAKK KAVKDAKKQRKLERRKARAAEGGEGQGKDDAMSDDESSSSDSEHYGSVPEDLDSDLFS EVE YHR067W MKSKTWIFRDVLSSHRTKAFDSLLCRRLPVSKATKHLQLGEHFL FFPPSFEKLDRDGYFNYQNPASLLGNPDLRYRRRIWGQGELVQYLPVTLDQEYTCHES IKYVKKIRDEHVVCIERTLLQERPENVSSPMDICLFERRVLMYTNSPANKTAVKMPVG EENYKILKNFTVTDMDIVAYGQMSLNPHRIHWDKEYSRYVEGYDDIIMQGPFSVQLLQ KCIQPFLEQPIRQLRYRNLNYIYPNTTLSICQSLSSSSGMYTFQIRDLQKANLVYMKA DVFC YHR068W MSDINEKLPELLQDAVLKASVPIPDDFVKVQGIDYSKPEATNMR ATDLIEAMKTMGFQASSVGTACEIIDSMRSWRGKHIDELDDHEKKGCFDEEGYQKTTI FMGYTSNLISSGVRETLRYLVQHKMVDAVVTSAGGVEEDLIKCLAPTYLGEFALKGKS LRDQGMNRIGNLLVPNDNYCKFEEWIVPILDKMLEEQDEYVKKHGADCLEANQDVDSP IWTPSKMIDRFGKEINDESSVLYWAHKNKIPIFCPSLTDGSIGDMLFFHTFKASPKQL RVDIVGDIRKINSMSMAAYRAGMIILGGGLIKHHIANACLMRNGADYAVYINTGQEYD GSDAGARPDEAVSWGKIKAEAKSVKLFADVTTVLPLIVAATFASGKPIKKVKN YHR069C MSEVITITKRNGAFQNSSNLSYNNTGISDDENDEEDIYMHDVNS ASKSESDSQIVTPGELVTDDPIWMRGHGTYFLDNMTYSSVAGTVSRVNRLLSVIPLKG RYAPETGDHVVGRIAEVGNKRWKVDIGGKQHAVLMLGSVNLPGGILRRKSESDELQMR SFLKEGDLLNAEVQSLFQDGSASLHTRSLKYGKLRNGMFCQVPSSLIVRAKNHTHNLP GNITVVLGVNGYIWLRKTSQMDLARDTPSANNSSSIKSTGPTGAVSLNPSITRLEEES SWQIYSDENDPSISNNIRQAICRYANVIKALAFCEIGITQQRIVSAYEASMVYSNVGE LIEKNVMESIGSDILTAEKMRGNGN YHR070W MKIALPVFQKFNRLISSCKMSGVFPYNPPVNRQMRELDRSFFIT KIPMCAVKFPEPKNISVFSKNFKNCILRVPRIPHVVKLNSSKPKDELTSVQNKKLKTA DGNNTPVTKGVLLHESIHSVEDAYGKLPEDALAFLKENSAEIVPHEYVLDYDFWKAEE ILRAVLPEQFLEEVPTGFTITGHIAHLNLRTEFKPFDSLIGQVILDKNNKIECVVDKV SSIATQFRTFPMKVIAGKSDSLVVEQKESNCTFKFDFSKVYWNSRLHTEHERLVKQYF QPGQVVCDVFAGVGPFAVPAGKKDVIVLANDLNPESYKYLKENIALNKVAKTVKSFNM DGADFIRQSPQLLQQWIQDEEGGKITIPLPLKKRHRSQQHNDQQPPQPRTKELIIPSH ISHYVMNLPDSAISFLGNFRGIFAAHTKGATDTIQMPWVHVHCFEKYPPGDQVTEDEL HARVHARIIAALKVTADDLPLNAVSLHLVRKVAPTKPMYCASFQLPANV YHR071W MDGNHRFTPDSKEFNTVVKSKESSTGRNPYQTPPLEHNGTHHQT NYSRKKTNLAIIISNFLSEISRPLSNGKINNSTHNILKFLNEVLKRSKCSKENAVLAT FYFQKIHQSRGVRDESSLPEFSHCSRRIFLCCLILSHKFLNDNTYSMKNWQIISGLHA KDLSLMERWCLGKLNYELAIPYDEFLLWETNTLMKAKLRVGTPANAPVKRPRESDNDY DANSWKQIKSC YHR072W MTEFYSDTIGLPKTDPRLWRLRTDELGRESWEYLTPQQAANDPP STFTQWLLQDPKFPQPHPERNKHSPDFSAFDACHNGASFFKLLQEPDSGIFPCQYKGP MFMTIGYVAVNYIAGIEIPEHERIELIRYIVNTAHPVDGGWGLHSVDKSTVFGTVLNY VILRLLGLPKDHPVCAKARSTLLRLGGAIGSPHWGKIWLSALNLYKWEGVNPAPPETW LLPYSLPMHPGRWWVHTRGVYIPVSYLSLVKFSCPMTPLLEELRNEIYTKPFDKINFS KNRNTVCGVDLYYPHSTTLNIANSLVVFYEKYLRNRFIYSLSKKKVYDLIKTELQNTD SLCIAPVNQAFCALVTLIEEGVDSEAFQRLQYRFKDALFHGPQGMTIMGTNGVQTWDC AFAIQYFFVAGLAERPEFYNTIVSAYKFLCHAQFDTECVPGSYRDKRKGAWGFSTKTQ GYTVADCTAEAIKAIIMVKNSPVFSEVHHMISSERLFEGIDVLLNLQNIGSFEYGSFA TYEKIKAPLAMETLNPAEVFGNIMVEYPYVECTDSSVLGLTYFHKYFDYRKEEIRTRI RIAIEFIKKSQLPDGSWYGSWGICFTYAGMFALEALHTVGETYENSSTVRKGCDFLVS KQMKDGGWGESMKSSELHSYVDSEKSLVVQTAWALIALLFAEYPNKEVIDRGIDLLKN RQEESGEWKFESVEGVFNHSCAIEYPSYRFLFPIKALGMYSRAYETHTL YHR072W-A MHLMYTLGPDGKRIYTLKKVTESGEITKSAHPARFSPDDKYSRQ RVTLKKRFGLVPGQ YHR073W METIDIQNRSFVVRWVKCGRGDVINYQIKPLKKSIEVGIYKKLK SSVDDHASAVHIAPDTKTLLDYTTKSLLHKGSSSNIEEHHRRSSQHSHSSSNGSDNKR KERSYSSLSISGIQQQSQEIPLREKLSASGFTLVKRVGNVSGNTMVQGDLEVKDTDYY YAFILDNSSSKNAKKKILFNASVINGDNQSMISTRSTPPARPTALSRTSTQQDMLFRV GQGRYLQGYLLKKRRKRLQGFKKRFFTLDFRYGTLSYYLNDHNQTCRGEIVISLSSVS ANKKDKIIIIDSGMEVWVLKATTKENWQSWVDALQTCFDDQFEDKDTSTLEENPDILD DDKEVINKSSPQDHDHLTPTATTKSALSHRQHTQKDMDDIYVPLPSESYATFSMNLRL IQQRLEQCKKDSLSYKPTTLHQRSEGLNGTHSSSSVFTNNRVSSFNHSSSGMTSSDSL ASEEVPSNKTYIEHALYNQLADLEVFVSRFVTQGEVLFKDHQILCKKAKDTRVSLTSY LSENDEFFDAEEEISRGVIILPDTEDDINNIVEETPLLGKSDQNEFTKEVQLSGSEQI ASSSVESYTTNDENHSRKHLKNRHKNRRRGHPHHQKTKSAQSSTETFTSKDLFALSYP KSVTRRNDIPEAAASPPSLLSFLRKNVGKDLSSIAMPVTSNEPISILQLISETFEYAP LLTKATQRPDPITFVSAFAISFLSIYRDKTRTLRKPFNPLLAETFELIREDMGFRLIS EKVSHRPPVFAFFAEHLDWECSYTVTPSQKFWGKSIELNNEGILRLKFKTTGELFEWT QPTTILKNLIAGERYMEPVNEFEVHSSKGDKSHILFDKAGMFSGRSEGFKVSIIPPPS SNRKKETLAGKWTQSLANETTHETIWEVGDLVSNPKKKYGFTKFTANLNEITEIEKGN LPPTDSRLRPDIRAYEEGNVDKAEEWKLKLEQLQRERRNKGQDVEPKYFEKVSKNEWK YITGPKSYWERRKKHDWSDISQLW YHR074W MSHLITLATCNLNQWALDFEGNRDRILQSIKIAKERGARLRVGP ELEITGYGCLDHFLENDVCLHSWEMYAQIIKNKETHGLILDIGMPVLHKNVRYNCRLL SLDGEILFIRPKIWLANDGNYREMRFFTPWMKPGVVEDFILPPEIQKVTGQRLVPFGD AVINSLDTCIGTETCEELFTPQSPHIAMSLDGVEIMTNSSGSHHELRKLNKRLDLILN ATKRCGGVYLYANQRGCDGDRLYYDGCALIAINGTIVAQGSQFSLDDVEVVTATVDLE EVRSYRAAVMSRGLQASLAEIKFKRIDIPVELALMTSRFDPTVCPTKVREPFYHSPEE EIALGPACWMWDYLRRCNGTGFFLPLSGGIDSCATAMIVHSMCRLVTDAAQNGNEQVI KDVRKITRSGDDWIPDSPQDLASKIFHSCFMGTENSSKETRNRAKDLSNAIGSYHVDL KMDSLVSSVVSLFEVATGKKPIYKIFGGSQIENLALQNIQARLRMVLSYLFAQLLPWV RGIPNSGGLLVLGSANVDECLRGYLTKYDCSSADINPIGGISKTDLKRFIAYASKQYN MPILNDFLNATPTAELEPMTKDYVQSDEIDMGMTYEELGVFGYLRKVEKCGPYSMFLK LLHQWSPKLTPRQISEKVKRFFFFYAINRHKQTVLTPSYHAEQYSPEDNRFDLRPFLI NPRFPWASRKIDEVVEQCEAHKGSTLDIMSID YHR075C MSDDLRRKIALSQFERAKNVLDATFQEAYEDDENDGDALGSLPS FNGQSNRNRKYTGKTGSTTDRISSKEKSSLPTWSDFFDNKELVSLPDRDLDVNTYYTL PTSLLSNTTSIPIFIFHHGAGSSGLSFANLAKELNTKLEGRCGCFAFDARGHAETKFK KADAPICFDRDSFIKDFVSLLNYWFKSKISQEPLQKVSVILIGHSLGGSICTFAYPKL STELQKKILGITMLDIVEEAAIMALNKVEHFLQNTPNVFESINDAVDWHVQHALSRLR SSAEIAIPALFAPLKSGKVVRITNLKTFSPFWDTWFTDLSHSFVGLPVSKLLILAGNE NLDKELIVGQMQGKYQLVVFQDSGHFIQEDSPIKTAITLIDFWKRNDSRNVVIKTNWG QHKTVQNT YHR076W MFANVGFRTLRVSRGPLYGSCSQIISFSKRTFYSSAKSGYQSNN SHGDAYSSGSQSGPFTYKTAVAFQPKDRDDLIYQKLKDSIRSPTGEDNYFVTSNNVHD IFAGVADGVGGWAEHGYDSSAISRELCKKMDEISTALAENSSKETLLTPKKIIGAAYA KIRDEKVVKVGGTTAIVAHFPSNGKLEVANLGDSWCGVFRDSKLVFQTKFQTVGFNAP YQLSIIPEEMLKEAERRGSKYILNTPRDADEYSFQLKKKDIIILATDGVTDNIATDDI ELFLKDNAARTNDELQLLSQKFVDNVVSLSKDPNYPSVFAQEISKLTGKNYSGGKEDD ITVVVVRVD YHR077C MDDGRKKELHDLNTRAWNGEEVFPLKSKKLDSSIKRNTGFIKKL KKGFVKGSESSLLKDLSEASLEKYLSEIIVTVTECLLNVLNKNDDVIAAVEIISGLHQ RFNGRFTSPLLGAFLQAFENPSVDIESERDELQRITRVKGNLRVFTELYLVGVFRTLD DIESKDAIPNFLQKKTGRKDPLLFSILREILNYKFKLGFTTTIATAFIKKFAPLFRDD DNSWDDLIYDSKLKGALQSLFKNFIDATFARATELHKKVNKLQREHQKCQIRTGKLRD EYVEEYDKLLPIFIRFKTSAITLGEFFKLEIPELEGASNDDLKETASPMITNQILPPN QRLWENEDTRKFYEILPDISKTVEESQSSKTEKDSNVNSKNINLFFTDLEMADCKDII DDLSNRYWSSYLDNKATRNRILKFFMETQDWSKLPVYSRFIATNSKYMPEIVSEFINY LDNGFRSQLHSNKINVKNIIFFSEMIKFQLIPSFMIFHKIRTLIMYMQVPNNVEILTV LLEHSGKFLLNKPEYKELMEKMVQLIKDKKNDRQLNMNMKSALENIITLLYPPSVKSL NVTVKTITPEQQFYRILIRSELSSLDFKHIVKLVRKAHWDDVAIQKVLFSLFSKPHKI SYQNIPLLTKVLGGLYSYRRDFVIRCIDQVLENIERGLEINDYGQNMHRISNVRYLTE IFNFEMIKSDVLLDTIYHIIRFGHINNQPNPFYLNYSDPPDNYFRIQLVTTILLNINR TPAAFTKKCKLLLRFFEYYTFIKEQPLPKETEFRVSSTFKKYENIFGNTKFERSENLV ESASRLESLLKSLNAIKSKDDRVKGSSASIHNGKESAVPIESITEDDEDEDDENDDGV DLLGEDEDAEISTPNTESAPGKHQAKQDESEDEDDEDDDEDDDDDDDDDDDDGEEGDE DDDEDDDDEDDDDEEEEDSDSDLEYGGDLDADRDIEMKRMYEEYERKLKDEEERKAEE ELERQFQKMMQESIDARKSEKVVASKIPVISKPVSVQKPLLLKKSEEPSSSKETYEEL SKPKKIAFTFLTKSGKKTQSRILQLPTDVKFVSDVLEEEEKLKTERNKIKKIVLKRSF D YHR078W MEALIVFIVLSVSGAFAYKCSYERLWFKVGSLFDIISTSSKKNV IPLASKMEVGSNEDVSSMGNFINKFYTEYSLPSHKVLQSLRVLFSLAMMTYTVTIEII LWQIKVAGMDKEVTFITTWVWPLTAIMLSFILILFQPFFIIISLLNKFYNDKFDIDRL IIVTCIILSTLIALLSYINIGPFQYTKNILTRLSIGGVTVMASLSGLATVSSLYYNFL VIWHKFRNTPMSDPSFRNINNSNNNSKSLLWTTDAYIEEKIQDYEHNIEQNVQILRSL EEEVGENSTFKAELMEKIAWYQLELGKLEALLQQSPQVRTFKKAFEVGFIIYCLHKLI ITFLKRIPYIIYHSLKYPDDYDYENFSENAASDPLAITIANILDFSFFRFNYQHDLDS LTKQISLFLSISLFLCCLSAVNTTISYVVTLLPIKFQILALFAMQNDDTANVLPEYTN NSSYKGKKRNYSQEQKGISLIKNLVVSELTGVYVLATTLMVRSHLPFEVSQRLKELLG GKFTVPNIVIDSWFDEVYAFACVFTFICIRIAERKLSTKKVSVE YHR079C MRLLRRNMLVLTLLVCVFSSIISCSIPLSSRTSRRQIVEDEVAS TKKLNFNYGVDKNINSPIPAPRTTEGLPNMKLSSYPTPNLLNTADNRRANKKGRRAAN SISVPYLENRSLNELSLSDILIAADVEGGLHAVDRRNGHIIWSIEPENFQPLIEIQEP SRLETYETLIIEPFGDGNIYYFNAHQGLQKLPLSIRQLVSTSPLHLKTNIVVNDSGKI VEDEKVYTGSMRTIMYTINMLNGEIISAFGPGSKNGYFGSQSVDCSPEEKIKLQECEN MIVIGKTIFELGIHSYDGASYNVTYSTWQQNVLDVPLALQNTFSKDGMCIAPFRDKSL LASDLDFRIARWVSPTFPGIIVGLFDVFNDLRTNENILVPHPFNPGDHESISSNKVYL DQTSNLSWFALSSQNFPSLVESAPISRYASSDRWRVSSIFEDETLFKNAIMGVHQIYN NEYDHLYENYEKTNSLDTTHKYPPLMIDSSVDTTDLHQNNEMNSLKEYMSPEDLEAYR KKIHEQISRELDEKNQNSLLLKFGSLVYRIIETGVFLLLFLIFCAILQRFKILPPLYV LLSKIGFMPEKEIPIVESKSLNCPSSSENVTKPFDMKSGKQVVFEGAVNDGSLKSEKD NDDADEDDEKSLDLTTEKKKRKRGSRGGKKGRKSRIANIPNFEQSLKNLVVSEKILGY GSSGTVVFQGSFQGRPVAVKRMLIDFCDIALMEIKLLTESDDHPNVIRYYCSETTDRF LYIALELCNLNLQDLVESKNVSDENLKLQKEYNPISLLRQIASGVAHLHSLKIIHRDL KPQNILVSTSSRFTADQQTGAENLRILISDFGLCKKLDSGQSSFRTNLNNPSGTSGWR APELLEESNNLQCQVETEHSSSRHTVVSSDSFYDPFTKRRLTRSIDIFSMGCVFYYIL SKGKHPFGDKYSRESNIIRGIFSLDEMKCLHDRSLIAEATDLISQMIDHDPLKRPTAM KVLRHPLFWPKSKKLEFLLKVSDRLEIENRDPPSALLMKFDAGSDFVIPSGDWTVKFD KTFMDNLERYRKYHSSKLMDLLRALRNKYHHFMDLPEDIAELMGPVPDGFYDYFTKRF PNLLIGVYMIVKENLSDDQILREFLYS YHR079C-A MNYLETQLNKKQKQIQEYESMNGNLIKMFEQLSKEKKNDETPKK ISSTYIKELKEYNELRDAGLRLAQIIADEKQCKIKDVFEEIGYSMKD YHR080C MTRDSKKKHHWGTAFLRTIGVKRKHKKDRNFLNNTTGENVSTTA SAERFRRVGGNPDIPSLLKPETFTESPAKGSQKAAASSLAHSQGVFNIPIVIDPMETN RLEKTNTNLTAGSLKGRFQDGNSNSNSVPSLSVQALEKEKLQSGKREGSSNQAEEKTP DGHDEHTAFETFLSFAHNAVSHIPKINVQDADNGTISRNEPKDRKKNSSNISGALSEN STNNKNTSSTKESDGPFLKNLDNILAASKSSTPSNQQLNTTEAGSKSKPSSLSRLAFG NLKGHIHSNSHSSSNAISGDDTSLDDTRKMTDDMARKVVFEPIRHSHDKPTPGVGNLK LEHFDDSQATLEGLEAMSAESLPEADHLDSRGPVQQSNLERKTVPSKWSVVSSSTTDG VKPRRRAKSMISAMADKQNTSSDVLQDCKKRLSFNSSNGLTNNDPEYEDREPREMSKK FLNRRSFSPGSISMGMKVLPSTALKYSLNKVKNSTDIASTIIPRPSMSNGRPSSGLRR SSSKSFSSTPVNIIEPSEENGRQSSIRIKGVEYASEKKDAEFHAIFKDSGVSPNERLI LDHSCALSRDILLQGRMYISDQHIGFYSNILGWVSTVFIPFKTIVQIEKRATAGIFPN GIVIDTLHTKYTFASFTSRDATYDLITEVWNQIILGKRFRSNSNNTNSSSNSISDDEN DDYDDDYDDYGDDDDDLYDNSNNISDSTDMTSSVSIGKPEDLPMPLQTDTPYGTGIPP LGPKIHSPTETVYKPAPNEKLVNESTIHASLGRVVNILFGKDVSYIMAILKAQKNSDI SPIPVLVDSPTVSEGKKRDYSYVKTTPGAIGPGKTKCMITETIQHFNLEEYVQVLQTT KTPDVPSGNSFYVRTVYLLSWANNNETKLKLYVSVEWTGKSLIKSPIEKGTFDGVTDA TKILVEELGNILTRSATKRKRSSKENTVTVSTLPKMEPSSHAPTEPDIQKDKDDSIIR ENENIPAPLGTVVQLLFGSNTEYMQKVITRDKNNVNVETIPKFTPSLVEGGSRHYEYT KKLNNSIGPKQTKCLLTESIEHMDINNYVLVTQTTKTPDVPSGSNFAVESKIFLFWGQ HDTTNMTVITKINWTSKSFLKGAIEKGSVEGQKVSVDYMLSELRDIISRAKSKKPVKK VMKSHDKHRPFHSKVEQKSSESRKSDDNKDILTHILDFVQNNFSSEIFMNKLLSPQKL FLILGLTIMLFWSPRLHVFQEKNNLQIIKPGRLLIDGQEYNYVPSFGTLYNSYENAIS SKKKRENVNYARDKSPIVGRESDIWDWISNRGSAISPRGRAMLRNDDEHKLQQLSESI KITEMQLNHMKTMLDNIERDANDLS YHR081W MEDIEKIKPYVRSFSKALDELKPEIEKLTSKSLDEQLLLLSDER AKLELINRYAYVLSSLMFANMKVLGVKDMSPILGELKRVKSYMDKAKQYDNRITKSNE KSQAEQEKAKNIISNVLDGNKNQFEPSISRSNFQGKHTKFENDELAESTTTKIIDSTD HIRKASSKKSKRLDKVGKKKGGKK YHR082C MTLDYEIYKEGGILNNRYQKIEDISEGSYGYVSLAKDVREKRLV AVKYIFKLEDDGQYDGPQDDENDCDSSDCDDDEDTKVDTDRHENENGNASSNNGSSRE KKHNLYKHKKSLISSKVKSRLSNNICLEAMYEVDIQTKIGRHQNIAALLDFFDSYIIM EYCSGGDLYEAIKADAVPKKTKSITHIITQIMDAIEYVHNKGIYHRDIKPENILISGI DWTIKLTDWGLATTDKTSMDRNVGSERYMSPELFDSNLDIKERKEPYDCAKVDLWAMG IVFLNIVFHKNPFSIANQSDKSFCYFAANREALFDVFSTMAYDFFQVLRYSLTIDPAN RDLKMMRTELQNLSEYTLDDEYYNNLDEGYEETMIDGLPPQPVPPSSAPVSLPTPISS SNKQHMPEFKKDFNFNNVNERKRSDVSQNQNVASGFFKKPSTQQQKFFNQGYNTTLST HERAKSAPKFKFKKRNKYGRTDNQFSKPVNIEDRKKSKILKKSRKPLGIPTPNTHMNN FFHDYKARDEFNTRDFFTPPSVQHRYMEGFSNNNNKQYRQNRNYNNNNNNSNNNHGSN YNNFNNGNSYIKGWNKNFNKYRRPSSSSYTGKSPLSRYNMSYNHNNNSSINGYARRGS TTTVQHSPGAYIPPNARNHHVSPTNQFLRVPQSTAPDISTVLGGKPSYQEHYTQDSMD SEGDHDSDDVLFTLEEGDHDFVNGMDNLSINDHLPHTTVGSHNEVFVHASTNHNNNGN NNHIDTNSTTNQYHRQYIPPPLTTSLHINNNNNESNELPDLLKSPASSEAHLNLSSGP IDPILTGNIGNRYSHSSDSKELEQERRLSMEQKFKNGVYVPPHHRKSFNLGTQVPPMN MKTSNEATLSVSHNSVNFGGSYNSRRSSANESNPLHMNKALEKLSSSPGAKSSFVGFP KPLLPRNHSSTTIALQNEDVFADSNNDAIIFEDEEYEGESDKMAHGKMEGGDNESSST SPDERQIFGPYEIYAQTFAGSTHDKKLGAGRKTSIQDEMVGSLEQYKNNWLILQQQD YHR083W MVSSFSVPMPVKRIFDTFPLQTYAAQTDKDEAVALEIQRRSYTF TERGGGSSELTVEGTYKLGVYNVFLEANTGAALATDPWCLFVQLALCQKNGLVLPTHS QEQTPSHTCNHEMLVLSRLSNPDEALPILVEGYKKRIIRSTVAISEIMRSRILDDAEQ LMYYTLLDTVLYDCWITQIIFCASDAQFMELYSCQKLSGSIVTPLDVENSLLQKLSAK SLKISLTKRNKFQFRHREIVKSMQGVYHNHHNSVNQEQVLNVLFENSKQVLLGLKDML KSDGQPTYLHLKIASYILCITNVKEPIKLKTFVENECKELVQFAQDTLKNFVQ YHR084W MKVQITNSRTEEILKVQANNENDEVSKATPGEVEESLRLIGDLK FFLATAPVNWQENQIIRRYYLNSGQGFVSCVFWNNLYYITGTDIVKCCLYRMQKFGRE VVQKKKFEEGIFSDLRNLKCGIDATLEQPKSEFLSFLFRNMCLKTQKKQKVFFWFSVA HDKLFADALERDLKRESLNQPSTTKPVNEPALSFSYDSSSDKPLYDQLLQHLDSRRPS STTKSDNSPPKLESENFKDNELVTVTNQPLLGVGLMDDDAPESPSQINDFIPQKLIIE PNTLELNGLTEETPHDLPKNTAKGRDEEDFPLDYFPVSVEYPTEENAFDPFPPQAFTP AAPSMPISYDNVNERDSMPVNSLLNRYPYQLSVAPTFPVPPSSSRQHFMTNRDFYSSN NNKEKLVSPSDPTSYMKYDEPVMDFDESRPNENCTNAKSHNSGQQTKQHQLYSNNFQQ SYPNGMVPGYYPKMPYNPMGGDPLLDQAFYGADDFFFPPEGCDNNMLYPQTATSWNVL PPQAMQPAPTYVGRPYTPNYRSTPGSAMFPYMQSSNSMQWNTAVSPYSSRAPSTTAKN YPPSTFYSQNINQYPRRRTVGMKSSQGNVPTGNKQSVGKSAKISKPLHIKTSAYQKQY KINLETKARPSAGDEDSAHPDKNKEISMPTPDSNTLVVQSEEGGAHSLEVDTNRRSDK NLPDAT YHR085W MTKSRKQKQKKQDFLRKKLKVGKPKEKARNATDTSFVSKTISIR NQHLDQNPHDLTKRLTLLKHHNINVRKETLTTFQKSIPSIIKSRLMTPLLTQSIPLIC DESQQVRQGLIDLVDEIGSHDAEILKLHCNIFVLYINMAMTHIVTQIQADSTKFLSHL LKYCGDEVVRKSWVKLLNGVFGVLGWGQVGKNDSASIVQTKKRNAKYVTIHLNALYTL VEYGCQDERARSDGDTAETTEDSGTLRNPYLIPDYPQPFEHLKLFTRELKVQDATSSG VNATLLSLATQDIDTRKAVFIEQFLPIVRKKIEVIIKEGGECGKSANKLKTLLAKIFD YHR086W MSYKQTTYYPSRGNLVRNDSSPYTNTISSETNNSSTSVLSLQGA SNVSLGTTGNQLYMGDLDPTWDKNTVRQIWASLGEANINVRMMWNNTLNNGSRSSMGP KNNQGYCFVDFPSSTHAANALLKNGMLIPNFPNKKLKLNWATSSYSNSNNSLNNVKSG NNCSIFVGDLAPNVTESQLFELFINRYASTSHAKIVHDQVTGMSKGYGFVKFTNSDEQ QLALSEMQGVFLNGRAIKVGPTSGQQQHVSGNNDYNRSSSSLNNENVDSRFLSKGQSF LSNGNNNMGFKRNHMSQFIYPVQQQPSLNHFTDPNNTTVFIGGLSSLVTEDELRAYFQ PFGTIVYVKIPVGKCCGFVQYVDRLSAEAAIAGMQGFPIANSRVRLSWGRSAKQTALL QQAMLSNSLQVQQQQPGLQQPNYGYIPSSTCEAPVLPDNNVSSTMLPGCQILNYSNPY ANANGLGSNNFSFYSNNNATNTQATSLLADTSSMDLSGTGGQQVIMQGSEAVVNSTNA MLNRLEQGSNGFMFA YHR086W-A MRLSLYSCCLCIGARRIPTPCLFSYIFTPNLAHFHSPLRSSGFR PAGATRFYS YHR087W MSTVTKYFYKGENTDLIVFAASEELVDEYLKNPSIGKLSEVVEL FEVFTPQDGRGAEGELGAASKAQVENEFGKGKKIEEVIDLILRNGKPNSTTSSLKTKG GNAGTKAYN YHR088W MALGNEINITNKLKRQEIFADIKHEKNKERHTMRRKRAKEEREN PELREQRLKENVTQTIENTRVYDETINKEVEGDEDDLMRYFNSNSNEPPKIFLTTNVN AKKSAYEFANILIEILPNVTFVKRKFGYKLKEISDICIKRNFTDIVIINEDKKKVTGL TFIHLPEGPTFYFKLSSFVEVKKIVGHGRPTSHIPELILNNFQTRLGQTVGRLFQSIL PQNPDIEGRQVITLHNQRDYIFFRRHRYVFKDNERVGLQELGPQFTLKLKRLQRGIKE ETEWEHKPEMDKEKKKFYL YHR089C MSFRGGNRGGRGGFRGGFRGGRTGSARSFQQGPPDTVLEMGAFL HPCEGDIVCRSINTKIPYFNAPIYLENKTQVGKVDEILGPLNEVFFTIKCGDGVQATS FKEGDKFYIAADKLLPIERFLPKPKVVGPPKPKNKKKRSGAPGGRGGASMGRGGSRGG FRGGRGGSSFRGGRGGSSFRGGSRGGSFRGGSRGGSRGGFRGGRR YHR090C MDPSLVLEQTIQDVSNLPSEFRYLLEEIGSNDLKLIEEKKKYEQ KESQIHKFIRQQGSIPKHPQEDGLDKEIKESLLKCQSLQREKCVLANTALFLIARHLN KLEKNIALLEEDGVLAPVEEDGDMDSAAEASRESSVVSNSSVKKRRAASSSGSVPPTL KKKKTSRTSKLQNEIDVSSREKSVTPVSPSIEKKIARTKEFKNSRNGKGQNGSPENEE EDKTLYCFCQRVSFGEMVACDGPNCKYEWFHYDCVNLKEPPKGTWYCPECKIEMEKNK LKRKRN YHR091C MFGIVYLKNRSLLCKNPFSSYPRYGFMPSFDTQFSNQFRKLEIN IGRKRYSSKTLNTKYTDQPEGPIYPLDVLRLDISKALHDISGIDHSLILNALESTNSM DRGDLLLPLPKIKVADPVAVANRWAIELSTHGCIGKVCAKGPFLQFFLDQRYLIQSTV PNILLQKGKYGQKKSRHQKKVVVEFSSPNIAKPFHAGHLRSTIIGGFLSNLYEAMGWS VTRMNYLGDWGRQFGLLAVGFKRYGDEKTLQKQPIQHLFDVYVKINMDLAKEEINGNS KCGISGEARSFFKNLENGDENAIKIWNRFRSLSIHHYIQTYSRLNINFDIFSGESQVS KESMNEALDIFRKNNLVKEIDGALVIDLTQWSKRLGRVVVQKSDGTTLYLTRDVGAAI ERKKNLHFDKMVYVISSQQDLYMSQFFMILKKMNFEWAKDLQHINFGMVQGMSTRKGN VVFLDTILDEARDKALQIMENNKMKISQVDNPQRVADLIGVSAIIIQDMKSKRINNYE FNWNRMLSFEGDTGPYLQYTHSRLRSLERTSSDFTTDMLIHADFSNLNEPQLVELVRL LAQYPDVLRRAFETQEPATIVTYLFKVCHQVSSCYKKIWVSGKPADIAIPRLAVYSAS RQVLHNAMSLLGLVPVDRM YHR092C MSEEAAYQEDTAVQNTPADALSPVESDSNSALSTPSNKAERDDM KDFDENHEESNNYVEIPKKPASAYVTVSICCLMVAFGGFVFGWDTGTISGFVAQTDFI RRFGMKHHDGTYYLSKVRTGLIVSIFNIGCAIGGIILAKLGDMYGRKMGLIVVVVIYI IGIIIQIASINKWYQYFIGRIISGLGVGGIAVLSPMLISEVSPKHIRGTLVSCYQLMI TLGIFLGYCTNYGTKTYTNSVQWRVPLGLGFAWALFMIGGMTFVPESPRYLVEVGKIE EAKRSIALSNKVSADDPAVMAEVEVVQATVEAEKLAGNASWGEIFSTKTKVFQRLIMG AMIQSLQQLTGDNYFFYYGTTVFTAVGLEDSFETSIVLGIVNFASTFVGIFLVERYGR RRCLLWGAASMTACMVVFASVGVTRLWPNGKKNGSSKGAGNCMIVFTCFYLFCFATTW APIPFVVNSETFPLRVKSKCMAIAQACNWIWGFLIGFFTPFISGAIDFYYGYVFMGCL VFSYFYVFFFVPETKGLTLEEVNTLWEEGVLPWKSPSWVPPNKRGTDYNADDLMHDDQ PFYKKMFGKK YHR093W MDCKIKAAGKNSGIFHEGGTKSSKSFLTVFIRSVFPLSPSFPAG GGIWGPMEKKPGGVGKKKGSEKKTAQGNIFFSTERDAGQEKCGILYKHCFSILYGFFW KKADKPKEKTGNGSGLGIVFPIGQKKIPEPADSDIFLPCFRYAAASDFTKAKRFLVEI TAVYWVSLEAQPSSASCLFILI YHR094C MNSTPDLISPQKSNSSNSYELESGRSKAMNTPEGKNESFHDNLS ESQVQPAVAPPNTGKGVYVTVSICCVMVAFGGFIFGWDTGTISGFVAQTDFLRRFGMK HHDGSHYLSKVRTGLIVSIFNIGCAIGGIVLAKLGDMYGRRIGLIVVVVIYTIGIIIQ IASINKWYQYFIGRIISGLGVGGITVLSPMLISEVAPSEMRGTLVSCYQVMITLGIFL GYCTNFGTKNYSNSVQWRVPLGLCFAWALFMIGGMMFVPESPRYLVEAGRIDEARASL AKVNKCPPDHPYIQYELETIEASVEEMRAAGTASWGELFTGKPAMFQRTMMGIMIQSL QQLTGDNYFFYYGTIVFQAVGLSDSFETSIVFGVVNFFSTCCSLYTVDRFGRRNCLMW GAVGMVCCYVVYASVGVTRLWPNGQDQPSSKGAGNCMIVFACFYIFCFATTWAPIAYV VISECFPLRVKSKCMSIASAANWIWGFLISFFTPFITGAINFYYGYVFMGCMVFAYFY VFFFVPETKGLSLEEVNDMYAEGVLPWKSASWVPVSKRGADYNADDLMHDDQPFYKSL FSRK YHR096C MSELENAHQGPLEGSATVSTNSNSYNEKSGNSTAPGTAGYNDNL AQAKPVSSYISHEGPPKDELEELQKEVDKQLEKKSKSDLLFVSVCCLMVAFGGFVFGW DTGTISGFVRQTDFIRRFGSTRANGTTYLSDVRTGLMVSIFNIGCAIGGIVLSKLGDM YGRKIGLMTVVVIYSIGIIIQIASIDKWYQYFIGRIISGLGVGGITVLAPMLISEVSP KQLRGTLVSCYQLMITFGIFLGYCTNFGTKNYSNSVQWRVPLGLCFAWSIFMIVGMTF VPESPRYLVEVGKIEEAKRSLARANKTTEDSPLVTLEMENYQSSIEAERLAGSASWGE LVTGKPQMFRRTLMGMMIQSLQQLTGDNYFFYYGTTIFQAVGLEDSFETAIVLGVVNF VSTFFSLYTVDRFGRRNCLLWGCVGMICCYVVYASVGVTRLWPNGQDQPSSKGAGNCM IVFACFYIFCFATTWAPVAYVLISESYPLRVRGKAMSIASACNWIWGFLISFFTPFIT SAINFYYGYVFMGCMVFAYFYVFFFVPETKGLTLEEVNEMYEENVLPWKSTKWIPPSR RTTDYDLDATRNDPRPFYKRMFTKEK YHR097C MNQTGRTIGGPQNGVNTVINPFRVSPSEDRVSSRDETPRNYNNP FLNEDDTRRAHNSSVSNSRQERLPSYEEAAGTPKQQAPYPKEKKRSSGSNSHQHNHHH HRRTSHGHRDKDKQKSKSRTKVKPPKNVDTIDKMDVTGLFGGSFHHDGPFDACTPQRN KNNKVAPVLAFPADGPNNTVGGRTSKKSTLDEVFGRETVDDDSETLNQLQDRAYLFNK ANSSTTTLDAIKPNSKNITQFDSKMKTELVHGPITMGLGSTTFLDGAPASSAAIEQDV INHAQESRRKNSIARKKSLPSRRHLQVNNNNLKLVKTHSGHLEQKDVDDNRTSVPVTA TQGSGHEDVVKKENTGNKLLRRVKSLKTSKKH YHR098C MSQQNILAASVSALSLDESTVHTGGASSKKSRRPHRAYHNFSSG TVPTLGNSPYTTPQLNQQDGFQQPQAFTPKQFGGFNNGSGSVMSTPVMVSQERFGASE ASSPYGQSMLDMTAPQPTSHIVPTQRFEDQAQYLQRSFETCRDSVPPLPTTQFYCVDQ GSCDPHLMSLSMYNIPESEHLRAATKLPLGLTIQPFSTLTPNDAEVPTIPLPMDGTPL RCRRCRAYANPKFQFTYDSSVICNICRVKMQVPGEHFAPMGPNGQRSDLNEKSELLHG TVDFLVPSIYNAIQEKELLPLHYVFLIDVSLLANENGSSLAMVEGVRSCIEYISDFQP NCEVAIIVYDNKLRFFNLRPDLDNAQEYIVSELDDVFLPFYNGLFVKPGNSMKIINDT LIKISGYISTDKYSHVPQVCYGSALQAAKLALDTVTGGQGGKIICSLNSLPTIGNGNL SLKRDNAHIAHVKCDNGFYKKLASDFLKSYISLDLYVTNAGFIDMATVGHPVEMTSGI LKYYPHFQQETDAFTLVNDMVTNVSNIVGYQALLKVRCSTGLSVEQYYCDSSDNTDHD PIIPVLTRDTTLDVLLKYDSKIKTGTDVHFQTALLYTDIDGVRKVRSINTSGAVSNNI REIFKFINQNPVMRIMIKDVIKTLGDCDFVKIRRLIDDKMVEILTQYRGLVSSNSSTQ LILPDSIKTLPAYMLAFEKSELMKPNAQSTRGNERIYDLLKYDSLNSAQLCYKLYPQI VPFHVLLEETDLTFYDANDKLLQINSSSINNLSVRASHSNFINGGCYLIFQGDTIYLW FNENTNRMLLQDLLSVDESLPVSQISLFSGTLPETGTSINQKASNVIKNWQQVVNKSS LPLVLLRPNVDQYYSNVMSQLLCEDKTVNRIESYDNYLVIMHKKIQEKLQKDDFIKVS TAATHENIHQKFVQF YHR099W MSLTEQIEQFASRFRDDDATLQSRYSTLSELYDIMELLNSPEDY HFFLQAVIPLLLNQLKEVPISYDAHSPEQKLRNSMLDIFNRCLMNQTFQPYAMEVLEF LLSVLPKENEENGILCMKVLTTLFKSFKSILQDKLDSFIRIIIQIYKNTPNLINQTFY EAGKAEQGDLDSPKEPQADELLDEFSKNDEEKDFPSKQSSTEPRFENSTSSNGLRSSM FSFKILSECPITMVTLYSSYKQLTSTSLPEFTPLIMNLLNIQIKQQQEAREQAESRGE HFTSISTEIINRPAYCDFILAQIKATSFLAYVFIRGYAPEFLQDYVNFVPDLIIRLLQ DCPSELSSARKELLHATRHILSTNYKKLFLPKLDYLFDERILIGNGFTMHETLRPLAY STVADFIHNIRSELQLSEIEKTIKIYTGYLLDESLALTVQIMSAKLLLNLVERILKLG KENPQEAPRAKKLLMIIIDSYMNRFKTLNRQYDTIMKYYGRYETHKKEKAEKLKNSIQ DNDKESEEFMRKVLEPSDDDHLMPQPKKEDINDSPDVEMTESDKVVKNDVEMFDIKNY APILLLPTPTNDPIKDAFYLYRTLMSFLKTIIHDLKVFNPPPNEYTVANPKLWASVSR VFSYEEVIVFKDLFHECIIGLKFFKDHNEKLSPETTKKHFDISMPSLPVSATKDAREL MDYLAFMFMQMDNATFNEIIEQELPFVYERMLEDSGLLHVAQSFLTSEITSPNFAGIL LRFLKGKLKDLGNVDFNTSNVLIRLFKLSFMSVNLFPNINEVVLLPHLNDLILNSLKY STTAEEPLVYFYLIRTLFRSIGGGRFENLYRSIKPILQVLLQSLNQMILTARLPHERE LYVELCITVPVRLSVLAPYLPFLMKPLVFALQQYPDLVSQGLRTLELCIDNLTAEYFD PIIEPVIDDVSKALFNLLQPQPFNHAISHNVVRILGKLGGRNRQFLKPPTDLTEKTEL DIDAIADFKINGMPEDVPLSVTPGIQSALNILQSYKSDIHYRKSAYKYLTCVLLLMTK SSAEFPTNYTELLKTAVNSIKLERIGIEKNFDLEPTVNKRDYSNQENLFLRLLESVFY ATSIKELKDDAMDLLNNLLDHFCLLQVNTTLLNKRNYNGTFNIDLKNPNFMLDSSLIL DAIPFALSYYIPEVREVGVLAYKRIYEKSCLIYGEELALSHSFIPELAKQFIHLCYDE TYYNKRGGVLGIKVLIDNVKSSSVFLKKYQYNLANGLLFVLKDTQSEAPSAITDSAEK LLIDLLSITFADVKEEDLGNKVLENTLTDIVCELSNANPKVRNACQKSLHTISNLTGI PIVKLMDHSKQFLLSPIFAKPLRALPFTMQIGNVDAITFCLSLPNTFLTFNEELFRLL QESIVLADAEDESLSTNIQKTTEYSTSEQLVQLRIACIKLLAIALKNEEFATAQQGNI RIRILAVFFKTMLKTSPEIINTTYEALKGSLAENSKLPKELLQNGLKPLLMNLSDHQK LTVPGLDALSKLLELLIAYFKVEIGRKLLDHLTAWCRVEVLDTLFGQDLAEQMPTKII VSIINIFHLLPPQADMFLNDLLLKVMLLERKLRLQLDSPFRTPLARYLNRFHNPVTEY FKKNMTLRQLVLFMCNIVQRPEAKELAEDFEKELDNFYDFYISNIPKNQVRVVSFFTN MVDLFNTMVITNGDEWLKKKGNMILKLKDMLNLTLKTIKENSFYIDHLQLNQSIAKFQ ALYLRFTELSERDQNPLLLDFIDFSFSNGIKASYSLKKFIFHNIIASSNKEKQNNFIN DATLFVLSDKCLDARIFVLKNVINSTLIYEVATSGSLKSYLVEDKKPKWLELLHNKIW KNSNAILAYDVLDHHDLFRFELLQLSAIFIKADPEIIAEIKKDIIKFCWNFIKLEDTL IKQSAYLVTSYFISKFDFPIKVVTQVFVALLRSSHVEARYLVKQSLDVLTPVLHERMN AAGTPDTWINWVKRVMVENSSSQNNILYQFLISHPDLFFNSRDLFISNIIHHMNKITF MSNSNSDSHTLAIDLASLILYWENKTLEITNVNNTKTDSDGDVVMSDSKSDINPVEAD TTAIIVDANNNSPISLHLREACTAFLIRYVCASNHRAIETELGLRAINILSELISDKH WTNVNVKLVYFEKFLIFQDLDSENILYYCMNALDVLYVFFKNKTKEWIMENLPTIQNL LEKCIKSDHHDVQEALQKVLQVIMKAIKAQGVSVIIEEESPGKTFIQMLTSVITQDLQ ETSSVTAGVTLAWVLFMNFPDNIVPLLTPLMKTFSKLCKDHLSISQPKDAMALEEARI TTKLLEKVLYILSLKVSLLGDSRRPFLSTVALLIDHSMDQNFLRKIVNMSRSWIFNTE IFPTVKEKAAILTKMLAFEIRGEPSLSKLFYEIVLKLFDQEHFNNTEITVRMEQPFLV GTRVEDIGIRKRFMTILDNSLERDIKERLYYVIRDQNWEFIADYPWLNQALQLLYGSF NREKELSLKNIYCLSPPSILQEYLPENAEMVTEVNDLELSNFVKGHIASMQGLCRIIS SDFIDSLIEIFYQDPKAIHRAWVTLFPQVYKSIPKNEKYGFVRSIITLLSKPYHTRQI SSRTNVINMLLDSISKIESLELPPHLVKYLAISYNAWYQSINILESIQSNTSIDNTKI IEANEDALLELYVNLQEEDMFYGLWRRRAKYTETNIGLSYEQIGLWDKAQQLYEVAQV KARSGALPYSQSEYALWEDNWIQCAEKLQHWDVLTELAKHEGFTDLLLECGWRVADWN SDRDALEQSVKSVMDVPTPRRQMFKTFLALQNFAESRKGDQEVRKLCDEGIQLSLIKW VSLPIRYTPAHKWLLHGFQQYMEFLEATQIYANLHTTTVQNLDSKAQEIKRILQAWRD RLPNTWDDVNMWNDLVTWRQHAFQVINNAYLPLIPALQQSNSNSNINTHAYRGYHEIA WVINRFAHVARKHNMPDVCISQLARIYTLPNIEIQEAFLKLREQAKCHYQNMNELTTG LDVISNTNLVYFGTVQKAEFFTLKGMFLSKLRAYEEANQAFATAVQIDLNLAKAWAQW GFFNDRRLSEEPNNISFASNAISCYLQAAGLYKNSKIRELLCRILWLISIDDASGMLT NAFDSFRGEIPVWYWITFIPQLLTSLSHKEANMVRHILIRIAKSYPQALHFQLRTTKE DFAVIQRQTMAVMGDKPDTNDRNGRRQPWEYLQELNNILKTAYPLLALSLESLVAQIN DRFKSTTDEDLFRLINVLLIDGTLNYNRLPFPRKNPKLPENTEKNLVKFSTTLLAPYI RPKFNADFIDNKPDYETYIKRLRYWRRRLENKLDRASKKENLEVLCPHLSNFHHQKFE DIEIPGQYLLNKDNNVHFIKIARFLPTVDFVRGTHSSYRRLMIRGHDGSVHSFAVQYP AVRHSRREERMFQLYRLFNKSLSKNVETRRRSIQFNLPIAIPLSPQVRIMNDSVSFTT LHEIHNEFCKKKGFDPDDIQDFMADKLNAAHDDALPAPDMTILKVEIFNSIQTMFVPS NVLKDHFTSLFTQFEDFWLFRKQFASQYSSFVFMSYMMMINNRTPHKIHVDKTSGNVF TLEMLPSRFPYERVKPLLKNHDLSLPPDSPIFHNNEPVPFRLTPNIQSLIGDSALEGI FAVNLFTISRALIEPDNELNTYLALFIRDEIISWFSNLHRPIIENPQLREMVQTNVDL IIRKVAQLGHLNSTPTVTTQFILDCIGSAVSPRNLARTDVNFMPWF YHR100C MNISGTLNTLRLLYNPSLCKPSLVVPTFNDLPIPIHDSIKAVVL DKDNCIAFPHDDKIWPDYLQHWETLRSKYSNKALLIVSNTAGSNSDKDYSQAKLLEDK TGIPVLRHSTKKPGCHNEILDYFYRNKTITNPKEVAVVGDRLFTDILMANLMGSYGVW IRDGVKVSANPLSKFEKKLYNFLGF YHR101C MQTVLKYLLLIMCGSFCASEELQNQTNVPAIFFSYKLTPGILKY QEDYDRAVTLPRDTFIEAAEKFLGVCNADTYVFINQPGLRKLDFLEFETEFVSLQRYI RRSSTAIKFEKVDLLPQDLYYDLAEFVKEYCNVDQVLNLRGNNTEDFQPFIDSEKRVI IIEYPKLPEDTNERKEAFRHYDKYLRTILAQIPSPEQNVIYTSLNPGTTLAHESIIPI QIFPDIFDIKSRVGEVEQNNRVLDVPRLSFNDYTPRFSEPPSEYVSIFDSQLIENNRG LLQLIFTILVGYILIQFFFTKKTIVDEKITNKKDNVKQTSPQLLKKVQEIQKKPSQQV S YHR102W MTTKPQNSKQGLAEGEMDVSSLFKRTEVIGRGKFGVVYKGYNVK TGRVYAIKVLNLDSDSDEVEDVQREIQFLASLKQISNITRYYGSYLKDTSLWIIMEHC AGGSLRSLLRPGKIDEKYIGVIMRELLVALKCIHKDNVIHRDIKAANVLITNEGNVKL CDFGVAAQVNQTSLRRQTMAGTPYWMAPEVIMEGVYYDTKVDIWSLGITTYEIATGNP PYCDVEALRAMQLIIKSKPPRLEDRSYSTSLKEFIALCLDEDPKERLSADDLLKSKFI RAHKATPTSILKELISRYLLFRDKNKNKYKIEGSIPENEPSKPSEAPKPSQNGGGDEA QKSIASNDNEIKRVNEGDVEMKWDFDSLSSSDYIIENNINLDALAEDNNEWATAQHDL FNYAYPDEDSYYFDPTSHNTRPFVYQGTTIGKGYPGTIAQNSTLNAPVTNNYTNSKYP SKMVAGTTNTSGTHTAGPMTSSKRLESKAPKQLLELFEDNEIITAENDVNTEAPKISK SISSLNAGNSSRDDFIPSISNEVNGNINNNKMRPHLPPLSSGNNYYSQSTPALPLLQT KFNKTSKGPPTSGLTTAPTSIEIEIPEELPNSALPTPASADPVLIPSTKARSSTVTAG TPSSSSSIQYKSPSNVPRRLTVSNNRPEHCPSTITNQKLGSAVASNSGISSTPNNSNN YNNNTDSENSRGSSGSNTANSTQMGITNPGNVTKLSTHKASSPSRPLFGVGTSPNRKP AGSPTQNIGHNSTHTNLAPPPTMKPMANSKDNKDILLQPLNSIPSSSTLNTISGNSSN NLTSSNYFSNEKEGSRVNGDFKRNNPNLKLQMPLPTPVVRNKLLDPNTATSQNNNGMP GSAGISTNENINQFGFNTSSASNIPVSMTPISEKHIDFGGKIKRSQSISNRKNSSASE HPLNILGSSVSGNVSGIGNNNVGSNNNSGPNNSVPLSANTGNTTIKANSTTIATSSSA AASTTAPISQQTIPSGTQFNHILSSAATAANSVNSLGFGMCPPPQSLQMEMFLDLESF LPGKQRRVDRKPQVLKELENLLQMFEEGLPCIEHALKEQLISTPIKDNEH YHR103W MTSIQERGTSAHLHSLKEGEASDRSSEMLPKQRSIIGSHVQRPP SQTTLGRSRAGSNTMNKVSGLDIARRPSENLLSNMNCSDNGNGGNMLNSFVNSALPPP KVNPAQTRRERPASNSSIGTKTTEVFSSTSASSSLGDTSDEGEGSDADKSKINTFPSI LMEKATQGRGADGNGMRSASNNTIVEATTDGSKMALQKSMSFDDTAAEKTMNKSRHSY QEQFSSKKSQSSLLNSKQRSRAKSQTCSSTGYNNSSILKTFGISSKISNSSDRIEASS LEFNVPSQKPLNCKPLTPSQKYRLRKEQSEMNLRNTIKRKEKFYDSQEQILELQEGDV DDSLIWNVPMASLSTNSFLASAKPDDMNNLAGKNDLSEYTGGLVNDNSEISYTKQNHR YSNISFASTTSNASLLDFNEMPTSPIPGLNKVTDFQFIQDTTKSLASVYLHSSNRLSR SKLSERTKSSDFLPIELKEAQNQGMEDLILVSENKLDVVSHSRPSWLPPKDRQEKKLH ERQINKSMSVASLDQLGKNKDREEKLIRDETNRQKYVLLLDRDITRNSSLQSLSKMVW DTPFSDETRSTIYSEILQSKTRFITKNYIQPFHELQELLTKMGDFPKNKEIEISQLIE TSLRRKVSGLHDICPDLMLLLKIKSISSQGIVTGDELLFHHFLVSESFQNLGLNEIWN IVNLVQMTCFNDLCKEKFDAKVLERKGVVAGYLSQNEEFKDEFNTECINSTTWWNILE RIDHKLFMWIMDIIVVNNSQSYKNSPINEDEFVNKDWEYYRSKKVVINYKILISFALN VLLNYHFGFTDLRSLCNVNDQRFCIPVFINDEFVDADTVNAVFIKKWAHYYKKF YHR104W MSSLVTLNNGLKMPLVGLGCWKIDKKVCANQIYEAIKLGYRLFD GACDYGNEKEVGEGIRKAISEGLVSRKDIFVVSKLWNNFHHPDHVKLALKKTLSDMGL DYLDLYYIHFPIAFKYVPFEEKYPPGFYTGADDEKKGHITEAHVPIIDTYRALEECVD EGLIKSIGVSNFQGSLIQDLLRGCRIKPVALQIEHHPYLTQEHLVEFCKLHDIQVVAY SSFGPQSFIEMDLQLAKTTPTLFENDVIKKVSQNHPGSTTSQVLLRWATQRGIAVIPK SSKKERLLGNLEIEKKFTLTEQELKDISALNANIRFNDPWTWLDGKFPTFA YHR105W MSDKISFLPPEPIQLLDEDSTEPELDIDSQQENEGPISASNSND STSHSNDCGATITRTRPRRSSSINANFSFQKAHVSDCTIVNGDHGTKFAVWRITVFLE PNLKAFAAKRESYKIQTYKRYSDFVRLRENLLTRIKTAKPEKLNCLQIPHLPPSVQWY SSWKYQEVNLNKDWLAKRQRGLEYFLNHIILNSSLVEMTKDILIQFLEPSKRVA YHR106W MIKHIVSPFRTNFVGISKSVLSRMIHHKVTIIGSGPAAHTAAIY LARAEMKPTLYEGMMANGIAAGGQLTTTTDIENFPGFPESLSGSELMERMRKQSAKFG TNIITETVSKVDLSSKPFRLWTEFNEDAEPVTTDAIILATGASAKRMHLPGEETYWQQ GISACAVCDGAVPIFRNKPLAVIGGGDSACEEAEFLTKYASKVYILVRKDHFRASVIM QRRIEKNPNIIVLFNTVALEAKGDGKLLNMLRIKNTKSNVENDLEVNGLFYAIGHSPA TDIVKGQVDEEETGYIKTVPGSSLTSVPGFFAAGDVQDSRYRQAVTSAGSGCIAALDA ERYLSAQE YHR107C MSAATATAAPVPPPVGISNLPNQRYKIVNEEGGTFTVMLCGESG LGKTTFINTLFQTVLKRADGQQHRQEPIRKTVEIDITRALLEEKHFELRVNVIDTPGF GDNVNNNKAWQPLVDFIDDQHDSYMRQEQQPYRTKKFDLRVHAVLYFIRPTGHGLKPI DIETMKRLSTRANLIPVIAKADTLTAQELQQFKSRIRQVIEAQEIRIFTPPLDADSKE DAKSGSNPDSAAVEHARQLIEAMPFAIVGSEKKFDNGQGTQVVARKYPWGLVEIENDS HCDFRKLRALLLRTYLLDLISTTQEMHYETYRRLRLEGHENTGEGNEDFTLPAIAPAR KLSHNPRYKEEENALKKYFTDQVKAEEQRFRQWEQNIVNERIRLNGDLEEIQGKVKKL EEQVKSLQVKKSHLK YHR108W MSHPHSHSIYLSELPVRKPQALGNPLLRKIQRACRMSLAEPDLA LNLDIADYINEKQGAAPRDAAIALAKLINNRESHVAIFALSLLDVLVKNCGYPFHLQI SRKEFLNELVKRFPGHPPLRYSKIQRLILTAIEEWYQTICKHSSYKNDMGYIRDMHRL LKYKGYAFPKISESDLAVLKPSNQLKTASEIQKEQEIAQAAKLEELIRRGKPEDLREA NKLMKIMAGFKEDNAVQAKQAISSELNKLKRKADLLNEMLESPDSQNWDNETTQELHS ALKVAQPKFQKIIEEEQEDDALVQDLLKFNDTVNQLLEKFNLLKNGDSNAASQIHPSH VSAPLQQSSGALTNEINLIDFNDLDEAPSQGNNNTNGTGTPAAAETSVNDLLGDLTDL SISNPSTANQASFGLGGDIVLGSSQPAPPVTTTNNSNNTLDLLGLSTPQSPTNSQAVN SSGFDLLMGFNPTTGTTTAPARTLVNQSPNLKIEFEISRESNSVIRIKSFFTNLSSSP ISNLVFLLAVPKSMSLKLQPQSSNFMIGNAKDGISQEGTIENAPANPSKALKVKWKVN YSVNSTQAEETAVFTLPNV YHR109W MEEVFRFYSNSRNIFIHKSLSLKPSTIDDPKSGYGLFVEPSKFK NDELKSETIQLLRIPKRCTFNINTLLALLGDEDEFSSKEEFQRTNDKIKIALREIMAH PNFSVFLTETNLLIIYFMIFQTIRSRYEIPENIQYYLENVLMSIEVETAMDSIENLAT DYGHYPQIFGLRETLNLFKELFHDVLNLSDIKHLYSAIISRCLEIPERADTKSEEFTV HSTLVPIVDFANHEGTQKNAYFDIDPSNNDVLLLLDTKAVQSELTKPIEVFISYSPTE DLFSMLVTYGFTPDFRGNSQFWTVSFDRCFLRNYDGPDKTTNLRLFYKWMHINPVVPL VKYEHNGKTRWFLNDTTPEFDMLLLPFIPSIDDGKIARWAYDSTCHLMFTKIHCLINP EANEHALMIAENYRSLIKEKESNGDDFINLPPLAWSLRYKDTENDCVRQRHICSEDAV AVLKQEEMQDSTKTKSQFTSFFRKFLEFRRSKIIRPTSDSKVASILYQQELEIIADLA KAIDSSSTIFFSDLNVTLDTEPERLPPLRFLDDYIEISADKQEPSPICEDLSYYTPSR FTDFFQEEVSQYAAFFQDD YHR110W MKYNIVHGICLLFAITQAVGAVHFYAKSGETKCFYEHLSRGNLL IGDLDLYVEKDGLFEEDPESSLTITVDETFDNDHRVLNQKNSHTGDVTFTALDTGEHR FCFTPFYSKKSATLRVFIELEIGNVEALDSKKKEDMNSLKGRVGQLTQRLSSIRKEQD AIREKEAEFRNQSESANSKIMTWSVFQLLILLGTCAFQLRYLKNFFVKQKVV YHR111W MNDYHLEDTTSELEALRLENAQLREQLAKREDSSRDYPLSLEEY QRYGRQMIVEETGGVAGQVKLKNTKVLVVGAGGLGCPALPYLAGAGVGQIGIVDNDVV ETSNLHRQVLHDSSRVGMLKCESARQYITKLNPHINVVTYPVRLNSSNAFDIFKGYNY ILDCTDSPLTRYLVSDVAVNLGITVVSASGLGTEGQLTILNFNNIGPCYRCFYPTPPP PNAVTSCQEGGVIGPCIGLVGTMMAVETLKLILGIYTNENFSPFLMLYSGFPQQSLRT FKMRGRQEKCLCCGKNRTITKEAIEKGEINYELFCGARNYNVCEPDERISVDAFQRIY KDDEFLAKHIFLDVRPSHHYEISHFPEAVNIPIKNLRDMNGDLKKLQEKLPSVEKDSN IVILCRYGNDSQLATRLLKDKFGFSNVRDVRGGYFKYIDDIDQTIPKY YHR112C MVDLSTALIHGDDKDNRVTDVAPPINVSTTFRYDDDDLIPWTER ENLDFMEKKPVYSRLAHPNSTRLESIFSEILDGYAVIYSSGLAAFYAAMVHYNPKKIF IGQSYHGVRAIANILTRNYGIEQHPLEDIEKCASEGDIVHLESPVNPYGTSSDIESLA RRAHAKGALLIVDSTFASPPLQYAWNFGADIVLYSATKYFGGHSDLLSGVLVVKEEAT SRQLKDDRIYLGTNVANLESFMLLRSLRTYEMRITKQSENATKLVRFLSDHQSEFDKV LKTIYHSSLQTEEFVKKQLVGGYGPVFAITLYTKEQCKQLPLKLKYFHHATSLGGIES LVEWRAMTDPYIDQTLIRVSVGCESANDLIKDLASALKELQDAA YHR113W MFRIQLRTMSSKTCKSDYPKEFVSFLNSSHSPYHTVHNIKKHLV SNGFKELSERDSWAGHVAQKGKYFVTRNGSSIIAFAVGGKWEPGNPIAITGAHTDSPA LRIKPISKRVSEKYLQVGVETYGGAIWHSWFDKDLGVAGRVFVKDAKTGKSIARLVDL NRPLLKIPTLAIHLDRDVNQKFEFNRETQLLPIGGLQEDKTEAKTEKEINNGEFTSIK TIVQRHHAELLGLIAKELAIDTIEDIEDFELILYDHNASTLGGFNDEFVFSGRLDNLT SCFTSMHGLTLAADTEIDRESGIRLMACFDHEEIGSSSAQGADSNFLPNILERLSILK GDGSDQTKPLFHSAILETSAKSFFLSSDVAHAVHPNYANKYESQHKPLLGGGPVIKIN ANQRYMTNSPGLVLVKRLAEAAKVPLQLFVVANDSPCGSTIGPILASKTGIRTLDLGN PVLSMHSIRETGGSADLEFQIKLFKEFFERYTSIESEIVV YHR114W MSADLSIGNEIKDSFKETHKWVQNNLKWLKDIEQFYRERAKLEK DYSERLSRLSAEYFNKKSSTSVPISVGDTPTTTPGSIEAAGVVAWNEILSQTDMISKD HDQLSTDFENHVANQLSGLFTKLDMTLSKINGFNNDMVNKKDNIYHELEKAKKDYDEA CSTMEMARNRYTKASNDRNKKKLDEKEMEMNKCKNEYLIKINQANRTKDKYYFQDVPE VLDLLQDVNEAKTLFLNDLWLKAASVENDLGANVSKRLQAANSVVKQNKPSLNTAIFI KHNLKNWKEPQDFVYKPSPVWHDDEKFAVPSSLEVEDLRIKLAKAENDYNSLQDKTQN ELSKLSTLNKIKHEMKTNEDNINATKFYDTLKEYLNVVSPFTSHETLKLQAEVQIESI QNNVPEEYDLSTDNIDLSKTKKKSGIFSKFKHNILNVDSKPSSGGSTGNGNGGPLHIT SLFNTSRRTRLGSAPNNAGEDSDNNSIRTTSTNNTKKTTQNSSDDGKNKVLYAYVQKD DDEITITPGDKISLVARDTGSGWTKINNDTTGETGLVPTTYIRISSAATVKANDRGPA PEVPPPRRSTLPVRTMEAIYAYEAQGDDEISIDPGDIITVIRGDDGSGWTYGECDGLK GLFPTSYCK YHR115C MSTNTVPSSPPNQTPPAASGIATSHDHTKFNNPIRLPISISLTI NDTPNNNSNNNSVSNGLGILPSRTATSLVVANNGSANGNVGATAAAAATVETNTAPAV NTTKSIRHFIYPPNQVNQTEFSLDIHLPPNTSLPERIDQSTLKRRMDKHGLFSIRLTP FIDTSSTSVANQGLFFDPIIRTAGAGSQIIIGRYTERVREAISKIPDQYHPVVFKSKV ISRTHGCFKVDDQGNWFLKDVKSSSGTFLNHQRLSSASTTSKDYLLHDGDIIQLGMDF RGGTEEIYRCVKMKIELNKSWKLKANAFNKEALSRIKNLQKLTTGLEQEDCSICLNKI KPCQAIFISPCAHSWHFHCVRRLVIMNYPQFMCPNCRTNCDLETTLESESESEFENED EDEPDIEMDIDMEINNNLGVRLVD YHR116W MEKPSPTRRQTSSLSTISNGMTMTNDNRDTTNTNSGSTSSNNSQ PSSSSTPPAASGPVTDRTKVNYVPKSDDPSSFQYYPDDPENPVNKYKFALKADSQYYD PCEESSKLSFQCLERNDYDRSKCQEYFDAYRECKKQWLTARRKNRQQWE YHR117W MAENSLLRFITKNKVAILATVSAGTAAVGAYVYYQQIKQQQQQQ LKGTKDNRRQSEAFAGQNEDEADLKDDGSVVSGSNKRKKKKNKRKRNNKAKSGEGFDY PSLPNGEPDIAQLKGLSPSQRQAYAVQLKNRGNHFFTAKNFNEAIKYYQYAIELDPNE PVFYSNISACYISTGDLEKVIEFTTKALEIKPDHSKALLRRASANESLGNFTDAMFDL SVLSLNGDFDGASIEPMLERNLNKQAMKVLNENLSKDEGRGSQVLPSNTSLASFFGIF DSHLEVSSVNTSSNYDTAYALLSDALQRLYSATDEGYLVANDLLTKSTDMYHSLLSAN TVDDPLRENAALALCYTGIFHFLKNNLLDAQVLLQESINLHPTPNSYIFLALTLADKE NSQEFFKFFQKAVDLNPEYPPTYYHRGQMYFILQDYKNAKEDFQKAQSLNPENVYPYI QLACLLYKQGKFTESEAFFNETKLKFPTLPEVPTFFAEILTDRGDFDTAIKQYDIAKR LEEVQEKIHVGIGPLIGKATILARQSSQDPTQLDEEKFNAAIKLLTKACELDPRSEQA KIGLAQLKLQMEKIDEAIELFEDSAILARTMDEKLQATTFAEAAKIQKRLRADPIISA KMELTLARYRAKGML YHR118C MSMQQVQHCVAEVLRLDPQEKPDWSSGYLKKLTNATSILYNTSL NKVMLKQDEEVARCHICAYIASQKMNEKHMPDLCYYIDSIPLEPKKAKHLMNLFRQSL SNSSPMKQFAWTPSPKKNKRSPVKNGGRFTSSDPKELRNQLFGTPTKVRKSQNNDSFV IPELPPMQTNESPSITRRKLAFEEDEDEDEEEPGNDGLSLKSHSNKSITGTRNVDSDE YENHESDPTSEEEPLGVQESRSGRTKQNKAVGKPQSELKTAKALRKRGRIPNSLLVKK YCKMTTEEIIRLCNDFELPREVAYKIVDEYNINASRLVCPWQLVCGLVLNCTFIVFNE RRRKDPRIDHFIVSKMCSLMLTSKVDDVIECVKLVKELIIGEKWFRDLQIRYDDFDGI RYDEIIFRKLGSMLQTTNILVTDDQYNIWKKRIEMDLALTEPL YHR119W MSNYYRRAHASSGSYRQPQEQPQYSRSGHYQYSNGHSHQQYSSQ YNQRRRYNHNDGTRRRYNDDRPHSSNNASTRQYYATNNSQSGPYVNKKSDISSRRGMS QSRYSNSNVHNTLASSSGSLPTESALLLQQRPPSVLRYNTDNLKSKFHYFDPIKGEFF NKDKMLSWKATDKEFSETGYYVVKELQDGQFKFKIKHRHPEIKASDPRNENGIMTSGK VATHRKCRNSLILLPRISYDRYSLGPPPSCEIVVYPAQDSTTTNIQDISIKNYFKKYG EISHFEAFNDPNSALPLHVYLIKYASSDGKINDAAKAAFSAVRKHESSGCFIMGFKFE VILNKHSILNNIISKFVEINVKKLQKLQENLKKAKEKEAENEKAKELQGKDITLPKEP KVDTLSHSSGSEKRIPYDLLGVVNNRPVLHVSKIFVAKHRFCVEDFKYKLRGYRCAKF IDHPTGIYIIFNDIAHAQTCSNAESGNLTIMSRSRRIPILIKFHLILPRFQNRTRFNK SSSSSNSTNVPIKYESKEEFIEATAKQILKDLEKTLHVDIKKRLIGPTVFDALDHANF PELLAKRELKEKEKRQQIASKIAEDELKRKEEAKRDFDLFGLYGGYAKSNKRNLKRHN SLALDHTSLKRKKLSNGIKPMAHLLNEETDSKETTPLNDEGITRVSKEHDEEDENMTS SSSEEEEEEAPDKKFKSESEPTTPESDHLHGIKPLVPDQNGSSDVLDASSMYKPTATE IPEPVYPPEEYDLKYSQTLSSMDLQNAIKDEEDMLILKQLLSTYTPTVTPETSAALEY KIWQSRRKVLEEEKASDWQIELNGTLFDSELQPGSSFKAEGFRKIADKLKINYLPHRR RVHQPLNTVNIHNERNEYTPELCQREESSNKEPSDSVPQEVSSSRDNRASNRRFQQDI EAQKAAIGTESELLSLNQLNKRKKPVMFARSAIHNWGLYALDSIAAKEMIIEYVGERI RQPVAEMREKRYLKNGIGSSYLFRVDENTVIDATKKGGIARFINHCCDPNCTAKIIKV GGRRRIVIYALRDIAASEELTYDYKFEREKDDEERLPCLCGAPNCKGFLN YHR120W MKHFFRLPTAFRPISRVSLRYSSTDTAQPKISKLKISFNKISES NSEKKDNLGSIDTRNCLSTQQDDKLSSTEPSKASLPPSLQYVRDLMDLYKDHVVLTQM GSFYELYFEQAIRYAPELNISLTNRAYSHGKVPFAGFPVHQLSRHLKMLVNNCGYSVT IAEQFKKKDVADNEANKFYRRVTRIVTPGTFIDEAFENLRENTYLLNIEFPENCMSQV ADTSLKVGICWCDVSTGEIFVQQVYLRDLVSAITRIQPKEILLDERLLEFHIESGTWY PELVELKKFFIKYQKMPSQHRTIESFYGLFNLGGKEATERQLKIQFQTFTQKELAALR NTLIYVSNHLPDFSINFQIPQRQLATAIMQIDSRTSTALELHSTVRDNNKKGSLLSSI RRTVTPSGTRLLSQWLSGPSLDLKEIKKRQKIVAFFKDNRDITETLRTMLKKVNDLSR ILQKFSFGRGEALELIQMARSLEVSREIRKYLLNNTSLMKATLKSQITQLTESLNFEK NLIDDILKFLNEEELAKSQDAKQNADVTRMLDIDVKDKKESNKDEIFELRDFIVNPSF NTKLRKLHDTYQGVWQKKTEYNALLKGFFVGDLGAKTFTLKERQNGEYALHVTGTASS LKKIDELISKSTEYHGSCFHILQKSSQTRWLSHKIWTDLGHELELLNLKIRNEEANII DLFKRKFIDRSNEVRQVATTLGYLDTLSSFAVLANERNLVCPKVDESNKLEVVNGRHL MVEEGLSARSLETFTANNCELAKDNLWVITGPNMGGKSTFLRQNAIIVILAQIGCFVP CSKARVGIVDKLFSRVGSADDLYNEMSTFMVEMIETSFILQGATERSLAILDEIGRGT SGKEGISIAYATLKYLLENNQCRTLFATHFGQELKQIIDNKCSKGMSEKVKFYQSGIT DLGGNNFCYNHKLKPGICTKSDAIRVAELAGFPMEALKEAREILG YHR121W MSVSLEQTLGFRIKVTNVLDVVTEGRLYSFNSSNNTLTIQTTKK NQSPQNFKVIKCTFIKHLEVIGDKPSFNSFKKQQIKPSYVNVERVEKLLKESVIASKK KELLRGKGVSAEGQFIFDQIFKTIGDTKWVAKDIIILDDVKVQPPYKVEDIKVLHEGS NQSITLIQRIVERSWEQLEQDDGRKGG YHR122W MSEFLNENPDILEENQLPTRKEDSTKDLLLGGFSNEATLERRSL LLKIDHSLKSQVLQDIEVLDKLLSIRIPPELTSDEDSLPAESEDESVAGGGKEEEEPD LIDAQEIYDLIAHISDPEHPLSLGQLSVVNLEDIDVHDSGNQNEMAEVVIKITPTITH CSLATLIGLGIRVRLERSLPPRFRITILLKKGTHDSENQVNKQLNDKERVAAACENEQ LLGVVSKMLVTCK YHR123W MGYFVPDSHIENLKSYKYQSEDRSLVSKYFLKPFWQRFCHIFPT WMAPNIITLSGFAFIVINVLTVFYYDPNLNTDTPRWTYFSYALGVFLYQTFDGCDGVH ARRINQSGPLGELFDHSIDAINSTLSIFIFASETGMGFSYNLMLSQFAMLTNFYLSTW EEYHTHTLYLSEFSGPVEGILIVCVSLILTGIYGKQVIWHTYLFTITVGDKVIDVDTL DIVFSLAVFGLVMNALSAKRNVDKYYRNSTSSANNITQIEQDSAIKGLLPFFAYYASI ALLVWMQPSFITLSFILSVGFTGAFTVGRIIVCHLTKQSFPMFNAPMLIPLCQIVLYK ICLSLWGIESNKIVFALSWLGFGLSLGVHIMFMNDIIHEFTEYLDVYALSIKRSKLT YHR124W MNEMENTDPVLQDDLVSKYERELSTEQEEDTPVILTQLNEDGTT SNYFDKRKLKIAPRSTLQFKVGPPFELVRDYCPVVESHTGRTLDLRIIPRIDRGFDHI DEEWVGYKRNYFTLVSTFETANCDLDTFLKSSFDLLVEDSSVESRLRVQYFAIKIKAK NDDDDTEINLVQHTAKRDKGPQFCPSVCPLVPSPLPKHQIIREASNVRNITKMKKYDS TFYLHRDHVNYEEYGVDSLLFSYPEDSIQKVARYERVQFASSISVKKPSQQNKHFSLH VILGAVVDPDTFHGENPGIPYDELALKNGSKGMFVYLQEMKTPPLIIRGRSPSNYASS QRITVRTPSSVNSSQNSTKRKMPSMAQPLNESCLNARPSKRRSKVALGAPNSGASISP IKSRQSTPMEASKENEDPFFRPNKRVETLEHIQNKLGALKNQCPDSSLKYPSSSSRGM EGCLEKEDLVYSSSFSVNMKQIELKPARSFEHENIFKVGSLAFKKINELPHENYDITI EKKSMEQNYLRPEIGSRSECKTSYGNELSLSNISFSILPNSAENFHLETALFPATEED VPRTFSRILETGSFQNYYQKMDAENADRVYSKGVKLIASGTLPSGIFNREELFEEDSF YKY YHR125W MINAIMIGSCQTFNTILCNISMNNYHSNVQLSSIRLQYCMKYSL TFSLFYNSTVTLKRQTWRTLIYDVCIWLDTAVIRNIIIRQLVVTLVVYYHMVCKNIT YHR126C MKCTLVSTLFAITNILVAHAQVSNSSDTLDVQFANSTNSYIEGK FNSTDEAFNSSASWSLAAQQKKISNAAVYDVGGWNGSLYRSNRSAVADHQPGKKQDAA ISQISDGQIQATASGPETTAATTPSSTANVSVYEGAGMKVESKNMGYIVGVAALLFL YHR127W MARNRTTSKKNVQSKRLIDRVVPMDKIKKVGVAKKKTVEHTKEG FSVVNGKLVSSNDVGVLLREAQGAIDKRTNVSQRNRKKGIKNNRPHKDINSSPDWGNA HRGTDWQSEKANGMNRAKNSRNFTTNIKLQRQHFGEEIQGGSQLVISTNSDASDKLLM LFNLTLGVNQENLKNVLENISQVQIAQIRVRDLPSGSATAKVRLAYPTTQSLEKVRKL FHGALVDGRRIQVVIASDESSHLSY YHR128W MSSEPFKNVYLLPQTNQLLGLYTIIRNKNTTRPDFIFYSDRIIR LLVEEGLNHLPVQKQIVETDTNENFEGVSFMGKICGVSIVRAGESMEQGLRDCCRSVR IGKILIQRDEETALPKLFYEKLPEDISERYVFLLDPMLATGGSAIMATEVLIKRGVKP ERIYFLNLICSKEGIEKYHAAFPEVRIVTGALDRGLDENKYLVPGLGDFGDRYYCV YHR129C MDQLSDSYALYNQPVVIDNGSGIIKAGFSGEERPKALEYCLVGN TKYDKVMLEGLQGDTFIGNNAQKLRGLLKLRYPIKHGVVEDWDSMELIWSYVLNEVLQ LQNIGEHPLLITEAPMNPLKNREQMAQVLFETFDVSALYVSNPAVLSLYASGRTTGCV VDCGEGYCSTVPIYDGFALPASMMRMDIGGADITEQLQFQLRKSAGVSLFSSSEREIV RTMKEKVCYLAKNIKKEEEKYLQGTQDLISTFKLPDGRCIEVGNDRYRAPEILFSPQI IGLGYDGLSDMCMQSIWKVDLDLRKPLLSSIILSGGTTTLKGFGDRMLWDLEALTKGT SKIKIIAPSERKYTTWIGGSILTGLSTFQRLWTKKSDWLEDSTRVYSNLM YHR130C MTKSIYIIIGYMLHDEEFFYFFFISFYTLWIVFFLLHLSFFSTL SFGIFHDFDTDVYIKVGNYILHFLELSKNSNLLKNSSEMLKHFRLASLMYMYVYTQMI CPSLLGIRN YHR131C MALPIEGKLSMANNRIERLKSPSSSSTCSMDEVLITSSNNSSSI CLETMRQLPREGVSGQINIIKETAASSSSHAALFIKQDLYEHIDPLPAYPPSYDLVNP NKEVRFPIFGDTAPCPKSSLPPLYAPAVYELTLISLKLERLSPYEISSNRSWRNFIIE INSTQLNFYHIDESLTKHIRNYSSGETKSEKEDRIHSDLVHRSDQSQHLHHRLFTLPT RSASEFKKADQERISYRVKRDRSRYLTDEALYKSFTLQNARFGIPTDYTKKSFVLRMS CESEQFLLRFSHIDDMIDWSMYLSIGISVSLDLEVREYPDYRIVPRRRRRRRRRRRRR RHTHRSESSMGSFSQRFIRSNSRPDLIQRYSTGSSTNNNTTIRERSNTFTAGLLDHYC TGLSKTPTEALISSAASGESSDNSTLGSTRSLSGCSASRSIASRSLKFKIKNFFRPKN SSRTEKLHRLRSNSSNLNSVIETEEDDEHHESSGGDHPEPGVPVNTTIKVERPMHRNR AISMPQRQSLRRAISEEVVPIKFPNSTVGESVHSPSPIEHLSVDGCEIMLQSQNAVMK EELRSVASNLVANERDEASIRPKPQSSSIYLSGLAPNGESATDLSQSSRSLCLTNRDA EINDDESATETDEDENDGETDEYAGDDTNDDTDDSNIGYAYGSESDYSCLIEERIRNR RRASSTLSCFSNIPYGTDDIKWKPAIKEISRRRYLRDSLKCIKPFLDSNDCLGKVIYI PVSGPTFETSNKIHFSNRQSLQKQKNHFLKGFIVGPTALIELNCKNKNAIVGTTKDAE DHGEDDGDGDDGEDDDDDDDDDDDDDDDEDDDDDDDDDDDDDDDDDDGQITA YHR132C MLHMNSLWGCFLFVLLAVTGAVQGLQEDYSEYAVYRFTSDNYST LVRDVIAPLTDDYDVWTRSNNFIDIKLPKEIGEQINDGQVIIDNMNELIQNTLPTSQM MAREQAVFENDYDFFFNEYRDLDTIYMWLDLLERSFPSLVAVEHLGRTFEGRELKALH ISGNKPESNPEKKTIVITGGIHAREWISVSTVCWALYQLLNRYGSSKKETKYLDDLDF LVIPVFNPDGYAYTWSHDRLWRKNRQRTHVPQCLGIDIDHSFGFQWEKAHTHACSEEY SGETPFEAWEASAWYKYINETKGDYKIYGYIDMHSYSQEILYPYAYSCDALPRDLENL LELSYGLSKAIRSKSGRNYDVISACKDRGSDIFPGLGAGSALDFMYHHRAHWAFQLKL RDTGNHGFLLPPENIKPVGKETYAALKYFCDFLLDPEI YHR132W-A MSEDLSPTSSRVDLSNPHGFTKEGVDLSKLSPQELKLYKMYGKL PSKKDLLRHKMQDRQYFDSGDYALKKAGVIKSDDVIVNNSSNNLPVTNPSGLRESIIR RRMSSSSGGDSISRQGSISSGPPPRSPNK YHR133C MGKKKSKNQLNTGGVPNGVHNTKKEAALPPLGNKLGSASFTAIN TLTKPALFSFYDDDITKNEGNVYDKALLSNASQLEMVPPSATARHERSLYAKIINTIA AFFILFIAGILFPMISECLFDNDQLAKGDIVSFLKHGIEIKNKIVAEPDMVPDWAVFG TEGVIFGSIVPFIDSFVRYQHQPKTRSSVYKNTLGSFIRCANTLLGLIFGIRKLEWSS SLQAAGAWSLLNIVLWLFFDGTLTVFFPGLVIGALSAFTCSQCFSQLSLALYFIDFYF FGFLMFSKLGRYLFN YHR134W MKTEGIKSPSAKYHDMAGSQRIPHKNPHIQKVAVLQSKPNKEDA LNLIKEIAHKVSYLMKENHFKVTNLVEFYPRDQRLLGMNVNHGSKIMLRLRCSTDEFQ FLPMECIMGTMLHELTHNLFGPHDKKFYNKLDELIGRQWVIEQRGLYDTFLGNGQRLG GRANLRSNRYPMTGISTNTGIVRKRGKGVKLGSLHPEGISSIDRGNSPRELAAFAAER RYRDDRWCGETKNNKDQIISDNISSSLEVVILDDDDEVLPGDTLIEVIDLT YHR135C MSMPIASTTLAVNNLTNINGNANFNVQANKQLHHQAVDSPARSS MTATTAANSNSNSSRDDSTIVGLHYKIGKKIGEGSFGVLFEGTNMINGVPVAIKFEPR KTEAPQLRDEYKTYKILNGTPNIPYAYYFGQEGLHNILVIDLLGPSLEDLFDWCGRKF SVKTVVQVAVQMITLIEDLHAHDLIYRDIKPDNFLIGRPGQPDANNIHLIDFGMAKQY RDPKTKQHIPYREKKSLSGTARYMSINTHLGREQSRRDDMEALGHVFFYFLRGHLPWQ GLKAPNNKQKYEKIGEKKRSTNVYDLAQGLPVQFGRYLEIVRSLSFEECPDYEGYRKL LLSVLDDLGETADGQYDWMKLNDGRGWDLNINKKPNLHGYGHPNPPNEKSRKHRNKQL QMQQLQMQQLQQQQQQQQYAQKTEADMRNSQYKPKLDPTSYEAYQHQTQQKYLQEQQK RQQQQKLQEQQLQEQQLQQQQQQQQQLRATGQPPSQPQAQTQSQQFGARYQPQQQPSA ALRTPEQHPNDDNSSLAASHKGFFQKLGCC YHR136C MGTYTPLIYNIYNVHIWVFTESQGQIGQMSPRGKMETAVSQGQH KQLKDGHQHKGRKLSEEIASLLRLKECRRLNPASYYTPRRTSQSQSLSGSTFKEYNEY INEKDSSRAQRQNAAAVLSKLAHDFWENDCVIDEDIFEDSSDEEQS YHR137W MTAGSAPPVDYTSLKKNFQPFLSRRVENRSLKSFWDASDISDDV IELAGGMPNERFFPIESMDLKISKVPFNDNPKWHNSFTTAHLDLGSPSELPIARSFQY AETKGLPPLLHFVKDFVSRINRPAFSDETESNWDVILSGGSNDSMFKVFETICDESTT VMIEEFTFTPAMSNVEATGAKVIPIKMNLTFDRESQGIDVEYLTQLLDNWSTGPYKDL NKPRVLYTIATGQNPTGMSVPQWKREKIYQLAQRHDFLIVEDDPYGYLYFPSYNPQEP LENPYHSSDLTTERYLNDFLMKSFLTLDTDARVIRLETFSKIFAPGLRLSFIVANKFL LQKILDLADITTRAPSGTSQAIVYSTIKAMAESNLSSSLSMKEAMFEGWIRWIMQIAS KYNHRKNLTLKALYETESYQAGQFTVMEPSAGMFIIIKINWGNFDRPDDLPQQMDILD KFLLKNGVKVVLGYKMAVCPNYSKQNSDFLRLTIAYARDDDQLIEASKRIGSGIKEFF DNYKS YHR138C MKASYLVLIFISIFSMAQASSLSSYIVTFPKTDNMATDQNSIIE DVKKYVVDIGGKITHEYSLIKGFTVDLPDSDQILDGLKERLSYIESEYGAKCNLEKDS EVHALNRDHLVA YHR139C MKFTSVLAFFLATLTASATPLYKRQNVTSGGGTVPVIITGGPAV SGSQSNVTTTTLFNSTSTLNITQLYQIATQVNQTLQSESSSGIIIVTNWRSIETLSFF CSIVFNTSKTIVITENFLWGVPILSSSDAEGRGTLVAGRDKVVYSGVFPPYTVPVGVL SGQKNVQWFFDACEPTLIASNSTIRTQYSNFTSAQISSNASSGTNTSSSSSSPLVPII YEEGYSQSLIQSLSSSIQGLVVVSSGTSHNSTVASWTSVDFPVVYASDGSSGHDGSGI GFISNTSIPQGAISAGYLSPIQAQTLLSIAIHNQVTSSSELQQIFPVSQQ YHR139C-A MRSADFSNPRILSNLMSKSEKEKRAIIIFNCRLNKGLYVTSACV SRSVFRCCKQEPLIEFVSPKMIAFVQIDGRYLTKMLTCDIHVRKEAKEGRGYQQIHKL S YHR140W MMSCLVPTRFTLTLNTACLLTSTWGFVRATSVVLPPSLSKAGHK QFLTIISIIATIINNAVNISNYYIQRNNKMNLETKKKSDFISRHVTLPVSLVLESIVA TVYWPLRLFFVNLIMHGVESTAKTPFPMTVDMAIHLYPILYLLADHYLSGSGTKFKLS NKHAWLIVTSLAFSYFQYLAFLIDAGQGQAYPYPFLDVNEPYKSIIFVVVATITWAYY VFYQKFPPKYIKKSAKKGDKN YHR141C MVNVPKTRKTYCKGKTCRKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGFGGQTKPVFHKKAKTTKKVVLRLECVKCKTRAQLTLKRCKHFELGGEKKQKGQ ALQF YHR142W MAFSDFAAICSKTPLPLCSVIKSKTHLILSNSTIIHDFDPLNLN VGVLPRCYARSIDLANTVIFDVGNAFINIGALGVILIILYNIRQKYTAIGRSEYLYFF QLTLLLIIFTLVVDCGVSPPGSGSYPYFVAIQIGLAGACCWALLIIGFLGFNLWEDGT TKSMLLVRGTSMLGFIANFLASILTFKAWITDHKVATMNASGMIVVVYIINAIFLFVF VICQLLVSLLVVRNLWVTGAIFLGLFFFVAGQVLVYAFSTQICEGFKHYLDGLFFGSI CNVFTLMMVYKTWDMTTDDDLEFGVSVSKDGDVVYDNGFM YHR143W MKFNFSTIFNILFFLFTLIEANSNGETVKLITSDGIVYSYAVYT KTLAPARVVVKTISYTTTRVYPITLANSVVSSTTEKITEVSTVSASEQVSATQTNSLV STSTVSTISPTISSGSSTSSSSTYDIESSQSIESSGTSSATAEPSASSGFRLTSSSAF VSSTAPFSSQLSSSSSSETSSSSFSTSSSSAPLSLTSSSSSSSSFATIITLAPSSSKS GNSQLTLASSSSTSAVESSQTGSTIARTTSTLVPSSSVDTTSRATTSMPLESSSTQSI SVSSSDGTCYVFYDDDDYYSTVYLTNPSQSVDAATTITSTNTIYATVTI YHR143W-A MSREGFQIPTNLDAAAAGTSQARTATLKYICAECSSKLSLSRTD AVRCKDCGHRILLKARTKRLVQFEAR YHR144C MLIGVSGTKFCGCEDVINMLVDHFHFELLNHLDNPEEILDYATK NYTKNSVIFLEKLSLLEKLEKRPFFVHLSIDAPVTTRVALYRKTTQAESLSLEQIIQA IDQHDFQPEGIKLREKSHLRFKIVNEDRRGRRQSLINNITTQLKILDDKEKQMAPLMR PSWDSYFMKLATLAASRSNCMKRRVGCVIVRECRVIATGYNGTPRHLTNCFNGGCPRC NDGDSRNLHTCLCLHAEENALLEAGRDRVGQNATLYCDTCPCLTCSVKIVQTGISEVV YSQSYRMDEESFKVLKNAGITVRQFSFTEEPRIVMI YHR146W MSSELMFNYTFSWPAGPKDVILTGTFDDWRGTLPLVKTAKGNFE ITMPVKLANKDDTFQFKFIVDGVWCVSDSYKKEHVSEGIENNFLQITDLVETQEVAGA SRIPEAGGLLCGKPPRSAGPPSTSNRKKNKRNNKKRRSKLKKKSTKNNKKSNESLDDN EEEDGVTGTTTEDVTGTSREETPLAEPTNVSKEAPGNFHILPIDQSADTTQSNGIIGG PGPVLVPNPGEIKEFTEIRDVDARELNERLNKKEEVPEPVAGPIVESSVTEKSPALPQ ADDPIVETKEVAHNVQELTPQVEAVTPLINEPEPLPTPEAQISIPESSKVEPVEGSLQ SKLVEKRESTEGVLDGSKKVENKAKKDEEVFTLDPIVNKAPKLPLTDEQTAEGRKSPA VSEEKEKKKKQEKGSKEVKRSETSKEKKPSAKEVKKQTVKAPKKQTASPLSSSTEEPK KKKTGFFGKLKKLFK YHR147C MSFIQRRLLSQTLFLRSQVGSLPLYISPEVQVSINALSMPRIIR KGRTSMNISQNITVKGPKGELSVEVPDFLHLDKDEKHGKINVTVQNSEDKHQRSMWGT VRSLINNHIIGVTEGHLAVLRFVGTGYRAQLENDGKFVNVKVGASIKQGLDVPEGIVV KTPAPTSLIIEGCNKQQVLLFAAKLRKFHPPEPYKGKGIYVNDETIKLKDKKIK YHR148W MVRKLKHHEQKLLKKVDFLEWKQDQGHRDTQVMRTYHIQNREDY HKYNRICGDIRRLANKLSLLPPTDPFRRKHEQLLLDKLYAMGVLTTKSKISDLENKVT VSAICRRRLPVIMHRLKMAETIQDAVKFIEQGHVRVGPNLINDPAYLVTRNMEDYVTW VDNSKIKKTLLRYRNQIDDFDFS YHR149C MYHTHMHESLISVTSTVSVSDASYAYARLTRRDDSDSSSSSASS TKNSKSAECTGSKQQCQLPTDSSHSTSVTVGVAVAVPVGVIIIVLAVILCIVYRRSKK EAEEDNDPDFEGDSEFLPTMKDYSPGINHLYSSDSQQDFMEKTLQQPPSDPFVGSMHS SKYNVRSATPPAIGRSWYVDPFQLPQESNDSNSLRDFAMRVQEDGLGGYKVAAESRNA SQTSLHPDNFSNCTPIRASSRFQESESFRSHGSPIHNNQLSRGSATEGANKQFTFPNE DNDSSSVSEEAEVLNESNESASNDAFEFELDNSSEKTHERNLRFGKDDDNYELQDIRE AEHMNDRSSSKSQDDDYYVSLLSPNEEEDIKRMKSIYQVYLDRAKTMKKEEDKADNAN DISQEENRVDNIVQNPLPSIKINNNDNIDNNEVPEAKHLVKEALPLNNTNLAEYGPEM AQSQKQYPVQDTLTVNDTEAAPSNRIASSIYSEAIQPLNYQDQYQQQEQSPVYNGHTQ YPGNGYSGNPQQQGYTAQFVQNPQWYGVPTPQQQQHNHPQTLETIGELPTPAYLAQSA SSHSLTSFKRPNKQQLLQLQTARLNGTALNPVDHPEMFYSPTNDAYYAPQQQGQYMKF NENGAVPSPYQLRQSVVMTNPSDLTAKPSYKPAGSFRSVSATNSRNNSLTTQNNIYLQ QQQQQLYNSRVSGILEETDVVQPPSVGGILPHSGSQDDLRKQLGSSHNYTVN YHR150W MSETSSSRRSASKDAVKSYFAGKYNKVLDSILEAEAAISKSPTV AEDLSGSSSSGNSEMSHPSLTASSATSQGISKKELLQQIAGSLFSTSIERLKTAHSSE VSSTPEYSVNDSYGEQECRECDGVFKCSAHFEGAPEYYDDETESGPALEPMTSNSEKD PFIDVFLDKLISRLVPEKLPEREHFSSKTTIEHDLDTGRVPVFSATTLGSNFKKLSKK MGSIFELQDSIVRLLTWRNPTGTVTSLILFTLICFNPMYLVILPIFRFVYGIVVPGYV RKHPLQRSIYPLKRNHGSSLLYDVCYEGKNEYSYGQQFFSKSFMDTLESRNQEIDEIS ELDKRTENTGELKQGMKVLINLRDMQNMTSGTLHVIEAINSFLRKSSSFQNEECSTKR FFTGFLLIVFLKILSPFVNWSYVCSIFAWCLLIYMHPRAHPKIISFFKTGTMGKEYKN LKKREHQALNMIFDEQPETKFIEIFEIYKKALLPNDWKFFRYSNRIFDPQDPYRRAQQ FPPGVDSLADVIPPTGWSFDPNFEWKIDNDVDRWVVERGLNLPITGEFLFDPMFKRRR LIHRVIKNATPVA YHR151C MWILIYLFIIWSSLRTWVTAVDSTTTVGDDLNETVSASVWPTMS PQMTVAFRSQRDVMGNLTIDQLPYVGLNLRRVLLNNETSMVNEGNNTRLLTLFKSMLS SEANAFVLDLEQYNNDLRVVDTTLLFSDVLTALQSFIFSTQNNLYANIIVLLLNISAP ELDSTEYRHQNQTLNTTYILDKNLGNSFIYKPTDLQSDRAKNNTWNIYGKSSIDGWPT LGSVLYEQKKRLVIGELTDFFNETTAPYIFPHDVFHYEQGNSTLDCPSTVEGLTDLSS IHWRFLDSLFNSVDIKEYISCGLSPIISNSAYVNNVTQLADIIHEGSVWSWDSDQPSV TQSTSKSGSSSGTLEAYNCVLLYYFANNETVTWRVGNCYNSNIGLCRYENMAFRWLVR SNKATYFDFDSYQGSKCPDQYSFNIPRSPLEQRSFIAYMRNSSFSDTQIWIDLNSISV SNCWVSGGPYASCPYEKVISRRNFVTMMVPASVCSFALLCIVVYLSVLRVPIYDNRKN WRRVINKISKSELEGVPS YHR152W MSNKASDQSARTASILKTDITRENTITRSSSSNNDNYHHHNNIN NYNESAKTGEDANKENIPNLEEEIAAFRIFRKKSTSNLKSSHTTSNLVKKTMFKRDLL KQDPKRKLQLQQRFASPTDRLVSPCSLKLNEHKVKMFGKKKKVNPMKLNFKGNLAADS EDVEIDEDEEYFY YHR153C MSEFFWDVQKIQEISNVEEHSVVKCVTVNTSRLISQLNEELQDE ESGVNFIVTQLQLLINNVYEKIQKSPGVPAHRSLMINLNFTRLKFSIAYWDILLERSL DLINGPSKTGARYFITEVTPVDRSRYVENNQYFLAFKANQRLTRNSVDMDEFIDFEIL IKQIIFDLFKKNGIPDQDFEAILSRFHNLESLVVAFNE YHR154W MSTSLLFEQLNFLILVAAEAELPIAHSTRKLLMDNSCNNCQIYE LYNENLKDVKTDKDWFMNKFGPQTVHFVISNTINFPFYKIVYFDLLIPVVSHTWVQDS VKTKRHLRTNMYSPNPFHLLRDCQVYISKSSFNKCEYILYSDLLHLLGGTLVNYISNR TTHVIVQSPQDPIIATVSKLTFGSFSSSSTNKHTEKPLREWKFVYPIWILYHFKMAKP LKGELATLCELDMQDTSEEQLFAKWEEVIGDKQTSSSQLTLHPNKTLFKNHHFAISPD LNFFTPLYWFLKGFIEDLDGKVTPLSFSDDLKSVYQAFPDIDCYIGHSANSPILEKTK SIKPEIHVGNVSWLFYMFALQKFTPVSQCKLIHQPFHAKLFTSKELTVAYTNYFGSQR FYIQRLVEILGGLSTPELTRKNTHLITKSTIGKKFKVAKKWSLDPQNAIIVTNHMWLE QCYMNNSKLNPKDSRFQNFKLDDNMGWNIGQIGMDHSSLPTPKNLSMVTYDTQSISEK PPPTNDQMDQINDNTNVLSKKDGTPISSFENSIDEKIDKLQKISGEVAVTHSGDLERS FVSRPSRASFPVVDSKKSNLQKKDSNSDISMETEVFCEGHEKREEKEFTKPITEYDAP KKQEIREQSRKKNDIDYKKEEEETELQVQLGQRTKREIKTSKKNEKEKETNECHIEVD QMTNEKQGEESTGKLISTEDVTSKKDTDKFSHLFEGLSDNDDHINDEKPAVNSKYTTP KTSQNITSGVDTPTTAQTQVFMPSSGNSRLAKTQAAKRLHTDIESLNEFQKNFKRKRI DSEEISLSQDVERSNNNKELATKAEKILARFNELPNYDLKAVCTGCFHDGFNEVDIEI LNQLGIKIFDNIKETDKLNCIFAPKILRTEKFLKSLSFEPLKFALKPEFIIDLLKQIH SKKDKLSQININLFDYEINGINESIISKTKLPTKVFERANIRCINLVNDIPGGVDTIG SVLKAHGIEKINVLRSKKCTFEDIIPNDVSKQENGGIFKYVLIVTKASQVKKFTKLIN DRDKNETILIVEWNWCVESIFHLNVDFTSKKNVLYQKKNN YHR155W MHEHKAELRLITVALNEASTDSPSFRASVNYFHTRMESLSSWMH STVDYVENTYKPSFQDFQRIKETLFSQLLPSPILLSNGFVSNQPYTPLLVRDFTRDVS DLSNTVMKIILGDENSQYTAALSALSSDAINPYFNKRKTFEYYQRKYDSFLTDFLAAT NDGNTLIPQNLQNETFKLVDIKHKYIEASLDLTEAISLMKVNLDKFLIETIDIVRKNN VITTKDTKDVIDITPELTETLKDWTDWIESNLQTLQALSSKLSEAKYAILKLSLARMK PSRLIQDYDLKSIQNLKFNLPKSISNGNNSEEKGLSGWLYMKTTVGHDPKRVVWVRRW CFLQNNVFGVFSLSPSKTYVEETDKFGILWITVEYLPKEPRNFCFKLRIQNPNCKTEE ENTYIDIILQAESIDELKSWINTLTSHKRIALSIKEENDPRYQLARKKIEPQFFEFAS SSSTSTDKLLTSFSSKTLTLVEELKKNYMSEDDIYSIIDNKAYHLRVISTPIATQLTH LALFSTFLSVSNYYPCATQANTWGTANWNDLSYLVNPLKGSSVHKPATVSNSSRFSVS YPDYYPYSLKVDDIQFRSIFFSVNHDFLQVPKELVLLRYSSVWCPNNKQKFASMAFVT LNHIYVYLNISGFSYLRRIDLLDIDSIEYDKSPKHVSSRMLHMQRGDGLRFNMSVFFT DRRAVASKLQFLIENKAMHIPKGEKEVLEIFQELDEEIENEKKIIKDNLSESEHYSKD YDYLLKSTYDHHFENTNETPMELMSRKLRLEREAWCYFQDNFKVGSKTLFHVLFGDKS QVFPSSLFLCKKGSNLNNNSYWERIRRAKEDASCQFELCRKLQFQLNRTSNFIKDLLW LKDDNDNFKLVLQQRVTKIKQGYYFEVEEGPIIVKFPLCHPLLLRVRFIIAECITSQG ESLKKCDLAILYDFNYVESIDKLNTKVEKLWLFERIHLNWALRYCKLEHSEINRKTRE YLKKFNDREKMSDVIKLCGFLGVLPKERIENDEKAGDFMQPVYINYDFLSLSKIFTKL TVFYLSSVIIKTMKVLLAMVMVIFKCFSKVNKTLYYCLLISAVTNLFFVGKSIHSYFS VKSAETLFQNYANGDQRGLQIMHRSLTVPDLNLLTRKMMDNDQENPVFKRFDEDKNAY QYKGTRQEIAIKRNQVLTELKILQNTEKELVQGSYRKFIITERDKCITTQNEIFDLWI NDTKLQDYCMACFAEYNRLSAIPV YHR156C MKYTQYPNSSKLKRNSDRREHDEKLSDELHNQSTIYEDEELSRA EYDSDSDSSVEDSTDNENSGKEMDEKSYEKNEDHVEDHRKRKKSKIQLLDIAEFKKEN LADLDYQIGNSESKVEKGVNIEPFNIDDEIKHGVFDKDGNYIKTENATENDQQDNEEW MNDVINTEEVNRLEKEQSVKTQNSRHYMVHEALNLLKFFLVDENETVLESLGRLNKLR KIAISKKNKSLKYVIHGIELLSDLINILEKKGFSEVYEYNRLKVQDAIEEEIFDDSSR IVNHKTKLWGFKWLNKLDEYHGLYTNYEMSYWQKSYFKNSVIVKFHSEPDRDENWIHV SCLSFM YHR157W MSIEEEDTNKITCTQDFLHQYFVTERVSIQFGLNNKTVKRINKD EFDKAVNCIMSWTNYPKPGLKRTASTYLLSNSFKKSATVSLPFILGDPVCMPKRVESN NNDTCLLYSDTLYDDPLIQRNDQAGDEIEDEFSFTLLRSEVNEIRPISSSSTAQILQS DYSALMYERQASNGSIFQFSSP YHR158C MAGFSFAKKFTHKKHGKTPSDASISDQSREASLSTPPNEKFFTK QETPQKGRQFSQGYHSNVNKTSSPPMFARKQVSESRIQPSAVPPQQRNVSGPSTTLHK QLSKQREYTVWNRIKLQNSPFPRYRHVASAYVTDKNQIYVIGGLHDQSVYGDTWILTA FDNATRFSTTTIDISEATPPPRVGHAAVLCGNAFVVFGGDTHKVNKEGLMDDDIYLLN INSYKWTVPAPVGPRPLGRYGHKISIIATTQMKTKLYVFGGQFDDTYFNDLAVYDLSS FRRPDSHWEFLKPRTFTPPPITNFTMISYDSKLWVFGGDTLQGLVNDVFMYDPAINDW FIIDTTGEKPPPVQEHATVVYNDLMCVVGGKDEHDAYLNSVYFLNLKSRKWFKLPVFT AGIPQGRSGHSLTLLKNDKILIMGGDKFDYARVEEYDLHTSDIDMQRGTIVYTLDLAR IKDLCPGVMDVPTDTPTPRNGNLDLATPVTPTSHQTKNMNVPISAAPLASAPSPAPKD FSDADRLNREVHNRNVSTEHQNQSHPVNSESHLIAEPNILTPYVPSESSQTPVMKITS NKPFDTPTIQKEPDLSETMDPTVGNQRIPSSIYGDNLTPANQIKNNSPILETLPSNEI KTPQNGNIEEIKHLPDADEKIDSTTTFDQEINGDKLGTSSMSKVEEDGNVADEDDEIG VAQMASSPSKDQFKIKHYNESSELSQNNTEIDKLSEPVDITIKKSDTAGHDSANHVID ASDEKNVSPMGDVPTDTKNEEASVPINRDATTEVVDRALFEKLRSELQSLKELTHEKA LEAGAHIKELETELWQLKSQKNSGTTKEIDELDSVRLQSKCEILEADNHSLEDKVNEL EELVNSKFLDIENLNEVIQFQNEKIKSLELEPNYKEKLEELQIEHENLSRENERLKNE SKQHNEDIINNVANYSSQLGSLISHWKENRANSSFLESSSSLISVSDENGEKTVGEPY GDQSRHHRVVINKLTNRLDDLLERSQELTISKEKLSSEYHALKMEHSSLSQDVLVKEN EIKKIQNDYKESISSMDSASKALMVSQRELEKYKSLNKKLIDELDELKFKNGVCSENF ENGLRSTEESSNNVKNSNSIRENQFNIKINDLKAELFITNQERDDLKSEVLELKKRLL NLENNTKQVNEDADSDLL YHR159W MNKFDEFIESNEKDLDVDTSTRNSIISMSPVRKTGRKIRSASSN GYRLEHHRTSSAGSMHSQRLMTPTRLNDQDHPLQAKPDARRVVTRHSSVSVPNAMSKR RSLIQPMVVPTTPESQNNLPSVSHSEGSYGIPLESTTVLSSEQAMASGLRRSRNGSSQ SVNSMIATTIPTNGVDVSALLQSLATKELELLECKQKIEDLKKQTQHEEQNYTRRARE LHELKEQVSKHLDPSLNTPVKNRAFSPVYQNIPLESRTENAGNSSLPSSVSKPKNMGH QSTNQSRSVSPQDIQERRQRDDSSDSSKQSLWSKPLALFNQFDKIIQHEIERTLNWDD SLSGTPEVQEGTPTSNSESSAQQYDNEAPGARQKSPSQGSVSRSLWSFVSDVKAGLLG IEEENDNDVITDNRCDPVYKSDRQHEQKKSTHKITNRGQAEDSGDDSSLNMRKFKTTT KFQKDNAGNNSLTDESGHRTREKKSKRSSNKLSFIGEPDNDNSSVKNSVEMTDF YHR160C MNSNRCQTNEVNKFISSTEKGPFTGRDNTLSFNKIGSRLNSPPI LKDKIELKFLQHSEDLNQSRSYVNIRPRTLEDQSYKFEAPNLNDNETSWAKDFRYNFP KNVEPPIENQIANLNINNGLRTSQTDFPLGFYSQKNFNIASFPVVDHQIFKTTGLEHP INSHIDSLINAEFSELEASSLEEDVHTEEENSGTSLEDEETAMKGLASDIIEFCDNNS ANKDVKERLNSSKFMGLMGSISDGSIVLKKDNGTERNLQKHVGFCFQNSGNWAGLEFH DVEDRIA YHR161C MTTYFKLVKGATKIKSAPPKQKYLDPILLGTSNEEDFYEIVKGL DSRINDTAWTIVYKSLLVVHLMIREGSKDVALRYYSRNLEFFDIENIRGSNGSASGDM RALDRYDNYLKVRCREFGKIKKDYVRDGYRTLKLNSGNYGSSRNKQHSINIALDHVES LEVQIQALIKNKYTQYDLSNELIIFGFKLLIQDLLALYNALNEGIITLLESFFELSHH NAERTLDLYKTFVDLTEHVVRYLKSGKTAGLKIPVIKHITTKLVRSLEEHLIEDDKTH NTFVPVDSSQGSAGAVVAKSTAQERLEQIREQKRILEAQLKNEQVAISPALTTVTAAQ SYNPFGTDSSMHTNIPMAVANQTQQIANNPFVSQTQPQVMNTPTAHTEPANLNVPEYA AVQHTVNFNPVQDAGVSAQQTGYYSINNHLTPTFTGAGFGGYSVSQDTTAASNQQVSH SQTGSNNPFALHNAATIATGNPAHENVLNNPFSRPNFDEQNTNMPLQQQIISNPFQNQ TYNQQQFQQQKMPLSSINSVMTTPTSMQGSMNIPQRFDKMEFQAHYTQNHLQQQQQQQ QQQQQQQQQQPQQGYYVPATAGANPVTNITGTVQPQNFPFYPQQQPQPEQSQTQQPVL GNQYANNLNLIDM YHR162W MSTSSVRFAFRRFWQSETGPKTVHFWAPTLKWGLVFAGFSDMKR PVEKISGAQNLSLLSTALIWTRWSFVIKPRNILLASVNSFLCLTAGYQLGRIANYRIR NGDSISQLCSYILSGADESKKEITTGR YHR163W MVTVGVFSERASLTHQLGEFIVKKQDEALQKKSDFKVSVSGGSL IDALYESLVADESLSSRVQWSKWQIYFSDERIVPLTDADSNYGAFKRAVLDKLPSTSQ PNVYPMDESLIGSDAESNNKIAAEYERIVPQVLDLVLLGCGPDGHTCSLFPGETHRYL LNETTKRVAWCHDSPKPPSDRITFTLPVLKDAKALCFVAEGSSKQNIMHEIFDLKNDQ LPTALVNKLFGEKTSWFVNEEAFGKVQTKTF YHR164C MPGTPQKNKRSASISVSPAKKTEEKEIIQNDSKAILSKQTKRKK KYAFAPINNLNGKNTKVSNASVLKSIAVSQVRNTSRTKDINKAVSKSVKQLPNSQVKP KREMSNLSRHHDFTQDEDGPMEEVIWKYSPLQRDMSDKTTSAAEYSDDYEDVQNPSST PIVPNRLKTVLSFTNIQVPNADVNQLIQENGNEQVRPKPAEISTRESLRNIDDILDDI EGDLTIKPTITKFSDLPSSPIKAPNVEKKAEVNAEEVDKMDSTGDSNDGDDSLIDILT QKYVEKRKSESQITIQGNTNQKSGAQESCGKNDNTKSRGEIEDHENVDNQAKTGNAFY ENEEDSNCQRIKKNEKIEYNSSDEFSDDSLIELLNETQTQVEPNTIEQDLDKVEKMVS DDLRIATDSTLSAYALRAKSGAPRDGVVRLVIVSLRSVELPKIGTQKILECIDGKGEQ SSVVVRHPWVYLEFEVGDVIHIIEGKNIENKRLLSDDKNPKTQLANDNLLVLNPDVLF SATSVGSSVGCLRRSILQMQFQDPRGEPSLVMTLGNIVHELLQDSIKYKLSHNKISME IIIQKLDSLLETYSFSIIICNEEIQYVKELVMKEHAENILYFVNKFVSKSNYGCYTSI SGTRRTQPISISNVIDIEENIWSPIYGLKGFLDATVEANVENNKKHIVPLEVKTGKSR SVSYEVQGLIYTLLLNDRYEIPIEFFLLYFTRDKNMTKFPSVLHSIKHILMSRNRMSM NFKHQLQEVFGQAQSRFELPPLLRDSSCDSCFIKESCMVLNKLLEDGTPEESGLVEGE FEILTNHLSQNLANYKEFFTKYNDLITKEESSITCVNKELFLLDGSTRESRSGRCLSG LVVSEVVEHEKTEGAYIYCFSRRRNDNNSQSMLSSQIAANDFVIISDEEGHFCLCQGR VQFINPAKIGISVKRKLLNNRLLDKEKGVTTIQSVVESELEQSSLIATQNLVTYRIDK NDIQQSLSLARFNLLSLFLPAVSPGVDIVDERSKLCRKTKRSDGGNEILRSLLVDNRA PKFRDANDDPVIPYKLSKDTTLNLNQKEAIDKVMRAEDYALILGMPGTGKTTVIAEII KILVSEGKRVLLTSYTHSAVDNILIKLRNTNISIMRLGMKHKVHPDTQKYVPNYASVK SYNDYLSKINSTSVVATTCLGINDILFTLNEKDFDYVILDEASQISMPVALGPLRYGN RFIMVGDHYQLPPLVKNDAARLGGLEESLFKTFCEKHPESVAELTLQYRMCGDIVTLS NFLIYDNKLKCGNNEVFAQSLELPMPEALSRYRNESANSKQWLEDILEPTRKVVFLNY DNCPDIIEQSEKDNITNHGEAELTLQCVEGMLLSGVPCEDIGVMTLYRAQLRLLKKIF NKNVYDGLEILTADQFQGRDKKCIIISMVRRNSQLNGGALLKELRRVNVAMTRAKSKL IIIGSKSTIGSVPEIKSFVNLLEERNWVYTMCKDALYKYKFPDRSNAIDEARKGCGKR TGAKPITSKSKFVSDKPIIKEILQEYES YHR165C MSGLPPPPPGFEEDSDLALPPPPPPPPGYEIEELDNPMVPSSVN EDTFLPPPPPPPSNFEINAEEIVDFTLPPPPPPPGLDELETKAEKKVELHGKRKLDIG KDTFVTRKSRKRAKKMTKKAKRSNLYTPKAEMPPEHLRKIINTHSDMASKMYNTDKKA FLGALKYLPHAILKLLENMPHPWEQAKEVKVLYHTSGAITFVNETPRVIEPVYTAQWS ATWIAMRREKRDRTHFKRMRFPPFDDDEPPLSYEQHIENIEPLDPINLPLDSQDDEYV KDWLYDSRPLEEDSKKVNGTSYKKWSFDLPEMSNLYRLSTPLRDEVTDKNYYYLFDKK SFFNGKALNNAIPGGPKFEPLYPREEEEDYNEFNSIDRVIFRVPIRSEYKVAFPHLYN SRPRSVRIPWYNNPVSCIIQNDEEYDTPALFFDPSLNPIPHFIDNNSSLNVSNTKENG DFTLPEDFAPLLAEEEELILPNTKDAMSLYHSPFPFNRTKGKMVRAQDVALAKKWFLQ HPDEEYPVKVKVSYQKLLKNYVLNELHPTLPTNHNKTKLLKSLKNTKYFQQTTIDWVE AGLQLCRQGHNMLNLLIHRKGLTYLHLDYNFNLKPTKTLTTKERKKSRLGNSFHLMRE LLKMMKLIVDTHVQFRLGNVDAFQLADGIHYILNHIGQLTGIYRYKYKVMHQIRACKD LKHIIYYKFNKNLGKGPGCGFWQPAWRVWLNFLRGTIPLLERYIGNLITRQFEGRSNE IVKTTTKQRLDAYYDLELRNSVMDDILEMMPESIRQKKARTILQHLSEAWRCWKANIP WDVPGMPAPIKKIIERYIKSKADAWVSAAHYNRERIKRGAHVEKTMVKKNLGRLTRLW IKNEQERQRQIQKNGPEITPEEATTIFSVMVEWLESRSFSPIPFPPLTYKNDTKILVL ALEDLKDVYASKVRLNASEREELALIEEAYDNPHDTLNRIKKYLLTQRVFKPVDITMM ENYQNISPVYSVDPLEKITDAYLDQYLWYEADQRKLFPNWIKPSDSEIPPLLVYKWTQ GINNLSEIWDVSRGQSAVLLETTLGEMAEKIDFTLLNRLLRLIVDPNIADYITAKNNV VINFKDMSHVNKYGLIRGLKFASFIFQYYGLVIDLLLLGQERATDLAGPANNPNEFMQ FKSKEVEKAHPIRLYTRYLDRIYMLFHFEEDEGEELTDEYLAENPDPNFENSIGYNNR KCWPKDSRMRLIRQDVNLGRAVFWEIQSRVPTSLTSIKWENAFVSVYSKNNPNLLFSM CGFEVRILPRQRMEEVVSNDEGVWDLVDERTKQRTAKAYLKVSEEEIKKFDSRIRGIL MASGSTTFTKVAAKWNTSLISLFTYFREAIVATEPLLDILVKGETRIQNRVKLGLNSK MPTRFPPAVFYTPKELGGLGMISASHILIPASDLSWSKQTDTGITHFRAGMTHEDEKL IPTIFRYITTWENEFLDSQRVWAEYATKRQEAIQQNRRLAFEELEGSWDRGIPRISTL FQRDRHTLAYDRGHRIRREFKQYSLERNSPFWWTNSHHDGKLWNLNAYRTDVIQALGG IETILEHTLFKGTGFNSWEGLFWEKASGFEDSMQFKKLTHAQRTGLSQIPNRRFTLWW SPTINRANVYVGFLVQLDLTGIFLHGKIPTLKISLIQIFRAHLWQKIHESIVFDICQI LDGELDVLQIESVTKETVHPRKSYKMNSSAADITMESVHEWEVSKPSLLHETNDSFKG LITNKMWFDVQLRYGDYDSHDISRYVRAKFLDYTTDNVSMYPSPTGVMIGIDLAYNMY DAYGNWFNGLKPLIQNSMRTIMKANPALYVLRERIRKGLQIYQSSVQEPFLNSSNYAE LFNNDIKLFVDDTNVYRVTVHKTFEGNVATKAINGCIFTLNPKTGHLFLKIIHTSVWA GQKRLSQLAKWKTAEEVSALVRSLPKEEQPKQIIVTRKAMLDPLEVHMLDFPNIAIRP TELRLPFSAAMSIDKLSDVVMKATEPQMVLFNIYDDWLDRISSYTAFSRLTLLLRALK TNEESAKMILLSDPTITIKSYHLWPSFTDEQWITIESQMRDLILTEYGRKYNVNISAL TQTEIKDIILGQNIKAPSVKRQKMAELEAARSEKQNDEEAAGASTVMKTKTINAQGEE IVVVASADYESQTFSSKNEWRKSAIANTLLYLRLKNIYVSADDFVEEQNVYVLPKNLL KKFIEISDVKIQVAAFIYGMSAKDHPKVKEIKTVVLVPQLGHVGSVQISNIPDIGDLP DTEGLELLGWIHTQTEELKFMAASEVATHSKLFADKKRDCIDISIFSTPGSVSLSAYN LTDEGYQWGEENKDIMNVLSEGFEPTFSTHAQLLLSDRITGNFIIPSGNVWNYTFMGT AFNQEGDYNFKYGIPLEFYNEMHRPVHFLQFSELAGDEELEAEQIDVFS YHR166C MNDDSQDKIIHDIRIQLRKAATELSRWKLYGSSKWAAEALAGLA EAIDVDQTHSLADESPLRNKQGVPKQMFEIPQNGFGLSETEYDLYLLGSTLFDAKEFD RCVFFLKDVTNPYLKFLKLYSKFLSWDKKSQESMENILTTGKFTDEMYRANKDGDGSG NEDINQSGHQRANLKMVSNEHESQSNISSILKEINTFLESYEIKIDDDEADLGLALLY YLRGVILKQEKNISKAMSSFLKSLSCYSFNWSCWLELMDCLQKVDDALLLNNYLYQNF QFKFSENLGSQRTIEFNIMIKFFKLKVFEELNGQLEDYFEDLEFLLQVFPNFTFLKAY NATISYNNLDYVTAESRFDDIVKQDPYRLNDLETYSNILYVMQKNSKLAYLAQFVSQI DRFRPETCCIIANYYSARQEHEKSIMYFRRALTLDKKTTNAWTLMGHEFVELSNSHAA IECYRRAVDICPRDFKAWFGLGQAYALLDMHLYSLYYFQKACTLKPWDRRIWQVLGEC YSKTGNKVEAIKCYKRSIKASQTVDQNTSIYYRLAQLYEELEDLQECKKFMMKCVDVE ELLEGIVTDETVKARLWLAIFEIKAGNYQLAYDYAMGVSSGTSQEIEEARMLARECRR HM YHR167W MTKEEGRTYFESLCEEEQSLQESQTHLLNILDILSVLADPRSSD DLLTESLKKLPDLHRELINSSIRLRYDKYQTREAQLLEDTKTGRDVAAGVQNPKSISE YYSTFEHLNRDTLRYINLLKRLSVDLAKQVEVSDPSVTVYEMDKWVPSEKLQGILEQY CAPDTDIRGVDAQIKNYLDQIKMARAKFGLENKYSLKERLSTLTKELNHWRKEWDDIE MLMFGDDAHSMKKMIQKIDSLKSEINAPSESYPVDKEGDIVLE YHR168W MSIAWSSVFKRELRLERFLPRVYSTKVPDNAPRAADNEQWLETL RPITHPEQKKSDHDVSYTRHINVPLGEVTSVNYLQRYNKHKHSQGNFVDVRIVKCKSG AGGSGAVSFFRDAGRSIGPPDGGDGGAGGSVYIQAVAGLGSLAKMKTTYTAEDGEAGA ARQLDGMRGRDVLIQVPVGTVVKWCLPPQKVRELVEREMRKDNNATLRSILGSTAVNL SVSSGSHRKKIQLYRHEMAESWLFKDKAKEYHENKDWFKDLHKKMEAYDHSLEQSELF NDQFPLAGLDLNQPMTKPVCLLKGGQGGLGNMHFLTNLIRNPRFSKPGRNGLEQHFLF ELKSIADLGLIGLPNAGKSTILNKISNAKPKIGHWQFTTLSPTIGTVSLGFGQDVFTV ADIPGIIQGASLDKGMGLEFLRHIERSNGWVFVLDLSNKNPLNDLQLLIEEVGTLEKV KTKNILIVCNKVDIDAEKSESFAKYLQVEKFSKSQEWDCVPISALREENIDVLKKKMF KCARQSEFDK YHR169W MADFKSLGLSKWLTESLRAMKITQPTAIQKACIPKILEGRDCIG GAKTGSGKTIAFAGPMLTKWSEDPSGMFGVVLTPTRELAMQIAEQFTALGSSMNIRVS VIVGGESIVQQALDLQRKPHFIIATPGRLAHHIMSSGDDTVGGLMRAKYLVLDEADIL LTSTFADHLATCISALPPKDKRQTLLFTATITDQVKSLQNAPVQKGKPPLFAYQVESV DNVAIPSTLKIEYILVPEHVKEAYLYQLLTCEEYENKTAIIFVNRTMTAEILRRTLKQ LEVRVASLHSQMPQQERTNSLHRFRANAARILIATDVASRGLDIPTVELVVNYDIPSD PDVFIHRSGRTARAGRIGDAISFVTQRDVSRIQAIEDRINKKMTETNKVHDTAVIRKA LTKVTKAKRESLMAMQKENFGERKRQQKKKQNDGKSLRS YHR170W MEFTPIDPHQHQNAATLLCCNCGTPIDGSTGLVMCYDCIKLTVD ITQGIPREANISFCRNCERFLQPPGQWIRAELESRELLAICLRRLKGLTKVRLVDASF IWTEPHSRRIRIKLTVQGEAMTNTIIQQTFEVEYIVIAMQCPDCARSYTTNTWRATVQ IRQKVPHKRTFLFLEQLILKHNAHVDTISISEAKDGLDFFYAQKNHAVKMIDFLNAVV PIKHKKSEELISQDTHTGASTYKFSYSVEIVPICKDDLVVLPKKLAKSMGNISQFVLC SKISNTVQFMDPTTLQTADLSPSVYWRAPFNALADVTQLVEFIVLDVDSTGISRGNRV LADITVARTSDLGVNDQVYYVRSHLGGICHAGDSVMGYFIANSNYNSDLFDGLNIDYV PDVVLVKKLYQRKSKKSRHWKLKRMAKEHKDIDASLDYNSRAQKQEMERAEKDYELFL QELEEDAELRQSVNLYKNREANVPPEEHEMDEDEDEDAPQINIDELLDELDEMTLEDG VENTPVESQQ YHR171W MSSERVLSYAPAFKSFLDTSFFQELSRLKLDVLKLDSTCQPLTV NLDLHNIPKSADQVPLFLTNRSFEKHNNKRTNEVPLQGSIFNFNVLDEFKNLDKQLFL HQRALECWEDGIKDINKCVSFVIISFADLKKYRFYYWLGVPCFQRPSSTVLHVRPEPS LKGLFSKCQKWFDVNYSKWVCILDADDEIVNYDKCIIRKTKVLAIRDTSTMENVPSAL TKNFLSVLQYDVPDLIDFKLLIIRQNEGSFALNATFASIDPQSSSSNPDMKVSGWERN VQGKLAPRVVDLSSLLDPLKIADQSVDLNLKLMKWRILPDLNLDIIKNTKVLLLGAGT LGCYVSRALIAWGVRKITFVDNGTVSYSNPVRQALYNFEDCGKPKAELAAASLKRIFP LMDATGVKLSIPMIGHKLVNEEAQHKDFDRLRALIKEHDIIFLLVDSRESRWLPSLLS NIENKTVINAALGFDSYLVMRHGNRDEQSSKQLGCYFCHDVVAPTDSLTDRTLDQMCT VTRPGVAMMASSLAVELMTSLLQTKYSGSETTVLGDIPHQIRGFLHNFSILKLETPAY EHCPACSPKVIEAFTDLGWEFVKKALEHPLYLEEISGLSVIKQEVERLGNDVFEWEDD ESDEIA YHR172W MEIKEVDDRAELLRYTNNIPLLGKLVNHQPLWSTNPKLKSFSLE KISAPDQRRVQEALVVKDLLNVLIGLEGTYIRYFNDYEPSDPETPIEFKIAKKMDPSF KTFSRRIVRYGKQYMILTRAYEKWSDTSFGMVLQRFAYEIRRFLEDVYLKTLVERLER DFNKVPNFSIRELEQIINETEVNKQMELLYNIYEEIFREIEERRTNQSSQEDFNNFMD SMKNESSLHLRLMVAFDTTVYPVPKGGAILKIFQQKILENLGDRSSVMFLKKLLNNIS QDYCTMLYEWLTQGILNDPYQEFMTYDDLEGKTDNIFDTRDRAWDTQYFIRKDVLLRD CDSEEDKNLLFKMLRTGILLKVVRASLQIPTIPSNSSDITIQEINDFADLMEGSNLEL YVDKCYSRANEIFLKLFFQGYDLINVLKHLQQIFLGYQSGHNVLKFLTKNMGELTKHY RNDNNANYDKLLQNFELERQSENPNNLMRQLLMIQFDTETLPQVLSHYLQIYPEVPEN NSANDDSDPLMHANNFKNMNAILFDELSKERTGAYHGSNLELYTPKSAIYHLKFDINI PYPLNIIISRTCMIKYQIILRYQLVLQYHSRLLDETWMDLNKTPSWKYRGYSHTVKRR IVRATRVLHAKMNHFIKTIMEYFNQNVIDKEVYSLEKCYRNPTLAVAIQNELEGGLTN IMTNRCLSDLIPLQLQIFDIVYKFCKFIKSMRAKLCQLDPVLYEKHKSGMMKTLNEGY RTNNGGQEDVGYQEDAALELIQKLIEYISNASSIFRKCLINFTQELSTEKFDFYDSSS VDAAGIERVLYSIVPPRSASASSQR YHR173C MLFFFIYAWCHLSHTILQPSTKIQMIVRSKPESNGEKKLMNHDE PNDQYNQRNYPSEVYADLVSHFMNFAYGKVHESGLQKKGVRCVDVSISGCQFWNGIPL SASWCTSLVT YHR174W MAVSKVYARSVYDSRGNPTVEVELTTEKGVFRSIVPSGASTGVH EALEMRDEDKSKWMGKGVMNAVNNVNNVIAAAFVKANLDVKDQKAVDDFLLSLDGTAN KSKLGANAILGVSMAAARAAAAEKNVPLYQHLADLSKSKTSPYVLPVPFLNVLNGGSH AGGALALQEFMIAPTGAKTFAEAMRIGSEVYHNLKSLTKKRYGASAGNVGDEGGVAPN IQTAEEALDLIVDAIKAAGHDGKVKIGLDCASSEFFKDGKYDLDFKNPESDKSKWLTG VELADMYHSLMKRYPIVSIEDPFAEDDWEAWSHFFKTAGIQIVADDLTVTNPARIATA IEKKAADALLLKVNQIGTLSESIKAAQDSFAANWGVMVSHRSGETEDTFIADLVVGLR TGQIKTGAPARSERLAKLNQLLRIEEELGDKAVYAGENFHHGDKL YHR175W MDDKKTWSTVTLRTFNQLVTSSLIGYSKKMDSMNHKMEGNAGHD HSDMHMGDGDDTCSMNMLFSWSYKNTCVVFEWWHIKTLPGLILSCLAIFGLAYLYEYL KYCVHKRQLSQRVLLPNRSLTKINQADKVSNSILYGLQVGFSFMLMLVFMTYNGWLML AVVCGAIWGNYSWCTSYSPEIDDSSLACH YHR175W-A MINYVNITCIIFSTRTLLVFDTSLYIPPFMLSFIGYSLSNQNSP LFLYH YHR176W MTVNDKKRLAIIGGGPGGLAAARVFSQSLPNFEIEIFVKDYDIG GVWHYPEQKSDGRVMYDHLETNISKKLMQFSGFPFEENVPLYPSRRNIWEYLKAYYKT FIANKDAISIHFSTEVTYLKKKNSQWEITSKDELRTTKSDFDFVIVASGHYSVPKLPT NIAGLDLWFDNKGAFHSKDFKNCEFAREKVVIVVGNGSSGQDIANQLTTVAKKVYNSI KEPASNQLKAKLIETVQTIDSADWKNRSVTLSDGRVLQNIDYIIFATGYYYSFPFIEP SVRLEVLGEGVTGDKHSSVNLHNLWEHMIYVKDPTLSFILTPQLVIPFPLSELQAAIM VEVFCKSLPITTTFDSNACGTHNFPKGKDLEYYAELQELLNSIPRRVGHFEPVVWDDR LIDLRNSSYTDKEERNVLLAEHAQALKKKKAPYFLPAPHT YHR177W MMDISPTCYGYIDDEQDLALVFQGVFNGNLRCIERRPYDAEKVE LVNPGNIFVFNEEKSGIKRWTDGFSWSPSRISGKFLVYREYNRLGSTHDLPLHNVPEY NIFERAHRKYFYTGLLKKTFSLKFNMDPTDSTKLETFHLIAYYTEKDIHQGSLRRPSE NPFFHKFRPSQKLLDALQKVAVGNGRSNPSKNNERGRTKAHNYKTRRSLSSSPSYCDL LSNYNNHPGNIPVRTAVQLPLTTFNNAPREMHQQQHRQQQQYLLPIDEQNKLPLPYMQ HQPQPIGVYNPNYQPGLRRTVSQPMIFCNTYNTLPQQPTAAPYERRGVSPSVIYSSNT LSPIPYQNIDPYSSRSGPECNHSKAPIAPTMMPPVHHILVHDYRQPKPVTDSINPPNV NITTSTTNKNLDGIYILPAPRMNPPAQTQYQMIHAPDSMQHPPTFSKNNTSSNPKSHQ YSK YHR178W MDGPNFAHQGGRSQRTTELYSCARCRKLKKKCGKQIPTCANCDK NGAHCSYPGRAPRRTKKELADAMLRGEYVPVKRNKKVGKSPLSTKSMPNSSSPLSANG AITPGFSPYENDDAHKMKQLKPSDPINLVMGASPNSSEGVSSLISVLTSLNDNSNPSS HLSSNENSMIPSRSLPASVQQSSTTSSFGGYNTPSPLISSHVPANAQAVPLQNNNRNT SNGDNGSNVNHDNNNGSTNTPQLSLTPYANNSAPNGKFDSVPVDASSIEFETMSCCFK GGRTTSWVREDGSFKSIDRSLLDRFIAAYFKHNHRLFPMIDKIAFLNDAATITDFERL YDNKNYPDSFVFKVYMIMAIGCTTLQRAGMVSQDEECLSEHLAFLAMKKFRSVIILQD IETVRCLLLLGIYSFFEPKGSSSWTISGIIMRLTIGLGLNRELTAKKLKSMSALEAEA RYRVFWSAYCFERLVCTSLGRISGIDDEDITVPLPRALYVDERDDLEMTKLMISLRKM GGRIYKQVHSVSAGRQKLTIEQKQEIISGLRKELDEIYSRESERRKLKKSQMDQVERE NNSTTNVISFHSSEIWLAMRYSQLQILLYRPSALMPKPPIDSLSTLGEFCLQAWKHTY TLYKKRLLPLNWITLFRTLTICNTILYCLCQWSIDLIESKIEIQQCVEILRHFGERWI FAMRCADVFQNISNTILDISLSHGKVPNMDQLTRELFGASDSYQDILDENNVDVSWVD KLV YHR179W MPFVKDFKPQALGDTNLFKPIKIGNNELLHRAVIPPLTRMRAQH PGNIPNRDWAVEYYAQRAQRPGTLIITEGTFPSPQSGGYDNAPGIWSEEQIKEWTKIF KAIHENKSFAWVQLWVLGWAAFPDTLARDGLRYDSASDNVYMNAEQEEKAKKANNPQH SITKDEIKQYVKEYVQAAKNSIAAGADGVEIHSANGYLLNQFLDPHSNNRTDEYGGSI ENRARFTLEVVDAVVDAIGPEKVGLRLSPYGVFNSMSGGAETGIVAQYAYVLGELERR AKAGKRLAFVHLVEPRVTNPFLTEGEGEYNGGSNKFAYSIWKGPIIRAGNFALHPEVV REEVKDPRTLIGYGRFFISNPDLVDRLEKGLPLNKYDRDTFYKMSAEGYIDYPTYEEA LKLGWDKN YHR180W MEMHWITLVAFIATFFNLAATSINNSSLPDVDLTNPLRFFTNIP AGLNFNEVIFLERNGFYLGGIDSPSIYHLINGTAVYFGDVRDNIMPGTVGTTRNVTDV DYGSLLTEYGYEANTDYVSRWIATHVVISPLNATEFFQTPVPVPVPVPITILHQQVNS KLH YHR181W MLLELISYAGTVSGFLFLTLSIASGLYYISELVEEHTEPTRRFL TRAIYGIILILILLLLLDGFPFKLTLFSIACYIVYYQNLKSFPFISLTSPTFLLSCVC VVLNHYFWFKYFNDTEVPPQFKFDPNYIPRRRASFAEVASFFGICVWFIPFALFVSLS AGDYVLPTTSEQHMAKKNDDITTNNQPKFRKRAVGLARVVINSVRKYIYSLARVFGYE IEPDFDRLAV YHR182W MSVKEHNEEDIIGDELQNSRQLSIDCDSVKISLRNTYWTKDYTT GIKLFIKHMKRENDLLIKDIKFYNDFVNKFWKPTLNNLQKMEATNSMNSRLLEVMSKQ FNIISTEQVERDCKIPLQELRDLNESFLREAENDLSSRYSAYIKDLVAAKEALIGCEK RVQSIYKLKKANTPVENSSSVFDNGKDSAPLTRLNFVCEFPYTLDERLKFEDCDQFMS FLQTLKGKVILEKSVFSVPGLSNQSFQGRSLIKELKKLEPRLNLSLFNIDRIGNEFIQ LGIIQEYSLSFYSSKVSQFDQEKYYYWNSEVLATQESNGNAGNRKKKSYGELTHSDNE HEEKSNVSSIKTSISDWIRKVSQHDNDDCDAAGSTDMNKNEWKSLKQQLESSQDIFFS KCCQLEYSKVQLEKTIYDYCKNYSKMEDGIKRALESSNMMFQQKCEKFTDSPVCSLQE AQLPQETANADVRGFFLRDNGIPFRRWNILEASDPVDACKEISIKSEKFFCGSEINNE LAALDTLGAIKIILRQIEKEPNANKVIQSWHRDIDFVRVSNLKRDLLGEFKGSKTTEN TNSIITAHFFENSHSYVTNDLVGLIKLWLLELPDSLIPSNHYDDLIKAEKSLTSLCEQ FPTSSLRFLQELANHFQLINSKYSLPPQTIQDLFRDNSDIDIPLAHHFVRRTGLQNPI DIKILSPTLSTFFINERTVETLQTLIANRITTATTATLTEPPTIIIKDTTAPIHSTPK PPPNDKDGHFIPRPFKTSSTPTTPERPKRKSGLFLPINVNDVPST YHR183W MSADFGLIGLAVMGQNLILNAADHGFTVCAYNRTQSKVDHFLAN EAKGKSIIGATSIEDFISKLKRPRKVMLLVKAGAPVDALINQIVPLLEKGDIIIDGGN SHFPDSNRRYEELKKKGILFVGSGVSGGEEGARYGPSLMPGGSEEAWPHIKNIFQSIS AKSDGEPCCEWVGPAGAGHYVKMVHNGIEYGDMQLICEAYDIMKRLGGFTDKEISDVF AKWNNGVLDSFLVEITRDILKFDDVDGKPLVEKIMDTAGQKGTGKWTAINALDLGMPV TLIGEAVFARCLSALKNERIRASKVLPGPEVPKDAVKDREQFVDDLEQALYASKIISY AQGFMLIREAAATYGWKLNNPAIALMWRGGCIIRSVFLGQITKAYREEPDLENLLFNK FFADAVTKAQSGWRKSIALATTYGIPTPAFSTALSFYDGYRSERLPANLLQAQRDYFG AHTFRVLPECASDNLPVDKDIHINWTGHGGNVSSSTYQA YHR184W MRSSGTYENDPSGEITSTSPKQSKQKKPTKFRERMRRWLQNGKN NNHQGEEDVPEIFNKNFYPQTGMTAFNNNDNGEVQDVTNNFFLPSEDESGPVQSSVKT FLTGNNDEDSNFQQNQNPKQKSELPKSPYRQKPTQEIALLKDLFVTNKYDDPYLNSST RFGNITSTFPSSLSLRTVTLQTIKKRIDCISAKKKEVWKTEEKFLKDILMWLQSSNFE DPDTISLIHEIEKIFEEDIHFEQNVSDCLKEISNNFEYICMRETQLINEGNILKNDLK KYAKAREHKGEKHEDTEVLREKVISSQKSFDVTKRHYKHAISITTRQLFMNLAFEYYE NCSDMKDISRKYLQESLSTLQTIDTLSFSEELEKIRKRRFDKFWAKTNPDPTNNIQKF VNMRTGVAGFNDSLMNHLYGKLSFGVAPVEEELQNSQPEHTDVPENVWNEVLSDYNSM DGNPITSNKFLSAKELEPDQLVELLAQEKEEKEAKNISSSTAEVPSISQPEIKKENLE SNDSLILRSTKRNVNVNAASLRNLSIKKTQVKPESASEESKVLAAALNDAKQNLDENV WRTPI YHR185C MNQGYTQLSAPELKETKTSKLNKMNNFRSSPIAEIINKIPPDCG KIQNTTFPEFNPALRRRQHEQWPAYEKPIRVTDSMSPQLSSINCLPNLYPHGTLPLPN PYLSYLNHIEKVNCQDVKFSNWSVLHNSNNGFEIPTYFSPRTTQNMPCSEKVESWLER LPIFVGFDGYLFTNCFDYEYMLDWEETEFTFEKTSCMETDYSKALTDTDIIYIQEKKI EALIRNQYLKEYEFSQKDF YHR186C MPEIYGPQPLKPLNTVMRHGFEEQYQSDQLLQSLANDFIFYFDD KRHKTNGNPIPEEDKQRDVNRYYQPITDWKIMKDRQKTVSAALLLCLNLGVDPPDVMK THPCARVEAWVDPLNFQDSKKAIEQIGKNLQAQYETLSLRTRYKQSLDPCVEDVKRFC NSLRRTSKEDRILFHYNGHGVPKPTKSGEIWVFNRGYTQYIPVSLYDLQTWLGAPCIF VYDCNSAENILINFQKFVQKRIKDDEEGNHDVAAPSPTSAYQDCFQLASCTSDELLLM SPELPADLFSCCLTCPIEISIRIFLMQSPLKDSKYKIFFENSTSNQPFGDSKNSFKSK IPNVNIPGMLSDRRTPLGELNWIFTAITDTIAWTSLPRPLFKKLFRHDLMIAALFRNF LLAKRIMPWYNCHPVSDPELPDSITTHPMWKSWDLAMDEVLTKIVIDLKNAPPATALE SQMILQQQETLQNGGSSKSNAQDTKAGSIQTQSRFAVANLSTMSLVNNPALQSRKSIS LQSSQQQLQQQQQQQQQFTGFFEQNLTAFELWLKYASNVRHPPEQLPIVLQVLLSQVH RIRALVLLSRFLDLGPWAVYLSLSIGIFPYVLKLLQSPAPELKPILVFIWARIMSIDY KNTQSELIKEKGYMYFVTVLVPDWGVNGMSATNGSAMINSGNPLTMTASQNINGPSSR YYERQQGNRTSNLGHNNLPFYHSNDTTDEQKAMAVFVLASFVRNFPLGQKNCFSLELV NKLCFYIDNSEIPLLRQWCVILLGLLFADNPLNRFVCMNTGAVEILLKSLKDPVPEVR TASIFALKHFISGFQDAEVILRLQQEFEEQYQQLHSQLQHLQNQSHLQQQQSQQQQQH LEQQQMKIEKQIRHCQVMQNQLEVIDLRKLKRQEIGNLISILPLINDGSSLVRKELVV YFSHIVSRYSNFFIVVVFNDLLEEIKLLEKSDINTRNTSDKYSVSQGSIFYTVWKSLL ILAEDPFLENKELSKQVIDYILLELSAHKELGGPFAVMEKFLLKRSSKAHQTGKFGFN SSQVQFVKSSLRSFSPNERVDNNAFKKEQQQHDPKISHPMRTSLAKLFQSLGFSESNS DSDTQSSNTSMKSHTSKKGPSGLYLLNGNNNIYPTAETPRFRKHTEPLQLPLNSSFLD YSREYFQEPQMKKQEADEPGSVEYNARLWRRNRNETIIQETQGEKKLSIYGNWSKKLI SLNNKSQPKLMKFAQFEDQLITADDRSTITVFDWEKGKTLSKFSNGTPFGTKVTDLKL INEDDSALLLTGSSDGVIKIYRDYQDVDTFKIVSAWRGLTDMLLTPRSTGLLTEWLQI RGSLLTTGDVKVIRVWDAHTETVEVDIPAKTSSLITSLTADQLAGNIFVAGFADGSLR VYDRRLDPRDSMIRRWRAGNDKQGVWINNVHLQRGGYRELVSGATNGVVELWDIRSED PVESFVDQNVTSQYGSQQKPTTMTCMQVHEHAPIIATGTKQIKIWTTSGDLLNSFKNS HNNGVTSTLAATGIPKSLSYSSTSDAFLSSMAFHPHRMMIAATNSHDSIVNIYKCEDE RIDYF YHR187W MASSSHNPVILLKRILSLTESSPFILCLDSIAQTSYKLIQEFVH QSKSKGNEYPIVYISFETVNKPSYCTQFIDATQMDFVHLVKQIISYLPAATATQAKKH MVIIDSLNYISTEYITRFLSEIASPHCTMVATYHKDIKDENRTVIPDWNNNYPDKLTL LQFMATTIVDIDVVLTGTLDTEEVSELLNEFRIPRGLNNDIFQLRLVNKRKSGRSLEY DFIVNSNTHEYELLSTTKQEEESSSNGLETPEMLQGLTTFNLGTSNKQKLAKDQVALP FLEAQSFGQGGAIVYEYEKDDDYDEEDPYEDPF YHR188C MILTLAYFMLGTLLLGVFAEDTVSQIGINDSLWYPYDEALVLKP LPNNDLLLSFAFQLQSEPFDPAVSSMSYDAYEHYTTFPRAIPPLLESTATRQFHLRFT RGFWDALSWGQLPHAGKEAGASGVELWSQVQAMDQEQAFHNWKKLSNSLSGLFCSSLN FIDESRTTFPRRSYASDIGAPLFNSTEKLYLMRASLPNEPICTENLTPFIKLLPTRGK SGLTSLLDGHKLFDSLWNSISLDIATICSEDEDALCHYEMDARIEMVTHVPSALARGE RPIPKPLDGNTLRCDTDKPFDSYQCFPLPEPSQTHFKLSQLFARPINNGNLFANRPTR ICAEVDRSTWTAFLSVDDTIFSTHDNCFDLSNDQNEGGSGYDFILESTDTTKVTPIVP VPIHVSRSLTGNGQDRGGMRIVFHNDNDTPVKLIYFESLPWFMRVYLSSLQITSTTSP QLQENDIILDKYYLQAADRKRPGHLEFTMLIPANTDIVMTYQFDKALLQFAEYPPDAN HGFEIDAAVITVLSLESSSSLYEMRTSTLLLSLSTPDFSMPYNVIILTSTIMGLIFGM LYNLMVKRMVTVEEADKITLQSGLKYKLLKLKEKFLGKKKTKTD YHR189W MSGKWRLVLTGIGNPEPQYAGTRHNVGLYMLELLRKRLGLQGRT YSPVPNTGGKVHYIEDEHCTILRSDGQYMNLSGEQVCKVWARYAKYQARHVVIHDELS VACGKVQLRAPSTSIRGHNGLRSLLKCSGGRVPFAKLAIGIGREPGSRSRDPASVSRW VLGALTPQELQTLLTQSEPAAWRALTQYIS YHR190W MGKLLQLALHPVEMKAALKLKFCRTPLFSIYDQSTSPYLLHCFE LLNLTSRSFAAVIRELHPELRNCVTLFYLILRALDTIEDDMSIEHDLKIDLLRHFHEK LLLTKWSFDGNAPDVKDRAVLTDFESILIEFHKLKPEYQEVIKEITEKMGNGMADYIL DENYNLNGLQTVHDYDVYCHYVAGLVGDGLTRLIVIAKFANESLYSNEQLYESMGLFL QKTNIIRDYNEDLVDGRSFWPKEIWSQYAPQLKDFMKPENEQLGLDCINHLVLNALSH VIDVLTYLAGIHEQSTFQFCAIPQVMAIATLALVFNNREVLHGNVKIRKGTTCYLILK SRTLRGCVEIFDYYLRDIKSKLAVQDPNFLKLNIQISKIEQFMEEMYQDKLPPNVKPN ETPIFLKVKERSRYDDELVPTQQEEEYKFNMVLSIILSVLLGFYYIYTLHRA YHR191C MPSVDIDASQWQKLTQSREKQTTVITPLGMMMLEIQGELELPKD FASLARRDSPNEGRFSEQDGETLIRFGSLQIDGERATLFVGKKQRLLGKVTKLDVPMG IMHFNSKDNKVELVDVMKYKVIFKDRPLPIM YHR192W MFSALGKWVRGSRNDKDFVTKYTADLSQITSQIHQLDVALKKSQ SILSQWQSNLTFYGIALTVLALSYTYWEYHGYRPYLVVTALLCIGSLILFKWALTKLY AFYNNNRLRKLAKLRAIHQKKLEKLKEETHYNATSSIIQRFSSGEDQNDDAMVLLDDE LNAKYQELNNLKTELEKFKKESHVKGLKKEDSDAWFDKIISVLAGGNELDSTSSLSPF KKIICPQCHWKSNCYRLASKPIIFICPHCNHKIDEVKEREDAIEAKQPAQPSQSEKEK TK YHR193C MSAIPENANVTVLNKNEKKARELIGKLGLKQIPGIIRVTFRKKD NQIYAIEKPEVFRSAGGNYVVFGEAKVDNFTQKLAAAQQQAQASGIMPSNEDVATKSP EDIQADMQAAAEGSVNAAAEEDDEEGEVDAGDLNKDDIELVVQQTNVSKNQAIKALKA HNGDLVNAIMSLSK YHR194W MSLFTRPFLRSPRQFSVARYVYWARSPALRSNLRIPSIAAASLR AYSNESKTGRDAPPDKKSKKLSNLKYITERDSLLVQTNNIFTKLKINIRWFLKKSTRP FNSDDISAFISWILVSNIFIFIFWTTTFVSLILYLINTVFAQEYLASKIGKFITKNES LSIVFESAIVPDWSSGKISFQKVFVSRRPKVSRGFTKGSQQDALQRAKLALSERILVN QQDFDNGNYTQFDLTIDQVDISLNFRKWINGKGILDEVTINGLRGVIDRTHVVWKKDD DPKNYLNVYQPGDFEISKFTMNDVLCTLYQPNGFRPFQVSIFNCDLPQLRKHWLFYDI LNANNINGTYDNSMFTIHKKFRTDDQHQDPTLLWKQMTRFRVDNLDIDHLNAGIEGPF GWINEGRVNMIGDVLLPDDDAASDSLQLTEILTEIGDRLIKKAKRYTSSLPLVGPGFS PAVDEIDPNDYFIMDFSLRLYNVKAEVPLFTSGLTYINSALIRPIVGYINSHRTYIPI KCRIVKKKSDFEGSWTIYDSYLMRDLSAEAYDAFADYVADDEKRTLRLRRVGFWSLQL ILQVILMSLGAIA YHR195W MTRPPLVRGIFSLGLSVAVLKGVEKTVRKHLERQGWIEPQKVDY ELIFTIDRLKNLVDNKREALTAEQPDAGELSWRKVFNFISRQSSELDTRIYVLILLLS FLLPIAWTVLDGDRETTLEDKDNDCNVDLIENERRLKHYNDGERAVLQFGKNRSEPII LSYKDMNVLEGEHEFTSKEEHSNSHLTSKSENALNQVGSEDLLGCHLEKQLEEDKNEP NGEADGEDDNNREKDCSSSSEVESQSKCRKESTAEPDSLSRDTRTTSSLKSSTSFPIS FKGSIDLKSLNQPSSLLHIQVSPTKSSNLDAQVNTEQAYSQPFRY YHR196W MGSSLDLVASFSHDSTRFAFQASVAQKNNVDIYPLNETKDYVVN SSLVSHIDYETNDMKVSDVIFFGWCSDLIDTQSSNIKRKLDEDEGTGESSEQRCENFF VNGFPDGRIVVYSSNGKDIVNIIKNKKEILGADTDESDIWILDSDKVVKKLQYNNSKP LKTFTLVDGKDDEIVHFQILHQNGTLLVCIITKQMVYIVDPSKRRPSTKYSFEISDAV ACEFSSDGKYLLIANNEELIAYDLKEDSKLIQSWPVQVKTLKTLDDLIMALTTDGKIN NYKIGEADKVCSIVVNEDLEIIDFTPINSKQQVLISWLNVNEPNFESISLKEIETQGY ITINKNEKNNADEADQKKLEEKEEEAQPEVQHEKKETETKINKKVSKSDQVEIANILS SHLEANSTEILDDLMSGSWTEPEIKKFILTKINTVDHLSKIFLTISKSITQNPWNEEN LLPLWLKWLLTLKSGELNSIKDKHTKKNCKHLKSALRSSEEILPVLLGIQGRLEMLRR QAKLREDLAQLSMQEGEDDEIEVIEHSNVISNPLQDQASPVEKLEPDSIVYANGESDE FVDASEYKD YHR197W MSEEFIAVSTLARNLEIAKGNEFHTILATLRSPVYINEQLLKSE LSFLVTKILKLIRSGNDFDLWKGCHTSVVTCAYNPLVLSTHGGQLLAAIYSRLEQKTG FYSSVISSSHGKQLFNTLISSVAIIIDLMKNKPTLSREALVPKLKAIIPTLITLSQYE PELVLPVLQRILKRNTTTFKPFTNKFRTVLINLIISDYASLGTKTQRLVCENFAYLHL LKIQVSDTSDDETQAHHKIYADSNWRTGLMSILSQFKPIIQLCGEILDFEQDNELYKL IKSLPVIDESNNKEEFLPSLKLDFNAPLTLWEIPQRLSLLADMLVAFISLPTPFPIRV PLGGINSLCEVLLGVSNKYLPLKKELRHDNELNGVINTILPQIQFQGIRLWEIMVSKY GKCGLSFFEGILSSIELFIPLKKKSNNEIDFNVVGSLKFEFATVFRLVNMILSHLGHQ LNIISVISQLIEVALFLSHDKTLIDSLFKNRKSIMKQQTKTKQSKRSKSAEGAFSDIY THPELFVCKNSMNWFNEINDFFITALNNWILPSTPHIQILKYSITQSLRLKERFGYIP ESFVNLLRCEVLHPGSERVSILPIAISLLKNINDDMFELLCHPKVPVGMVYQLHKPLD LGEDGEVRDDINKKEVETNESSSNANTGLETLKALENLENVTIPEPKHEVPKVVDDTA IFKKRSVEEVIERESTSSHKKVKFVEETTVDNGEELIVKKAVSQTKEEEKPMEDSEDE EQEEFEIPAIELSDDEEEEEEEE YHR198C MDRGRCANMLKSLQRTLAKCQKSPSTNHWQCFKRNFTSIRATKY PGRSNSTFHYWPWFAASTLLATSLYYRDRPVQNDDKTDAFPSHTESIQVDSSVSDFPL TITALNFPVSTTFKLLGYGQRHVTFLRFKVYALGLYLAENDENLVSDTLNETYLHKYF LDVDDSKTPKENLARLLKRDDSKSVMMIDDLLDSGMRMLAKITPVRNTDFKHLKEGLV KTISKHPDVANNKDTLAKGLSELNDAFSRKGSVRKNDDLIIELLANGALQFSYHDSKN NEFEVMGVVNNQLVGKFLFSQYLCGEKSPSPQAKKTAIDKLITLL YHR199C MRLISKVLVKTNCLEVGMRRAPQWYSHYSTTAGNARVNKKGSKV VPVLTGLALASIFAKKWYDDSQIKKADATSVAVDASISAFPKKMGPPQWPFSTQYELI GKGVRCVSSITFKAYGLGIYVAAEDKHLVSEVLDSKFLSQAFIDTAAPPSPENSHQDN LRAALNDPAKAPILINNLLDSGIRLMSKNTPIKAGSFKLLMDGTKKSVLKNPDSQSQD KDRLEAGFQELHDCFRSVKGLVARDDDFFIELNKDCSMNLSYYARKKDEFVILGTVKE PLIGKLLFAHYLAAVDPPSPEARKEVIDALVSLS YHR199C-A MIPALTPEERQKLRSAILHRMQLELETTEKLIENIKEETLKKLN LLQQPDATSAPQSKELIREVLEQEGRRIE YHR200W MVLEATVLVIDNSEYSRNGDFPRTRFEAQIDSVEFIFQAKRNSN PENTVGLISGAGANPRVLSTFTAEFGKILAGLHDTQIEGKLHMATALQIAQLTLKHRQ NKVQHQRIVAFVCSPISDSRDELIRLAKTLKKNNVAVDIINFGEIEQNTELLDEFIAA VNNPQEETSHLLTVTPGPRLLYENIASSPIILEEGSSGMGAFGGSGGDSDANGTFMDF GVDPSMDPELAMALRLSMEEEQQRQERLRQQQQQQDQPEQSEQPEQHQDK YHR201C MSPLRKTVPEFLAHLKSLPISKIASNDVLTICVGNESADMDSIA SAITYSYCQYIYNEGTYSEEKKKGSFIVPIIDIPREDLSLRRDVMYVLEKLKIKEEEL FFIEDLKSLKQNVSQGTELNSYLVDNNDTPKNLKNYIDNVVGIIDHHFDLQKHLDAEP RIVKVSGSCSSLVFNYWYEKLQGDREVVMNIAPLLMGAILIDTSNMRRKVEESDKLAI ERCQAVLSGAVNEVSAQGLEDSSEFYKEIKSRKNDIKGFSVSDILKKDYKQFNFQGKG HKGLEIGLSSIVKRMSWLFNEHGGEADFVNQCRRFQAERGLDVLVLLTSWRKAGDSHR ELVILGDSNVVRELIERVSDKLQLQLFGGNLDGGVAMFKQLNVEATRKQVVPYLEEAY SNLEE YHR202W MILKLVHCLVALTGLIFAKPYQQQQAVLAPSQDVPLRDIHIGDI NFIHTTDTHGWLGSHLSQNDYDADWGDFVAFVDILREKILRQSRDVIVIDTGDKRDGN GLSDATWPPGLRSSEIFNMMDYDLLTLGNHELYTAESAILEYRGTSQSSKFKDKYVCS NVEFIEDDGTRVPFGNKYITFETPIMKQRVLALSFLFSFQRANNRAIVTPPLEEITQK SWFQNMVETNREEEIDLIIVFGHLPATDPTEREMHKIHALIRKYYPNTVIQYFGGHTH IRDFVQLDSKSTCLQSGRFAETVGFLSINMTDPVDAESPIFSRRYIDFNKEAFKYHLS KLGHDSNVPVSTKKGKTISRLVNDLRHELNLNEKLGYIPQTYYVSTRPLNSEENLYHL ITHKILPNLIPPKNYEPSMSRFILINTGSVRYDLYKGPFTKDTEYIVMPFNNDWRFIT VPLVVASRVETYLNKGPVIASLGIPSSSHHKQHFGGFQKCPFINNPNLSEGYTTEDDF GCHGDDTPHNSQREYDIPNVVQCKEVKKVQEEEADPSKMVHVIFYSFMELDILNAVNS IINDLGLRMENLTTNDCSHYGGDSTKKLLRDYFSQF YHR203C MARGPKKHLKRLAAPHHWLLDKLSGCYAPRPSAGPHKLRESLPL IVFLRNRLKYALNGREVKAILMQRHVKVDGKVRTDTTYPAGFMDVITLDATNENFRLV YDVKGRFAVHRITDEEASYKLGKVKKVQLGKKGVPYVVTHDGRTIRYPDPNIKVNDTV KIDLASGKITDFIKFDAGKLVYVTGGRNLGRIGTIVHKERHDGGFDLVHIKDSLDNTF VTRLNNVFVIGEQGKPYISLPKGKGIKLSIAEERDRRRAQQGL YHR204W MVCCLWVLLALLLHLDHVACEDDAYSFTSKELKAYKQEVKELFY FGFDNYLEHGYPYDEVKPISCVPKKRNFEDPTDQGTNDILGNFTITLIDSLTTIAILE DRPQFLKAVRLVERTFPDGNFDIDSTIQVFEITIRVIGSLLSSHLYATDPTKAVYLGD DYDGSLLRLAQNMADRLLPAYLTSTGLPMPRRNIKRKWDVSEFPEFLETENNVAAMAS PMFEFTILSYLTGDPKYEKVTRYAFDKTWSLRTGLDLLPMSFHPEKLTPYTPMTGIGA SIDSLFEYALKGAILFDDSELMEVWNVAYEALKTNCKNDWFFANVMADTGHLFVPWID SLSAFFSGLQVLAGDLDDAIANHLMFLKMWNTFGGIPERWNFSPPEFPPLSPLERSGA VALDNILPLEWYPLRPEFFESTYFLYRATKDPFYLNIGVHLLKDLKQRFKSNCGFAGF QNVITGELQDRMETFVLSETLKYLYLLFDEENELHNSASDVIFSTEAHPMWLPQEVRS NYKRNAKFNNSVYSSHLEICQKKDREQAGENTLSQRIVGFAKSIFHKGPPDEEATDPI IDYTIDTELPGTCSIKPHHVIGDEFWYSPMLSNFDRLFEIDSRFAATLIKPSHMHNYN AIELEPGFYNRWSNPQFSTCLIPPTTEIFELLFDLPGYHQLNPLMLENKTITFETFGG RSRLKIEKLQIYQIDYYGDLITASTFQDVSRKDIFSNACDAVASLYSPTYLYRVVAIN GRILPRHGSVQIKKHSPVLTSNGTREEDEFKMDGIGINDHSQLMLECTPIINLFIV YHR205W MMNFFTSKSSNQDTGFSSQHQHPNGQNNGNNNSSTAGNDNGYPC KLVSSGPCASSNNGALFTNFTLQTATPTTAISQDLYAMGTTGITSENALFQMKSMNNG ISSVNNNNSNTPTIITTSQEETNAGNVHGDTGGNSLQNSEDDNFSSSSTTKCLLSSTS SLSINQREAAAAAYGPDTDIPRGKLEVTIIEARDLVTRSKDSQPYVVCTFESSEFISN GPESLGAINNNNNNNNNNQHNQNQHINNNNENTNPDAASQHHNNNSGWNGSQLPSIKE HLKKKPLYTHRSSSQLDQLNSCSSVTDPSKRSSNSSSGSSNGPKNDSSHPIWHHKTTF DVLGSHSELDISVYDAAHDHMFLGQVRLYPMIHNLAHASQHQWHSLKPRVIDEVVSGD ILIKWTYKQTKKRHYGPQDFEVLRLLGKGTFGQVYQVKKKDTQRIYAMKVLSKKVIVK KNEIAHTIGERNILVTTASKSSPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKEG RFSEDRAKFYIAELVLALEHLHDNDIVYRDLKPENILLDANGNIALCDFGLSKADLKD RTNTFCGTTEYLAPELLLDETGYTKMVDFWSLGVLIFEMCCGWSPFFAENNQKMYQKI AFGKVKFPRDVLSQEGRSFVKGLLNRNPKHRLGAIDDGRELRAHPFFADIDWEALKQK KIPPPFKPHLVSETDTSNFDPEFTTASTSYMNKHQPMMTATPLSPAMQAKFAGFTFVD ESAIDEHVNNNRKFLQNSYFMEPGSFIPGNPNLPPDEDVIDDDGDEDINDGFNQEKNM NNSHSQMDFDGDQHMDDEFVSGRFEI YHR206W MSFSTINSNVNKTTGDSNNNTTENSSTADLLGMDLLQSGPRLMN TMQPNNSSDMLHINNKTNNVQQPAGNTNISSANAGAKAPANEFVRKLFRILENNEYPD IVTWTENGKSFVVLDTGKFTTHILPNHFKHSNFASFVRQLNKYDFHKVKRSPEERQRC KYGEQSWEFQHPEFRVHYGKGLDNIKRKIPAQRKVLLDESQKALLHFNSEGTNPNNPS GSLLNESTTELLLSNTVSKDAFGNLRRRVDKLQKELDMSKMESYATKVELQKLNSKYN TVIESLITFKTINENLLNNFNTLCSTLANNGIEVPIFGDNGNRNPTGNTNPATTTAIQ SNNNTNNASPATSTVSLQLPNLPDQNSLTPNAQNNTVTLRKGFHVLLVEDDAVSIQLC SKFLRKYGCTVQVVSDGLSAISTLEKYRYDLVLMDIVMPNLDGATATSIVRSFDNETP IIAMTGNIMNQDLITYLQHGMNDILAKPFTRDDLHSILIRYLKDRIPLCEQQLPPRNS SPQTHSNTNTANSNPNTINEQSLAMLPQDNPSTTTPVTPGASISSAQHVQQGQQEQQH QIFHAQQQQQHHNAIANARSDVAIPNLEHEINTVPHSSMGSTPQLPQSTLQENQLS YHR207C MTLTIKIGTLNDSDQSAVHNGTENGSDFRKITPTEEEICDDVVL LWKEEPGTEDATIQHLYDRITERNQSWKLSASRFRKILNEHHLYDTDLETVSLYKDKI HFPKALDSDAKVEVKFIDDEHGRGLFAKRDFSKGQIILKENKPIVYIPPLDKLFLISN GKACARCGKALYDLTQHKIMVHYLDCEVCKAIWCSEKCKKAHASLHELLYHSWRSNRI DILHAGNWKRFVNYCEKYCFTAAFSVGLIYGSMLLDTTGEVKEQWQKLASISQRERIK LRDASGIGSTFSLLNGTTVHTEEESDNGTKKGVEKNIDDETVWEKCYELFCGAFPKAS EEIDFEKFLTMIGTFNINQYNGQVYHWISFINHDCEPNAYIEQVEEHEELRLHARKPI KKGEQIRITYVNPLHGVRLRRRELRVNWGFLCQCDRCQNELSTFERVPNLEKKNADAN LGVEKIDSNDSSEDGSKKSTGNRKSSMREAQPDLKEILKNGKEFELDIPETVDTQGNV RKTSVRFDSNVSVAVDER YHR208W MLQRHSLKLGKFSIRTLATGAPLDASKLKITRNPNPSKPRPNEE LVFGQTFTDHMLTIPWSAKEGWGTPHIKPYGNLSLDPSACVFHYAFELFEGLKAYRTP QNTITMFRPDKNMARMNKSAARICLPTFESEELIKLTGKLIEQDKHLVPQGNGYSLYI RPTMIGTSKGLGVGTPSEALLYVITSPVGPYYKTGFKAVRLEATDYATRAWPGGVGDK KLGANYAPCILPQLQAAKRGYQQNLWLFGPEKNITEVGTMNVFFVFLNKVTGKKELVT APLDGTILEGVTRDSVLTLARDKLDPQEWDINERYYTITEVATRAKQGELLEAFGSGT AAVVSPIKEIGWNNEDIHVPLLPGEQCGALTKQVAQWIADIQYGRVNYGNWSKTVADL N YHR209W MPKTSYLNKNFESAHYNNVRPSYPLSLVNEIMKFHKGTRKSLVD IGCGTGKATFVVEPYFKEVIGIDPSSAMLSIAEKETNERRLDKKIRFINAPGEDLSSI RPESVDMVISAEAIHWCNLERLFQQVSSILRSDGTFAFWFYIQPEFVDFPEALNVYYK YGWSKDYMGKYLNDNQREILLNYGGEKLRSLLSDRFGDIEVTIYSPSDPNASTVTAEN SQFLWRAAITLNQFKEFVKSWSIYTSWARDNPSKPDIADIFINELKEICHCEDLNVPL KIEWSTFYYLCRKRE YHR210C MSNNKAGGEYEVITIGDAKKLQATISELGATLLDLKVNNESIVL GYPDIHGYISDGYNYIGATVGRYANRIYKGMFSMEDGPHQLTVNNCGNTNHSSISSFH LKKYKASKVQNPLDDLYIVEFTLLDDRTLPNEFPGDLAVNLKYTLNVADMTLDLEYEA KLVSGEATPINMTNHTYFNLNKTMDKKSISGTEVRLCSDKSLEVSEGALIPTGKIVQR KIATFDSSKPTILQDDGPIYDYAFIVDENKNLKTTDSVSVNKLVPAFKAYHPASRLSL EVSTTEPTVLFYTGDNLCDGFTPRSGFAVEQGRYVDAINRDGWRDCVLLRRGEVYTSK TRYRFAV YHR211W MTIAHHCIFLVILAFLALINVASGATEACLPAGQRKSGMNINFY QYSLKDSSTYSNAAYMAYGYASKTKLGSVGGQTDISIDYNIPCVSSSGTFPCPQEDSY GNWGCKGMGACSNSQGIAYWSTDLFGFYTTPTNVTLEMTGYFLPPQTGSYTFSFATVD DSAILSVGGSIAFECCAQEQPPITSTNFTINGIKPWDGSLPDNITGTVYMYAGYYYPL KVVYSNAVSWGTLPISVELPDGTTVSDNFEGYVYSFDDDLSQSNCTIPDPSIHTTSTI TTTTEPWTGTFTSTSTEMTTITDTNGQLTDETVIVIRTPTTASTITTTTEPWTGTFTS TSTEMTTVTGTNGQPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTTVTGTNG QPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEVTTITGTNGQPTDETVIVIRTP TSEGLITTTTEPWTGTFTSTSTEMTTVTGTNGQPTDETVIVIRTPTSEGLISTTTEPW TGTFTSTSTEVTTITGTNGQPTDETVIVIRTPTSEGLITTTTEPWTGTFTSTSTEMTT VTGTNGQPTDETVIVIRTPTSEGLITRTTEPWTGTFTSTSTEVTTITGTNGQPTDETV IVIRTPTTAISSSLSSSSGQITSSITSSRPIITPFYPSNGTSVISSSVISSSVTSSLV TSSSFISSSVISSSTTTSTSIFSESSTSSVIPTSSSTSGSSESKTSSASSSSSSSSIS SESPKSPTNSSSSLPPVTSATTGQETASSLPPATTTKTSEQTTLVTVTSCESHVCTES ISSAIVSTATVTVSGVTTEYTTWCPISTTETTKQTKGTTEQTKGTTEQTTETTKQTTV VTISSCESDICSKTASPAIVSTSTATINGVTTEYTTWCPISTTESKQQTTLVTVTSCE SGVCSETTSPAIVSTATATVNDVVTVYPTWRPQTTNEQSVSSKMNSATSETTTNTGAA ETKTAVTSSLSRFNHAETQTASATDVIGHSSSVVSVSETGNTMSLTSSGLSTMSQQPR STPASSMVGSSTASLEISTYAGSANSLLAGSGLSVFIASLLLAII YHR212W-A MPYHYLFLALFTYLATSNVVSGSTQACLPVGPRKNGMNVNFYKY SLLDSTTYSYPQYMTSGYASNWN YHR213W MTGYFLPPQTSSYTFRFAKVDDSAILSVGGNVAFECCAQEQPPI TSTDFTINGIKPWQGSLPDNIGGTVYMYAGYYYPLKVVYSNAVSWGTLPISVELPDGT TVSDDFEGYVYSFDDDLSQSNCTIPDPSKHTTSIVTTTTELWTGTFTSTSTEMTTVTG TNGQPTDETVIVAKAPTTATSSSLSSSSSEQITSSITS YHR213W-A MLAKTGDVVVQKVPVIRLSVFLHFFFVFPFCLLHRLYMGMKQVQ EFIMEPKGSVFVVRATLRVSLENAGKIFFNETE YHR213W-B MLIDFCCSYIAGTHGRERAPSFTGTFVSHVSAENNCRPRRSEIT QPCASGTEKKHFAATEKQCTNSLEGSRKDFLSLPLGHSYLFLFCFWRMICSEPKL YHR214W MFNRFNKFQAAVALALLSRGALGDSYTNSTSSADLSSITSVSSA SASATASDSLSSSDGTVYLPSTTISGDLTVTGKVIATEAVEVAAGGKLTLLDGEKYVF SSDLKVHGDLVVEKSEASYEGTAFDVSGETFEVSGNFSAEETGAVSASIYSFTPSSFK SSGDISLSLSKAKKGEVTFSPYSNAGTFSLSNAILNGGSVSGL YHR214C-B MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENLHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVPPMLTSPND FPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVK DILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDR LNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSR NSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPST DNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHSDDELPGHLLLDSGASRTLIR SAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQDNTKTSIKVLHTPNIAYDLLS LNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWVSKKYLLPSNISVPTINNVHT SESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNESDVDWSSAIDYQCPDCLIGK STKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKSAPSYFISFTDETTKFRWVYP LHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSEYTNRTLHKFLEKNGITPCYT TTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFSAIEFSTIVRNSLASPKSKKS ARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGIPGYALHPSRNSYGYIIYLPS LKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLTASYHSFIASNEIQQSNDLNI ESDHDFQSDIELHPEQLRNVLSKAVSPTDSTPPSTHTEDSKRVSKTNIRAPREVDPNI SESNILPSKKRSSTPQISDIESTGSGGMHRLDVPLLAPMSQSNTHESSHASKSKDFRH SDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKRIIHRSPSIDTSSSESNSLHH VVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTELSDSFKELPPINSHQTNSSLGGI GDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSLEPPRSKKRIHLIAAVKAVKS IKPIRTTLRYDEAITYNKDIKEKEKYIQAYHKEVNQLLMMKTWDTDRYYDRKEIDPKR VINSMFIFNRKRDGTHKARFVARGDIQHPDTYDPGMQSNTVHHYALMTSLSLALDNNY YITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLKKSLYGLKQSGANWYETIKSY LIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDLNANKKIITTLKKQYDTKIIN LGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIPKLNVPLNPKGRKLSAPGQPG LYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQV LDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISDASYGNQPYYKSQIGNIYLLN GKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSHLVQELNKKPITKGLLTDSKS TISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCYIETKKNIADVMTKPLPIKTF KLLTNKWIH YHR214C-C MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENLHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVPPMLTSPND FPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVK DILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDR LNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSR NSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPST DNDSISKSTTEPIQLNNKHDLHLRPETY YHR214C-D MEDHTLVAIVVFFGNGEPFHVSLSVEMVFVLLLSSTRIHEVVVL ICYKLQHATWSWGNMSKNFSLKPDISLSFLLDIISINDICIYGCIALTVVFIL YHR214C-E MYKITTIYLWLKSYLSFFIGLDNLDFLTLIRFFQCRLQNKLGLQ DILDFFCNLCGHSMVRTCNMVEAAQKQNRITFGSIYVKLHPLVKLCTGIVWAPRV YHR215W MLKSAVYSILAASLVNAGTIPLGKLSDIDKIGTQTEIFPFLGGS GPYYSFPGDYGISRDLPESCEMKQVQMVGRHGERYPTVSKAKSIMTTWYKLSNYTGQF SGALSFLNDDYEFFIRDTKNLEMETTLANSVNVLNPYTGEMNAKRHARDFLAQYGYMV ENQTSFAVFTSNSNRCHDTAQYFIDGLGDKFNISLQTISEAESAGANTLSAHHSCPAW DDDVNDDILKKYDTKYLSGIAKRLNKENKGLNLTSSDANTFFAWCAYEINARGYSDIC NIFTKDELVRFSYGQDLETYYQTGPGYDVVRSVGANLFNASVKLLKESEVQDQKVWLS FTHDTDILNYLTTIGIIDDQNNLTAEHVPFMENTFHRSWYVPQGARVYTEKFQCSNDT YVRYVINDAVVPIETCSTGPGFSCEINDFYGYAEKRVAGTDFLKVCNVSSVSNSTELT FFWDWNTKHYNDTLLKQ YHR216W MAAIRDYKTALDFTKSLPRPDGLSVQELMDSKIRGGLTYNDFLI LPGLVDFASSEVSLQTKLTRNITLNIPLVSSPMDTVTESEMATFMALLGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKEKYGFAGFPVTTDGKRNAK LVGVITSRDIQFVEDNSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGRLLVVDEK GNLVSMLSRTDLMKNQNYPLASKSANTKQLLCGASIGTMDADKERLRLLVKAGLDVVI LDSSQGNSIFELNMLKWVKESFPGLEVIAGNVVTREQAANLIAAGADGLRIGMGTGSI CITQEVMACGRPQGTAVYNVCEFANQFGVPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSESDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCRSLTLLKNNVQRGKVRFEFRTASAQLE GGVHNLHSYEKRLHN YHR218W MDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPDFQGGPIPSKYLI PKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCYEIASARPND SSTMRTFTDFVSGTPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQASLPGE KKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDVLATAQRIRRRYNKNGSSE PRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDHDQKISRVTRKR PREPKSTNDILVAGRKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQAPPGYGKTELF HLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLGRRGCLNVAPVRNFIEEGCDGVTD LYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFETEVYRQSQFG GITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKRSEDLSRGLS SYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEPESKAIVVASTT NEVEELACSWRKYFRVVWIHGKLGCCRKGVSHKGVCH YHR219W MDLNQRKEKKGQHVGCCGSRTDLSADTVELIERMDRLAENQATA SMSIVALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATTNSSTNATTTASI NVRTSATTTASINVRTSATTTESTNSNTNATTTESTNSSTNATTTASINVRTSATTTE STNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATTTASTNSSTNATT TESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLERKKLKAQFPNTSE NMNVLQFLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQKMFELCVCWAGQKVS YRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKFFSVKRGEIYSQIQRNYA WYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQYFLN WDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRS RYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQISEVWL LPHWVDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDIILLCRDSSREVGE YIL177C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKVVISVMVGKNVKKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDILHIILRACALNF GAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALLVS SCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETTLKDSYRITLVPSSDGISLLAFA GPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVFYFVTVLRQMQICALG NSYDAFNHDPWMDVVGFEDPNQVTNRDISRIVLYSYMFLNTAKGCLVEYATFRQYMRE LPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMANHSVQTGRNIYGVD SFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSNHHQ KISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCYEIYMADTPSVAVQAP PGYGKTELFHLPLIALASKGDVEYVSFLFVPYTVLLANCMIRLGRCGCLNVAPVRNFI EEGYDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFET EVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKR SEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIRKKVESQPEEALKLLLALFESEPES KAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMQVLIGTKL VTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGELPP IKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQATAS MSIVALPSSFQESNSSDRYRKYCSSDEDSNTCIHGSANASTNASTNAITTASTNVRTN ATTNASTNATTNASTNASTNATTNASTNATTNSSTNATTTASTNVRTSATTTASINVR TSATTTESTNSSTNATTTESTNSSTNATTTESTNSNTSATTTASINVRTSATTTESTN SSTSATTTASINVRTSATTTKSINSSTNATTTESTNSNTNATTTESTNSSTNATTTES TNSSTNATTTESTNSNTSAATTESTNSNTSATTTESTNASAKEDANKDGNAEDNRFHP VTDINKESYKRKGSQMVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDI YFCPEGVFTQYGLCKGCQKMFELCVCWAGQKVSYRRIAWEALAVERMLRNDEEYKEYL EDIEPYHGDPVGYLKYFSVKRREIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQ VFRMSGRQIKELYFKVWSNLRESKTEVLQYFLNWDEKKCQEEWEAKDDTVVVEALEKG GVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRSRYELSLGMHLRDQIALGVTPSKVPH WTAFLSMLIGLFYNKTFRQKLEYLLEQISEVWLLPHWLDLANVEVLAADDTRVPLYML MVAVHKELDSDDVPDGRFDILLCRDSSREVGE YIL176C MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YIL173W MALFRALYIIWVFLLIPLSNAEEFTPKVTRTLSRYVFDIVNFDD SNTLIRAEEDSVEISFDAGENWKTIDEIEEPIESFVVDPFRGHDRAFAFVKTAPKFYV TDDQGKSWRPLTIPISEKASNYFCDVTTHPIKKKHLIIRCDLLTIKNSGLMYVGREIY TTNDGVSFSQVKPSFGKIDGHISTARCDFIKSSEDSDLGGNDASILCLFRNTEYIEST GSTIDKSELILSADGGETFKELVQFKDKVVSRYEILKHHVIVLTQDDMYNEMSSTNIW ISNDVSTFQVARTPTKIRHVNMGQIHEDSIGRIVLPVSRERDDEDSNQPGAAEVLISD SEGLKFLPINWIPNNQFGYINVAYPGFLKGTFFGSFHPFIEYSDRKRKYSRQKVREET KVSVDNGLTWTNLKVVDRENVDLFGCDVTKPERCSLQTHFYDLRNLNPSAGIMMISGI VGDGSAYNWKEEKTFISRDSGLTWRLVHNSTGLYTTGDLGNIIMYIPYRSNENGDVPS KFYYSLDQGKTWGEYDLIMPIYPYRLVSTISDGSGSKFILTGTSITEDPIFITYSIDF SAVFDYKSCEEGDFEDWNLADGKCVNGAKYKYRRRKQDAQCLVKKAFKDLSLDETPCN SCTGSDYECSFEFVRDAKGDCIPDYNLIALSDICDKSKGKSVLVKPLQLIKGDKCKTP MKIESVDIPCDEIPKEGSSDKEIVTTENKFDFEIKFYQYFDTVADESLVMLNSIGDAY ISHDGGQTIKRFDTDGEKIVEIVFNPYFNSSAYLFGSKGNIFLTHDRGYSFMIAKLPE ARQLGMPLDFSAKAQDTFIYYGGKNCESILSPECHAVAYLTKDGGETFTEMLDNAIHC EFAGTLFKYPSNDDMVMCQVKEKFSQTRSLVSSTDFFQDDRKTVFENIIGYLSTGGYI IVAVPHEDNELRAYVTNDGAEFTEAKFPYDEDIGKQDAFTILGSEEGSIFLHLATNLE SGHDFGNLLKSNSNGTSFVTLEHAVNRNTFGYVDFEKVQGLEGIIITNIVSNSEKVGE NKEDEQLKTKITFNDGSDWNFLKPPKKDSEGKKFPCDSVSLDKCSLHLHGYTERKDIR DTYSSGSALGMMFGVGNVGDRLLPYEECSTFLTTDGGETWTEVKKGPHQWEYGDHGGV LVLVPENAETDSISYSTDFGKTWKDYKFCGDKVLVKDIITVPRDSALRFLLFGEAKNM GSGSFRTYTIDFRNIFERQCEFDITGRKRADFKYSPLGSRTGCLFGHKTEFLRKTDEK CFIGNIPLSEFSRNVKNCPCTRQDFECDYNFYKASDGTCKLVKGLSSANGADICKKEP DLIEYYDSSGYRKIPLSTCKGGLKLDAHLAPHPCPGKEKAFREKYSINTGAYALVFVT ILLVIFFVAWFVYDRGIRRNGGFSRFEEIRLGDDGLIENNRTDRVVNIIVRLGLCISL ITKSAFQRAKAGTAQLSSKFRARFGNKKGATYSSLLHDQLSDEPDGFHEDSNDLSSFR GQGSNSEIEQEDVDTSQQEHTLRTDLLGASNIPDALPARSASHESDLAAARSEDK YIL172C MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGTDAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWRSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVAGLPDAPVIDENSKWQLSDPFTMNGPRIHEFHQEMNKFIRNRVKDGREIMTVG EMRHATDETKRLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPYELKDWKVALAELF RYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLSGTLYVYQGQ ELGEINFKNWPIEKYEDVEVRNNYDAIKEEHGENSKEMKRFLEAIALISRDHARTPMQ WSREEPNAGFSGPNAKPWFYLNESFREGINAEDESKDPNSVLNFWKEALRFRKAHKDI TVYGYDFEFIDLDNKKLFSFTKKYDNKTLFAALNFSSDSIDFTIPNNSSSFKLEFGNY PRSEVDASSRTLKPWEGRIYISE YIL169C MFNRLNKFQAALALALYSQSALGQYYSNSTSISSNSSSTSVVSS SSGSVSISSSIAETSSSATDILSSITQSASSTSGVSSSVGPSSSSVVSSSVSQSSSSV SDVSSSVSQSSSSASDVSSSVSQSASSTSDVSSSVSQSSSSASDVSSSVSQSSSSASD VSSSVSQSASSASDVSSSVSQSASSTSDVSSSVSQSSSSASDVSSSVSQSSSSASDVS SSVSQSASSTSDVSSSVSQSASSTSGVSSSGSQSVSSASGSSSSFPQSTSSASTASGS ATSNSLSSITSSASSASATASNSLSSSDGTIYLPTTTISGDLTLTGKVIATEGVVVAA GAKLTLLDGDKYSFSADLKVYGDLLVKKSKETYPGTEFDISGENFDVTGNFNAEESAA TSASIYSFTPSSFDNSGDISLSLSKSKKGEVTFSPYSNSGAFSFSNAILNGGSVSGLQ RRDDTEGSVNNGEINLDNGSTYVIVEPVSGKGTVNIISGNLYLHYPDTFTGQTVVFKG EGVLAVDPTETNATPIPVVGYTGKNQIAITADITALSYDGTTGVLTATQGNRQFSFAI GTGFSSSDFSVSEGIFAGAYAYYLNYNGVVATSAASSSTASGASASVTGSTSFGASVT GSTASTSFGASVTGSTASTSFGASVTGSTSVYTTTLDYVNATSTVVVSCSETTDSNGN VYTITTTVPCSSTTATITSCDETGCHVSTSTGAVVTETVSSKSYTTATVTHCDDNGCN TKTVTSECSKETSATTASPKSYTTVTVTHCDDNGCNTKTVTSEAPEATTTTTVSSQSY TTATVTHCDDNGCKTKTVTSEAPEATTTTVSPKTYTTATVTQCDDNGCSTKTVTSECP EETSATTTSPKSYTTVTVTHCDDNGCNTKTVTSEAPEATTTTVSPKTYTTATVTQCDD NGCSTKTVTSEAPKETSETSETSAAPKDIHYCHWLLNGDDNGCNVKIITSKIPEATST VTQLVLLQSHTLLSLLRVLKQPH YIL166C MSVQKEEYDIVEKAQLSVSAESLTSDSESISHNPFDDFHKAERW RKVYESSGYEGLSKFDPEFTWTKDEEKKLVRKMDLKIFLWVFIMFAFLDLIRKNIARA VSDNFIVDLKMNTNDYNLGQTVYLVIFLASELPGNLLSKRFGPERVIPVQIVLWSVIC ITQAGLKNRGQFIATRCLLGMVQGGFIPDNILYLSYYYTGAELTFRLSFFWCAIPLFQ ILGSLLASGIIEMRGIHNLAGWQYLFIIEGFLSLSVGVASFYLMRRGPTQTGESAFHK GKSLFTEYEEKIMVNRILRDDPSKGDMSNRQPVTFKEILYTLTEFDLWPLFIQGITAF ISLQTVGSYLSLILKSLNYSTFLSNILAIPGQALLLINLPLAALLSRKLKEKSLCVGI ANVWVLPFIVSLVALPTDTNPWIKYILLTGILGLPYTHSILAGWVSEISNSVRSRTVG TALYNMSAQVGAIIASNMYRNDDKPYYTRGNKILLGFTCFNICMAVATKFYYISRNKY KDRKWNSMTKEEQINYLDTTKDKGMKRLDYRFIH YIL165C MKNIAYEGRLFLISAVQFMPDATAMGFGEIIDQATGKRKLPGWP SADDNCINGGSVIIDPYGEIIAGPLLGQEGLLTAEINTDLIAEARFDLDPVGHYARGD VFQLTVNERSHDVKFTK YIL164C MAKHIVAALQIGSCPGSTKDTLKKILSYEKEIKESGAKLVVIPE ATLGGYPKGSNFGVYLGYRLQEGREEYAKYLAEAIEIGNGEKYPEISQLCALSKATDA SLCVGCIERDGTTLYCTMVYIDPKDGYVGKHRKLMPTAGERLIWGQGDGSTLPVVDTA AGKIGGAICWENMMPLLRYAMYKKGVEIWCAPTVDARPI YIL163C MFLFRRKDYRIEIQKKKVVKSFFQMVYYRALRQHFCQTKSFKHS SKRNVSMMVIGKHRAYLKSLRHHIKGFIITFLVSFSRNLHGKTLDVGSINATRISSPP DNFLNWVFSFYSCSE YIL162W MLLQAFLFLLAGFAAKISASMTNETSDRPLVHFTPNKGWMNDPN GLWYDEKDAKWHLYFQYNPNDTVWGTPLFWGHATSDDLTNWEDQPIAIAPKRNDSGAF SGSMVVDYNNTSGFFNDTIDPRQRCVAIWTYNTPESEEQYISYSLDGGYTFTEYQKNP VLAANSTQFRDPKVFWYEPSQKWIMTAAKSQDYKIEIYSSDDLKSWKLESAFANEGFL GYQYECPGLIEVPTEQDPSKSYWVMFISINPGAPAGGSFNQYFVGSFNGTHFEAFDNQ SRVVDFGKDYYALQTFFNTDPTYGSALGIAWASNWEYSAFVPTNPWRSSMSLVRKFSL NTEYQANPETELINLKAEPILNISNAGPWSRFATNTTLTKANSYNVDLSNSTGTLEFE LVYAVNTTQTISKSVFADLSLWFKGLEDPEEYLRMGFEVSASSFFLDRGNSKVKFVKE NPYFTNRMSVNNQPFKSENDLSYYKVYGLLDQNILELYFNDGDVVSTNTYFMTTGNAL GSVNMTTGVDNLFYIDKFQVREVK YIL161W MDTKLSVTGAKKSQGKASGLGNEGTPIGNEESTNKAKNGNKKRN KNRNRNKKTETKEQNEPKPVTGGEEVRVEKSQAKNRRRKNNNGANKKNTLHYSKEINV EERKQIAKRQEEIEQCIHTLSDFKLFKKGKHVTSYGYRISPMTDSGKISLKILFNIPL DYPKAPIKLTMKSNEEVSSYMDTVIANFNWKARQLVKEDWRILSQINYLVSELEILKM ENYKQIDKLRNSFYKTI YIL160C MSQRLQSIKDHLVESAMGKGESKRKNSLLEKRPEDVVIVAANRS AIGKGFKGAFKDVNTDYLLYNFLNEFIGRFPEPLRADLNLIEEVACGNVLNVGAGATE HRAACLASGIPYSTPFVALNRQCSSGLTAVNDIANKIKVGQIDIGLALGVESMTNNYK NVNPLGMISSEELQKNREAKKCLIPMGITNENVAANFKISRKDQDEFAANSYQKAYKA KNEGLFEDEILPIKLPDGSICQSDEGPRPNVTAESLSSIRPAFIKDRGTTTAGNASQV SDGVAGVLLARRSVANQLNLPVLGRYIDFQTVGVPPEIMGVGPAYAIPKVLEATGLQV QDIDIFEINEAFAAQALYCIHKLGIDLNKVNPRGGAIALGHPLGCTGARQVATILREL KKDQIGVVSMCIGTGMGAAAIFIKE YIL159W MDSSPNKKTYRYPRRSLSLHARDRVSEARKLEELNLNDGLVAAG LQLVGVALEKQGTGSHIYMKQKNFSANDVSSSPMVSEEVNGSEMDFNPKCMPQDASLV ERMFDELLKDGTFFWGAAYKNLQNISLRRKWLLICKIRSSNHWGKKKVTSSTTYSTHL ATNELAENAHFLDGLVRNLSTGGMKLSKALYKLEKFLRKQSFLQLFLKDEIYLTTLIE KTLPLISKELQFVYLRCFKILMNNPLARIRALHSEPLIRWFTELLTDQNSNLKCQLLS MELLLLLTYVEGSTGCELIWDQLSILFTDWLEWFDKILADDIAIHSSLYLNWNQLKID YSTTFLLLINSILQGFNNKTALEILNFLKKNNIHNTITFLELAYKDDPNSVVIMEQIK QFKSKESAIFDSMIKTTNDTNSLHPTKDIARIESEPLCLENCLLLKAKDSPVEAPINE IIQSLWKILDSQKPYSESIKLLKLINSLLFYLIDSFQVSTNPSFDETLESAENVDYVF QDSVNKLLDSLQSDEIARRAVTEIDDLNAKISHLNEKLNLVENHDKDHLIAKLDESES LISLKTKEIENLKLQLKATKKRLDQITTHQRLYDQPPSLASSNLSIAGSIIKNNSHGN IIFQNLAKKQQQQQKISLPKRSTSLLKSKRVTSLSSYLTDANNENESQNESEDKSKDS LFQRSTSTINFNIPSMKNITNMQNVSLNSILSELEFSNSLGTQPNYQSSPVLSSVSSS PKLFPRLSSDSLDNGIQLVPEVVKLPQLPPPPPPPPPPPLPQSLLTEAEAKPDGVSCI AAPAPPPLPDLFKTKTCGAVPPPPPPPPLPESLSMNKGPSNHDLVTPPAPPLPNGLLS SSSVSINPTTTDLKPPPTEKRLKQIHWDKVEDIKDTLWEDTFQRQETIKELQTDGIFS QIEDIFKMKSPTKIANKRNAESSIALSSNNGKSSNELKKISFLSRDLAQQFGINLHMF SQLSDMEFVMKVLNCDNDIVQNVNILKFFCKEELVNIPKSMLNKYEPYSQGKDGKAVS DLQRADRIFLELCINLRFYWNARSKSLLTLSTYERDYYDLIFKLQKIDDAISHLNRSP KFKSLMFIITEIGNHMNKRIVKGIKLKSLTKLAFVRSSIDQNVSFLHFIEKVIRIKYP DIYGFVDDLKNIEDLGKISLEHVESECHEFHKKIEDLVTQFQVGKLSKEENLDPRDQI IKKVKFKINRAKTKSELLIGQCKLTLIDLNKLMKYYGEDPKDKESKNEFFQPFIEFLA MFKKCAKENIEKEEMERVYEQRKSLLDMRTSSNKKSNGSDENDGEKVNRDAVDLLISK LREVKKDPEPLRRRKSTKLNEIAINVHEGDVKTRKDEDHVLLERTHAMLNDIQNI YIL158W MGNVSVAVGTAVGIPIAVGVIIALIFWCKLQRRYKKEEIRDADL EKMVMEEVAVSVYDGFKAEINSSSEASTINEKEANQDLKPCQEKTAKAGYTPAYRRQL NASMGTLRPKKQSTAYTNVPVIFSGEKVNYGMVRDPSYSFMYPLTLSRKETSSLRSAS TSNLSSSTENTALHEEIKLDDPYENDFTNYTVNKREFIDSLRPH YIL157C MMLRLVTKGLPKVTPSAAKAVLVRGSLLHSFSTSARFNNSVAED EAKIVLKDKNRPLRIDRELPDPTTERRKRIAGFLLFSVAIGSALSLIFNYEKTESPII SNTLYYIRRSPATKNILGESIEFDGIIPWVYGELNSVKGRINITFYIKGDKNVTGTVR LVADRNTHDEEFLIHEWSVTAAGQKIDLLAENTKTPI YIL156W-B MTLVGKLVHISIDLVLVSTCLAGIKRNTGLTPKLETLDNQTMRN YMKRYLNLGESVYDYSVATCGSSTYFARK YIL156W MLDDDKGTAMHPHITPFTPEYSNELLRRVQDLYHEDIKHYYPQL KLEKLLDLLEHTEYLFELYLDSIHHDRPNDALTAFIIGCYYVFLIIPQSLQFQTRNKS YSIYTDLKKMYENEMNMTNVVLMVKKEIGVVLDESVKHGAGIEHRITKKRAFSVPADD LSGQVASLSLDTAAPQDHGLKGTFTEDDAEQSSPVWTAPNLEPNDQLKLALLPEVIPT PAFREPERKTSVPVRPSVLLEDVPSIYHEDDTSFASLNPPFREITADRSVTHRKDSYH SVYMVDSGNLKEDNDDLFNVENDGFIQSLDILQKQSIITAPELFSILSNRVEREKVLL IDLRIPQRSAINHIVAPNLVNVDPNLLWDKQTNTPIYKDDILEHLLKENENFINRNKF DYIVYYTDVKTFMTINFDYAFIFFYLMLTSQKTPLTTVPTTLLGGYEKWKKTLHSYAQ EYHISIEDYLYRPYSQKARLQQEQQQQQQQPDSQDSFSAKESSTKVPEPPSWKPPDLP IRLRKRPPPPPPVSMPTTPEIPPPLPPKIMVHSQVSSISRKPPIPAKQHVKKEQLNSN EIIQRKRQHQHQHYDQQILQPQRAYNIPTIERSPNVYVSLSITGLRNLGNTCYINSMI QCLFAAKTFRTLFISSKYKSYLQPIRSNGSHYSPKLSNSLSMLFNKMYLNGGCSVVPT GFLKVINQLRPDLKIPDDQQDTQEFLMILLDRLHDELSDQQHVANDYPNLLLYNADAL KVSNNEYKHWFDKNVIGNGISPIDDIFQGQMENSLQCKRCGYTTFNYSTFYVLSLAIP RRSMKLSKLGRSTEKRVKLEDCINMFTSDEVLSGENAWDCPRCGPTASVSTSVSALEN EPSIVKSKKKKSRFFTLHTGTKRRHLDFFGDGITEGHNSNNNNTTIFERERSRSPFRM LGGSGKRSSSSTPFSTGGNDSNNSSDYKNKKLTTVKTINFVTLPKILVIHLSRFYYDL TKKNNTVVTYPLILNIILKNNDTMKYKLFGVVNHTGTLISGHYTSLVNKDLEHNVNIG RSKWYYFDDEVVKADRKHGSDKNLKISSSDVYVLFYERVYD YIL155C MFSVTRRRAAGAAAAMATATGTLYWMTSQGDRPLVHNDPSYMVQ FPTAAPPQVSRRDLLDRLAKTHQFDVLIIGGGATGTGCALDAATRGLNVALVEKGDFA SGTSSKSTKMIHGGVRYLEKAFWEFSKAQLDLVIEALNERKHLINTAPHLCTVLPILI PIYSTWQVPYIYMGCKFYDFFAGSQNLKKSYLLSKSATVEKAPMLTTDNLKASLVYHD GSFNDSRLNATLAITAVENGATVLNYVEVQKLIKDPTSGKVIGAEARDVETNELVRIN AKCVVNATGPYSDAILQMDRNPSGLPDSPLNDNSKIKSTFNQIAVMDPKMVIPSIGVH IVLPSFYCPKDMGLLDVRTSDGRVMFFLPWQGKVLAGTTDIPLKQVPENPMPTEADIQ DILKELQHYIEFPVKREDVLSAWAGVRPLVRDPRTIPADGKKGSATQGVVRSHFLFTS DNGLITIAGGKWTTYRQMAEETVDKVVEVGGFHNLKPCHTRDIKLAGAEEWTQNYVAL LAQNYHLSSKMSNYLVQNYGTRSSIICEFFKESMENKLPLSLADKENNVIYSSEENNL VNFDTFRYPFTIGELKYSMQYEYCRTPLDFLLRRTRFAFLDAKEALNAVHATVKVMGD EFNWSEKKRQWELEKTVNFIKTFGV YIL154C MQKSILLTKPDGTQSNLHSIKTETPTTVEFDSEQMERGHRERGR SKKKRGERDSNVSSLSRSRSRASSRSRVREEEFLKWTVLRQDPSMRLRVVDVDSEEEG EGNDEDDDDGDGDDMDEEESDEEQVSDIENDLEIDEEFHYDLGMKVLPNFCTSINEVL DSSKPWIAKYEISIRGHENEDVSLEQLDGGYVRAMQLLTKGAGAEAGNQRSFILYTDL SSESTYALTYLMGAAVNQGDTVYIVHWEPSKPTDDSQMFANVARIRKHVMHLFDCVAG VLDDLDVVVLSLTHPYPKHLLNEMIHGLKPVALCCSLSVILSTLQNFVCSVPILAVRK KLKRAKRKGISE YIL153W MSLDRVDWPHATFSTPVKRIFDTQTTLDFQSSLAIHRIKYHLHK YTTLISHCSDPDPHATASSIAMVNGLMGVLDKLAHLIDETPPLPGPRRYGNLACREWH HKLDERLPQWLQEMLPSEYHEVVPELQYYLGNSFGSSTRLDYGTGHELSFMATVAALD MLGMFPHMRGADVFLLFNKYYTIMRRLILTYTLEPAGSHGVWGLDDHFHLVYILGSSQ WQLLDAQAPLQPREILDKSLVREYKDTNFYCQGINFINEVKMGPFEEHSPILYDIAVT VPRWSKVCKGLLKMYSVEVLKKFPVVQHFWFGTGFFPWVNIQNGTDLPVFEEKEEESI EQANAGSPGREQTSTRFPTSTSMPPPGVPPSGNNINYLLSHQNQSHRNQTSFSRDRLR R YIL152W MSHKRRGLVIYQDQKQQQQHPPGQSLSSISWSPTRRPHHPLKQQ STNSFSEILSKSSVQPNVQHDGNHMPISLLVLKQEHHKQQQQQQQRQNIRSQNSTPPL RQLVQESQWTSSASNSSLKKQEKQPQTFYNTDSKLVSQLHSSVKDLDAIIQTHKPKFD TIIRDFSQATILSSNELLIKLPKDQTIILHSRAPKINAEWLQNKVNDPSASLVIDSRS FLTLCNNIKWYLHWKFI YIL151C MVDLMVPANDDPSNETDYSRSNNNHTHIVSDMRPTSAAFLHQKR HSSSSHNDTPESSFAKRRVPGIVDPVGKGFIDGITNSQISAQNTPSKTDDASRRPSIS RKVMESTPQVKTSSIPTMDVPKSPYYVNRTMLARNMKVVSRDTYEDNANPQMRADEPL VASNGIYSNSQPQSQVTLSDIRRAPVVAASPPPMIRQLPSAQPNQTFIKKLQEIYKII VVQETELQQRCLYLTTSQTTELKSLWAIYRLNTELIKNYINFIITALLTTQPINDLIM GQEILDIYRIEKRLWVYGIITFLDVLKNFSNFMDPEVCCQFIIYAFISVSNMLEDIPL KYSILWRQRLGDLSRMAISLYPSGFIDWRLSAEYWYTESMKYIYGCGKLYYHIATVQQ NSLEAFVNLGKSVFCQDPFTPSQQTLQLLIENIYQSAFIDRSSGSANNNEIAHRNSQL IDYLKHTEVMLLPSFLENMDLQHVVLMYFKDKFGKDFNGNDVFDTKDMFCQNPESLRY YFRHAPAFAESQLLQLIGFGNPKNPFALLFQLPKYLKLKKDKREKKRSEATETSSYTD PFDVQISSESYFQNIDALNSSFNDIPTNLNIWLDSLNHINMTSIQCSIHVLTKFLHAP LVVALPHFLTWLHFIVAILKKLEMVNSKQVVAFWIHFLRRTMPWNSIVTLGNVLVCYM LDNLHPFLKKELEKFYSLELDDLIEYYNENENLPEIWKCWGTLWFDAIKKCDVMEIPG VQDHLFFDSPLDGIVFDEKDEVGEKFWMRSVRAVLLLKGIAKKFPDLGLKVSFQASVF CRRNDIPPDYFLKNLTFKLDAYDEDNYNDNNELDDLYDTIEINEEIEAVNMDPQATPN LSVVSGESIFEYTGYTRLAPDYHCFDKNGGFNSAFIYSQWSNVGNGVTLDVSGESIYD VANNNLSLHWEKIFFDKIAAASKGSDENYNCTLYFVIDATSWLRHFAHIFKLAKNNTL KFAICLTTFQELRYLRGSKDDTVVEAATRSVITIRQLYDEKKIIPMRFTGNIATHVEE NLEFEEQITWKTHVDEFVIDAIAKLNQRFQAERLTDENKNKGKEFAVLVTDDDNMNQK AKDRMIKTCNTKYLFSLGSKLGINSGLCTN YIL150C MNDPREILAVDPYNNITSDEEDEQAIARELEFMERKRQALVERL KRKQEFKKPQDPNFEAIEVPQSPTKNRVKVGSHNATQQGTKFEGSNINEVRLSQLQQQ PKPPASTTTYFMEKFQNAKKNEDKQIAKFESMMNARVHTFSTDEKKYVPIITNELESF SNLWVKKRYIPEDDLKRALHEIKILRLGKLFAKIRPPKFQEPEYANWATVGLISHKSD IKFTSSEKPVKFFMFTITDFQHTLDVYIFGKKGVERYYNLRLGDVIAILNPEVLPWRP SGRGNFIKSFNLRISHDFKCILEIGSSRDLGWCPIVNKKTHKKCGSPINISLHKCCDY HREVQFRGTSAKRIELNGGYALGAPTKVDSQPSLYKAKGENGFNIIKGTRKRLSEEEE RLKKSSHNFTNSNSAKAFFDEKFQNPDMLANLDNKRRKIIETKKSTALSRELGKIMRR RESSGLEDKSVGERQKMKRTTESALQTGLIQRLGFDPTHGKISQVLKSSVSGSEPKNN LLGKKKTVINDLLHYKKEKVILAPSKNEWFKKRSHREEVWQKHFGSKETKETSDGSAS DLEII YIL149C MEDKISEFLNVPFESLQGVTYPVLRKLYKKIAKFERSEEEVTKL NVLVDEIKSQYYSRISKLKQLLDESSEQKNTAKEELNGLKDQLNEERSRYRREIDALK KQLHVSHEAMREVNDEKRVKEEYDIWQSRDQGNDSLNDDLNKENKLLRRKLMEMENIL QRCKSNAISLQLKYDTSVQEKELMLQSKKLIEEKLSSFSKKTLTEEVTKSSHVENLEE KLYQMQSNYESVFTYNKFLLNQNKQLSQSVEEKVLEMKNLKDTASVEKAEFSKEMTLQ KNMNDLLRSQLTSLEKDCSLRAIEKNDDNSCRNPEHTDVIDELIDTKLRLEKSKNECQ RLQNIVMDCTKEEEATMTTSAVSPTVGKLFSDIKVLKRQLIKERNQKFQLQNQLEDFI LELEHKTPELISFKERTKSLEHELKRSTELLETVSLTKRKQEREITSLRQKINGCEAN IHSLVKQRLDLARQVKLLLLNTSAIQETASPLSQDELISLRKILESSNIVNENDSQAI ITERLVEFSNVNELQEKNVELLNCIRILADKLENYEGKQDKTLQKVENQTIKEAKDAI IELENINAKMETRINILLRERDSYKLLASTEENKANTNSVTSMEAAREKKIRELEAEL SSTKVENSAIIQNLRKELLIYKKSQCKKKTTLEDFENFKGLAKEKERMLEEAIDHLKA ELEKQKSWVPSYIHVEKERASTELSQSRIKIKSLEYEISKLKKETASFIPTKESLTRD FEQCCKEKKELQMRLKESEISHNENKMDFSSKEGQYKAKIKELENNLERLRSDLQSKI QEIESIRSCKDSQLKWAQNTIDDTEMKMKSLLTELSNKETTIEKLSSEIENLDKELRK TKFQYKFLDQNSDASTLEPTLRKELEQIQVQLKDANSQIQAYEEIISSNENALIELKN ELAKTKENYDAKIELEKKEKWAREEDLSRLRGELGEIRALQPKLKEGALHFVQQSEKL RNEVERIQKMIEKIEKMSTIVQLCKKKEMSQYQSTMKENKDLSELVIRLEKDAADCQA ELTKTKSSLYSAQDLLDKHERKWMEEKADYERELISNIEQTESLRVENSVLIEKVDDT AANNGDKDHLKLVSLFSNLRHERNSLETKLTTCKRELAFVKQKNDSLEKTINDLQRTQ TLSEKEYQCSAVIIDEFKDITKEVTQVNILKENNAILQKSLKNVTEKNREIYKQLNDR QEEISRLQRDLIQTKEQVSINSNKILVYESEMEQCKQRYQDLSQQQKDAQKKDIEKLT NEISDLKGKLSSAENANADLENKFNRLKKQAHEKLDASKKQQAALTNELNELKAIKDK LEQDLHFENAKVIDLDTKLKAHELQSEDVSRDHEKDTYRTLMEEIESLKKELQIFKTA NSSSDAFEKLKVNMEKEKDRIIDERTKEFEKKLQETLNKSTSSEAEYSKDIETLKKEW LKEYEDETLRRIKEAEENLKKRIRLPSEERIQKIISKRKEELEEEFRKKLKENAGSLT FLDNKGSGEDAEEELWNSPSKGNSERPSAVAGFINQKNLKPQEQLKNVKNDVSFNDSQ SMVTNKENNIVDSSAAGNKAIPTFSFGKPFFSSNTSSLQSFQNPFTASQSNINTNAPL RTLNIQPEVAVKAAINFSNVTDLTNNSTDGAKITEIGSTSKRPIESGTSSDPDTKKVK ESPANDQASNE YIL148W MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKYNCDKSVCRKCYAR LPPRATNCRKRKCGHTNQLRPKKKLK YIL147C MRFGLPSKLELTPPFRIGIRTQLTALVSIVALGSLIILAVTTGV YFTSNYKNLRSDRLYIAAQLKSSQIDQTLNYLYYQAYYLASRDALQSSLTSYVAGNKS ADNWVDSLSVIQKFLSSSNLFYVAKVYDSSFNAVLNATNNGTGDLIPEDVLDSLFPLS TDTPLPSSLETIGILTDPVLNSTDYLMSMSLPIFANPSIILTDSRVYGYITIIMSAEG LKSVFNDTTALEHSTIAIISAVYNSQGKASGYHFVFPPYGSRSDLPQKVFSIKNDTFI SSAFRNGKGGSLKQTNILSTRNTALGYSPCSFNLVNWVAIVSQPESVFLSPATKLAKI ITGTVIAIGVFVILLTLPLAHWAVQPIVRLQKATELITEGRGLRPSTPRTISRASSFK RGFSSGFAVPSSLLQFNTAEAGSTTSVSGHGGSGHGSGAAFSANSSMKSAINLGNEKM SPPEEENKIPNNHTDAKISMDGSLNHDLLGPHSLRHNDTDRSSNRSHILTTSANLTEA RLPDYRRLFSDELSDLTETFNTMTDALDQHYALLEERVRARTKQLEAAKIEAEAANEA KTVFIANISHELRTPLNGILGMTAISMEETDVNKIRNSLKLIFRSGELLLHILTELLT FSKNVLQRTKLEKRDFCITDVALQIKSIFGKVAKDQRVRLSISLFPNLIRTMVLWGDS NRIIQIVMNLVSNALKFTPVDGTVDVRMKLLGEYDKELSEKKQYKEVYIKKGTEVTEN LETTDKYDLPTLSNHRKSVDLESSATSLGSNRDTSTIQEEITKRNTVANESIYKKVND REKASNDDVSSIVSTTTSSYDNAIFNSQFNKAPGSDDEEGGNLGRPIENPKTWVISIE VEDTGPGIDPSLQESVFHPFVQGDQTLSRQYGGTGLGLSICRQLANMMHGTMKLESKV GVGSKFTFTLPLNQTKEISFADMEFPFEDEFNPESRKNRRVKFSVAKSIKSRQSTSSV ATPATNRSSLTNDVLPEVRSKGKHETKDVGNPNMGREEKNDNGGLEQLQEKNIKPSIC LTGAEVNEQNSLSSKHRSRHEGLGSVNLDRPFLQSTGTATSSRNIPTVKDDDKNETSV KILVVEDNHVNQEVIKRMLNLEGIENIELACDGQEAFDKVKELTSKGENYNMIFMDVQ MPKVDGLLSTKMIRRDLGYTSPIVALTAFADDSNIKECLESGMNGFLSKPIKRPKLKT ILTEFCAAYQGKKNNK YIL146C MVLEYQQREGKGSSSKSMPPDSSSTTIHTCSEAQTGEDKGLLDP HLSVLELLSKTGHSPSPMGQNLVTSIDISGNHNVNDSISGSWQAIQPLDLGASFIPER CSSQTTNGSILSSSDTSEEEQELLQAPAADIINIIKQGQEGANVVSPSHPFKQLQKII SLPLPGKEKTPFNEQDDDGDEDEAFEEDSVTITKSLTSSTNSFVMPKLSLTQKNPVFR LLILGRTGSSFYQSIPKEYQSLFELPKYHDSATFPQYTGIVIIFQELREMVSLLNRIV QYSQGKPVIPICQPGQVIQVKNVLKSFLRNKLVKLLFPPVVVTNKRDLKKMFQRLQDL SLEYGEDVNEEDNDDEAIHTKSRSYCRNKKAENSKKKSPKSNKKPKRKKQKFFTSWFT WGISITIGISFGCCVTYFVTAAYEHQTVKSLSLRPSILASLLSLDSSSDTINTPATAS PSSTEQFLWFDKGTLQINFHSDGFIMKSLTIIKETWGKMNTFVLHALSKPLKFLENLN KSSEFSIDESNRILALGYILL YIL145C MKIFHTVEEVVQWRTQELRETRFRETIGFVPTMGCLHSGHASLI SQSVKENTYTVVSIFVNPSQFAPTEDLDNYPRTLPDDIKLLESLKVDVLFAPNAHVMY PQGIPLDIEEQKGPFVSVLGLSEKLEGKTRPNFFRGVATVVTKLFNIVMADVAYFGQK DIQQFIVLQCMVDELFVNTRLQMMPIVRNNNGLALSSRNKYLCPESLKISENLYRGLK AAENAIRRLAPGGRLSRSEIIDTVTQIWAPYVDSHDFKIDYVSLADFKTLDELSDVEN TSEQQPIVISCAVYVTDREKPDTVVRLIDNIVI YIL144W MQSSTSTDQHVLHHMDPHRFTSQIPTATSSQLRRRNSTNQGLTD MINKSIARNTISGTGIPTGGINKNKRTRSTVAGGTNGTALALNDKSNSRNSVSRLSIN QLGSLQQHLSNRDPRPLRDKNFQSAIQEEIYDYLKKNKFDIETNHPISIKFLKQPTQK GFIIIFKWLYLRLDPGYGFTKSIENEIYQILKNLRYPFLESINKSQISAVGGSNWHKF LGMLHWMVRTNIKLDMCLNKVDRSLINQNTQEITILSQPLKTLDEQDQRQERYELMVE KLLIDYFTESYKSFLKLEDNYEPSMQELKLGFEKFVHIINTDIANLQTQNDNLYEKYQ EVMKISQKIKTTREKWKALKSDSNKYENYVNAMKQKSQEWPGKLEKMKSECELKEEEI KALQSNISELHKILRKKGISTEQFELQNQEREKLTRELDKINIQSDKLTSSIKSRKLE AEGIFKSLLDTLRQYDSSIQNLTRSRSQLGHNVNDSSLKINISENLLDRDFHEGISYE QLFPKGSGINESIKKSILKLNDEIQERIKTIEKDNITLEKDIKNLKHDINEKTQINEK LELELSEANSKFELSKQENERLLVAQRIEIEKMEKKINDSNLLMKTKISDAEELVTST ELKLEELKVDLNRKRYKLHQQVIHVIDITSKFKINIQSSLENSENELGNVIEELRNLE FETEHNVTN YIL143C MTDVEGYQPKSKGKIFPDMGESFFSSDEDSPATDAEIDENYDDN RETSEGRGERDTGAMVTGLKKPRKKTKSSRHTAADSSMNQMDAKDKALLQDTNSDIPA DFVPDSVSGMFRSHDFSYLRLRPDHASRPLWISPSDGRIILESFSPLAEQAQDFLVTI AEPISRPSHIHEYKITAYSLYAAVSVGLETDDIISVLDRLSKVPVAESIINFIKGATI SYGKVKLVIKHNRYFVETTQADILQMLLNDSVIGPLRIDSDHQVQPPEDVLQQQLQQT AGKPATNVNPNDVEAVFSAVIGGDNEREEEDDDIDAVHSFEIANESVEVVKKRCQEID YPVLEEYDFRNDHRNPDLDIDLKPSTQIRPYQEKSLSKMFGNGRARSGIIVLPCGAGK TLVGITAACTIKKSVIVLCTSSVSVMQWRQQFLQWCTLQPENCAVFTSDNKEMFQTES GLVVSTYSMVANTRNRSHDSQKVMDFLTGREWGFIILDEVHVVPAAMFRRVVSTIAAH AKLGLTATLVREDDKIGDLNFLIGPKLYEANWMELSQKGHIANVQCAEVWCPMTAEFY QEYLRETARKRMLLYIMNPTKFQACQFLIQYHERRGDKIIVFSDNVYALQEYALKMGK PFIYGSTPQQERMNILQNFQYNDQINTIFLSKVGDTSIDLPEATCLIQISSHYGSRRQ EAQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMYYSTKRQAFLVDQGYAFKVITHLH GMENIPNLAYASPRERRELLQEVLLKNEEAAGIEVGDDADNSVGRGSNGHKRFKSKAV RGEGSLSGLAGGEDMAYMEYSTNKNKELKEHHPLIRKMYYKNLKK YIL142W MSVQIFGDQVTEERAENARLSAFVGAIAVGDLVKSTLGPKGMDK LLQSASSNTCMVTNDGATILKSIPLDNPAAKVLVNISKVQDDEVGDGTTSVTVLSAEL LREAEKLIDQSKIHPQTIIEGYRLASAAALDALTKAAVDNSHDKTMFREDLIHIAKTT LSSKILSQDKDHFAELATNAILRLKGSTNLEHIQIIKILGGKLSDSFLDEGFILAKKF GNNQPKRIENAKILIANTTLDTDKVKIFGTKFKVDSTAKLAQLEKAEREKMKNKIAKI SKFGINTFINRQLIYDYPEQLFTDLGINSIEHADFEGVERLALVTGGEVVSTFDEPSK CKLGECDVIEEIMLGEQPFLKFSGCKAGEACTIVLRGATDQTLDEAERSLHDALSVLS QTTKETRTVLGGGCAEMVMSKAVDTEAQNIDGKKSLAVEAFARALRQLPTILADNAGF DSSELVSKLRSSIYNGISTSGLDLNNGTIADMRQLGIVESYKLKRAVVSSASEAAEVL LRVDNIIRARPRTANRQHM YIL140W MTQLQISLLLTATISLLHLVVATPYEAYPIGKQYPPVARVNESF TFQISNDTYKSSVDKTAQITYNCFDLPSWLSFDSSSRTFSGEPSSDLLSDANTTLYFN VILEGTDSADSTSLNNTYQFVVTNRPSISLSSDFNLLALLKNYGYTNGKNALKLDPNE VFNVTFDRSMFTNEESIVSYYGRSQLYNAPLPNWLFFDSGELKFTGTAPVINSAIAPE TSYSFVIIATDIEGFSAVEVEFELVIGAHQLTTSIQNSLIINVTDTGNVSYDLPLNYV YLDDDPISSDKLGSINLLDAPDWVALDNATISGSVPDELLGKNSNPANFSVSIYDTYG DVIYFNFEVVSTTDLFAISSLPNINATRGEWFSYYFLPSQFTDYVNTNVSLEFTNSSQ DHDWVKFQSSNLTLAGEVPKNFDKLSLGLKANQGSQSQELYFNIIGMDSKITHSNHSA NATSTRSSHHSTSTSSYTSSTYTAKISSTSAAATSSAPAALPAANKTSSHNKKAVAIA CGVAIPLGVILVALICFLIFWRRRRENPDDENLPHAISGPDLNNPANKPNQENATPLN NPFDDDASSYDDTSIARRLAALNTLKLDNHSATESDISSVDEKRDSLSGMNTYNDQFQ SQSKEELLAKPPVQPPESPFFDPQNRSSSVYMDSEPAVNKSWRYTGNLSPVSDIVRDS YGSQKTVDTEKLFDLEAPEKEKRTSRDVTMSSLDPWNSNISPSPVRKSVTPSPYNVTK HRNRHLQNIQDSQSGKNGITPTTMSTSSSDDFVPVKDGENFCWVHSMEPDRRPSKKRL VDFSNKSNVNVGQVKDIHGRIPEML YIL139C MNRWVEKWLRVYLKCYINLILFYRNVYPPQSFDYTTYQSFNLPQ FVPINRHPALIDYIEELILDVLSKLTHVYRFSICIINKKNDLCIEKYVLDFSELQHVD KDDQIITETEVFDEFRSSLNSLIMHLEKLPKVNDDTITFEAVINAIELELGHKLDRNR RVDSLEEKAEIERDSNWVKCQEDENLPDNNGFQPPKIKLTSLVGSDVGPLIIHQFSEK LISGDDKILNGVYSQYEEGESIFGSLF YIL138C MEKIKEKLNSLKLESESWQEKYEELREQLKELEQSNTEKENEIK SLSAKNEQLDSEVEKLESQLSDTKQLAEDSNNLRSNNENYTKKNQDLEQQLEDSEAKL KEAMDKLKEADLNSEQMGRRIVALEEERDEWEKKCEEFQSKYEEAQKELDEIANSLEN L YIL137C MSDNLLSLENPVVPSHYELRLEIDPKQSSPNFKGSAIIHLKFNP NSTTLASIEDSFTQFKLHSKDLIVLSAHATIGSTKFDLKISQDTGKHLSIFNSESPIQ LSNDCPLILSVQYVGKIRDIKTHHDKTFGIFKTNFMDRKTGTANNHVVATHCQPFSAS NIFPCIDEPSNKSTFQLNIATDAQYKAVSNTPVEMVEALDSSQKHLVKFAKTPLMTTS VFGFSIGDLEFLKTEIKLEGDRTIPVSIYAPWDIANAAFTLDTVQKYLPLLESYFKCP YPLPKLDFVLLPYLSDMAMENFGMITIQLNHLLIPPNALANETVREQAQQLIVHELVH QWMGNYISFDSWESLWFNESFATWLACHILEQNGDLSHYWTSEPYLLQQVEPTMCRDA ADVNGRSIFQIAQRNTGIDSQTSDIFDPEAYTKGIIMLRSLQLATGESHLQKGLESVF EDTKTFHARSVKPMDIWNHIGKFLKSQNITNFVSSWTRTPGLPVVKVEVEEKDGKTQT KLTQHRFINQLSTEEKDQLEDVPYQVPLFGVLPDGKMDTKNVLLTDRTLKFDYPILVI NHLAQGYYRVSYESEECYALINDKITEETLSEIDLRKIFLDLSQFIGDEGFQNSIHLH GLFKILNHIASPSTKIASKYWDPLSKGLEVLQTIDRASLTSSKLQSFLKKKIVIPLFN KIDWPHGEFDKSTNPHELKVMSQVLFLNKNSAKCAELCQIYFKHLLQGPRSSVPLELV NSILVVVSQHCANIKQWKKIFDLVKRSSCTGITNHVINMYDQNSSETAMLIQNGAIES LGFCLDSDIVKKTLNFITSNIESEGMELALFGFNYNFKKRLNKNEKPQDQVVRETIWE WYMGNFDQWARKATRKGTTTGDHLHKALRSISLIIFQMFVADEPQKIEKFINLEKEKL GQSLLSLDDIWASVQQDEESRKTIRRDLASLV YIL136W MSSRIIVGSAALAAAITASIMVREQKAKGQRREGNVSAYYNGQE YGSSAPPQLGKLHNIKQGIKEDALSLKDALLGVSQKAREEAPKVTKRVISPEEDAQTR KQLGQKAKDSSSQSIFNWGFSEAERRKAIAIGEFDTAKKRFEEAVDRNEKELLSTVMR EKKAALDRASIEYERYGRARDFNELSDKLDQQERNSNPLKRLLKNNTGDANTEEAAAR SVQGWGDTAQEFGREELEEAKRNASSEPSEAQKRLDELKKIKEKGWFGYNKGEQSEQQ IAERVARGLEGWGETAAQLSKDEMDDLRWNYENSKKQLDKNVSDAMDSLSKAKEDLKQ YGSHWWSGWTSKVDNDKQALKDEAQKKYDEALKKYDEAKNKFKEWNDKGDGKFWSSKK D YIL135C MDTSNHNQDHDSHVAAQRENDNNYMPPSPSMSESSMIFERNVED PSYLYKTVSNNAANSLSRQSSRTSLFNHNNSSNRNFHNLSQRSSAVNLHLQPSRTNES IASYQTYNPDFVVQTPLDHRRTLENFVPPALDAGCSIVTDDTTGLDDVDMVYSRRPST IGLDRALGRTRSLSSQSFDNETSPAHPRSPNDHGSRLLRFYSYADMLSDDNNNNVSNA TSTSSTANPLRRPPMQGHYSFSSSLLNSPSHLPSPPSASASPPQHMNFTNPFIISRRY SNTTINNANGGTSAGSTTGAALSRSPSNQQYLLKQQRSPSGSARSRRNSNRPGSAANI MIGKPKSKFHMESSGSEGFSSEEEDNTMIERDKLNLKQKLQSQLAQPPSIANMVNDNH NNTNKHKNTINNNIKNSPAFTNSNPSSKSNSNSTITSMNPDTTK YIL134C-A MVVGSEFNNTADVDVVAILLTLLNADYFVIKQRLVSACFTKRIK WYFIYAIALLSLFSSRNTVCAPY YIL134W MVDHQWTPLQKEVISGLSAGSVTTLVVHPLDLLKVRLQLSATSA QKAHYGPFMVIKEIIRSSANSGRSVTNELYRGLSINLFGNAIAWGVYFGLYGVTKELI YKSVAKPGETQLKGVGNDHKMNSLIYLSAGASSGLMTAILTNPIWVIKTRIMSTSKGA QGAYTSMYNGVQQLLRTDGFQGLWKGLVPALFGVSQGALYFAVYDTLKQRKLRRKREN GLDIHLTNLETIEITSLGKMVSVTLVYPFQLLKSNLQSFRANEQKFRLFPLIKLIIAN DGFVGLYKGLSANLVRAIPSTCITFCVYENLKHRL YIL133C MSVEPVVVIDGKGHLVGRLASVVAKQLLNGQKIVVVRAEELNIS GEFFRNKLKYHDFLRKATAFNKTRGPFHFRAPSRIFYKALRGMVSHKTARGKAALERL KVFEGIPPPYDKKKRVVVPQALRVLRLKPGRKYTTLGKLSTSVGWKYEDVVAKLEAKR KVSSAEYYAKKRAFTKKVASANATAAESDVAKQLAALGY YIL132C MEYEDLELITIWPSPTKNKLCQFIKQNLSKEHVVTQLFFIDATS SFPLSQFQKLVPPTLPENVRIYENIRINTCLDLEELSAITVKLLQILSMNKINAQRGT EDAVTEPLKIILYINGLEVMFRNSQFKSSPQRSHELLRDTLLKLRVMGNDENENASIR TLLEFPKEQLLDYYLKKNNNTRTSSVRSKRRRIKNGDSLAEYIWKYYADSLFE YIL131C MSVTSREQKFSGKYSSYTAQDRQGLVNAVTCVLSSSSDPVAVSS DYSNSLSIAREVNAYAKIAGCDWTYYVQKLEVTIGRNTDSLNLNAVPGTVVKKNIDID LGPAKIVSRKHAAIRFNLESGSWELQIFGRNGAKVNFRRIPTGPDSPPTVLQSGCIID IGGVQMIFILPEQETIISDYCLNHLMPKLLSTYGTNGNNNPLLRNIIEGSTYLREQRL QEEARLQQLDHLHTPLSSSSDVNPIGDPHGDTIMMEEDEEDENYTRGGIRPNTYTSSS NNAVTNGNVPHIENPSDLSLDENRYIKPPQSYASMITQAILSTPEGSISLADIYKFIS DNYAFYRFSQMAWQNSVRHNLSLNKAFEKVPKRAGQQGKGMNWKISDEVRRDFLNKWN AGKLSKIRRGASVTRQLQLHMSKFGEIPAPESSSIDPRGIKAQKVKKSLQATSSILGE SAPQLQRTQLTGQISTTTSMDVTTNANVNNSSLS YIL130W MPEQAQQGEQSVKRRRVTRACDECRKKKVKCDGQQPCIHCTVYS YECTYKKPTKRTQNSGNSGVLTLGNVTTGPSSSTVVAAAASNPNKLLSNIKTERAILP GASTIPASNNPSKPRKYKTKSTRLQSKIDRYKQIFDEVFPQLPDIDNLDIPVFLQIFH NFKRDSQSFLDDTVKEYTLIVNDSSSPIQPVLSSNSKNSTPDEFLPNMKSDSNSASSN REQDSVDTYSNIPVGREIKIILPPKAIALQFVKSTWEHCCVLLRFYHRPSFIRQLDEL YETDPNNYTSKQMQFLPLCYAAIAVGALFSKSIVSNDSSREKFLQDEGYKYFIAARKL IDITNARDLNSIQAILMLIIFLQCSARLSTCYTYIGVAMRSALRAGFHRKLSPNSGFS PIEIEMRKRLFYTIYKLDVYINAMLGLPRSISPDDFDQTLPLDLSDENITEVAYLPEN QHSVLSSTGISNEHTKLFLILNEIISELYPIKKTSNIISHETVTSLELKLRNWLDSLP KELIPNAENIDPEYERANRLLHLSFLHVQIILYRPFIHYLSRNMNAENVDPLCYRRAR NSIAVARTVIKLAKEMVSNNLLTGSYWYACYTIFYSVAGLLFYIHEAQLPDKDSAREY YDILKDAETGRSVLIQLKDSSMAASRTYNLLNQIFEKLNSKTIQLTALHSSPSNESAF LVTNNSSALKPHLGDSLQPPVFFSSQDTKNSFSLAKSEESTNDYAMANYLNNTPISEN PLNEAQQQDQVSQGTTNMSNERDPNNFLSIDIRLDNNGQSNILDATDDVFIRNDGDIP TNSAFDFSSSKSNASNNSNPDTINNNYNNVSGKNNNNNNITNNSNNNHNNNNNDNNNN NNNNNNNNNNNNNSGNSSNNNNNNNNNKNNNDFGIKIDNNSPSYEGFPQLQIPLSQDN LNIEDKEEMSPNIEIKNEQNMTDSNDILGVFDQLDAQLFGKYLPLNYPSE YIL129C MASRFTFPPQRDQGIGFTFPPTNKAEGSSNNNQISIDIDPSGQD VLEEINEAPLNTFPLHQSVTDAPIIDIPSPTDMSEGTSLNNQLLLRQQQQQGTGEGQA LPPTFVEEQSDQNKISMLLPEQKQQRMQESAPPDITAKSVAEDYVTTLRQQMATDWKS PSEYALHILFTKFIRYAENKLNMCLQQLDMAEPPIVEILGEGVDPSFDEIIKSLGHIA KKKPKPVIDAMMFWRKTKSEAANSASEEMEKLLKEYEFEKAHPSQAHFLMNRRLSRSS SNTTSKYKHNNNTNNLPGMKRHVSSSFNNKVPLIKASSSNNSATSSPSIANSQLKSLE NTIEVAKEEAFLADRKSLISIYILCRVLNEIVKQASSNEEEDLSDKLEEIVFTQLKTT DPLSISTSLIKSSNWNSFAELLGSMSEKKFLSVSDRFIADLEKIPAYIPPELEPSTHL LILGMRYLKLRNYPLEKFEESADFMKSLSKFFAKTENFPVCLAYAEVTNQLLLPLAGS LTAEVNHPTWVEAMSTLLNTAKRLQADSKYWVSGFKLTVSILCASPPDLFSKQWLSLL EANASKVKSKSLNERIIFAVGLSRLVWVYLYRCPETLNNTTRTLTKLLQLYLNTRKKE NWITGDFGLLNPLTDALISIGFLHPNFLMEQALIPLIRQSFNGSNLENINYEKLILTI NTYKGLLVTKERPRFPEDDNRLYELNLNNITVNQVQEASSINHTEISDYFYKLFLLLD SSIGSEVWSPENQHQKQSSNAFSPFGFSFSNDNDSSKNKSLYVILFGTIIEAIPCCLS ISRTIPYKSTIEILSRNAVHSEVIISSSSQNALRALASKKNPYTLITWFAKYSFDFDE KTQSSYNMSYLSSKEYNRLLILYVELLECWLEEFQSSNKEENKKETGLDGIRLLPIDA EQEESNETEKLEWKNTVTVIEEVEGNGLFFLCSHDAKIRRLGIQILRIIFKFDEAMME KTEKLSNGHSRSSSHFAADRGTRLIDLLNECNTTTLINPHKATLSAVEKTRFSRLNSK YKRGLLIKLAESEYGVDAALWQRAFPKLLALVFKTCPMAMALCRSIVCIRLVQVHEII LRVANDVDFKLKNVLPETIVNQWKLYLIAACTSLTSTFDQKLHIPSNIPQHGRKKSQQ IFTVQHQKIKSAKSIFKMVLPLLNAKYIMIRDAIITGLSSMNINIFKAYVEAIDVFLV AWKEGSSNNQIRVEMFHILTILSPYLKSDMIFNDEWILRKLSEFLQKTKQFLEKDSVQ ISYEYQSLRSYFAGLILSYYMAVREHPLIDELFPFQARASCFNFLKEWCGYGEYEPIS EERYAIMIKNTESGRDRTAITTGIEFQKNRLQMIVLETMVVLCSDPITQTLDDDLELP IVISFDTEDLLAWIEALFDSDNTTVKNLGVRALENLLDKNRENFKLFRDVAFQCVSHH SHPSVAVLYYTTLCKSVLKLDNLVLDEDELVSLGLYGLVADKEDTRTFAVDLLSAVET KLHNSSYTKVFKERLANSSKTVYKSTAKEISSIFAELLSQDLCLRIFSSLVRILDLFP FEIKRDLLVLMVPWVNKFTLKSLEELDTFMVLNNLFYITIDLNDSLPNEVEQLWISLG KGNSFQNIHVSLEYIINSSMNHCNPLFVQYARDIVLYLANIPGGIGLLDTLLNNLEPK YMVPLAKHTFNEPMNNNKYSFLGNIWERLNYNGKRIIFSKAQLSIIFLVNLLTNLSES VKAKIPLLLHMSICLLDHYVPLIHESACKIASTLIFGLAPSHEKSEETVKLLRNKHAL WSYDNLMKKGARSPKTMDLLIRNIISIFSDLDEFQVTWQRIALKWATTCSVRHIACRS FQIFRSLLTFLDQEMLRDMLHRLSNTISDGNVDIQGFAMQILMTLNAIMAELDPTNLI SFPQLFWSITACLSSIHEQEFIEVLSCLSKFISKIDLDSPDTVQCLVAIFPSNWEGRF DGLQQIVMTGLRSANSLEITWKFLDKLNLLKDSRIIANTESRLLFALIANLPRFLNAM DRKDFTGIQVAADSLIELANAYKQPSLSRLIDSLAKNKFRSKKDFMSQVVSFISRNYF PSYSAQTLVFLLGLLFNKIGWIRVQTLEILKYVFPLIDLRRPEFIGVGADLISPLLRL LFTEYEAKALEVLDCVPNVSGSKMDKDVLRITMGNKDVKDGDNATTTLFGLPEDSGWS VPMPTMTAATTRHNVHAVFMTCGTGKSDEVSAHGSDDMDAVIEFHADGDYELGRMDTI VEFHADGDYDLGRMDTNDSISVAEEKDASLSHMWAELDNLDSFFTKDTNVPNISSKMG MGIPHGRSDSIETTRTDQTFSFESAPQLYDKKVSVILNRSLSRTPSNVSFKTHLADSF AVKINRNGKPRI YIL128W MTPDELNSAVVTFMANLNIDDSKANETASTVTDSIVHRSIKLLE VVVALKDYFLSENEVERKKALTCLTTILAKTPKDHLSKNECSVIFQFYQSKLDDQALA KEVLEGFAALAPMKYVSINEIAQLLRLLLDNYQQGQHLASTRLWPFKILRKIFDRFFV NGSSTEQVKRINDLFIETFLHVANGEKDPRNLLLSFALNKSITSSLQNVENFKEDLFD VLFCYFPITFKPPKHDPYKISNQDLKTALRSAITATPLFAEDAYSNLLDKLTASSPVV KNDTLLTLLECVRKFGGSSILENWTLLWNALKFEIMQNSEGNENTLLNPYNKDQQSDD VGQYTNYDACLKIINLMALQLYNFDKVSFEKFFTHVLDELKPNFKYEKDLKQTCQILS AIGSGNVEIFNKVISSTFPLFLINTSEVAKLKLLIMNFSFFVDSYIDLFGRTSKESLG TPVPNNKMAEYKDEIIMILSMALTRSSKAEVTIRTLSVIQFTKMIKMKGFLTPEEVSL IIQYFTEEILTDNNKNIYYACLEGLKTISEIYEDLVFEISLKKLLDLLPDCFEEKIRV NDEENIHIETILKIILDFTTSRHILVKESITFLATKLNRVAKISKSREYCFLLISTIY SLFNNNNQNENVLNEEDALALKNAIEPKLFEIITQESAIVSDNYNLTLLSNVLFFTNL KIPQAAHQEELDRYNELFISEGKIRILDTPNVLAISYAKILSALNKNCQFPQKFTVLF GTVQLLKKHAPRMTETEKLGYLELLLVLSNKFVSEKDVIGLFDWKDLSVINLEVMVWL TKGLIMQNSLESSEIAKKFIDLLSNEEIGSLVSKLFEVFVMDISSLKKFKGISWNNNV KILYKQKFFGDIFQTLVSNYKNTVDMTIKCNYLTALSLVLKHTPSQSVGPFINDLFPL LLQALDMPDPEVRVSALETLKDTTDKHHTLITEHVSTIVPLLLSLSLPHKYNSVSVRL IALQLLEMITTVVPLNYCLSYQDDVLSALIPVLSDKKRIIRKQCVDTRQVYYELGQIP FE YIL127C MSSSLSQTSKYQATSVVNGLLSNLLPGVPKIRANNGKTSVNNGS KAQLIDRNLKKRVQLQNRDVHKIKKKCKLVKKKKVKKHKLDKEQLEQLAKHQVLKKHQ HEGTLTDHERKYLNKLIKRNSQNLRSWDLEEEVRDELEDIQQSILKDTVSTANTDRSK RRRFKRKQFKEDIKESDFVKDHRYPGLTPGLAPVGLSDEEDSSEED YIL126W MLQEQSELMSTVMNNTPTTVAALAAVAAASETNGKLGSEEQPEI TIPKPRSSAQLEQLLYRYRAIQNHPKENKLEIKAIEDTFRNISRDQDIYETKLDTLRK SIDKGFQYDEDLLNKHLVALQLLEKDTDVPDYFLDLPDTKNDNTTAIEVDYSEKKPIK ISADFNAKAKSLGLESKFSNATKTALGDPDTEIRISARISNRINELERLPANLGTYSL DDCLEFITKDDLSSRMDTFKIKALVELKSLKLLTKQKSIRQKLINNVASQAHHNIPYL RDSPFTAAAQRSVQIRSKVIVPQTVRLAEELERQQLLEKRKKERNLHLQKINSIIDFI KERQSEQWSRQERCFQFGRLGASLHNQMEKDEQKRIERTAKQRLAALKSNDEEAYLKL LDQTKDTRITQLLRQTNSFLDSLSEAVRAQQNEAKILHGEEVQPITDEEREKTDYYEV AHRIKEKIDKQPSILVGGTLKEYQLRGLEWMVSLYNNHLNGILADEMGLGKTIQSISL ITYLYEVKKDIGPFLVIVPLSTITNWTLEFEKWAPSLNTIIYKGTPNQRHSLQHQIRV GNFDVLLTTYEYIIKDKSLLSKHDWAHMIIDEGHRMKNAQSKLSFTISHYYRTRNRLI LTGTPLQNNLPELWALLNFVLPKIFNSAKTFEDWFNTPFANTGTQEKLELTEEETLLI IRRLHKVLRPFLLRRLKKEVEKDLPDKVEKVIKCKLSGLQQQLYQQMLKHNALFVGAG TEGATKGGIKGLNNKIMQLRKICNHPFVFDEVEGVVNPSRGNSDLLFRVAGKFELLDR VLPKFKASGHRVLMFFQMTQVMDIMEDFLRMKDLKYMRLDGSTKTEERTEMLNAFNAP DSDYFCFLLSTRAGGLGLNLQTADTVIIFDTDWNPHQDLQAQDRAHRIGQKNEVRILR LITTDSVEEVILERAMQKLDIDGKVIQAGKFDNKSTAEEQEAFLRRLIESETNRDDDD KAELDDDELNDTLARSADEKILFDKIDKERMNQERADAKAQGLRVPPPRLIQLDELPK VFREDIEEHFKKEDSEPLGRIRQKKRVYYDDGLTEEQFLEAVEDDNMSLEDAIKKRRE ARERRRLRQNGTKENEIETLENTPEASETSLIENNSFTAAVDEETNADKETTASRSKR RSSRKKRTISIVTAEDKENTQEESTSQENGGAKVEEEVKSSSVEIINGSESKKKKPKL TVKIKLNKTTVLENNDGKRAEEKPESKSPAKKTAAKKTKTKSKSLGIFPTVEKLVEEM REQLDEVDSHPRTSIFEKLPSKRDYPDYFKVIEKPMAIDIILKNCKNGTYKTLEEVRQ ALQTMFENARFYNEEGSWVYVDADKLNEFTDEWFKEHSS YIL125W MLRFVSSQTCRYSSRGLLKTSLLKNASTVKIVGRGLATTGTDNF LSTSNATYIDEMYQAWQKDPSSVHVSWDAYFKNMSNPKIPATKAFQAPPSISNFPQGT EAAPLGTAMTGSVDENVSIHLKVQLLCRAYQVRGHLKAHIDPLGISFGSNKNNPVPPE LTLDYYGFSKHDLDKEINLGPGILPRFARDGKSKMSLKEIVDHLEKLYCSSYGVQYTH IPSKQKCDWLRERIEIPEPYQYTVDQKRQILDRLTWATSFESFLSTKFPNDKRFGLEG LESVVPGIKTLVDRSVELGVEDIVLGMAHRGRLNVLSNVVRKPNESIFSEFKGSSARD DIEGSGDVKYHLGMNYQRPTTSGKYVNLSLVANPSHLESQDPVVLGRTRALLHAKNDL KEKTKALGVLLHGDAAFAGQGVVYETMGFLTLPEYSTGGTIHVITNNQIGFTTDPRFA RSTPYPSDLAKAIDAPIFHVNANDVEAVTFIFNLAAEWRHKFHTDAIIDVVGWRKHGH NETDQPSFTQPLMYKKIAKQKSVIDVYTEKLISEGTFSKKDIDEHKKWVWNLFEDAFE KAKDYVPSQREWLTAAWEGFKSPKELATEILPHEPTNVPESTLKELGKVLSSWPEGFE VHKNLKRILKNRGKSIETGEGIDWATGEALAFGTLVLDGQNVRVSGEDVERGTFSQRH AVLHDQQSEAIYTPLSTLNNEKADFTIANSSLSEYGVMGFEYGYSLTSPDYLVMWEAQ FGDFANTAQVIIDQFIAGGEQKWKQRSGLVLSLPHGYDGQGPEHSSGRLERFLQLANE DPRYFPSEEKLQRQHQDCNFQVVYPTTPANLFHILRRQQHRQFRKPLALFFSKQLLRH PLARSSLSEFTEGGFQWIIEDIEHGKSIGTKEETKRLVLLSGQVYTALHKRRESLGDK TTAFLKIEQLHPFPFAQLRDSLNSYPNLEEIVWCQEEPLNMGSWAYTEPRLHTTLKET DKYKDFKVRYCGRNPSGAVAAGSKSLHLAEEDAFLKDVFQQS YIL124W MSELQSQPKKIAVVTGASGGIGYEVTKELARNGYLVYACARRLE PMAQLAIQFGNDSIKPYKLDISKPEEIVTFSGFLRANLPDGKLDLLYNNAGQSCTFPA LDATDAAVEQCFKVNVFGHINMCRELSEFLIKAKGTIVFTGSLAGVVSFPFGSIYSAS KAAIHQYARGLHLEMKPFNVRVINAITGGVATDIADKRPLPETSIYNFPEGREAFNSR KTMAKDNKPMPADAYAKQLVKDILSTSDPVDVYRGTFANIMRFVMIFVPYWLLEKGLS KKFKLDKVNNALKSKQKNKDD YIL123W MKFSTAVTTLISSGAIVSALPHVDVHQEDAHQHKRAVAYKYVYE TVVVDSDGHTVTPAASEVATAATSAIITTSVLAPTSSAAAADSSASIAVSSAALAKNE KISDAAASATASTSQGASSSSSSSSATSTLESSSVSSSSEEAAPTSTVVSTSSATQSS ASSATKSSTSSTSPSTSTSTSTSSTSSSSSSSSSSSSSSSGSGSIYGDLADFSGPSEK FQDGTIPCDKFPSGQGVISIDWIGEGGWSGVENTDTSTGGSCKEGSYCSYSCQPGMSK TQWPSDQPSDGRSVGGLLCKNGYLYRSNTDADYLCEWGVEAAYVVSKLSKGVAICRTD YPGTENMVIPTYVEGGSSLPLTVVDQDTYFTWEGKKTSAQYYVNNAGVSVEDGCIWGT SGSGIGNWAPLNFGAGSTGGVTYLSLIPNPNNSDALNYNVKIVAADDSSNVIGECVYE NGEFSGGADGCTVSVTSGKAHFVLYN YIL122W MKQEPHRQSEEKEKPKGPMAVEREQHTSLSSGTTVTASTGDEST NSRPVESSQTEKSLSLRIRILKQLGFDDIQELNACDTGLVEQFLNVRLINDTKELEKI RESNLAKLNQIIDKCMESDKISDSTLNKILDMSMNRDTNNDNNNHLTIPSPITTKKRK INASELASPRGHRRYRSDIPTVSEVETGVGYPQIHQQPGAYTLPMPANQWMSNPYMQP PQPQVQQIMPQYLYPPGMGPQAQLPTMSSNSESQTPVMSSQFLSLNQHGLYQQNIGAH PVMSMGPQANIYGQQHQLQPGQERDQSRKSFSHRRSQSANISMANFRSPMRNPQPASS QRPVNFLIHTPKHPPPT YIL121W MAGATSSIIRENDFEDELAESMQSYNRETADKLALTRTESVKPE PEITAPPHSRFSRSFKTVLIAQCAFTGFFSTIAGAIYYPVLSVIERKFDIDEELVNVT VVVYFVFQGLAPTFMGGFADSLGRRPVVLVAIVIYFGACIGLACAQTYAQIIVLRCLQ AAGISPVIAINSGIMGDVTTRAERGGYVGYVAGFQVLGSAFGALIGAGLSSRWGWRAI FWFLAIGSGICFLASFLILPETKRNISGNGSVTPKSYLNRAPILVLPTVRKSLHLDNP DYETLELPTQLNLLAPFKILKAYEICILMLVAGLQFAMYTTHLTALSTALSKQYHLTV AKVGLCYLPSGICTLCSIVIAGRYLNWNYRRRLKYYQNWLGKKRSKLLEEHDNDLNLV QRIIENDPKYTFNIFKARLQPAFVTLLLSSSGFCAYGWCITVKAPLAAVLCMSGFASL FSNCILTFSTTLIVDLFPTKTSTATGCLNLFRCILSAVFIAALSKMVEKMKFGGVFTF LGALTSSSSILLFILLRKGKELAFKRKKQELGVN YIL120W MTKQQTSVMRNASIAKEEREGSDNNNVDRSSSDAISDNDAERSN SHSEIDNESNFDMVPYSRFSHKQKMLLVVQCAFTGFFSTVAGSIYYPVLTIIERKFNI TEELANVTIVVYFIFQGVAPSIMGGLADTFGRRPIVLWAILAYFCACIGLACAHNYAQ ILALRCLQAAGISPVIAINSGIMGDVTTKVERGGYVGLVAGFQVVGTAFGALIGAGLS SKWGWRAIFWFLAIGSGICLVFSTLLMPETKRTLVGNGSVTPRSFLNRSLILHVGSVK KTLHLDDPDPETLEPRTSVDFLAPLKILHIREIDILLSIAGLQFSTWTTHQTALTIVL SKKYNLSVAKIGLCFLPAGISTLTSIISAGRYLNWSYRTRKVKYNRWIKEQELQLMEK YKGDKNKVAELIHSNSHYAFNLVEARLHPAFVTLLLSSIGFTAFGWCISVKTPLAAVL CTSAFASLFSNCILTFSTTLIVDLFPSKASTATGCLNLFRCLLSAIFIAALTKMVEKM RYGGVFTFLSAITSSSSLLLFYLLKNGKQLSFDRIRANDKSAGRSVGKNSEKVST YIL119C MYLEYLQPKLNLMDESSTISKNFPDYSPNLNTPITSNFNEETGS DCSLVTPRIISSSNSNSNSNSNSNSNSNSGSIDENELNNSNSSSSSARQIRKKWKEPE DIAFITTIMNNSQLLTFVEYFKPMKNFWKKISKILFQQYGYERNSRQCHDRFKVLYTK SLKVHPSKKSKQKKKKSKQEAGSNLNFDPSKLSRMQYLLVQLQNTFSFVNGNIILKSQ KTLKPNKNGTNDNINNHYYNNCNNNNNNINNSNNSNNNNSNNINRNSNHSTNVFSTPE HIQSSINLDKLESLPALDTKGEPSFISPAQFSLLSSAPADNLILQTPPSPFFQQTMPI QLPRDAQQEQISPVFSTDVIYMWQTMFNTIENLKEQVNCLKNEVKQLNHKFYQQNKPL HNMSTSDSENFMQQH YIL118W MSFLCGSASTSNKPIERKIVILGDGACGKTSLLNVFTRGYFPEV YEPTVFENYIHDIFVDSKHITLSLWDTAGQEEFDRLRSLSYSDTQCIMLCFSIDSRDS LENVQNKWVGEITDHCEGVKLVLVALKCDLRNNENESNAITPNNIQQDNSVSNDNGNN INSTSNGKNLISYEEGLAMAKKIGALRYLECSAKLNKGVNEAFTEAARVALTAGPVAT EVKSDSGSSCTIM YIL117C MTVITIAKRGLPKLTTSTSSTTTASSSSTITSVASSSSSLPLLS NSTSSSIIPSITPPSRNGNPYILDSGDMPNGTVFIVVGGIAGVIFLAILLWWVITTYS SHRLTRSVQDYESKMFSTQHTQFYGDSPYMDYPAKENFQDQVHISESDISPGNKDESV KDALVSHTNNEKPFLSNFERPLFSLASESNRNSLFISPTGDILYKTRLSKLYQESPRL LQKPVIMTSDNVSTNSLVSTISSSSASSLDNGNEKEVGEDIRKPAKIASSPSRKLLNS PESDGSVNRNHSKGNLLVVQSKRKPTPSTYLEHMLEGKEQDE YIL116W MVFDLKRIVRPKIYNLEPYRCARDDFTEGILLDANENAHGPTPV ELSKTNLHRYPDPHQLEFKTAMTKYRNKTSSYANDPEVKPLTADNLCLGVGSDESIDA IIRACCVPGKEKILVLPPTYSMYSVCANINDIEVVQCPLTVSDGSFQMDTEAVLTILK NDSLIKLMFVTSPGNPTGAKIKTSLIEKVLQNWDNGLVVVDEAYVDFCGGSTAPLVTK YPNLVTLQTLSKSFGLAGIRLGMTYATAELARILNAMKAPYNISSLASEYALKAVQDS NLKKMEATSKIINEEKMRLLKELTALDYVDDQYVGGLDANFLLIRINGGDNVLAKKLY YQLATQSGVVVRFRGNELGCSGCLRITVGTHEENTHLIKYFKETLYKLANE YIL115C MSSLKDEVPTETSEDFGFKFLGQKQILPSFNEKLPFASLQNLDI SNSKSLFVAASGSKAVVGELQLLRDHITSDSTPLTFKWEKEIPDVIFVCFHGDQVLVS TRNALYSLDLEELSEFRTVTSFEKPVFQLKNVNNTLVILNSVNDLSALDLRTKSTKQL AQNVTSFDVTNSQLAVLLKDRSFQSFAWRNGEMEKQFEFSLPSELEELPVEEYSPLSV TILSPQDFLAVFGNVISETDDEVSYDQKMYIIKHIDGSASFQETFDITPPFGQIVRFP YMYKVTLSGLIEPDANVNVLASSCSSEVSIWDSKQVIEPSQDSERAVLPISEETDKDT NPIGVAVDVVTSGTILEPCSGVDTIERLPLVYILNNEGSLQIVGLFHVAAIKSGHYSI NLESLEHEKSLSPTSEKIPIAGQEQEEKKKNNESSKALSENPFTSANTSGFTFLKTQP AAANSLQSQSSSTFGAPSFGSSAFKIDLPSVSSTSTGVASSEQDATDPASAKPVFGKP AFGAIAKEPSTSEYAFGKPSFGAPSFGSGKSSVESPASGSAFGKPSFGTPSFGSGNSS VEPPASGSAFGKPSFGTPSFGSGNSSAEPPASGSAFGKPSFGTSAFGTASSNETNSGS IFGKAAFGSSSFAPANNELFGSNFTISKPTVDSPKEVDSTSPFPSSGDQSEDESKSDV DSSSTPFGTKPNTSTKPKTNAFDFGSSSFGSGFSKALESVGSDTTFKFGTQASPFSSQ LGNKSPFSSFTKDDTENGSLSKGSTSEINDDNEEHESNGPNVSGNDLTDSTVEQTSST RLPETPSDEDGEVVEEEAQKSPIGKLTETIKKSANIDMAGLKNPVFGNHVKAKSESPF SAFATNITKPSSTTPAFSFGNSTMNKSNTSTVSPMEEADTKETSEKGPITLKSVENPF LPAKEERTGESSKKDHNDDPKDGYVSGSEISVRTSESAFDTTANEEIPKSQDVNNHEK SETDPKYSQHAVVDHDNKSKEMNETSKNNERSGQPNHGVQGDGIALKKDNEKENFDSN MAIKQFEDHQSSEEDASEKDSRQSSEVKESDDNMSLNSDRDESISESYDKLEDINTDE LPHGGEAFKAREVSASADFDVQTSLEDNYAESGIQTDLSESSKENEVQTDAIPVKHNS TQTVKKEAVDNGLQTEPVETCNFSVQTFEGDENYLAEQCKPKQLKEYYTSAKVSNIPF VSQNSTLRLIESTFQTVEAEFTVLMENIRNMDTFFTDQSSIPLVKRTVRSINNLYTWR IPEAEILLNIQNNIKCEQMQITNANIQDLKEKVTDYVRKDIAQITEDVANAKEEYLFL MHFDDASSGYVKDLSTHQFRMQKTLRQKLFDVSAKINHTEELLNILKLFTVKNKRLDD NPLVAKLAKESLARDGLLKEIKLLREQVSRLQLEEKGKKASSFDASSSITKDMKGFKV VEVGLAMNTKKQIGDFFKNLNMAK YIL114C MALRFFNDISRDVNGLFNRDFFHTNPLSLNISTTTENGVNFTLK AKQGVTEGPIQTSVEGRFYDRKEGVSLSQSWSNQNRLNTRIEFSKIAPGWKGDVNAFL TPQSIKNAKFNLSYAQKSFAARTSIDILQPKDFVGSVTLGHRGFVGGTDIAYDTAAGL CARYAMSIGYLAREYSFILSTNNRQCATASFFQNVNRYLQVGTKATLQSKTSSNMNIE FVTRYVPDSISQVKAKIADSGLTTLSYKRNLNKDISLGVGMSFNALQLTEPVHKFGWS LSFSP YIL113W MNIYTSPTRTPNIAPKSGQRPSLPMLATDERSTDKESPNEDREF VPCSSLDVRRIYPKGPLLVLPEKIYLYSEPTVKELLPFDVVINVAEEANDLRMQVPAV EYHHYRWEHDSQIALDLPSLTSIIHAATTKREKILIHCQCGLSRSATLIIAYIMKYHN LSLRHSYDLLKSRADKINPSIGLIFQLMEWEVALNAKTNVQANSYRKVP YIL112W MNETTTKQPLKKRSLSSYLSNVSTRREELEKISKQETSEEEDTA GKHEQRETLSEEVSDKFPENVASFRSQTTSVHQATQNNLNAKESEDLAHKNDASSHEG EVNGDSRPDDVPETNEKISQAIRAKISSSSSSPNVRNVDIQNHQPFSRDQLRAMLKEP KRKTVDDFIEEEGLGAVEEEDLSDEVLEKNTTEPENVEKDIEYSDSDKDTDDVGSDDP TAPNSPIKLGRRKLVRGDQLDATTSSMFNNESDSELSDIDDSKNIALSSSLFRGGSSP VKETNNNLSNMNSSPAQNPKRGSVSRSNDSNKSSHIAVSKRPKQKKGIYRDSGGRTRL QIACDKGKYDVVKKMIEEGGYDINDQDNAGNTALHEAALQGHIEIVELLIENGADVNI KSIEMFGDTPLIDASANGHLDVVKYLLKNGADPTIRNAKGLTAFESVDDESEFDDEED QKILREIKKRLSIAAKKWTNRAGIHNDKSKNGNNAHTIDQPPFDNTTKAKNEKAADSP SMASNIDEKAPEEEFYWTDVTSRAGKEKLFKASKEGHLPYVGTYVENGGKIDLRSFFE SVKCGHEDITSIFLAFGFPVNQTSRDNKTSALMVAVGRGHLGTVKLLLEAGADPTKRD KKGRTALYYAKNSIMGITNSEEIQLIENAINNYLKKHSEDNNDDDDDDDNNNETYKHE KKREKTQSPILASRRSATPRIEDEEDDTRMLNLADDDFNNDRDVKESTTSDSRKRLDD NENVGTQYSLDWKKRKTNALQDEEKLKSISPLSMEPHSPKKAKSVEISKIHEETAAER EARLKEEEEYRKKRLEKKRKKEQELLQKLAEDEKKRIEEQEKQKVLEMERLEKATLEK ARKMEREKEMEEISYRRAVRDLYPLGLKIINFNDKLDYKRFLPLYYFVDEKNDKFVLD LQVMILLKDIDLLSKDNQPTSEKIPVDPSHLTPLWNMLKFIFLYGGSYDDKKNNMENK RYVVNFDGVDLDTKIGYELLEYKKFVSLPMAWIKWDNVVIENHAKRKEIEGNMIQISI NEFARWRNDKLNKAQQPTRKQRSLKIPRELPVKFQHRMSISSVLQQTSKEPFW YIL111W MLRTSLTKGARLTGTRFVQTKALSKATLTDLPERWENMPNLEQK EIADNLTERQKLPWKTLNNEEIKAAWYISYGEWGPRRPVHGKGDVAFITKGVFLGLGI SFGLFGLVRLLANPETPKTMNREWQLKSDEYLKSKNANPWGGYSQVQSK YIL110W MSFSFGFTSNDFDDDELVAQPETFVESSKENENTTAYINPLDSD FLSQAGVVQPNVEDLGTILESLKDVRLTFEEFQSPIYRKPLIKRELFDVKHQLMLETD AQSNNNSTELDILLGDTSEDLRKNIYEGGLKSWECSYDLVDLLSENVDRISNDIDAVV EIGCGTALPSEFLFRSALLRNDRSKGLKFVLTDYNASVLRLVTIPNLVITWAKTVLTK EQWYALQKDECEDIPINNEELLLTSKLLAAFYDDVQSRNISVTLISGSWGRKFSNLIH EVLSGSQKVLSLSSETIYQPDNLPVIAETILDIHNLPQTDVKTYVAAKDIYFGVGGSI TEFEAYLDDKINSEHLPIHSERFKVNSGLKRSIICIETNKAIR YIL109C MSHHKKRVYPQAQLQYGQNATPLQQPAQFMPPQDPAAAGMSYGQ MGMPPQGAVPSMGQQQFLTPAQEQLHQQIDQATTSMNDMHLHNVPLVDPNAYMQPQVP VQMGTPLQQQQQPMAAPAYGQPSAAMGQNMRPMNQLYPIDLLTELPPPITDLTLPPPP LVIPPERMLVPSELSNASPDYIRSTLNAVPKNSSLLKKSKLPFGLVIRPYQHLYDDID PPPLNEDGLIVRCRRCRSYMNPFVTFIEQGRRWRCNFCRLANDVPMQMDQSDPNDPKS RYDRNEIKCAVMEYMAPKEYTLRQPPPATYCFLIDVSQSSIKSGLLATTINTLLQNLD SIPNHDERTRISILCVDNAIHYFKIPLDSENNEESADQINMMDIADLEEPFLPRPNSM VVSLKACRQNIETLLTKIPQIFQSNLITNFALGPALKSAYHLIGGVGGKIIVVSGTLP NLGIGKLQRRNESGVVNTSKETAQLLSCQDSFYKNFTIDCSKVQITVDLFLASEDYMD VASLSNLSRFTAGQTHFYPGFSGKNPNDIVKFSTEFAKHISMDFCMETVMRARGSTGL RMSRFYGHFFNRSSDLCAFSTMPRDQSYLFEVNVDESIMADYCYVQVAVLLSLNNSQR RIRIITLAMPTTESLAEVYASADQLAIASFYNSKAVEKALNSSLDDARVLINKSVQDI LATYKKEIVVSNTAGGAPLRLCANLRMFPLLMHSLTKHMAFRSGIVPSDHRASALNNL ESLPLKYLIKNIYPDVYSLHDMADEAGLPVQTEDGEATGTIVLPQPINATSSLFERYG LYLIDNGNELFLWMGGDAVPALVFDVFGTQDIFDIPIGKQEIPVVENSEFNQRVRNII NQLRNHDDVITYQSLYIVRGASLSEPVNHASAREVATLRLWASSTLVEDKILNNESYR EFLQIMKARISK YIL108W MVGSKDIDLFNLRENEQIVSPCLIVHGKCNKQNGAKTVQVQHPQ LPPITYPIHNQFFKATVILTPGENKLTFVTDTNTARTIVCYYTPLTQNPPVHLCLILA KDSPLQFDSPREQKDREGGNGLELAIKKLRLGARLMQAYTNEQMLRNSMGNRTFPFVE EFTWDTLFERPAMRNTIKIHVVRSEKTVKEIQDPDIAQQNSKGKNTGALFGIAMDALK SYGGPFTNNEKPVQAACMFLDTHWDGKLIRGHAALGGGDDSIKLAIFGSHGLYSWPTC LEQLVPYFTDETRSSTSEVANDCNECGTYWECLTITLGAFMHEIGHLLGCPHQESGVM LRGYTTLNRSFLTKEAYSVRTNSTGASPPIFPKEECTWNRLDTVRFLYHPSFTLPQDY YDPSFMRPTKLGGYPNIKHSVYPLGNGSCRILSPTGIYLIEIICDDLARGHIEYLPVS LGGQGPQREVIVTLDDLRARLPKNELAKFGNTFKLKILSVNAPETEFDKFPSLLDVQP LDMSKYGFSKNVQGIKSPLYGRSDGGNAVGVVAFDVRLVTAVRIYHGYALDGVRFYYK EKPTGTKDAPASKPSVPPRNYFSKITHSIKNHASINEENLKSVLFGHETQNFTDATLE PGEIIIGFNLRCGAWVDAIQIITSHGRMTDMFGNKDGGGFAELQPPNGQYILGVTGRV GQWVDAFGIIYGAL YIL107C MFKPVDFSETSPVPPDIDLAPTQSPHHVAPSQDSSYDLLSRSSD DKIDAEKGPHDELSKHLPLFQKRPLSDTPISSNWNSPGITEENTPSDSPENSATNLKS LHRLHINDETQLKNAKIPTNDTTDYMPPSDGANEVTRIDLKDIKSPTRHHKRRPTTID VPGLTKSKTSPDGLISKEDSGSKLVIVMVGLPATGKSFITNKLSRFLNYSLYYCKVFN VGNTRRKFAKEHGLKDQDSKFFEPKNADSTRLRDKWAMDTLDELLDYLLEGSGSVGIF DATNTSRERRKNVLARIRKRSPHLKVLFLESVCSDHALVQKNIRLKLFGPDYKGKDPE SSLKDFKSRLANYLKAYEPIEDDENLQYIKMIDVGKKVIAYNIQGFLASQTVYYLLNF NLADRQIWITRSGESEDNVSGRIGGNSHLTPRGLRFAKSLPKFIARQREIFYQNLMQQ KKNNENTDGNIYNDFFVWTSMRARTIGTAQYFNEDDYPIKQMKMLDELSAGDYDGMTY PEIKNNFPEEFEKRQKDKLRYRYPGIGGESYMDVINRLRPVITELERIEDNVLIITHR VVARALLGYFMNLSMGIIANLDVPLHCVYCLEPKPYGITWSLWEYDEASDSFSKVPQT DLNTTRVKEVGLVYNERRYSVIPTAPPSARSSFASDFLSRKRSNPTSASSSQSELSEQ PKNSVSAQTGSNNTTLIGSNFNIKNENGDSRIPLSAPLMATNTSNNILDGGGTSISIH RPRVVPNQNNVNPLLANNNKAASNVPNVKKSAATPRQIFEIDKVDEKLSMLKNKSFLL HGKDYPNNADNNDNEDIRAKTMNRSQSHV YIL106W MSFLQNFHISPGQTIRSTRGFKWNTANAANNAGSVSPTKATPHN NTINGNNNNANTINNRADFTNNPVNGYNESDHGRMSPVLTTPKRHAPPPEQLQNVTDF NYTPSHQKPFLQPQAGTTVTTHQDIKQIVEMTLGSEGVLNQAVKLPRGEDENEWLAVH CVDFYNQINMLYGSITEFCSPQTCPRMIATNEYEYLWAFQKGQPPVSVSAPKYVECLM RWCQDQFDDESLFPSKVTGTFPEGFIQRVIQPILRRLFRVYAHIYCHHFNEILELNLQ TVLNTSFRHFCLFAQEFELLRPADFGPLLELVMELRDR YIL105C MSKNNTMTSAVSDMLSQQQLNLQHLHNLQQHTRSMTSADHANVL QQQQQQQQQQQQQQQQQQQSASFQNGSLTSDINQQSYLNGQPVPSTSNSTFQNNRTLT MNSGGLQGIISNGSPNIDSNTNVTIAVPDPNNNNGKQLQGKNSLTNTSILSRARSSLQ RQRLAQQQQQQQDPRSPLVILVPTAAQPTDILAARFSAWRNVIKSVIVYLTEIASIQD EIVRQQLRLSHAVQFPFFSIENQYQPSSQEDKSVQKFFLPLGNGSIQDLPTILNQYHE SLASSASKASRELTNDVIPRLEDLRRDLIVKIKEIKSLQSDFKNSCSKELQQTKQAMK QFQESLKDARYSVPKQDPFLTKLALDRQIKKQLQEENFLHEAFDNLETSGAELEKIVV MEIQNSLTIYARLLGQEAQLVFDILISKLDSGFFNVDPQFEWDNFISRDPNFLLPNLP MRTFKEIVYKYQFDPLTYEIKSGFLERRSKFLKSYSKGYYVLTPNFLHEFKTADRKKD LVPVMSLALSECTVTEHSRKNSTSSPNSTGSDAKFVLHAKQNGIIRRGHNWVFKADSY ESMMSWFDNLKILTSTSNIQDKYKFITQKLNLNSDGKPKLTNNHTSINKYQLSNANST MVENDENDDINSNYVGSTVTPKLDNQTNTNTSMSSLPDTNDSELQDQVPNIYIQTPIN DFKS YIL105W-A MKTWMYSYLFDCPILVLPWTPHNYYLYHRFHHFLPLCYWHYSAD TY YIL104C MITPRFSITQDEEFIFLKIFISNIRFSAVGLEIIIQENMIIFHL SPYYLRLRFPHELIDDERSTAQYDSKDECINVKVAKLNKNEYFEDLDLPTKLLARQGD LAGADALTENTDAKKTQKPLIQEVETDGVSNNIKDDVKTIGQMGEGFNWEIEQKMDSS TNNGILKTKYGFDNLYDTVISVSTSNGNDINELDDPEHTDANDRVIERLRKENLKFDP EYYVSEYMTHKYGNEEDLEINGIKELLKFTPSIVKQYLQWYKDSTNPNLVMPIEFTDE EQKQMQDNLPKKSYLVEDIKPLYVTILSVLFSYVFEQIENEGTHTTESAWTMGKLCPQ ISFLDQQLKQVNELQDGMKEISKVNKDSSLIKIAIITGIRRALSYPLHRNYDLAMKAW TFVYYILRGGKRLVIRALLDIHETFRFHDVYYVYDKVLLDDLTAWFISQGSENVIRSL ALEMRKEQESLSKQDIEFECIASFNEQTGEPEWETLNIREMEILAESEYREQQQNPQ YIL103W MSGSTESKKQPRRRFIGRKSGNSNNDKLTTVAENGNEIIHKQKS RIALGRSVNHVPEDILNDKELNEAIKLLPSNYNFEIHKTVWNIRKYNAKRIALQMPEG LLIYSLIISDILEQFCGVETLVMGDVSYGACCIDDFTARALDCDFIVHYAHSCLVPID VTKIKVLYVFVTINIQEDHIIKTLQKNFPKGSRIATFGTIQFNPAVHSVRDKLLNDEE HMLYIIPPQIKPLSRGEVLGCTSERLDKEQYDAMVFIGDGRFHLESAMIHNPEIPAFK YDPYNRKFTREGYDQKQLVEVRAEAIEVARKGKVFGLILGALGRQGNLNTVKNLEKNL IAAGKTVVKIILSEVFPQKLAMFDQIDVFVQVACPRLSIDWGYAFNKPLLTPYEASVL LKKDVMFSEKYYPMDYYEAKGYGRGETPKHAIE YIL102C-A MNRFVIICLLFTYYVIWSLLPIFEIENSNPVVSLLFPISSNVAI FLPIFLLLIGFTLTGSVLGVLLIRSDKKKKV YIL102C MLKVEKFKKLKRFEVYYCLKNSFLEEVDIEMKYSCSITTIMSNG SASLLMNWEELTPGHCFTSYTTNPIAGDYGLNASAIDGHTEELVATHPAGTLENATQ YIL101C MKYPAFSINSDTVHLTDNPLDDYQRLYLVSVLDRDSPPASFSAG LNIRKVNYKSSIAAQFTHPNFIISARDAGNGEEAAAQNVLNCFEYQFPNLQTIQSLVH EQTLLSQLASSATPHSALHLHDKNILMGKIILPSRSNKTPVSASPTKQEKKALSTASR ENATSSLTKNQQFKLTKMDHNLINDKLINPNNCVIWSHDSGYVFMTGIWRLYQDVMKG LINLPRGDSVSTSQQQFFCKAEFEKILSFCFYNHSSFTSEESSSVLLSSSTSSPPKRR TSTGSTFLDANASSSSTSSTQANNYIDFHWNNIKPELRDLICQSYKDFLINELGPDQI DLPNLNPANFTKRIRGGYIKIQGTWLPMEISRLLCLRFCFPIRYFLVPIFGPDFPKDC ESWYLAHQNVTFASSTTGAGAATAATAAANTSTNFTSTAVARPRQKPRPRPRQRSTSM SHSKAQKLVIEDALPSFDSFVENLGLSSNDKNFIKKNSKRQKSSTYTSQTSSPIGPRD PTVQILSNLASFYNTHGHRYSYPGNIYIPQQRYSLPPPNQLSSPQRQLNYTYDHIHPV PSQYQSPRHYNVPSSPIAPAPPTFPQPYGDDHYHFLKYASEVYKQQNQRPAHNTNTNM DTSFSPRANNSLNNFKFKTNSKQ YIL099W MARQKMFYNKLLGMLSVGFGFAWALENITIYEFDFGKGILDQSY GGVFSNNGPSQVQLRDAVLMNGTVVYDSNGAWDSSALEEWLQGQKKVSIEKIFENIGP SAVYPSISPGVVIASPSQTHPDYFYQWIRDSALTINSIVSHSAGPAIETLLQYLNVSF HLQRSNNTLGAGIGYTNDTVALGDPKWNVDNTAFTEDWGRPQNDGPALRSIAILKIID YIKQSGTDLGAKYPFQSTADIFDDIVRWDLRFIIDHWNSSGFDLWEEVNGMHFFTLLV QLSAVDKSLSYFNASERSSPFVEELRQTRRDISKFLVDPANGFINGKYNYIVGTPMIA DTLRSGLDISTLLAANTVHDAPSASHLPFDINDPAVLNTLHHLMLHMRSIYPINDSSK NATGIALGRYPEDVYDGYGFGEGNPWVLATCTASTTLYQLIYRHISEQHDLVVPMNND CSNAFWSELVFSNLTTLGNDEGYLILEFNTPAFNQTIQKIFQLADSFLVKLKAHVGTD GELSEQFNKYTGFMQGAQHLTWSYTSFWDAYQIRQEVLQSL YIL098C MDRPRTLRTYRGLIRAILKYERPSKIVNWGNLRKAMITKLEYAK KQNQRDSHENINRQLEKWKKLDPVSDRSLNLFIADSKSLRSILQNDIKWEKKVAQGQN VDEIFEHALDIIKFLDNQREYEELVDRYNPGNKLTQDEKVKRTANVVGLDVPT YIL097W MAEKSIFNEPDVDFHLKLNQQLFHIPYELLSKRIKHTQAVINKE TKSLHEHTAALNQIFEHNDVEHDELALAKITEMIRKVDHIERFLNTQIKSYCQILNRI KKRLEFFHELKDIKSQNSGTSHNGNNEGTRTKLIQWYQSYTNILIGDYLTRNNPIKYN SETKDHWNSGVVFLKQSQLDDLIDYDVLLEANRISTSLLHERNLLPLISWINENKKTL TKKSSILEFQARLQEYIELLKVDNYTDAIVCFQRFLLPFVKSNFTDLKLASGLLIFIK YCNDQKPTSSTSSGFDTEEIKSQSLPMKKDRIFQHFFHKSLPRITSKPAVNTTDYDKS SLINLQSGDFERYLNLLDDQRWSVLNDLFLSDFYSMYGISQNDPLLIYLSLGISSLKT RDCLHPSDDENGNQETETATTAEKEVEDLQLFTLHSLKRKNCPVCSETFKPITQALPF AHHIQSQLFENPILLPNGNVYDSKKLKKLAKTLKKQNLISLNPGQIMDPVDMKIFCES DSIKMYPT YIL096C MARKLKGKIGSKGLKGALLRHKAKVKLVRNIESKQKHELRKKNS SANNKTVKRNQEFQKLNQGKVMPFEKDETLMLCGEGDFSFARSIVEQNYIESDNLIIT SYDNSVNELKLKYPHTFEENYQYLKDLNIPIFFQIDVTKLVKSFKISKNNTWFKIINR LSDHRWGNKPLQNIVFNFPHNGKGIKDQERNIREHQDLIFNFFQNSLQLFNLINTKIQ NDTLRYTQGYDLNEDTPQAKKLTAEGYGNIILSLFDGEPYDSWQIKLLAKKNGLTLSR SSKFQWENFPGYHHRRTNSEQDTTKPAKERDARFYIFSKYVSNSSKHNRKSKKDTDSD SD YIL095W MNTPQISLYEPGTILTVGSHHAKIIKYLTSGGFAQVYTAEISPP DPYSNANIACLKRVIVPHKQGLNTLRAEVDAMKLLRNNKHVVSYIDSHAARSVNGIAY EVFVLMEFCERGGLIDFMNTRLQNRLQESEILEIMSQTVQGITAMHALQPPLIHRDIK IENVLISHDGLYKVCDFGSVSGVIRPPRNTQEFNYVQHDILTNTTAQYRSPEMIDLYR GLPIDEKSDIWALGVFLYKICYYTTPFEKSGEAGILHARYQYPSFPQYSDRLKNLIRL MLMEAPSQRPNICQVLEEVSRLQNKPCPIRNFYLLRAMNQNANTQLAGEPSSTTYVPT QKFIPVQSLQSINQPPNMMPVTHVSTTPNLGTFPISINDNNKTEVTAHAGLQVGSHSN LTSPLMKTKSVPLSDEFASLYYKELHPFQKSQTFKSVESFQSPQRKSMPPLSLTPVNN DIFDRVSAINRPNNYVDSETQTIDNMAVPNLKLSPTITSKSLSSTKEIAAPDNINGSK IVRSLSSKLKKVITGESRGNSPIKSRQNTGDSIRSAFGKLRHGFTGNSVNNSRSASFD NNNVNGNGNNTNRRLVSSSTSSFPKFNSDTKRKEESDKNQRLEKRRSMPPSILSDFDQ HERNNSRTGSRDYYRSHSPVKKTQASAKTTSKPTLIPDNGNVNINQEKKESIQRRVHN LLKSSDDPVTYKSASGYGKYTDIGTETSNRHSSVRITPITEEKFKKTLKDGVLDIKTK SNGKDKSRPPRPPPKPLHLRTEIQKIRNFSRLQSKKLPIERISSEATETIVDVNVDDL EADFRKRFPSKV YIL094C MFRSVATRLSACRGLASNAARKSLTIGLIPGDGIGKEVIPAGKQ VLENLNSKHGLSFNFIDLYAGFQTFQETGKALPDETVKVLKEQCQGALFGAVQSPTTK VEGYSSPIVALRREMGLFANVRPVKSVEGEKGKPIDMVIVRENTEDLYIKIEKTYIDK ATGTRVADATKRISEIATRRIATIALDIALKRLQTRGQATLTVTHKSNVLSQSDGLFR EICKEVYESNKDKYGQIKYNEQIVDSMVYRLFREPQCFDVIVAPNLYGDILSDGAAAL VGSLGVVPSANVGPEIVIGEPCHGSAPDIAGKGIANPIATIRSTALMLEFLGHNEAAQ DIYKAVDANLREGSIKTPDLGGKASTQQVVDDVLSRL YIL093C MKIQTNAVNVLQRTSAYLKSGLLKETPAWYNVVASIPPSTKFTR EPRFKNPSNGHIIGKLVDVTEQPHANNKGLYKTRPNSSDKRVGVKRLYRPPKLTYVED RLRSLFYKQHPWELSRPKILVENEIGDENYDWSHMLQIGRPLDGESVIQRTMYLIKTK QYGDMVEAYDHARYEFYALRMQEETEQQVALEEAEMFGSLFGVSAIEHGIQKEQEVLD VWEKKVVEETELMAARTSNPAGSWKDDTTLNTAQEEESTTSENLHF YIL092W MVQMRSKNMAYESGTNNYSDTIANGNTLPPRSKKGHSGRRKRSE TLPIACNNFCVTRQIDDDEQAFKMLDKVSHLKKFSAEDGDDNNIFVQWADDITDILFG LCCTGTFLKLLISSALSGRAKTWFDSTTEGIDDHVIKAYSFEKFLALLSEEFDGARSL RREIFTELLTLSIDSEKSLEAFAHKSGRLTPYYLSSGAALDLFLTKLEPQLQKQLENC AFPMTLNLALLITACEFAKRASNHKKYRYKNTRDSDICTPKSKNTAIVSKLSNTKTIS KNKVIEKSDKKNYFDKNSQHIPDPKRRKQNEPGMRLFLVMDEEKNILTSRNVSANAYT SKNGHTNLSDLHTNLKNSKSQQCAVEPISILNSGSLVTGTINIDLINDEVLGTKEETT TYDERMDGNSRSLNERCCAVKKNSLQPITSNIFQKNAEIQGTKIGSVLDSGISNSFSS TEYMFPPTSSATVSNPVKKNEISKSSQVKDIAQFNPFMTNEKEKKLNPSESFKSPGVS MEINRLSRIAGLRNIPGNIYEDSKMLNLKTRKCYPLHNFAVRTRSAHFNDRPSNYISP HETINATLRSPASFDSIQCITRSKRVDAETNKATGSAKSENIETKSRKFPEVINPFLV NTTNKKESD YIL091C MSDSSVREKNDNFRGYRKRGRQELRKIKRSSARTEGGSTETLED VAEDIDHRSDEDEVSDVDSGDDFDIEDEEGKKEKVYDALLTILKSEHPEPKRRRREAD ESNKAPAEVGEDEHENTEHGPVDDQLEIENGLLGNHEDDNDDDSSGDEKDIDSEDEQD PFESHFNQVPEKFVDKLSNAFKTKSVKYKSVKGSLSDSESYIYAKPVVIGEEALVESP YRSSSIYSYFLKQRLKVQNGLLDKKTDPLTALQKKLVDPMFQYKDILYEYDSYEKDED EYRDLYALHVLNHIYKTRDRILKNNQRLQDNPDTEHLDQGFTRPKVLIVVPTREVAYR VVDKIISKSGIDQVDKKGKFYDQFRDDSLPPKSKPKSFQHIFRGNTNDFFVVGLKFTR KAIKLYSNFYQSDIIVCSPLGIQMILENTDKKKRQDDFLSSIELMVIDQLHSIEYQNI SHIFTIFDHLNKIPDQQHEADFSRIRMWYINEQAKLFRQTMVFTKYISPAANSLINGR CRNMAGRWKNHKVIGSENSSIGQSGLKIRQIFQRFDIIGNSIIEEPDYRFKFFTSVII PGIVKSTGYEDGILIYIPDYTDFIRIRNYMKEKTTILFGDINEYSSQRQLNANRSLFQ QGRLKVMLYTERLHHYRRYEIKGVKSVVFYKPPNNPEFYNEVVRFIGKNAFLGNTDLN ISTVRCIYSKLDGLSLERIVGTKRAAVLSHAQKEIYEFK YIL090W MTSLSKSFMQSGRICAACFYLLFTLLSIPISFKVGGLECGLSFT VTLFTLYFITTTLNVLARRHGGRLYIFFTNCLYYSQHFIIASLLYLFLSGFSNDELGN VLKNKYNESESFLEALKNSLNSNQINYVLYYYYYRFVVQPWQFVLTKSTPFFTLSEGF FTILAIQAVGETNRWLSNDLNSNTWIISSLLTSGGVITASLYYLYRIYVTPIWPLSIQ TASLLGLVLSMVCGLGLYGIVSQKGSVIESSLFFAYIVRCIYEISPKLATTATDEILN LFKDVWQKHQRNLPTADNLLCYFHNVILKNAEVLWGSFIPRGRKKTGDFHDKLISILS FEKVSLISKPFWKFFKNFTFSVPLSINEFCQVTIKMASESVSPAIVINLCFRVLMFYS ATRIIPALQRKNDKQLRKSRRIMKGLYWYSPCILIAMYTHLILQYSGELKKDLCIWGC SEKWFGVDQPEIIVDSWGFWNWCNIFCTILVYATELIGSGS YIL089W MQRTRELESSVAIDQTEVPRSRFFIMVKKLSRVADIVYIVDTFL IPPLHPLKKQHPKVAKFLKVQLVFDLISLFIFATHQLLLLEDGNFGKHYFKRKTKRCS KFSCSRCNANAHHPKWFKFKHSLLCLGTFCFGVYSLVKINKFFKTDQTVDLNRLLELF FWQLNAILNMKLFAFYGDHLESHSAPLDVYEDSFANKSSSGGDEV YIL088C MEATSSALSSTANLVKTIVGAGTLAIPYSFKSDGVLVGVILTLL AAVTSGLGLFVLSKCSKTLINPRNSSFFTLCMLTYPTLAPIFDLAMIVQCFGVGLSYL VLIGDLFPGLFGGERNYWIIASAVIIIPLCLVKKLDQLKYSSILGLFALAYISILVFS HFVFELGKGELTNILRNDICWWKIHDFKGLLSTFSIIIFAFTGSMNLFPMINELKDNS MENITFVINNSISLSTALFLIVGLSGYLTFGNETLGNLMLNYDPNSIWIVIGKFCLGS MLILSFPLLFHPLRIAVNNVIIWIEITYGGANPEEDPQVSEYTRASNLRPISMTVEDP AQPSDALDATSYNEQECLLPNGNFDNGSIESQENNNDERGTMAVAGDNEHHAPFVKSR FYWITALLLISMYTLALSVQSFALVLSFVGATGSTSISFTLPGLLGYKLIGLDSLAIG KMIPPKDRFYKRCSLLLVFYGLSVMFLSLYVTVFNRSDEA YIL087C MSAKPATDDAKDELLSPFRRLYALTRTPYPALANAALLASTPVL SPSFKVPPTQSPALSIPMSRVFSKSSTARIGITTKTALFFSTMQAIGAYMIYDNDLEN GAGFIATWSALYLIVGGKKSFSALRYGRTWPLVLSSVSLANAVLYGQRFLATGFQ YIL086C MNKIIKESTNFSRYLRTGGVLNSLRTTSKFVYINNNSYLTHGGF DGNVATIFNISEFNYINSSAKGSLLTYKSITFFCPRYFKKRPLGRHAKGKGKSDEKIL YIL085C MAIRLNPKVRRFLLDKCRQKRYGFLFLGCIFAILYCMGTWPFFA KDIVHDPNNLPYSLQDYSTDKDEPFFRGCTDTKLYLQNPAYSKMNASFVMLTRNEEIE DVLKTMRSIEGHFNKWFKYPYVFLNDDPFTDHFKDQIQAATNATVEFGTVDEIMWEFP AKVRNSLQFKASLEDQNDRGIMYGNMESYHKMCRFYSGIFYKHPLVSKYEWYWRIEPD VDFFCDISYDPFFEMAKHNKKYGFTVLITELYWTVPNLFRTTKSFIKKTAGLKENLGT LWKLFTFNYNILDTDDEEISRWVNFPWDAKPKLTEKLMVDFLLENHGQVNNEEDLEGI QYLVERARSKVPMLEDSLEGEDYNLCHFWSNFEIARVDLFDNEIYNAYFKFLEESGGF WTERWGDAPIHSIGLGMTLDLEDVHYFRDIGYRHSSLQHCPKNALQSQENLNTFDEGY NFGCGCRCVCPKKGEDIEDHSTPCMDIFFELLHGREYEKEFPGCYKPSIKDKDVIEEI RRENFRVIE YIL084C MAIQKVSNKDLSRKDKRRFNIESKVNKIYQNFYSERDNQYKDRL TALQTDLTSLHQGDNGQYARQVRDLEEERDLELVRLRLFEEYRVSRSGIEFQEDIEKA KAEHEKLIKLCKERLYSSIEQKIKKLQEERLLMDVANVHSYAMNYSRPQYQKNTRSHT VSGWDSSSNEYGRDTANESATDTGAGNDRRTLRRRNASKDTRGNNNNQDESDFQTGNG SGSNGHGSRQGSQFPHFNNLTYKSGMNSDSDFLQGINEGTDLYAFLFGEKNPKDNANG NEKKKNRGAQRYSTKTAPPLQSLKPDEVTEDISLIRELTGQPPAPFRLRSD YIL083C MPPLPVLNRPQIHTSVTEISHAIDRTIKEELFPVAYTTEEEQYF KTNPKPAYIDELIKDAKEFIDLQYSLKRNKIVLITSGGTTVPLENNTVRFIDNFSAGT RGASSAEQFLANGYSVIFLHREFSLTPYNRSFSHSINTLFLDYIDSEGKIKPEFAENV LKNKKLYDKYMEKEEKLLLLPFTTVNQYLWSLKSIAKLLNNSGCLFYLAAAVSDFFVP YSRLPQHKIQSGDNGKMGANNDTEGTTRTTPDGKLIVNLDPVPKFLRRLVESWATQAM IVSFKLETDESMLLYKCTQALDRYNHQLVIGNLLQTRNKQVIFVSPENRKGDWVRLDE KHHSIEEMIIPEVIARHDKWVAHSKTKLATK YIL082W-A MSFMDQIPGGGNYPKLPVECLPNFPIQPSLTFRGRNDSHKLKNF ISEIMLNMSMISWPNDASRIVYCRRHLLNPAAQWANDFVQEQGILEITFDTFIQGLYQ HFYKPPDINKIFNAITQLSEAKLGIERLNQRFRKIWDRMPPDFMTEKAAIMTYTRLLT KETYNIVRMHKPETLKDAMEEAYQTTALTERFFPGFELDADGDTIIGATTHLQEEYDS DYDSEDNLTQNRYVHTVRTRRSYNKPMSNHRNRRNNNASREECIKNRLCFYCKKEGHR LNECRARKRVLTDLELESKDQQTLFIKTLPIVHYIAIPEMDNTAEKTIKIQNTKVKTL FDSGSPTSFIRRDIVELLKYEIYETPPLRFRGFVATKSAVTSEAVTIDLKINDLQITL AAYILDNMDYQLLIGNPILRRYPKILHTVLNTRESPDSLKPKTYRSETVNNVRTYSAG NRGNPRNIKLSFAPTILEATDPKSAGNRGNPRNTKLSLAPTILEATDPKSAGNRGDSR TKTLSLATTTPAAIDPLTTLDNPGSTQSTFAQFPIPEEASILEEDGKYSNVVSTIQSV EPNATDHSNKDTFCTLPVWLQQKYREIIRNDLPPRPADINNIPVKHDIEIKPGARLPR LQPYHVTEKNEQEINKIVQKLLDNKFIVPSKSPCSSPVVLVPKKDGTFRLCVDYRTLN KATISDPFPLPRIDNLLSRIGNAQIFTTLDLHSGYHQIPMEPKDRYKTAFVTPSGKYE YTVMPFGLVNAPSTFARYMADTFRDLRFVNVYLDDILIFSESPEEHWKHLDTVLERLK NENLIVKKKKCKFASEETEFLGYSIGIQKIAPLQHKCAAIRDFPTPKTVKQAQRFLGM INYYRRFIPNCSKIAQPIQLFICDKSQWTEKQDKAIEKLKAALCNSPVLVPFNNKANY RLTTDASKDGIGAVLEEVDNKNKLVGVVGYFSKSLESAQKNYPAGELELLGIIKALHH FRYMLHGKHFTLRTDHISLLSLQNKNEPARRVQRWLDDLATYDFTLEYLAGPKNVVAD AISRAIYTITPETSRPIDTESWKSYYKSDPLCSAVLIHMKELTQHNVTPEDMSAFRSY QKKLELSETFRKNYSLEDEMIYYQDRLVVPIKQQNAVMRLYHDHTLFGGHFGVTVTLA KISPIYYWPKLQHSIIQYIRTCVQCQLIKSHRPRLHGLLQPLPIAEGRWLDISMDFVT GLPPTSNNLNMILVVVDRFSKRAHFIATRKTLDATQLIDLLFRYIFSYHGFPRTITSD RDVRMTADKYQELTKRLGIKSTMSSANHPQTDGQSERTIQTLNRLLRAYVSTNIQNWH VYLPQIEFVYNSTPTRTLGKSPFEIDLGYLPNTPAIKSDDEVNARSFTAVELAKHLKA LTIQTKEQLEHAQIEMETNNNQRRKPLLLNIGDHVLVHRDAYFKKGAYMKVQQIYVGP FRVVKKINDNAYELDLNSHKKKHRVINVQFLKSLYTVQTRTQRINQSAPLRELREHTK LLHS YIL079C MSTLLSEVESIDTLPYVKDTTPTGSDSSSFNKLLAPSIEDVDAN PEELRTLRGQGRYFGITDYDSNGAIMEAEPKCNNCSQRGHLKRNCPHVICTYCGFMDD HYSQHCPKAIICTNCNANGHYKSQCPHKWKKVFCTLCNSKRHSRERCPSIWRSYLLKT KDANQGDFDFQTVFCYNCGNAGHFGDDCAERRSSRVPNTDGSAFCGDNLATKFKQHYF NQLKDYKREASQRQHFDNEHEFNLLDYEYNDDAYDLPGSRTYRDKMKWKGKVQSTRNK NSSNNRYESSNNRKKKSPFSAQNYKVTKNKRVQTHPLDFPRSSQNNRTNDYSSQFSYN RDDFPKGPKNKRGRSSSNKSQRNGRY YIL078W MSASEAGVTEQVKKLSVKDSSNDAVKPNKKENKKSKQQSLYLDP EPTFIEERIEMFDRLQKEYNDKVASMPRVPLKIVLKDGAVKEATSWETTPMDIAKGIS KSLADRLCISKVNGQLWDLDRPFEGEANEEIKLELLDFESDEGKKVFWHSSAHVLGES CECHLGAHICLGPPTDDGFFYEMAVRDSMKDISESPERTVSQADFPGLEGVAKNVIKQ KQKFERLVMSKEDLLKMFHYSKYKTYLVQTKVPDGGATTVYRCGKLIDLCVGPHIPHT GRIKAFKLLKNSSCYFLGDATNDSLQRVYGISFPDKKLMDAHLKFLAEASMRDHRKIG KEQELFLFNEMSPGSCFWLPHGTRIYNTLVDLLRTEYRKRGYEEVITPNMYNSKLWET SGHWANYKENMFTFEVEKETFGLKPMNCPGHCLMFKSRERSYRELPWRVADFGVIHRN EFSGALSGLTRVRRFQQDDAHIFCTHDQIESEIENIFNFLQYIYGVFGFEFKMELSTR PEKYVGKIETWDAAESKLESALKKWGGNWEINAGDGAFYGPKIDIMISDALRRWHQCA TIQLDFQLPNRFELEFKSKDQDSESYERPVMIHRAILGSVERMTAILTEHFAGKWPFW LSPRQVLVVPVGVKYQGYAEDVRNKLHDAGFYADVDLTGNTLQKKVRNGQMLKYNFIF IVGEQEMNEKSVNIRNRDVMEQQGKNATVSVEEVLKQLRNLKDEKRGDNVLA YIL077C MLGKEEEQQYGQNGKGMENELPFMKRPWFKKAYENAIEFHEKDE LLDARDRLELSKAYRSIAKAEMWGGWLGFSAVFLTPFAYRYYKTKAIKGVKVPRNFVL GVMALFFATNFAGRSMYTRQLNERDPTGVLKDNYSNKYGDNDFGAFQHDQTKEIPRNQ RQYNMMRLLDSGSPSRWSMYFYITYQNPERRLPDPKVKLQQMKKGGVFNGSPFMNQRD PIGLYRNKGRKSPDPIEGEQNDSPVLSSWEKIRNGDNSSSSSWENIRNTSRDQSQESD ASVDHESDIFISGFSDDGNATDNSSSDDKYQRLLQSGRYGGNRS YIL076W MDYFNIKQNYYTGNFVQCLQEIEKFSKVTDNTLLFYKAKTLLAL GQYQSQDPTSKLGKVLDLYVQFLDTKNIEELENLLKDKQNSPYELYLLATAQAILGDL DKSLETCVEGIDNDEAEGTTELLLLAIEVALLNNNVSTASTIFDNYTNAIEDTVSGDN EMILNLAESYIKFATNKETATSNFYYYEELSQTFPTWKTQLGLLNLHLQQRNIAEAQG IVELLLSDYYSVEQKENAVLYKPTFLANQITLALMQGLDTEDLTNQLVKLDHEHAFIK HHQEIDAKFDELVRKYDTSN YIL075C MSLTTAAPLLALLRENQDSVKTYALESINNVVDQLWSEISNELP DIEALYDDDTFSDREMAALIASKVYYNLGEYESAVKYALAAKDRFDIDEKSQFVETIV SKSIEMYVQEASKQYTKDEQFYTKDIIDPKLTSIFERMIEKCLKASELKLALGIALEG YRLDIIESALKSKLDQDSTSENVKIINYLLTLAITTVTNSKFRSSILRKSFDFLMNMP NCDYLTLNKVVVNLNDAGLALQLFKKLKEENDEGLSAQIAFDLVSSASQQLLEILVTE LTAQGYDPALLNILSGLPTCDYYNTFLLNNKNIDIGLLNKSKSSLDGKFSLFHTAVSV ANGFMHAGTTDNSFIKANLPWLGKAQNWAKFTATASLGVIHKGNLLEGKKVMAPYLPG SRASSRFIKGGSLYGLGLIYAGFGRDTTDYLKNIIVENSGTSGDEDVDVLLHGASLGI GLAAMGSANIEVYEALKEVLYNDSATSGEAAALGMGLCMLGTGKPEAIHDMFTYSQET QHGNITRGLAVGLALINYGRQELADDLITKMLASDESLLRYGGAFTIALAYAGTGNNS AVKRLLHVAVSDSNDDVRRAAVIALGFVLLRDYTTVPRIVQLLSKSHNAHVRCGTAFA LGIACAGKGLQSAIDVLDPLTKDPVDFVRQAAMIALSMILIQQTEKLNPQVADINKNF LSVITNKHQEGLAKFGACVAQGIMNAGGRNVTIQLENADTGTLDTKSVVGLVMFSQFW YWFPLAHFLSLSFTPTTVIGIRGSDQAIPKFQMNCYAKEDAFSYPRMYEEASGKEVEK VATAVLSTTARAKARAKKTKKEKGPNEEEKKKEHEEKEKERETNKKGIKETKENDEEF YKNKYSSKPYKVDNMTRILPQQSRYISFIKDDRFVPVRKFKGNNGVVVLRDREPKEPV ALIETVRQMKDVNAPLPTPFKVDDNVDFPSA YIL074C MSYSAADNLQDSFQRAMNFSGSPGAVSTSPTQSFMNTLPRRVSI TKQPKALKPFSTGDMNILLLENVNATAIKIFKDQGYQVEFHKSSLPEDELIEKIKDVH AIGIRSKTRLTEKILQHARNLVCIGCFCIGTNQVDLKYAASKGIAVFNSPFSNSRSVA ELVIGEIISLARQLGDRSIELHTGTWNKVAARCWEVRGKTLGIIGYGHIGSQLSVLAE AMGLHVLYYDIVTIMALGTARQVSTLDELLNKSDFVTLHVPATPETEKMLSAPQFAAM KDGAYVINASRGTVVDIPSLIQAVKANKIAGAALDVYPHEPAKNGEGSFNDELNSWTS ELVSLPNIILTPHIGGSTEEAQSSIGIEVATALSKYINEGNSVGSVNFPEVSLKSLDY DQENTVRVLYIHRNVPGVLKTVNDILSDHNIEKQFSDSHGEIAYLMADISSVNQSEIK DIYEKLNQTSAKVSIRLLY YIL073C MSDHNVNSTFRKTLVELCETATWITSQVYAAKNLEKNDLITVDN KISALYPIAEKYDRSFRTTTVILDEELILKLENAASSLWNSLTIAMKAEKASDKYFNE VFCKCKIFATKLLSIHEALFRTNTNLLRNFKCYISSFKSASEYRFDDLITNTQQHSEK YLQIINENVESFSNEEKTEFKKLTFEFYLVNFQLYLSENDLDTANIYTAKVNITDNSK YMDADLLIELCRMIYNSTVMLKEINNPETQLVDVNIISFLKDVEKYLELPVENLKSHT DYSNLKYSVLIFMANCLVEGHPQASELEQCDHYLSLLQNEYPNKVDPFILAINLTKRR NIVNPAETIEEILMRMIMSVDVISNFQAVIASINDLSKMNTKFSIVCLDYLLINKLNS KNDSKFLGKAICSRFLITTQSKTMNDSEIAESLENFSTQMERIVSEPLTKHAISCIIT LLWNTGKKLEKMEKYVVSIRFYKLALKDIISQNYSDRGKIQRALQVVYNKIEDYSNTV RVYQDMDEVDRQSPLCQLLMLQSFLADDKTEEALTCLQKIKSSEDEKSTDALILAVAE CKRKTDLSVQGLLMIFDKLQSKSNSQTISSTSSSQTLSILRYTLQMIVKVSEEEPLET FINYLPTVQKLLQKAVEFLKTVKLLNQLPPDVEKEAIYQQSVAVNEIEWFASFSYNVA VKCLVDQSCESISEFPQYCIQFIDLIPVQDFTFPKMYHFTYWRFKATILQLIIAKEKA KQDQHQKDWDIYEKSEELVNSINVMKKSSEFKDGSSLEDRNTLHECFLEALTIHLESA LMMPDQTRILDILKKTELYQDSRVDALLIDISSNMEDLPKGVLIEILETVLKRNMGPE VKERELCSWLRILLENAINLNHEVELRILDRVLKILNINQSSLQDTDGVLQTELETIA TYCWNIGVNYIIKDNKSNGIVWCKHSMGFANMVNEGLQEQLYSLWESLASSANIDINS IAK YIL072W MSNKQLVKPKTETKTEITTEQSQKLLQTMLTMSFGCLAFLRGLF PDDIFVDQRFVPEKVEKNYNKQNTSQNNSIKIKTLIRGKSAQADLLLDWLEKGVFKSI RLKCLKALSLGIFLEDPTDLLENYIFSFDYDEENNVNINVNLSGNKKGSKNADPENET ISLLDSRRMVQQLMRRFIIITQSLEPLPQKKFLTMRLMFNDNVDEDYQPELFKDATFD KRATLKVPTNLDNDAIDVGTLNTKHHKVALSVLSAATSSMEKAGNTNFIRVDPFDLIL QQQEENKLEESVPTKPQNFVTSQTTNVLGNLLNSSQASIQPTQFVSNNPVTGICSCEC GLEVPKAATVLKTCKSCRKTLHGICYGNFLHSSIEKCFTCIFGPSLDTKWSKFQDLMM IRKVFRFLVRKKKGFPASITELIDSFINVEDQNNEVKERVAFALFVFFLDETLCLDNG GKPSQTIRYVTSSVLVDVKGIVIPNTRKQLNVNHEYKWHFTTSSPKAESFYQEVLPNS RKQVESWLQDITNLRKVYSEALSPSSTLQELDLNSSLPTQDPIISGQKRRRYDLDEYL EEDKSSVVNDTIKAKDFDESVPAKIRKISVSKKTLKSNW YIL071C MFHGAKGPLLIERIGHLLSINYGEKEERKRWAMQGISYLQEVQC TSTPYLEILVEESGLRPVSLLNSQLVGKPHFSLLGGFDENIARDIISHNFQNAIFQME SEEVPLTKRYQHLEKITQISLLCKNFKGIEEIEYNVKNIIQGRKNFDMLNSMEKDRIS HEVVQDDSFSLLRIQMLLCVSYFLQERYFDCCTKFFTMMTSEPLTLKVLSEHLDCMNF ISKEEFIMMVNISVLISIPLDNYDDFIYLSDLKQFFQMTPLLVNCLELLINTNFNKFF KIWHGEINKICMESLFLEPSWSSSAAVIMRCKIYFFYLRISKKLQFSYLSSTLGIDLE DIKEELTKLIISGQLNFEIDGDVIHFEDSSILQSIVNEISRNGTMINEVIDKLKNENT DLKDIIQGNPLMYSGGNNTATIINNESSDDMDIDEVNDRSDISDSEGGLFEC YIL070C MFLRSVNRAVTRSILTTPKPAVVKSSWRVFTVANSKRCFTPAAI MRNQETQRVGDILQSELKIEKETLPESTSLDSFNDFLNKYKFSLVETPGKNEAEIVRR TESGETVHVFFDVAQIANLPYNNAMDENTEQNEDGINEDDFDALSDNFANVNVVISKE SASEPAVSFELLMNLQEGSFYVDSATPYPSVDAALNQSAEAEITRELVYHGPPFSNLD EELQESLEAYLESRGVNEELASFISAYSEFKENNEYISWLEKMKKFFH YIL069C MSDAVTIRTRKVISNPLLARKQFVVDVLHPNRANVSKDELREKL AEVYKAEKDAVSVFGFRTQFGGGKSVGFGLVYNSVAEAKKFEPTYRLVRYGLAEKVEK ASRQQRKQKKNRDKKIFGTGKRLAKKVARRNAD YIL068C MSSDPLQQVCDLIKGDLSLERVRDIKEQLLKEKSVVEYQLNKES DKYYGEVEESLKLLNLSKNSVTSIKQQINEVNKLGNDNRFAINRYDILFRATKLYETV NTTSSIYDRIYNFVALMEHIERLLVAELAEDALETGCPHLLEIHFLLTSARDFQEQVV VMAKEATEDAQRTVMKLFSRLSGIISKFDKLLDGLTYDIVEMARAEQISLAIRLFKIY DLEEREDLRIEAIRNIIKKKEIEIEKSSIKKLPNSKNTARLQDETPKVIEYPTNKGLY QEIMSGTISTRTAPRGYKHFLINGINNSISEMFGEMREKYVGDQKFDVLDNMDWIFNE LIIVKEHIANCCPPHWNIFEVYFDQYYKELHSLITDLVESEPETIIILDILAFDKTFQ DTLKQDFGFTKSEVKSVIGDKEKETLFKDYLNLIVVKMTEWIGNLEKAEFDVFLERST PPHSDSDGLLFLDGTKTCFQMFTQQVEVAAGTNQAKILVGVVERFSDLLTKRQKNWIS KISEEIKKQINYNHKYDIDPESITPEDECPGGLVEYLIAVSNDQMKAADYAVAISSKY GKLVSKVYEKQITNHLEGTLDGFAEVAQCSSLGLITLMFDDLRKPYQEIFSKTWYMGS QAQQIADTLDEYLLDIKPQMNSVLFVNFIDNVIGETIIKFLTALSFEHSFKNKNNKFL EAMKRDFEIFYQLFVKVLDGNESKDTLITQNFTVMEFFMDLSCEPIDSILDIWQKYLE VYWDSRIDLLVGILKCRKDVSSSERKKIVQQATEMLHEYRRNMEANGVDREPTLMRRF VLEFEKQ YIL067C MGVHFDDNANTTWEATDPGVSSDCDGQHRVTESIQLQNFSNTDM ESMLDEEGRENSKSKWLLLKRKHPIQKFIERVWNGPVEPSDEPPSFPKRWGWLKKIDD FPQTTFKTKIPSKLIRLLLLIVYCCFWMRIFYSLIYPYLIKPPYFHPNDGSEKIPILS LSCNSYLNWEGTNNECGLNAKNCGPLDNKEYMIRCPALCDRGGWTYSAIAVGNRRVKY TGYEIGGGALFSEEDPMVVSYPYRSDSFPCASAVHAGVISPFYGGCTKVSMQGAQNSF PSKKGMYNTGFSVAFNSFFPGSYSFRDIQGGILSGCYDPRAAVVALNMLFGLPIFYLY DSIYGYWINTIVGYWTLVLSLDPPLLTDAHDPASVYELFSVGFQRLLPLCFVLYVVWK SAVKRTLENGSPIAKVILWYPTFWLGISNNVTFDRLPVDRLTTTDLKEQAGALTAVGS IAATILTCAVIQAYSLWKSGRFKKYFKIYICFIGGLIALGSLPGLNLRIHHYILGSIL VPGCATRGSSAYLFQGILVGLILSGVARWDFASIVETDTALLRGEAGASLKPPILDFN DDQNHSLSWHLNATDPVIDQIGNIDGFSLLLNDVEVYVGKNETVSIDVLRMENPALAQ MMDDALDASNGTIDLYLRVARASVRSPTNRGDYTNAGVLQWPNGMWQKPEPGVS YIL066C MYVIKRDGRKEPVQFDKITSRITRLSYGLDPNRIDAVKVTQRII SGVYSGVTTVELDNLAAETCAYMTTVHPDYATLAARIAISNLHKQTTKQFSKVIEDLH DWINPATGKHAPMISDEIYNIVMENKDTLNSAIVYDRDFQYTYFGFKTLERSYLLRLN GEVAERPQHLVMRVALGIHGSDIESVLKTYNLMSLRYFTHASPTLFNAGTPHPQMSSC FLIAMKDDSIEGIYDTLKECAMISKTAGGVGLHINNIRSTGSYIAGTNGTSNGLIPMI RVFNNTARYVDQGGNKRPGAFALFLEPWHADIFDFVDIRKTHGKEEIRARDLFPALWI PDLFMKRVQEDGPWTLFSPSAAPGLDDVWGDEFEELYTRYEREGRGKTIKAQKLWYAI LQAQTETGTPFMVYKDACNRKTNQQNLGTIKSSNLCCEIVEYSSPDETAVCNLASIAL PAFVEVSEDGKTASYNFERLHEIAKVITHNLNRVIDRNYYPVPEARNSNMKHRPIALG VQGLADTYMMLRLPFESEEAQTLNKQIFETIYHATLEASCELAQKEGKYSTFEGSPAS KGILQFDMWNAKPFGMWDWETLRKDIVKHGLRNSLTMAPMPTASTSQILGYNECFEPV TSNMYSRRVLSGEFQVVNPYLLRDLVDLGIWDDSMKQYLITQNGSIQGLPNVPQELKE LYKTVWEISQKTIINMAADRAIYIDQSHSLNLFLQAPSMGKITSMHFYGWKKGLKTGM YYLRTQAASAAIQFTIDQEVADQAATHIASVSELDRPVYVPKGTKFSEQKAASALTES SDNEKDASPVPSEQSSVSSAMSNVKLEDSVAPAVPTETIKEDSDEKKCDIYNEKVIAC TAPTPEACESCSG YIL065C MTKVDFWPTLKDAYEPLYPQQLEILRQQVVSEGGPTATIQSRFN YAWGLIKSTDVNDERLGVKILTDIYKEAESRRRECLYYLTIGCYKLGEYSMAKRYVDT LFEHERNNKQVGALKSMVEDKIQKETLKGVVVAGGVLAGAVAVASFFLRNKRR YIL064W MKRSEKKSMSSALKNGIMERTQPEKVVQMQGTADLSTSKLGTKK YWDELYALELENFRRNPQDTGDCWFSDSDAEQKMIDFLVDNIGAYRISENASVVDLGT GNGHMLFELHQTEFQGKLVGIDYSEESVKLASNIAEATGVDNFISFQQADIFSGDWKP GKYDIVLDKGTLDAISLSGMKINGKLDVVDVYAGVVERILKKDGIFLITSCNFTQDEL VKIIETDNLKMWKTIKYPVFQFGGVQGATICSVAFVKQN YIL063C MSETNGGNAARENSEVKQTAVENPIDKLDGTPKRPREKDQDEQA EETSDKSEAPNKNDEEKKEEGKKDQEPSHKKIKVDDGKTVESGIVEDDKKEDKFVFGA ASKFGTGFGVAKKDTKDGDATTSTESLPASDSKTKKPFAFGSGLSFGSGFNILKNKTE NNSESEKKATDVDKDKVHSGSEQLANASEDTKDKPKPLKLQKQEVKSGEESEECIYQV NAKLYQLSNIKEGWKERGVGIIKINKSKDDVEKTRIVMRSRGILKVILNIQLVKGFTV QKGFTGSLQSEKFIRLLAVDDNGDPAQYAIKTGKKETTDELYNIIVKSVPK YIL062C MEADWRRIDIDAFDPESGRLTAADLVPPYETTVTLQELQPRMNQ LRSLATSGDSLGAVQLLTTDPPYSADAPTKEQYFKSVLEALTQVRQADIGNVIKNLSD SQRDVLVKYLYKGMSVPQGQKQGGVLLAWLERITQVSGVTPIVHYISDRRTV YIL061C MNYNLSKYPDDVSRLFKPRPPLSYKRPTDYPYAKRQTNPNITGV ANLLSTSLKHYMEEFPEGSPNNHLQRYEDIKLSKIKNAQLLDRRLQNWNPNVDPHIKD TDPYRTIFIGRLPYDLDEIELQKYFVKFGEIEKIRIVKDKITQKSKGYAFIVFKDPIS SKMAFKEIGVHRGIQIKDRICIVDIERGRTVKYFKPRRLGGGLGGRGYSNRDSRLPGR FASASTSNPAERNYAPRLPRRETSSSAYSADRYGSSTLDARYRGNRPLLSAATPTAAV TSVYKSRNSRTRESQPAPKEAPDY YIL060W MMIIIFIELCRIADSLLWIPKSSRRTSSTFYIPNIIALLKMESQ QLSQNSPTLHIHTCGSKIGTLFLRFTKVAIGTSLIVGAGVAMEVSVPLPPQPLYSRSE VPSVELCGIVAICRSPPSVYPTCRPISLSKKIVSGLVRTNSS YIL057C MTKKDKKAKGPKMSTITTKSGESLKVFEDLHDFETYLKGETEDQ EFDHVHCQLKYYPPFVLHDAHDDPEKIKETANSHSKKFVRHLHQHVEKHLLKDIKTAI NKPELKFHDKKKQESFDRIVWNYGEETELNAKKFKVSVEVVCKHDGAMVDVDYKTEPL QPLI YIL056W MNGPPTFTQYRINKFSGNGATHKIRELLNFNDEKKWKQFSSRRL ELIDKFQLSQYKASEQDQNIKQIATILRTEFGYPVSCSKEFEKLVTAAVQSVRRNRKR SKKRYALSIANGSGGNVNNSISSNSTSDDEISPSIYQRSNSDFLPSSNYAADFQFSNK FQPLMSHQSHNGTIFPTVGTQNDSSPSVTSTQQKYNDIVTMLVHDLVTNVVPLSEQAL KDPYTGPNLSHFATSSLSQQPNITTNIPIDSTVPFFLREKLLLQIQRSRTCQDISQAA GSIDIYANLEILGEMSIRMSIAFVIERFFSNLVSSSMKYITAKTCSPENLALLSQRLF GAATRHNLSHFPAAQVQLRLLYLVIGGIVKDFGFDPTLYPLSEIIHHIVMVQYPLASS CASEPPSSSPNKRVKRSPPVVSSDVMLNNNNTLSNRATLLTTLPMKPQSANKDVNRRV IIRFNDREQAFTFHQLSNGPPTVSEVLENCKNLFNIINKNKNFGIFHNDNLLNDESLA KLFDSFSTSEIHLVIKDISTIPLQDAKIPVPITLPKMSCIGENPSMPSIPLVPQEKDD PKKSSLTAFDNILNRISKSPMNEENSNTTLNTGTSTSNTNNNDHNESVPAPYVTKNKN SFQNGNLPQPVFQPLL YIL055C MTLEPHYSAKSAASASAFVARSATESSTASTKAAPRKKTYSQSN GIPIRIDNLPPGKTWAQVKYLIGGIIYHTNILQVKMLPPMTSMVPPFITFQSCIVILK NSIDNESLENLLLTLNTYQWDYHDLFVYLLPYTNDSPSLRYPEISDSNNDVRSAPDET KRSISPRYASHVSSVTPQPPSASTPPSQFFSFSPEVSLRKNENITPLPTPVPVPVGVP PLAPPPHGPFSTSMLPMLGAPPGTVPNMQMPYQTTLPSPTAAATAGGPLASPTHYPRR RHFYHQNQSQFQKYMHNSPRNPDTGTGPRLSQQHHLSLRNNKINPSYNEISALYNLNM ASNSNNNGNIPTTSTNGDDRALQAKNGGTITPSQTQINHKRLKHIFNEKSFRKQMTNR GMWQLKIINFPPYIPIEFLEKLSESDFNELMNQEKFTVIEIKEKGQLEKFGRLRWTVL KDFIKLKCPKLLRLQERQFLQQQNEASLLNESMDALKISENENTNGSANNSTYTNGGP RTSINNTREFYVGVYEDHEEATLLRFELPEDELEEFNRNLPTTFAQSGNVSDSEGDSK AKYFKVSTIVYNAIVGFHDKELSDLTFESLQDQEYSLGYKIHVMELPPFDEDEFENQR QQF YIL054W MAPKAFFVCLPWVLPRHALIVRQAGNPYHFLAYTNPRAPGKLQD SHCPVFFMGIIIITIITVTLAIIIINIIFLTLFDDGMCFYCSLLTFSFVSFNFDHFDH FDL YIL053W MPLTTKPLSLKINAALFDVDGTIIISQPAIAAFWRDFGKDKPYF DAEHVIHISHGWRTYDAIAKFAPDFADEEYVNKLEGEIPEKYGEHSIEVPGAVKLCNA LNALPKEKWAVATSGTRDMAKKWFDILKIKRPEYFITANDVKQGKPHPEPYLKGRNGL GFPINEQDPSKSKVVVFEDAPAGIAAGKAAGCKIVGIATTFDLDFLKEKGCDIIVKNH ESIRVGEYNAETDEVELIFDDYLYAKDDLLKW YIL052C MAQRVTFRRRNPYNTRSNKIKVVKTPGGILRAQHVKKLATRPKC GDCGSALQGISTLRPRQYATVSKTHKTVSRAYGGSRCANCVKERIVRAFLIEEQKIVK KVVKEQTEAAKKSEKKSKK YIL051C MFLRNSVLRTAPVLRRGITTLTPVSTKLAPPAAASYSQAMKANN FVYVSGQIPYTPDNKPVQGSISEKAEQVFQNVKNILAESNSSLDNIVKVNVFLADMKN FAEFNSVYAKHFHTHKPARSCVGVASLPLNVDLEMEVIAVEKN YIL050W MELSSPSKKTTTSPINIPGGNRDNLIIGPHSHSFKTDPFSSNNS SLLSKISTNPSLESPFSSKSLLDCSPVQAVKKSLESEAKTHSLDEETNEQTDVKILNI ADFPTDELILMISALLNRIITANDETTDVSQQVSDETEDELLTPILAFYGKNVPEIAV VQYLERIQKYCPTTNDIFLSLLVYFDRISKNYGHSSERNGCAKQLFVMDSGNIHRLLI TGVTICTKFLSDFFYSNSRYAKVGGISLQELNHLELQFLILCDFKLLVSVEEMQKYAN LLYKFWNDQ YIL049W MYFDEEQLLKYTIYAYRLSFFVGICSLFIAKSCLPEFLQYGKTY RPKENSKYSSILERIKKFTVPKAYFSHFYYLATFLSLVTLYFYPKFPIVWIIFGHSLR RLYETLYVLHYTSNSRMNWSHYLVGIWFYSVLLLILNISLYKNSIPNTLNMNAFIIFC IASWDQYKNHVILANLVKYSLPTGRLFRLVCCPHYLDEIIIYSTLLPYEQEFYLTLVW VITSLTISALETKNYYRHKFKDNHVAPYAIIPFII YIL048W MPNPPSFKSHKQNLFNSNNNQHANSVDSFDLHLDDSFDAALDSL QINNNPEPLSKHNTVGDRESFEMRTVDDLDNFSNHSSDSHRKSSNTDTHPLMYDNRLS QDDNFKFTNIASSPPSSSNNIFSKALSYLKVSNTKNWSKFGSPIELSDQHIEREIHPD TTPVYDRNRYVSNELSNAKYNAVTFVPTLLYEQFKFFYNLYFLVVALSQAVPALRIGY LSSYIVPLAFVLTVTMAKEAIDDIQRRRRDRESNNELYHVITRNRSIPSKDLKVGDLI KVHKGDRIPADLVLLQSSEPSGESFIKTDQLDGETDWKLRVACPLTQNLSENDLINRI SITASAPEKSIHKFLGKVTYKDSTSNPLSVDNTLWANTVLASSGFCIACVVYTGRDTR QAMNTTTAKVKTGLLELEINSISKILCACVFALSILLVAFAGFHNDDWYIDILRYLIL FSTIIPVSLRVNLDLAKSVYAHQIEHDKTIPETIVRTSTIPEDLGRIEYLLSDKTGTL TQNDMQLKKIHLGTVSYTSETLDIVSDYVQSLVSSKNDSLNNSKVALSTTRKDMSFRV RDMILTLAICHNVTPTFEDDELTYQAASPDEIAIVKFTESVGLSLFKRDRHSISLLHE HSGKTLNYEILQVFPFNSDSKRMGIIVRDEQLDEYWFMQKGADTVMSKIVESNDWLEE ETGNMAREGLRTLVIGRKKLNKKIYEQFQKEYNDASLSMLNRDQQMSQVITKYLEHDL ELLGLTGVEDKLQKDVKSSIELLRNAGIKIWMLTGDKVETARCVSISAKLISRGQYVH TITKVTRPEGAFNQLEYLKINRNACLLIDGESLGMFLKHYEQEFFDVVVHLPTVIACR CTPQQKADVALVIRKMTGKRVCCIGDGGNDVSMIQCADVGVGIVGKEGKQASLAADFS ITQFCHLTELLLWHGRNSYKRSAKLAQFVMHRGLIIAICQAVYSICSLFEPIALYQGW LMVGYATCYTMAPVFSLTLDHDIEESLTKIYPELYKELTEGKSLSYKTFFVWVLLSLF QGSVIQLFSQAFTSLLDTDFTRMVAISFTALVVNELIMVALEIYTWNKTMLVTEIATL LFYIVSVPFLGDYFDLGYMTTVNYYAGLLVILLISIFPVWTAKAIYRRLHPPSYAKVQ EFATP YIL047C MKFADHLTESAIPEWRDKYIDYKVGKKKLRRYKEKLDAEEEQSS SYRSWMPSVSVYQTAFQQREPGKSRSDGDYRSGPAFKKDYSALQREFVADFIEDWLIS FQLSKCNEFYLWLLKECDKKFEVLQSQLHYYSLQKNYERDNLNRSSSNVDMSTSLYAA GLAGRSDSRVNSIDSDSRSVMYGSMPCTKEAKKPRLSLLAYCQKVLKDNRLLPSWPKR GFSLLQDLRQDASSRGRETFAFGASFLETMTTTQARNLLSNAIIEYYLYLQLVKSFRD INVTGFRKMVKKFDKTCHTRELTTFMSYARTHYTLFKHADANVQLVAQKMQQITSSQP TPTSELSSAQRDKEPITWLETQITEWFTTALTNSPKDRKHNTHKLKKLTIQYSISEQM VHRNNRSIVQMLVVGLGIGVSMTLITYTLYLGISSEETSFTHKILFPLWGGWYMVLLI AFLFLVNCFIWHRTGINYRFIMLGEIQSKNGTQFFNNDFATSKIPLKLYFLTFFIVPC AVCSMLSFALEKLTPLGFLYIGIVSFLFLCPSGLIPYWDKVVHTRKWLVVTLIRLMMS GFFPVEFGDFFLGDIICSLTYSIADIAMFFCVYSHTPNNLCGSSHSRAMGVLSCLPSY WRFMQCLRRFADSGDWFPHLLNAAKYTLGIAYNATLCAYRLSDRSEQRRTPFIVCATL NSILTSAWDLVMDWSFAHNTTSYNWLLRDDLYLAGKKNWENGSYSFSRKLVYYFAMIW DILIRFEWIVYAIAPQTIQQSAVTSFILALLEVLRRFVWIIFRVENEHVANVHLFRVT GDAPLPYPIAQVGDDSMDSSDLGSKAFSSLNDIPITPSHDNNPHSFAEPMPAYRGTFR RRSSVFENISRSIPWAHATDFQRPTVNTVDDRSPETDSESEVESIM YIL046W-A MMCVCIPKKKLMDWRVYYIYSYVVCLYMCGSDCACICVLACVVQ CVCFNVEMRL YIL046W MRRERQRMMSFEDKDKDDLDNSNSNNSSEMTDTAMMPPLKRLLI TGSSDDLAQGSSGKKKMTMATRSPSSSPDLATNDSGTRVQPLPEYNFTKFCYRHNPDI QFSPTHTACYKQDLKRTQEINANIAKLPLQEQSDIHHIISKYSNSNDKIRKLILDGIL STSCFPQLSYISSLVTHMIKIDFISILPQELSLKILSYLDCQSLCNATRVCRKWQKLA DDDRVWYHMCEQHIDRKCPNCGWGLPLLHMKRARIQQNSTGSSSNADIQTQTTRPWKV IYRERFKVESNWRKGHCRIQEFKGHMDGVLTLQFNYRLLFTGSYDSTIGIWDLFTGKL IRRLSGHSDGVKTLYFDDRKLITGSLDKTIRVWNYITGECISTYRGHSDSVLSVDSYQ KVIVSGSADKTVKVWHVESRTCYTLRGHTEWVNCVKLHPKSFSCFSCSDDTTIRMWDI RTNSCLKVFRGHVGQVQKIIPLTIKDVENLATDNTSDGSSPQDDPTMTDGADESDTPS NEQETVLDENIPYPTHLLSCGLDNTIKLWDVKTGKCIRTQFGHVEGVWDIAADNFRII SGSHDGSIKVWDLQSGKCMHTFNGRRLQRETQHTQTQSLGDKVAPIACVCIGDSECFS GDEFGCVKMYKFDLND YIL045W MATTTQPQNILMDEPLNLPNNSAHNNNYGNINANIRTFAGMSMH MHPARLNSLEFLHKPRRLSNVKLHRLPQDELQRNTDMNKGMYFNGKQVHAHHPFINSG ANFNAHHQDVSKLGEEEDEISPLSHDNFQYESEENGNPSPPIYKKSGELVKSSLKRRS KSLPITPKSIFNKTGSKSKHVNLDHVDTRLLQRSKSVHFDRVLPIKLFNENEKPIDVG KQMVQQDVLNFKHKPLTRLSALNGGSDSVPIEDLLSENNQNEYGDTWLQNPKGVFLFG TNSNNRRNKKKKFKLSDDDSDIENDNDSDDAINRLVRQQDKDQAHLAHGLKNLLINDD DDYLETRTNSAKSGANLFIGNSKRIVGLYNKNFPILSDRNRKSLKLNIFLNLSRGRPV FLQEITLLTGFHNMVIIGKVFVKNIYFDKKIIVRYTWDAWRTFHESECVYFSNANGIL PGSNMDIFKFSIDDIHNPNDKDSNISQLEFCIQYLTWGVDRSRKEYWDNNDSANYKID VVTNETRTGPTTDVNDNYEMKHSLFRNPFH YIL044C MSTSVPVKKALSALLRDPGNSHCADCKAQLHPRWASWSLGVFIC IKCAGIHRSLGTHISKVKSVDLDTWKEEHLVKLIQFKNNLRANSYYEATLADELKQRK ITDTSSLQNFIKNKYEYKKWIGDLSSIEGLNDSTEPVLHKPSANHSLPASNARLDQSS NSLQKTQTQPPSHLLSTSRSNTSLLNLQVSSLSKTTSNTSVTSSATSIGAANTKTGNR VGEFGQRNDLKKSILSLYSKPSAQTQSQNSFFTSTTPQPCNTPSPFVNTGITATNNNS MNSNSSSNISLDDNELFKNVWS YIL043C MAIDAQKLVVVIVIVVVPLLFKFIIGPKTKPVLDPKRNDFQSFP LVEKTILTHNTSMYKFGLPHADDVLGLPIGQHIVIKANINGKDITRSYTPTSLDGDTK GNFELLVKSYPTGNVSKMIGELKIGDSIQIKGPRGNYHYERNCRSHLGMIAGGTGIAP MYQIMKAIAMDPHDTTKVSLVFGNVHEEDILLKKELEALVAMKPSQFKIVYYLDSPDR EDWTGGVGYITKDVIKEHLPAATMDNVQILICGPPAMVASVRRSTVDLGFRRSKPLSK MEDQVFVF YIL042C MWKIMRSWKCGGMRWAHRQRPSHELLSQLSFDQHYKIRSNIELL IQDYASKPIAPLNYEYFLQYRPPLTKKEEYMLTIKTINLLLSLTCKRLNAIQRLPYNA VINPHIERTNSLYLKSLQTLLSIAYPYELHNPPKIQAKFTELLDDHEDAIVVLAKGLQ EIQSCYPKFQISQFLNFHLKERITMKLLVTHYLSLMAQNKGDTNKRMIGILHRDLPIA QLIKHVSDYVNDICFVKFNTQRTPVLIHPPSQDITFTCIPPILEYIMTEVFKNAFEAQ IALGKEHMPIEINLLKPDDDELYLRIRDHGGGITPEVEALMFNYSYSTHTQQSADSES TDLPGEQINNVSGMGFGLPMCKTYLELFGGKIDVQSLLGWGTDVYIKLKGPSKTALLS KK YIL041W MSFNAFASSLSKKLQEISTSVSEKTQELPSLAQSTQRMVQERLG QVTDISQLPREYTELEDKVDTIKLIYNHFLGVTAIYENGSYDYPKYINESVNEFSRSV ASKLTELTHATSASEAQNILVAPGPIKEPKTLNYALSKVALNSSECLNKMFPTEEQPL ASALLQFSDVQAKIAQARIQQDTLIQTKFNKNLRERLSFEIGKADKCRKDVHSMRLRY DVARTNLANNKKPEKEASLRVQMETLEDQFAQVTEDATVCLQEVISHANFSEDLKELA KAQAEYFETSAGLMKEFLSNSFAEEPEAKPEVAEEEKPQTAISMNDEDDA YIL040W MDATQPQYELSVVTQCLKSAIDVIQWLIPTITKFSQSHPLVFQL LFIFFTFYVFYKLLMNFITLVKRFLYLTLVVTCIGIYMRGSQQFLTVDLLNFYNFVMS NRYYAFKIYTLFINALEREINTVYHLAQMKMEQLLK YIL039W MLRCAVKKFAYFATFLTIVANIYIYTYPSFHPEQCSWNCSNKNA PLQKDLTFVDKVKNYFSDVREQWHGSHASAGNDEDIHILAFGDPQIKGIWPKTPYVSR LDTYGNDYYLGHIYDMMQQRLKPQVVTVMGDLFSSQWIGDSEFHNRTKRYISRIFKRD PTSIENIKQQNLDEKGQYKANWPEWGDRFNEILDNVKENEADNQELSFGFGYENIHSW NPDLEDFLIINITGNHDVGYSGDATYQHMTRFHDLFGKDNYWIEYETNTTHPWRIVVL NDLLLEGPALQPEFVEATWIFLNQLNERKFNGSTVLLTHVPFYKREGLCVDGPDTRYY PDAHAPESYKSGLLRSQNHLSESVSNQVLNMIFENGKPGIILTGHDHEGCETVYNKKS TSTWEATKNIESDVFVKEITVKSMMGEFNGNTGLVTGHFNTDSMTWEWTFSLCPFAIQ HVWWFAKVSLLVTIFTWSSLLFV YIL038C MAHRKLQQEVDRVFKKINEGLEIFNSYYERHESCTNNPSQKDKL ESDLKREVKKLQRLREQIKSWQSSPDIKDKDSLLDYRRSVEIAMEKYKAVEKASKEKA YSNISLKKSETLDPQERERRDISEYLSQMIDELERQYDSLQVEIDKLLLLNKKKKTSS TTNDEKKEQYKRFQARYRWHQQQMELALRLLANEELDPQDVKNVQDDINYFVESNQDP DFVEDETIYDGLNLQSNEAIAHEVAQYFASQNAEDNNTSDANESLQDISKLSKKEQRK LEREAKKAAKLAAKNATGAAIPVAGPSSTPSPVIPVADASKETERSPSSSPIHNATKP EEAVKTSIKSPRSSADNLLPSLQKSPSSATPETPTNVHTHIHQTPNGITGATTLKPAT LPAKPAGELKWAVAASQAVEKDRKVTSASSTISNTSTKTPTTAAATTTSSNANSRIGS ALNTPKLSTSSLSLQPDNTGASSSAATAAAVLAAGAAAVHQNNQAFYRNMSSSHHPLV SLATNPKSEHEVATTVNQNGPENTTKKVMEQKEEESPEERNKLQVPTFGVFDDDFESD RDSETEPEEEEQPSTPKYLSLEQREAKTNEIKKEFVSDFETLLLPSGVQEFIMSSELY NSQIESKITYKRSRDMCEISRLVEVPQGVNPPSPLDAFRSTQQWDVMRCSLRDIIIGS ERLKEDSSSIYAKILENFRTLEMFSLFYNYYFAITPLEREIAYKILNERDWKVSKDGT MWFLRQGEVKFFNEICEVGDYKIFKLDDWTVIDKINFRLDYSFLQPPVDTASEVRDVS VDNNNVNDQSNVTLEQQKQEISHGKQLLKQLKQGKISV YIL037C MNNVHIIKPLSLPQRFFSCIFHPLLLIFFTSVILTIWGSFSVID ITMAKMSHAQVKRNDTVSTFASISTATATATTTATTTATMTAVTTQHAIYSANSYSLN KTFIDNTIDQYFESKLRSIESTVGTDMQEKFKSYTDDILDNKQKLINDQISLETELIK EVLEVNNTIFNELLTKSQLINDTWNEISEDAMTIDKDSISQMASNLLLNYSMFDSIFG NYSRKLKSLQNFNGTITDFSTQLDTSSTLSLNFLRNSTDWLQLKRNFTANLQNEISIL SGGSTEVTSSTSIIKRSLKTNSEENSVLSAVKNHVFRKCKRMTIIFTVMYFAFVILLM AIERILFQLENQQVNLVMSQINGLTGQTNFTKYNKVLKSLITTLNLSTLYPIPYQLTK LINQKIFKREPEKIDDKKVKKSKLFYCNWWIISNGAHLWLFGFLMLLIHWQIVTRLTN FEVPSLPTFHKRAGPSLYKREVWTDGNITTTIEGFINDSVSLLCENFQMEVNEKFITA NLSLQTDPNLKVQSTDILNLWVNDTNTQFEKYLNESSQNWQGIDLQVEPLLGSDSINE FLGQYFLPTYEVTNTNSSFALDIQKYGIINRGINITNASVAALSSLSKRQIKDKEQKQ TYFLHTVYKWGLLAVCLTILFHHMLIFIILKL YIL036W MFTGQEYHSVDSNSNKQKDNNKRGIDDTSKILNNKIPHSVSDTS AAATTTSTMNNSALSRSLDPTDINYSTNMAGVVDQIHDYTTSNRNSLTPQYSIAAGNV NSHDRVVKPSANSNYQQAAYLRQQQQQDQRQQSPSMKTEEESQLYGDILMNSGVVQDM HQNLATHTNLSQLSSTRKSAPNDSTTAPTNASNIANTASVNKQMYFMNMNMNNNPHAL NDPSILETLSPFFQPFGVDVAHLPMTNPPIFQSSLPGCDEPIRRRRISISNGQISQLG EDIETLENLHNTQPPPMPNFHNYNGLSQTRNVSNKPVFNQAVPVSSIPQYNAKKVINP TKDSALGDQSVIYSKSQQRNFVNAPSKNTPAESISDLEGMTTFAPTTGGENRGKSALR ESHSNPSFTPKSQGSHLNLAANTQGNPIPGTTAWKRARLLERNRIAASKCRQRKKVAQ LQLQKEFNEIKDENRILLKKLNYYEKLISKFKKFSKIHLREHEKLNKDSDNNVNGTNS SNKNESMTVDSLKIIEELLMIDSDVTEVDKDTGKIIAIKHEPYSQRFGSDTDDDDIDL KPVEGGKDPDNQSLPNSEKIK YIL035C MKCRVWSEARVYTNINKQRTEEYWDYENTVIDWSTNTKDYEIEN KVGRGKYSEVFQGVKLDSKVKIVIKMLKPVKKKKIKREIKILTDLSNEKVPPTTLPFQ KDQYYTNQKEDVLKFIRPYIFDQPHNGHANIIHLFDIIKDPISKTPALVFEYVDNVDF RILYPKLTDLEIRFYMFELLKALDYCHSMGIMHRDVKPHNVMIDHKNKKLRLIDWGLA EFYHVNMEYNVRVASRFFKGPELLVDYRMYDYSLDLWSFGTMLASMIFKREPFFHGTS NTDQLVKIVKVLGTSDFEKYLLKYEITLPREFYDMDQYIRKPWHRFINDGNKHLSGND EIIDLIDNLLRYDHQERLTAKEAMGHPWFAPIREQIEK YIL034C MSDAQFDAALDLLRRLNPTTLQENLNNLIELQPNLAQDLLSSVD VPLSTQKDSADSNREYLCCDYNRDIDSFRSPWSNTYYPELSPKDLQDSPFPSAPLRKL EILANDSFDVYRDLYYEGGISSVYLWDLNEEDFNGHDFAGVVLFKKNQSDHSNWDSIH VFEVTTSPSSPDSFNYRVTTTIILHLDKTKTDQNSHMMLSGNLTRQTEKDIAIDMSRP LDVIFTSHVANLGSLIEDIESQMRNLLETVYFEKTRDIFHQTKNAAIASSAEEANKDA QAEVIRGLQSL YIL033C MVSSLPKESQAELQLFQNEINAANPSDFLQFSANYFNKRLEQQR AFLKAREPEFKAKNIVLFPEPEESFSRPQSAQSQSRSRSSVMFKSPFVNEDPHSNVFK SGFNLDPHEQDTHQQAQEEQQHTREKTSTPPLPMHFNAQRRTSVSGETLQPNNFDDWT PDHYKEKSEQQLQRLEKSIRNNFLFNKLDSDSKRLVINCLEEKSVPKGATIIKQGDQG DYFYVVEKGTVDFYVNDNKVNSSGPGSSFGELALMYNSPRAATVVATSDCLLWALDRL TFRKILLGSSFKKRLMYDDLLKSMPVLKSLTTYDRAKLADALDTKIYQPGETIIREGD QGENFYLIEYGAVDVSKKGQGVINKLKDHDYFGEVALLNDLPRQATVTATKRTKVATL GKSGFQRLLGPAVDVLKLNDPTRH YIL031W MSARKRKFNSLKPLDTLNSSRASSPRSSASLPPKRYNTFRKDPK IVDHLNNASTKDFLPVLSMNSESKRQIELSDNDVDNNDEGEGVNSGCSDQDFEPLQSS PLKRHSSLKSTSNGLLFQMSNNLGNGSPEPAVASTSPNGSIISTKLNLNGQFSCVDSK TLRIYRHKAPCIMTFVSDHNHPKFSLYFQQSVIYNSQVNLLDDVELIILDKKNSFMAI ILKDLKKVKMILDVNNSSININTNILIWSTASSASNKKIKSIKRFLLMSYSSSIKVEI LDHKEQILERLKHLIHPISSSSPSLNMERAINSTKNAFDSLRLKKTKLSTNDDESPQI HTHFLSNKPHGLQSLTKRTRIASLGKKEHSISVPKSNISPSDFYNTNGTETLQSHAVS QLRRSNRFKDVSDPANSNSNSEFDDATTEFETPELFKPSLCYKFNDGSSYTITNQDFK CLFNKDWVNDSILDFFTKFYIESSIEKSIIKREQVHLMSSFFYTKLISNPADYYSNVK KWVNNTDLFSKKYVVIPINISYHWFSCIITNLDAILDFHQNKDKNDAINSDEISINNP LVNILTFDSLRQTHSREIDPIKEFLISYALDKYSIQLDKTQIKMKTCPVPQQPNMSDC GVHVILNIRKFFENPVETIDVWKNSKIKSKHFTAKMINKYFDKNERNSARKNLRHTLK LLQLNYISYLKKENLYEEVMQMEEKKSTNINNNENYDDDDEEIQIIENIDQSSKDNNA QLTSEPPCSRSSSISTTEREPTELHNSVVRQPTGEIITDNEDPVRAASPETASVSPPI RHNILKSSSPFISESANETEQEEFTSPYFGRPSLKTRAKQFEGVSSPIKNDQALSSTH DIMMPSPKPKRIYPSKKIPQLSSHVQSLSTDSMERQSSPNNTNIVISDTEQDSRLGVN SESKNTSGIVNRDDSDVNLIGSSLPNVAEKNHDNTQESNGNNDSLGKILQNVDKELNE KLVDIDDVAFSSPTRGIPRTSATSKGSNAQLLSNYGDENNQSQDSVWDEGRDNPILLE DEDP YIL030C MDVDSDVNVSRLRDELHKVANEETDTATFNDDAPSGATCRICRG EATEDNPLFHPCKCRGSIKYMHESCLLEWVASKNIDISKPGADVKCDICHYPIQFKTI YAENMPEKIPFSLLLSKSILTFFEKARLALTIGLAAVLYIIGVPLVWNMFGKLYTMML DGSSPYPGDFLKSLIYGYDQSATPELTTRAIFYQLLQNHSFTSLQFIMIVILHIALYF QYDMIVREDVFSKMVFHKIGPRLSPKDLKSRLKERFPMMDDRMVEYLAREMRAHDENR QEQGHDRLNMPAAAADNNNNVINPRNDNVPPQDPNDHRNFENLRHVDELDHDEATEEH ENNDSDNSLPSGDDSSRILPGSSSDNEEDEEAEGQQQQQQPEEEADYRDHIEPNPIDM WANRRAQNEFDDLIAAQQNAINRPNAPVFIPPPAQNRAGNVDQDEQDFGAAVGVPPAQ ANPDDQGQGPLVINLKLKLLNVIAYFIIAVVFTAIYLAISYLFPTFIGFGLLKIYFGI FKVILRGLCHLYYLSGAHIAYNGLTKLVPKVDVAMSWISDHLIHDIIYLYNGYTENTM KHSIFIRALPALTTYLTSVSIVCASSNLVSRGYGRENGMSNPTRRLIFQILFALKCTF KVFTLFFIELAGFPILAGVMLDFSLFCPILASNSRMLWVPSICAIWPPFSLFVYWTIG TLYMYWFAKYIGMIRKNIIRPGVLFFIRSPEDPNIKILHDSLIHPMSIQLSRLCLSMF IYAIFIVLGFGFHTRIFFPFMLKSNLLSVPEAYKPTSIISWKFNTILLTLYFTKRILE SSSYVKPLLERYWKTIFKLCSRKLRLSSFILGKDTPTERGHIVYRNLFYKYIAAKNAE WSNQELFTKPKTLEQAEELFGQVRDVHAYFVPDGVLMRVPSSDIVSRNYVQTMFVPVT KDDKLLKPLDLERIKERNKRAAGEFGYLDEQNTEYDQYYIVYVPPDFRLRYMTLLGLV WLFASILMLGVTFISQALINFVCSFGFLPVVKLLLGERNKVYVAWKELSDISYSYLNI YYVCVGSVCLSKIAKDILHFTEGQNTLDEHAVDENEVEEVEHDIPERDINNAPVNNIN NVEEGQGIFMAIFNSIFDSMLVKYNLMVFIAIMIAVIRTMVSWVVLTDGILACYNYLT IRVFGNSSYTIGNSKWFKYDESLLFVVWIISSMVNFGTGYKSLKLFFRNRNTSKLNFL KTMALELFKQGFLHMVIYVLPIIILSLVFLRDVSTKQIIDISHGSRSFTLSLNESFPT WTRMQDIYFGLLIALESFTFFFQATVLFIQWFKSTVQNVKDEVYTKGRALENLPDES YIL029C MRLIFIAKMLQYSFLPFSPFNLLNFDNSISVSWFITYSVIVSIW GFAVWIEGAYRNKINLQLPRCTKIKCSRYNTRIKSPKWFNCKNWMHFFLLYLFLTASN LIVQLAYFSKEMCSQGINVPGTKKPGNRVYLSVIILMGNG YIL027C MSFVSKLLYTVSALVLFHSGFSSYEFHHLLKLNSLNNAQGAISK LPKDIMYETYAGLILFVLAVFTSFEKLQYLPIESNDGKIISQGNYLKEIALNKATNVD NLIGSNPNGEIIFTPSFVDVHMKRKICREWASNTVKKEK YIL026C MTAVRRSTRIRTKSQVIEEDYDDEQNTSAQHVESDKITAKTQHE EEEEQDTGESEESSSEDDYEDQDDDDYVDTATAKRKSRKRKPKSASNTSSKRQKKKPT SAQKSAVSHAPAYHRSKKDQDQYLEIAKDFQPTELFDILSTSEDVSIEELLREWLETY SENRDKFLQEFINLLLNCCGSVARVEDHDVHSNESSNETIGEIQLLFQRQKLHEFYLL ISKENKKRKNFKMGPLYQNFAEFMTKLLEVANDLQLLYVESDEDDTQIVTGNLVLDLL TWLSSFSVCKIRCFRYISTLTLYLFQDYLTQQAVNLEKNYLAKLSKQLSLEEKKKRPN NKTLEKLESTIAETQGSKVVIDSIIDNIVKLCFVHRYKDVSDLIRSESMLHLSIWIKN YPEYFLKVTFLKYFGWLLSDNSVSVRLQVTKILPHLIIQNHNSKSTDNSAIRQVFERF KTKILEVAIRDVNLDVRIHSIQVLTEASSLGYLDDSEILIISSLMFDEEFDPFKTSSF NKRSKFLSTVAKFLARVIKEKFDEFIKTHEDLPKEVDGLEVGPVVQVGIFIKILNDSL IYHLKDCAEVDSRTKIRMLTQAAEFLSPYISTHLKTICNLLISDTESNELIQKLQNSA NNNSDDEDVDDEELDITPLFPIDRNSTILYLNVFHGLCAGANNPKIQTKDSVKEIVLP LFYDLLNAASIESADILCPLLESFITFSLDDWISIGYETELKKITDKTIKAFMDSTIG NSKVDMKYDIFAKFIHHIHHFEKKELQEKFLNQIATLKIHLKKFLQEKMDPNNSRDDY KDLTCSLYELYINKLTILGRDYPIEVDEELLQLFLNNFVSRIPIMFQDFDDSTAQEIN FKMLVLLATWNLEKWREIIEKVRDYENSISKDLRSVWKPIAAIIGRLNTLVISLAATN ETFENINSLFYLKWSACTSLMDIIVAIKIFELKLPADATTWRYSMSEQFPFYLHDNAS KVLLKIFLYLESLFAKQVDVQLERVADEDANLNDLPETGFFENIETEFLLFTVKLKGL MKLNILDERFASRVALNKEKLGPLFKKIVDDTIMENPEPNKKNIQKAKSNQTQREKAP LQPNSERETDHANTENNDPDIPMTIDLEPIEESSQNNSELAPIEEHPTVVDAIDNSDE ITQD YIL024C MSNFLLVIPEDVIKGCSKADKLVVTGEFDNWRHSDYVLQYDGST QNYRVQIPRRKGQRSTMFKVVINDKKWVTLNYFDTVTDKSGYTNNILHFKDNEASQLM DIPLSPHTRSNTAKGKPEDDSLNDYVNLSSHSDLSSTEEIVCWNSDMEDENMDATIQC DFHQAFNSRKESLNGLMCIAKKVKTYWNK YIL023C MKASHICSYLLSIAPLVVSHGVHHNRDHGHEANHESKQSFLILK QESIFYSLVCFLQNHLFVLGPRYNAIVAILIIQLMPCLFVLFVPGLRKNDRASLTLSL LVSFSLGTLLGDILLHVIPESLSGVTDVTMVGGAIFLGFISFLTLDKTMRILSGTSND DGSIHSHSHSHTPQQTAEKKAGFNMSAYLNVISGIAHHITDGIALATSFYSSTQVGIM TSIAVTFHEIPHELGDFAILLSSGFTFPQAIRAQAVTAFGAVVGTSIGCWMNEIGNNS HKATSSSANASELMLPFTAGGLIYIATTSVVPQILHSSAPDSKLREFKKWALQLVFIF VGFAVMALMDEH YIL022W MHRSTFIRTSGTSSRTLTARYRSQYTGLLVARVLFSTSTTRAQG GNPRSPLQIFRDTFKKEWEKSQELQENIKTLQDASGKLGESEAYKKAREAYLKAQRGS TIVGKTLKKTGETMEHIATKAWESELGKNTRKAAAATAKKLDESFEPVRQTKIYKEVS EVIDDGESSRYGGFITKEQRRLKRERDLASGKRHRAVKSNEDAGTAVVATNIESKESF GKKVEDFKEKTVVGRSIQSLKNKLWDESENPLIVVMRKITNKVGGFFAETESSRVYSQ FKLMDPTFSNESFTRHLREYIVPEILEAYVKGDVKVLKKWFSEAPFNVYAAQQKIFKE QDVYADGRILDIRGVEIVSAKLLAPQDIPVLVVGCRAQEINLYRKKKTGEIAAGDEAN ILMSSYAMVFTRDPEQIDDDETEGWKILEFVRGGSRQFT YIL021W MSEEGPQVKIREASKDNVDFILSNVDLAMANSLRRVMIAEIPTL AIDSVEVETNTTVLADEFIAHRLGLIPLQSMDIEQLEYSRDCFCEDHCDKCSVVLTLQ AFGESESTTNVYSKDLVIVSNLMGRNIGHPIIQDKEGNGVLICKLRKGQELKLTCVAK KGIAKEHAKWGPAAAIEFEYDPWNKLKHTDYWYEQDSAKEWPQSKNCEYEDPPNEGDP FDYKAQADTFYMNVESVGSIPVDQVVVRGIDTLQKKVASILLALTQMDQDKVNFASGD NNTASNMLGSNEDVMMTGAEQDPYSNASQMGNTGSGGYDNAW YIL020C MTKFIGCIDLHNGEVKQIVGGTLTSKKEDVPKTNFVSQHPSSYY AKLYKDRDVQGCHVIKLGPNNDDAAREALQESPQFLQVGGGINDTNCLEWLKWASKVI VTSWLFTKEGHFQLKRLERLTELCGKDRIVVDLSCRKTQDGRWIVAMNKWQTLTDLEL NADTFRELRKYTNEFLIHAADVEGLCGGIDELLVSKLFEWTKDYDDLKIVYAGGAKSV DDLKLVDELSHGKVDLTFGSSLDIFGGNLVKFEDCCRWNEKQG YIL019W MTLDDDDYIKQMELQRKAFESQFGSLESMGFEDKTKNIRTEVDT RDSSGDEIDNSDHGSDFKDGTIESSNSSDEDSGNETAEENNQDSKPKTQPKVIRFNGP SDVYVPPSKKTQKLLRSGKTLTQINKKLESTEAKEEKEDETLEAENLQNDLELQQFLR ESHLLSAFNNGGSGSTNSGVSLTLQSMGGGNDDGIVYQDDQVIGKARSRTLEMRLNRL SRVNGHQDKINKLEKVPMHIRRGMIDKHVKRIKKYEQEAAEGGIVLSKVKKGQFRKIE STYKKDIERRIGGSIKARDKEKATKRERGLKISSVGRSTRNGLIVSKRDIARISGGER SGKFNGKKKSRR YIL018W MGRVIRNQRKGAGSIFTSHTRLRQGAAKLRTLDYAERHGYIRGI VKQIVHDSGRGAPLAKVVFRDPYKYRLREEIFIANEGVHTGQFIYAGKKASLNVGNVL PLGSVPEGTIVSNVEEKPGDRGALARASGNYVIIIGHNPDENKTRVRLPSGAKKVISS DARGVIGVIAGGGRVDKPLLKAGRAFHKYRLKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRGAVSGQKAGLIAARRTGLLRGSQKTQD YIL017C MTVAYSLENLKKISNSLVGDQLAKVDYFLAPKCQIFQCLLSIEQ SDGVELKNAKLDLLYTLLHLEPQQRDIVGTYYFDIVSAIYKSMSLASSFTKNNSSTNY KYIKLLNLCAGVYPNCGFPDLQYLQNGFIQLVNHKFLRSKCKIDEVVTIIELLKLFLL VDEKNCSDFNKSKFMEEEREVTETSHYQDFKMAESLEHIIVKISSKYLDQISLKYIVR LKVSRPASPSSVKNDPFDNKGVDCTRAIPKKINISNMYDSSLLSLALLLYLRYHYMIP GDRKLRNDATFKMFVLGLLKSNDVNIRCVALKFLLQPYFTEDKKWEDTRTLEKILPYL VKSFNYDPLPWWFDPFDMLDSLIVLYNEITPMNNPVLTTLAHTNVIFCILSRFAQCLS LPQHNEATLKTTTKFIKICASFAASDEKYRLLLLNDTLLLNHLEYGLESHITLIQDFI SLKDEIKETTTESHSMCLPPIYDHDFVAAWLLLLKSFSRSVSALRTTLKRNKIAQLLL QILSKTYTLTKECYFAGQDFMKPEIMIMGITLGSICNFVVEFSNLQSFMLRNGIIDII EKMLTDPLFNSKKAWDDNEDERRIALQGIPVHEVKANSLWVLRHLMYNCQNEEKFQLL AKIPMNLILDFINDPCWAVQAQCFQLLRNLTCNSRKIVNILLEKFKDVEYKIDPQTGN KISIGSTYLFEFLAKKMRLLNPLDTQQKKAMEGILYIIVNLAAVNENKKQLVIEQDEI LNIMSEILVETTTDSSSNGNDSNLKLACLWVLNNLLWNSSVSHYTQYAIENGLEPGHS PSDSENPQSTVTIGYNESVAGGYSRGKYYDEPDGDDSSSNANDDEDDDNDEGDDEGDE FVRTPAAKGSTSNVQVTRATVERCRKLVEVGLYDLVRKNITDESLSVREKARTLLYHM DLLLKVK YIL016W MSHNAMEHWKSKLSKTSTSTYVLLAVIAVVFLVTIRRPNGSKGK SSKKRASKKNKKGKNQFEKAPVPLTLEEQIDNVSLRYGNELEGRSKDLINRFDVEDEK DIYERNYCNEMLLKLLIELDSIDLINVDESLRRPLKEKRKGVIKEIQAMLKSLDSLK YIL015W MSAINHLCLKLILASFAIINTITALTNDGTGHLEFLLQHEEEMY YATTLDIGTPSQSLTVLFDTGSADFWVMDSSNPFCLPNSNTSSYSNATYNGEEVKPSI DCRSMSTYNEHRSSTYQYLENGRFYITYADGTFADGSWGTETVSINGIDIPNIQFGVA KYATTPVSGVLGIGFPRRESVKGYEGAPNEYYPNFPQILKSEKIIDVVAYSLFLNSPD SGTGSIVFGAIDESKFSGDLFTFPMVNEYPTIVDAPATLAMTIQGLGAQNKSSCEHET FTTTKYPVLLDSGTSLLNAPKVIADKMASFVNASYSEEEGIYILDCPVSVGDVEYNFD FGDLQISVPLSSLILSPETEGSYCGFAVQPTNDSMVLGDVFLSSAYVVFDLDNYKISL AQANWNASEVSKKLVNIQTDGSISGAKIATAEPWSTNEPFTVTSDIYSSTGCKSRPFL QSSTASSLIAETNVQSRNCSTKMPGTRSTTVLSKPTQNSAMHQSTGAVTQTSNETKLE LSSTMANSGSVSLPTSNSIDKEFEHSKSQTTSDPSVAEHSTFNQTFVHETKYRPTHKT VITETVTKYSTVLINVCKPTY YIL014C-A MDIDMNYPSITTLMSNESANLLIIWGNATPDISYLSYTTNPMLG DYVLNVSAINGCTEELIATHLVPTLENATQWVYDAGEYWDNYSFTDESTPLPGLSWPF NE YIL014W MLKSLKSRRLILKRLVTLLLSLFFSYLIFSASRNVTSSNKLNNH ASERTAVESSAFNWIEKRQHQVRSENLMNRLSAYFLPFLSRSSHKERVLLRQLGNNEI AKSDKCRYIFEVLYKIDPDWDNAQTAKFYNVDGVDNTLASLLGERLRSYDYCFLSGQL DPTAIFANSTVNPHDLQNRMFPFLKKINEESKTVMWPIITDMTTGEAVPAPEVDMESS NFNGNFWSNWNRLSKGRGFVLTIAEKDVPLFLKQLKVMEFSKNELPFQIVSTGNELSA ESIAKISETAKETEQRVYLVDCSTVLDTNFANTYISFFQNKWVATLFNTFEEYILLDA DVVPFVGSDYFFDSPSYRESGILLFKDRVMENEQTFQYCIEMLNEVEPSAQERRFIGS RLVFDSSLPFSSETSEEASVYYNFFKKLRLHHVDSGLVVVNKLEKLNGLLMSFMLNLD GKLQRCVYGDKEIFWLGQLYAGQDYSINPVDGSIIGPVNEEPENDDGHKSGMYYICST QIAHSDSKNRLLWVNGGLKTCKISNSAEDDFGREPEYFKSRYGDISKLKRIYDASLNV EGLIVPDVSVHPWMQIKECSNYMYCAYATGDGHTNSELDEGRLITFTEKELRYINDIS RTWNAN YIL013C MSLSKYFNPIPDASVTFDGATVQLEESLGAVQNDEESASEFKNV GHLEISDITFRANEGEVVLVLGNPTSALFKGLFHGHKHLKYSPEGSIRFKDNEYKQFA SKCPHQIIYNNEQDIHFPYLTVEQTIDFALSCKFHIPKQERIEMRDELLKEFGLSHVK KTYVGNDYVRGVSGGERKRISIIETFIANGSVYLWDNSTKGLDSATALEFLSITQKMA KATRSVNFVKISQASDKIVSKFDKILMLGDSFQVFYGTMEECLTHFHDTLQIKKNPND CIIEYLTSILNFKFKETSNSIVGLDTPSVVSEENQALNINNETDLHTLWIQSPYYKHW KAITSKTVQECTRKDVNPDDISPIFSIPLKTQLKTCTVRAFERIIGDRNYLISQFVSV VVQSLVIGSLFYNIPLTTIGSFSRGSLTFFSILFFTFLSLADMPASFQRQPVVRKHVQ LHFYYNWVETLATNFFDCCSKFILVVIFTIILYFLAHLQYNAARFFIFLLFLSVYNFC MVSLFALTALIAPTLSMANLLAGILLLAIAMYASYVIYMKDMHPWFIWIAYLNPAMFA MEAILSNELFNLKLDCHESIIPRGEYYDNISFSHKACAWQGATLGNDYVRGRDYLKSG LKYTYHHVWRNFGIIIGFLCFFLFCSLLAAEYITPLFTRENLLRWNNYLKRYCPFLNS QKKNNKSAITNNDGVCTPKTPIANFSTSSSSVPSVSHQYDTDYNIKHPDETVNNHTKE SVAMETQKHVISWKNINYTIGDKKLINDASGYISSGLTALMGESGAGKTTLLNVLSQR TESGVVTGELLIDGQPLTNIDAFRRSIGFVQQQDVHLELLTVRESLEISCVLRGDGDR DYLGVVSNLLRLPSEKLVADLSPTQRKLLSIGVELVTKPSLLLFLDEPTSGLDAEAAL TIVQFLKKLSMQGQAILCTIHQPSKSVISYFDNIYLLKRGGECVYFGSLPNACDYFVA HDRRLTFDREMDNPADFVIDVVGSGSTNIPMDDAEKPTSSKIDEPVSYHKQSDSINWA ELWQSSPEKVRVADDLLLLEEEARKSGVDFTTSVWSPPSYMEQIKLITKRQYICTKRD MTYVFAKYALNAGAGLFIGFSFWRTKHNINGLQDAIFLCFMMLCVSSPLINQVQDKAL QSKEVYIAREARSNTYHWTVLLIAQTIVELPLAISSSTLFFLCCYFCCGFETSARVAG VFYLNYILFSMYYLSFGLWLLYSAPDLQTAAVFVAFLYSFTASFCGVMQPYSLFPRFW TFMYRVSPYTYFIETFVSLLLHDREVNCSTSEMVPSQPVMGQTCGQFMKPFIDEFGGK LHINNTYTVCAYCMYTVGDDFLAQENMSYHHRWRNFGFEWVFVCFNIAAMFVGFYLTY IKKIWPSVIDGIKKCIPSMRRSKTSHNPNEQSV YIL012W MCLGKLYFEQLILVRCIKGRQSGNITTGESRCVSWNVYCTTSMI GLFSWRKMLLFQHFSYTQRENRQIGGKTWSLISSLFHLNETLASALHHPYETLALYEA YFALREKKFLL YIL011W MSFTKIAALLAVAAASTQLVSAEVGQYEIVEFDAILADVKANLE QYMSLAMNNPDFTLPSGVLDVYQHMTTATDDSYTSYFTEMDFAQITTAMVQVPWYSSR LEPEIIAALQSAGISITSLGQTVSESGSESATASSDASSASESSSAASSSASESSSAA SSSASESSSAASSSASESSSAASSSASEAAKSSSSAKSSGSSAASSAASSASSKASSA ASSSAKASSSAEKSTNSSSSATSKNAGAAMDMGFFSAGVGAAIAGAAAMLL YIL010W MGEALRRSTRIAISKRMLEEEESKLAPISTPEVPKKKIKTGPKH NANQAVVQEANRSSDVNELEIGDPIPDLSLLNEDNDSISLKKITENNRVVVFFVYPRA STPGCTRQACGFRDNYQELKKYAAVFGLSADSVTSQKKFQSKQNLPYHLLSDPKREFI GLLGAKKTPLSGSIRSHFIFVDGKLKFKRVKISPEVSVNDAKKEVLEVAEKFKEE YIL009C-A MPKVILESHSKPTDSVFLQPWIKALIEDNSEHDQYHPSGHVIPS LTKQDLALPHMSPTILTNPCHFAKITKFYNVCDYKVYASIRDSSHQILVEFSQECVSN FERTHNCRITSETTNCLMIIGDADLVYVTNSRAMSHFKICLSNISSKEIVPVLNVNQA TIFDIDQVGSLSTFPFVYKYL YIL009W MSEQHSVAVGKAANEHETAPRRNVRVKKRPLIRPLNSSASTLYE FALECFNKGGKRDGMAWRDVIEIHETKKTIVRKVDGKDKSIEKTWLYYEMSPYKMMTY QELIWVMHDMGRGLAKIGIKPNGEHKFHIFASTSHKWMKIFLGCISQGIPVVTAYDTL GESGLIHSMVETESAAIFTDNQLLAKMIVPLQSAKDIKFLIHNEPIDPNDRRQNGKLY KAAKDAINKIREVRPDIKIYSFEEVVKIGKKSKDEVKLHPPEPKDLACIMYTSGSISA PKGVVLTHYNIVSGIAGVGHNVFGWIGSTDRVLSFLPLAHIFELVFEFEAFYWNGILG YGSVKTLTNTSTRNCKGDLVEFKPTIMIGVAAVWETVRKAILEKISDLTPVLQKIFWS AYSMKEKSVPCTGFLSRMVFKKVRQATGGHLKYIMNGGSAISIDAQKFFSIVLCPMII GYGLTETVANACVLEPDHFEYGIVGDLVGSVTAKLVDVKDLGYYAKNNQGELLLKGAP VCSEYYKNPIETAVSFTYDGWFRTGDIVEWTPKGQLKIIDRRKNLVKTLNGEYIALEK LESVYRSNSYVKNICVYADESRVKPVGIVVPNPGPLSKFAVKLRIMKKGEDIENYIHD KALRNAVFKEMIATAKSQGLVGIELLCGIVFFDEEWTPENGFVTSAQKLKRREILAAV KSEVERVYKENS YIL008W MVNVKVEFLGGLDAIFGKQRVHKIKMDKEDPVTVGDLIDHIVST MINNPNDVSIFIEDDSIRPGIITLINDTDWELEGEKDYILEDGDIISFTSTLHGG YIL007C MEEEELSKLLANVKIDPSLTSRISQIDSFKLSELMVLKTDIETQ LEAYFSVLEQQGIGMDSALVTPDGYPRSDVDVLQVTMIRKNVNMLKNDLNHLLQRSHV LLNQHFDNMNVKSNQDARRNNDDQAIQYTIPFAFISEVVPGSPSDKADIKVDDKLISI GNVHAANHSKLQNIQMVVMKNEDRPLPVLLLREGQILKTSLTPSRNWNGRGLLGCRIQ EL YIL006W MTQTDNPVPNCGLLPEQQYCSADHEEPLLLHEEQLIFPDHSSQL SSADIIEPIKMNSSTESIIGTTLRKKWVPLSSTQITALSGAFAGFLSGVAVCPLDVAK TRLQAQGLQTRFENPYYRGIMGTLSTIVRDEGPRGLYKGLVPIVLGYFPTWMIYFSVY EFSKKFFHGIFPQFDFVAQSCAAITAGAASTTLTNPIWVVKTRLMLQSNLGEHPTHYK GTFDAFRKLFYQEGFKALYAGLVPSLLGLFHVAIHFPIYEDLKVRFHCYSRENNTNSI NLQRLIMASSVSKMIASAVTYPHEILRTRMQLKSDIPDSIQRRLFPLIKATYAQEGLK GFYSGFTTNLVRTIPASAITLVSFEYFRNRLENISTMVI YIL005W MKMNLKRLVVTFFSCITFLLKFTIAAAEPPEGFPEPLNPTNFKE ELSKGLHIIDFYSPYCPHCKHLAPVWMETWEEFKEESKTLNITFSQVNCIESADLCGD ENIEYFPEIRLYNPSGYIKSFTETPRTKESLIAFARRESMDPNNLDTDLDSAKSESQY LEGFDFLELIAGKATRPHLVSFWPTKDMKNSDDSLEFKNCDKCHEFQRTWKIISRQLA VDDINTGHVNCESNPTICEELGFGDLVKITNHRADREPKVALVLPNKTSNNLFDYPNG YSAKSDGYVDFARRTFTNSKFPNITEGELEKKANRDIDFLQERGRVTNNDIHLVFSYD PETVVIEDFDILEYLIEPLSKIPNIYLHQIDKNLINLSRNLFGRMYEKINYDASQTQK VFNKEYFTMNTVTQLPTFFMFKDGDPISYVFPGYSTTEMRNIDAIMDWVKKYSNPLVT EVDSSNLKKLISFQTKSYSDLAIQLISSTDHKHIKGSNKLIKNLLLASWEYEHIRMEN NFEEINERRARKADGIKKIKEKKAPANKIVDKMREEIPHMDQKKLLLGYLDISKEKNF FRKYGITGEYKIGDVIIIDKSNNYYYNKDNFGNSLTSNNPQLLREAFVSLNIPSKALY SSKLKGRLINSPFHNVLSFLDIIHGNGMPGYLIVIVLFIAILKGPSIYRRYKVRKHYR AKRNAVGILGNMEKKKNQD YIL004C MSSRFAGGNAYQRDTGRTQLFGPADGSNSLDDNVSSALGSTDKL DYSQSTLASLESQSEEQMGAMGQRIKALKSLSLKMGDEIRGSNQTIDQLGDTFHNTSV KLKRTFGNMMEMARRSGISIKTWLIIFFMVGVLFFWVWIT YIL003W MEEQEIGVPAASLAGIKHIILILSGKGGVGKSSVTTQTALTLCS MGFKVGVLDIDLTGPSLPRMFGLENESIYQGPEGWQPVKVETNSTGSLSVISLGFLLG DRGNSVIWRGPKKTSMIKQFISDVAWGELDYLLIDTPPGTSDEHISIAEELRYSKPDG GIVVTTPQSVATADVKKEINFCKKVDLKILGIIENMSGFVCPHCAECTNIFSSGGGKR LSEQFSVPYLGNVPIDPKFVEMIENQVSSKKTLVEMYRESSLCPIFEEIMKKLRKQDT TTPVVDKHEQPQIESPK YIL002W-A MTRDTPEDVSTAGAKDILDVLNLLKGGEEKISEVELKLDEMEKK MDSLLVQLEDLHRDNNDLAKSSSQK YIL002C MRLFIGRRSRSIVISSNNYCLSFQRLRSIPGASSQQRQLSKTPS VTIKSYPDTDLSSDSNYLEVKSCIFNGLLGLVCLNGDIYVAVISGVQNVGFPRWKLID HQVRPSESIYKVLDVDFYSLENDVFDYLLCERSEQNYDKLIHEHPCGPLKKLFSDGTF YYSRDFDISNIVKNHGLSHNLEYTVDNQDLSFIWNANLASEVINWRSKISNEEKQLFA NAGFLTFVIRGYCKTALIEDGPNTASITIISRISTESKQDTLELEGISEDGRVSLFVE TEIVVTTEKFIFSYTQVNGSIPLFWESVESQLLYGKKIKVTKDSIEAQGAFDRHFDNL TSKYGVVSIVNIIKPKSESQEKLALTYKDCAESKGIKITNIEYSSSVLTKSPHKLLYL LKQDIYEFGAFAYDISRGIYFAKQTGVLRISAFDSIEKPNTVERLVSKEVLELTTNEI DVFELTSPFLDAHDKLWSENYYWLDRTYTKHTKNSGKYTKVYSKLFGSRVRLYDPLHI YISQYLKQLRSKYTFEKDISIFAGTFNISGKIPKDDIKDWIFPKSMSKEDEMADLYVI GLEEVVELTPGHMLATDPYVRQFWEKKILTLLNGPGRKKKYIRLWSTQLGGILLLLFM NETEYSKVKHIEGDVKKTGFGGMASNKGAVAVSFKYSATRFCVLVSHLAAGLENVEQR HNDYKTIAKSIRFSKGLRIKDHDAIIWMGDFNYRILMSNEDVRRKIVSKEYASLFEKD QLNQQMIAGESFPYFHEMAIDFPPTYKFDPGTKNYDTSEKMRIPAWTDRILSRGEVLE QLEYKCCEDILFSDHRPVYAIFRARVTVVDEQKKTTLGTQIYEKIMERLEGLDDDEKI AVLSDDAFVIESFEGSDSIAGPTHSPTPIPEPKRGRKLPPPSSDLKKWWIGSGKQVKV VLDVDPAVYMINPKRDPNPFVENEDEPLFIER YIL001W MADKLMDKNFEELCYSCRTGDMDNVDRLISTGVNVNSVDKFDNS PLFLASLCGHEAVVKLLLQRGAVCDRDRYEGARCIYGALTDTIRDTLLSYDISKAVDV KQPFATHISSMYNDEGFLKRDITFRVSNGKLFTAHKFLLCARSEILAEKMVNEWAKHE IVSLEVRPDIFDIFLKFLYLIPILHQIEPGQYEELIELSSKFDIELLPEFLDKARHTA DPTEKSRLMSDYQYKFTEVARSQLLIFVNNCIFRSTVDLANSERRVFSLMNCPAYPDV QLMVKNRNGAIRIYPCHLAVLSRAEYFKVMFTNNFKEKVTYIKAKHVTGKYNSIIPQL TLPNCEFEVAEIILRYLYADNTDIPWMYAVDVLLLADILLEDRLKTIASTIITQSKEF IQQYNVFDVLYLSWEIGVERLEQFAAKFIAIHLQELYKDPEIKRAIMLSSQRISLRQE TDTIELVDDIRYYLLRKYSFEPDDVELFENQDDLEYLKQVGYLEYRKDMGMLDNILAD LELDV YIR001C MSQEEKVDAKATLKTEISNNKKNDKQELELDELVGKLSIEGTPQ VSQKLSKEEKHAHQLEADSRSIFVGNITPDVTPEQIEDHFKDCGQIKRITLLYDRNTG TPKGYGYIEFESPAYREKALQLNGGELKGKKIAVSRKRTNIPGFNRHYNSQNQYFQQW QWNYPLMAYPNPDTFPYYPPYPPNQSPNQNFGYNKNNYYRSPYNNKNRTFQKKHFNSA KDSTKNIRSTSQKPVVMPSDNVKSSTQEKDSK YIR002C MASADDYFSDFEDDELDKLYEKAINKSVKETITRRAVPVQKDLH DNVLPGQKTVYEEIQRDVSFGPTHHELDYDALSFYVYPTNYEVRDYQYTIVHKSLFQN TLCAIPTGMGKTFIASTVMLNYFRWTKKAKIIFTAPTRPLVAQQIKACLGITGIPSDQ TAILLDKSRKNREEIWANKRVFFATPQVVENDLKRGVLDPKDIVCLVIDEAHRATGSS AYTNVVKFIDRFNSSYRLLALTATPASDLEGVQEVVNNLDISKIEIRTEESMDIVKYM KKRKKEKIEVPLLLEIEDIIEQLGMAVKPVLQQAIELGIYEECDPSQINAFKAMQQSQ KIIANPTIPEGIKWRNFFILQLLNNVGQMLKRLKIYGIRTFFNYFQNKCTEFTTKYNL KKSTNKIAAEFYYHPILKNIKNQCENYLSDPKFVGHGKLQCVRDELMDFFQKRGSDSR VIIFTELRESALEIVKFIDSVADDQIRPHIFIGQARAKEGFDEVKYTRKHAPKGRKKV ERLHRQEQEKFLEAERTKRAANDKLERSARRTGSSEEAQISGMNQKMQKEVIHNFKKG EYNVLVCTSIGEEGLDIGEVDLIICYDTTSSPIKNIQRMGRTGRKRDGKIVLLFSSNE SYKFERAMEDYSTLQALISKQCIDYKKSDRIIPEDIIPECHETLITINDENEIINEME DVDEVIRYATQCMMGKKVKPKKAITKKKRVQENKKPKKFFMPDNVETSIVSASTLINK FLVNESGGKQLVTSNENPSKKRKIFKALDNLENDSTEEASSSLETEDEEVSDDNNVFI AEGQNGCQKDLETAIIRTGESLTTLKPLHNFERPNMALFVNDCGLPTKIEKNVKDIRG NQHNLEKEKSCTVDKNNMVLSLDDWNFFRNRYIPEGVSFDVEPNFVQYTKGVKVPHCH KVSKIITLFNDESNDNKKRTIDMNYTKCLARGMLRDEKKFVKVNDKSQVDNNSVNHDS SQSFTLSNAELDDILGSDSDF YIR003W MPSEVTPKVPERPSRRKTSELFPLSGSESGDIKANSEPPTPAGT PNVPTRRPILKAKTMTSFESGMDQESLPKVPLQRPVRRSTTEELNNVMNNTSKELEEI ESLISKHNIHNVSRKKSPTSVEEGKVAAIHQNGQRSASDNKTSTNPSPLEKNEHEGAE GNESAISPSNLVNKSNNEVTEHSDSEDLTEKQKVHAALDNEAGDRSHFEEKLIPGDMK VQVDVSKDVEEGSLNALPPSGITESDDKAEKFTKHPESSLEELQKHQEQQEEKIFQNP TDEESTTSLNEKQEGKDNMEVNSQPQGPSDTETVIAATSSNVPSQIASEEENDVPVIP RSRPKKDFEAHVQKEELPNTQEKRVSEECDSTLISTEEESKIPKIPSERPKRRAPPPV PKKPSSRIAAFQEMLQKQQQQDLHNNGNSSATTASADIAKKHTDSSITSDTTKADFTS KLNGLFALPGMVNPGQLPPSLEKKLSSPDTESKLGPQDQSQAKTGPLGGTRRGRGPRG RKLPSKVASVEKIEEDDNTNKIEIFNNWNVSSSFSKEKVLIDTTPGEQAERALDEKSK SIPEEQREQSPNKMEAALCPFELDEKEKLPANAESDPLSQLPQTNAVGNRKAISEESL SPSEAIANRDQNDTTEIQEQQMEDQMEVDMERELSGGYEDVDSALHSEEASFHSL YIR004W MVVDTEYYDLLGVSTTASSIEIKKAYRKKSIQEHPDKNPNDPTA TERFQAISEAYQVLGDDDLRAKYDKYGRKEAIPQGGFEDAAEQFSVIFGGDAFASYIG ELMLLKNLQKTEELNAEDEAEKEKENVETMEESPADGKTNGTTNAVDAALGNTNEKDD KNKARTTSGNLTVHDGNKKNEQVGAEAKKKKTKLEQFEEEQEVEKQKRVDQLSKTLIE RLSILTESVYDDACKDSFKKKFEEEANLLKMESFGLDILHTIGDVYYEKAEIFLASQN LFGMGGIFHSMKAKGGVFMDTLRTVSAAIDAQNTMKELEKMKEASTNNEPLFDKDGNE QIKPTTEELAQQEQLLMGKVLSAAWHGSKYEITSTLRGVCKKVLEDDSVSKKTLIRRA EAMKLLGEVFKKTFRTKVEQEEAQIFEELVAEATKKKRHT YIR005W MNKIQQINDKELQSGILSPHQSWHNEYKDNAYIYIGNLNRELTE GDILTVFSEYGVPVDVILSRDENTGESQGFAYLKYEDQRSTILAVDNLNGFKIGGRAL KIDHTFYRPKRSLQKYYEAVKEELDRDIVSKNNAEKLILAKKDQPN YIR006C MYNPYQQQGMGYQQQQQQQQQQPNGFYPQQQQGQSSNQPQGQPQ PQQQMAFNQPQATGIGGMPQSFGNSFSSMPQQPQTGYNNNGNNGSVYGNGNFGQQPQQ QQQQAKPQHTGYVPNSSMPMMNTTGTMPPPNPAQQPQLQSIQPQGTGYYQAANTANVH SVQPLQSQGTGYYVSTPNLISSNQTQQPLQAQGTGYYQSQPQQVPPPQQAQSLQPLKP QQTGFYLQPQNQAPLEPLKPTATGFVNSFANNGLNNDIKIPAIRLSFITAQDQAKFET LFRSIVTNGSNTVSGANCRKILMRSGLPPSQLARIWTLCDTSKAGELLFPEFALAMHL INDVLQGDTIPYELDSKTKNEVSSFIDAINLSIANQDSSANDAPKTPFDEFITAGVQN LQPQPTGYMPQTSFGIPLQSQITGGGVASALNPQSTGFMAPTTFNMSMNTGTPGLNPQ ITGGAPASMQPNITGNALQPQTTGMMPQTTGMMPQTTGMMPQTSFGVNLGPQLTGGAL QSQYTGGYGSVMPQQSGPASMPNLSFNQQGLQSQLTGLQPQPTGFLPPSNFSATMPLT AQKTGFGNNEIYTKSNFNNNLIDNSSQDKISTEEKSLFYKIFETFDTQNKGLLDSPTA VEIFRKSGLNRADLEQIWNLCDINNTGQLNKQEFALGMHLVYGKLNGKPIPNVLPSSL IPSSTKLLDNLKNQLKTEPTTTKEKPSFGKIDALSYKNNDDDVLPNYRNRRKVYSAKN EEQSSFSSPSAKSVNHSSSTLQTDDISVDKTVEKKTAKPKYAGFSREINLKNIASLEN EIKNISNPENCYDSSIPSDLTSRFDAIIAKLPNLFNEISTIDNEITNAKIQLYRKKNP SSIIGSGPNGEITENDRKKAKSRALLRARMSALTGKSTESEDSLSMEDEQQSAEIKRI QQENGKNQEIIKDIRSSISDISASLKSTMTGSNMISNQEFERWEFGIGLEDGVREFLD DLKSNSNKSVTESSPFVPSSTPTPVDDRSSSPSYSQFKTAEERAAYLKEQAKKRMKEK LAKFDKNRRNVTQSSRSISSENSREQPQQIAGSSNLVEPRATPFQEEKYVEVAQPTQP VQSTQPVQPTQPVQPTQPVQPTQPVQPTQPVQPTQPVQNVYNAKQESDDEDEDDEEKR LQEELKRLKLKKKADKEKRLAALRKQIEDAQNESDEEETNGKDNFGGHVNVPQAAPVA PSAAFSQNSTNAPRSVHAAVTPAAGKNSTGLPSTTMGHNPYFKDASASSTSTFDARAA EMQRRIQRGLDEDEDDGWSDEDESNNRVAVDNKVEEAKIGHPDHARAPPVTAAPLPSV TPVPPAVPVPQANTSNEKSSPIPIAPIPPSVTQEPPVPLAPPLPAVDGFQEPPIPSAP AIATAVQKSGSSTPALAGGVLPPPPPLPTQQASTSEPIIAHVDNYNGAEKGTGAYGSD SDDDVLSIPESVGTDEEEEGAQPVSTAGIPSIPPAGIPPPPPLP YIR007W MPAKIHISADGQFCDKDGNEIQLRGVNLDPSVKIPAKPFLSTHA PIENDTFFEDADKVSFINHPLVLDDIEQHIIRLKSLGYNTIRLPFTWESLEHAGPGQY DFDYMDYIVEVLTRINSVQQGMYIYLDPHQDVWSRFSGGSGAPLWTLYCAGFQPANFL ATDAAILHNYYIDPKTGREVGKDEESYPKMVWPTNYFKLACQTMFTLFFGGKQYAPKC TINGENIQDYLQGRFNDAIMTLCARIKEKAPELFESNCIIGLESMNEPNCGYIGETNL DVIPKERNLKLGKTPTAFQSFMLGEGIECTIDQYKRTFFGFSKGKPCTINPKGKKAWL SAEERDAIDAKYNWERNPEWKPDTCIWKLHGVWEIQNGKRPVLLKPNYFSQPDATVFI NNHFVDYYTGIYNKFREFDQELFIIIQPPVMKPPPNLQNSKILDNRTICACHFYDGMT LMYKTWNKRIGIDTYGLVNKKYSNPAFAVVLGENNIRKCIRKQLSEMQKDAKSMLGKK VPVFFTEIGIPFDMDDKKAYITNDYSSQTAALDALGFALEGSNLSYTLWCYCSINSHI WGDNWNNEDFSIWSPDDKPLYHDTRAKTPTPEPSPASTVASVSTSTSKSGSSQPPSFI KPDNHLDLDSPSCTLKSDLSGFRALDAIMRPFPIQIHGRFEFAEFNLCNKSYLLKLVG KTTPEQITVPTYIFIPRHHFTPSRLSIRSSSGHYTYNTDYQVLEWFHEPGHQFIEICA KSKSRPNTPGSDTSNDLPAECVIS YIR008C MTNSVKTNGPSSSDMEYYYKSLYPFKHIFNWLNHSPKPSRDMIN REFAMAFRSGAYKRYNSFNSVQDFKAQIEKANPDRFEIGAIYNKPPRERDTLLKSELK ALEKELVFDIDMDDYDAFRTCCSGAQVCSKCWKFISLAMKITNTALREDFGYKDFIWV FSGRRGAHCWVSDKRARALTDVQRRNVLDYVNVIRDRNTDKRLALKRPYHPHLARSLE QLKPFFVSIMLEEQNPWEDDQHAIQTLLPALYDKQLIDSLKKYWLDNPRRSSKEKWND IDQIATSLFKGPKQDSHIIKLRECKEDLVLMTLYPKLDVEVTKQTIHLLKAPFCIHPA TGNVCVPIDESFAPEKAPKLIDLQTEMEKNNDVSLTALQPFINQFQAYVSSLLKNELG SVKREREDDDEPASLDF YIR009W MVEPARKKQRIDRDTHHTVAEPVTEAKNTLYVSQLNEKINMQRL RVNLFLLFATFGEVLKVSMNFKKQRGQAFITMRTIDQASLAQISLNGERFFGKPLKVE FSKSETKTL YIR010W MSLEPTQTVSGTPPMLHQRTHKQVYPLRMETIPILESDSKATLQ SNEPTQKDEEETEYFENKQSVSNLSPDLKFKRHKNKHIQGFPTLGERLDNLQDIKKAK RVENFNSSAPIADDNHSGDATANATANATANATANVNASAMPAPYMPYYYYYHPMNAP TPAMIPYPGSPMHSIMPNSSLQPFYSQPTAAGGPDMTTPQNISSSQQLLPAPQLFPYG SFHQQQLQQPHYIQRTRERKKSIGSQRGRRLSMLASQANGGSTIISPHKDIPEEDFYT VVGNASFGKNLQIRQLFNWCLMRSLHKLELKAKNQEEEGELEHLTKKSKLESTKAETD YVDPKRLAMVIIKEFVDDLKKDHIAIDWEDEEKYEDEDEEKILDNTENYDDTELRQLF QENDDDDDDDDEVDYSEIQRSRRKFSERRKALPKEPKKLLPNSKNVENTKNLSILTSK VNAIKNEVKEWAVTLDTSRPDLEWQELTSFSSQPLEPLSDTEEPDLAIADVETKLETK VDELRYQSHILNSHSLALNEITNSKVNKLNIETMRKISSETDDDHSQVINPQQLLKGL SLSFSKKLDL YIR011C MMGFEWGFKPSSKITQSTVSSQGTGNVMIPTAGVKQKRRYANEE QEEEELPRNKNVMKYGGVSKRRPQPGSLIRGQPLPLQRGMELMNKNQLQQLLVDLMTK HPEIQQSVHTRVIGLDFSIQKCLDMLKQKSEAVYQSIPYNRSYESNKLDDYAFVRMKP QILEFLNCLVDFILDNIPPRLENLHASLKFLDICTELVIKLPRFELASNNYYYDKCIE QLSHVWCTLIEHVARDRIILLADNSSVWKSHMTRLQVYNEHSNGLLERPLQLFKSLDM GSPSAASSSTLSLQESIIYHHDTMTANENNNNSGSAATDSPFN YIR012W MEPQEEFITTEEVEQEIVPTVEVEQDVPVDIEGENDDDDEMMND DEEALEVDMSNNSLTYFDKHTDSVFAIGHHPNLPLVCTGGGDNLAHLWTSHSQPPKFA GTLTGYGESVISCSFTSEGGFLVTADMSGKVLVHMGQKGGAQWKLASQMQEVEEIVWL KTHPTIARTFAFGATDGSVWCYQINEQDGSLEQLMSGFVHQQDCSMGEFINTDKGENT LELVTCSLDSTIVAWNCFTGQQLFKITQAEIKGLEAPWISLSLAPETLTKGNSGVVAC GSNNGLLAVINCNNGGAILHLSTVIELKPEQDELDASIESISWSSKFSLMAIGLVCGE ILLYDTSAWRVRHKFVLEDSVTKLMFDNDDLFASCINGKVYQFNARTGQEKFVCVGHN MGVLDFILLHPVANTGTEQKRKVITAGDEGVSLVFEVPN YIR013C MSTKLPIVISNGTAFKKVPVQLLLNSGSEAQHGLPRNADSQPAR PRTGITRTCGQCGEIKTSLQWREGPNGAACLCNACGLFFRKLILRFGRAAAKRYMEQI KGTGTKRRIPKELTGTVRF YIR014W MLHLEDDNGRQRSVIANLQKFVYCCLYLRFIKDGSLFLILLGWI ISSLCDFIQELTLRYLKKNYLEVGRDNDQEDDESLAIRGLETPIVRMIINKAIRYYQG LILLETAYCIVYHIRLDVSRDICSKPYGFVIMLLIREFTCPVPTAFPSKLLLVLLDIL LLFCQIVIINGSLSSSLQNVKLIVKELNAEEEGALNILKLNTWHMDATGPELIVLKNH DKSIPQQADGDDATEITPLLNIAE YIR015W MGKKAHGGKMKPEIDENGTLLVPPPRTIANQDHFHRLNYLYQIS AYQTRARQKARTDAHTPLARNYIKSMDLISKKTKTSLLPTIKRTICKKCHRLLWTPKK LEITSDGALSVMCGCGTVKRFNIGADPNYRTYSEREGNLLNS YIR016W MSGTRCLLGVGLPVDVTATETLTHDEQGPGVEPGPCSRGSSIDG LLPSLLGPHDDVDDDSAAFHKYMTLSRDGAGAIHAPSLVEDASRNDDDDDDEDDDDSS MSRDLSKALDMSSSSSSSPRVQSRRHRSSVSAISAILHQGKSGREDITGSLSVPAEQE KLSFLAKASSIFFRRNSMPRDKHTHSVCPASRPDSERFIVTSAAAQSLRRQQQLEDAQ YARVITNFRTIGWCSPSEIESVEYKRSLINAEWDEKISLLSHAQCYK YIR017C MSAKQGWEKKSTNIDIASRKGMNVNNLSEHLQNLISSDSELGSR LLSLLLVSSGNAEELISMINNGQDVSQFKKLREPRKGKVAATTAVVVKEEEAPVSTSN ELDKIKQERRRKNTEASQRFRIRKKQKNFENMNKLQNLNTQINKLRDRIEQLNKENEF WKAKLNDINEIKSLKLLNDIKRRNMGR YIR018W MALPLIKPKESEESHLALLSKIHVSKNWKLPPRLPHRAAQRRKR VHRLHEDYETEENDEELQKKKRQNRDAQRAYRERKNNKLQVLEETIESLSKVVKNYET KLNRLQNELQAKESENHALKQKLETLTLKQASVPAQDPILQNLIENFKPMKAIPIKYN TAIKRHQHSTELPSSVKCGFCNDNTTCVCKELETDHRKSDDGVATEQKDMSMPHAECN NKDNPNGLCSNCTNIDKSCIDIRSIIH YIR018C-A MPSDYTSHYPVILIKKKKKKIAGMYRHSKRYLEIMSTASAQFVG N YIR019C MQRPFLLAYLVLSLLFNSALGFPTALVPRGSSEGTSCNSIVNGC PNLDFNWHMDQQNIMQYTLDVTSVSWVQDNTYQITIHVKGKENIDLKYLWSLKIIGVT GPKGTVQLYGYNENTYLIDNPTDFTATFEVYATQDVNSCQVWMPNFQIQFEYLQGSAA QYASSWQWGTTSFDLSTGCNNYDNQGHSQTDFPGFYWNIDCDNNCGGTKSSTTTSSTS ESSTTTSSTSESSTTTSSTSESSTTTSSTSESSTSSSTTAPATPTTTSCTKEKPTPPT TTSCTKEKPTPPHHDTTPCTKKKTTTSKTCTKKTTTPVPTPSSSTTESSSAPVPTPSS STTESSSAPVTSSTTESSSAPVPTPSSSTTESSSAPVTSSTTESSSAPVTSSTTESSS APVPTPSSSTTESSSAPVTSSTTESSSAPVTSSTTESSSAPVTSSTTESSSAPVTSST TESSSAPVPTPSSSTTESSSAPVTSSTTESSSAPVPTPSSSTTESSSAPVTSSTTESS SAPVPTPSSSTTESSSAPAPTPSSSTTESSSAPVTSSTTESSSAPVPTPSSSTTESSS TPVTSSTTESSSAPVPTPSSSTTESSSAPVPTPSSSTTESSSAPAPTPSSSTTESSSA PVTSSTTESSSAPVPTPSSSTTESSSAPVPTPSSSTTESSSAPVPTPSSSTTESSSAP VTSSTTESSSAPVTSSTTESSSAPVPTPSSSTTESSSAPVPTPSSSTTESSSAPVPTP SSSTTESSSAPVTSSTTESSSAPVPTPSSSTTESSSAPVPTPSSSTTESSSAPVPTPS SSTTESSVAPVPTPSSSSNITSSAPSSTPFSSSTESSSVPVPTPSSSTTESSSAPVSS STTESSVAPVPTPSSSSNITSSAPSSIPFSSTTESFSTGTTVTPSSSKYPGSQTETSV SSTTETTIVPTKTTTSVTTPSTTTITTTVCSTGTNSAGETTSGCSPKTVTTTVPTTTT TSVTTSSTTTITTTVCSTGTNSAGETTSGCSPKTITTTVPCSTSPSETASESTTTSPT TPVTTVVSTTVVTTEYSTSTKPGGEITTTFVTKNIPTTYLTTIAPTPSVTTVTNFTPT TITTTVCSTGTNSAGETTSGCSPKTVTTTVPCSTGTGEYTTEATTLVTTAVTTTVVTT ESSTGTNSAGKTTTGYTTKSVPTTYVTTLAPSAPVTPATNAVPTTITTTECSAATNAA GETTSVCSAKTIVSSASAGENTAPSATTPVTTAIPTTVITTESSVGTNSAGETTTGYT TKSIPTTYITTLIPGSNGAKNYETVATATNPISIKTTSQLATTASASSVAPVVTSPSL TGPLQSASGSAVATYSVPSISSTYQGAANIKVLGNFMWLLLALPVVF YIR020C MTFFLKRKISFFLSGIAQTFLFLPILLNRSVIHVVFLTVVLGHR IPWDSVIRCNNTGTTHSAVSSRTELLLPIGGVINNWKRRAWNGFSIQWIWRYSFVY YIR021W MSPKNITRSVIPAIDLYCRKANFKTLKSLSMILGSKKEWYDTKK APLRTFLVSRCGIFEQLRGRLVEDGKVNLFSVFLTNDSFSFCKMTVDDKFNTSLVDWQ KIPFDSTFATDRRQNISLLPVDTLFATEKIISILGVSPNMTNLVSIERERSDLVDFNC KLQSNILEHLLYAKCQGVYVTSTNEKARLLAAVCNPEFIDTFWCELTPIRVSLKENPS ISVPREYQMYDPVVRATIKEVVTKRLLRSAFDNDIDPLMCLHLDKGWKLKFPILSSTT GLNFSLKDCLSLDTGKDASDMTEVFLATMESSKVLRTYSNLVDIVMKDNGRLDSGVLK QFNDYVKQEKLNLQHFQAGSSKFLKGAKI YIR021W-A MSFSVSCKTPKTTKLLVSSISESAVALIIITIRILFSIGKSDFK KIISKEINGAETIYYRNIPESKPQGS YIR022W MNLRFELQKLLNVCFLFASAYMFWQGLAIATNSASPIVVVLSGS MEPAFQRGDILFLWNRNTFNQVGDVVVYEVEGKQIPIVHRVLRQHNNHADKQFLLTKG DNNAGNDISLYANKKIYLNKSKEIVGTVKGYFPQLGYITIWISENKYAKFALLGMLGL SALLGGE YIR023W MDPHQSPADNAASPTKSVKATTKNSSTNNNVNSNNSNNNSNHDI LNFNDNYTTILQHLANDHPNILREKGGSQQQQHQQQQQQQQQQQQQQQQQSLDTLLHH YQSLLSKSDNAIAFDDNVSNSADHNGSNSNNNNNNNDISSPGNLMGSCNQCRLKKTKC NYFPDLGNCLECETSRTKCTFSIAPNYLKRTSSGANNNMPTSSNSKRMKNFEDYSNRL PSSMLYRHQQQQQQQQQQQRIQYPRSSFFVGPASVFDLNLTKHVRLDNVDQIQLSKTL SLRKVSPTAQFILQDDFDTTLHSKQEYEVDLVENLVHPHGHLLVEIFFKLIHPFLPIL HERVFLEKYSRSYRELTAPLLASIYSLALQYWDFHPALLGFPKPDVTAQLNNIALETF YARVGRPKLSIIQTGLLILQCRSECHNNWVLCSSVVALAEELGLGVECNDWKLPKWEK DLRKRLAWAVWLMDKWCALNEGRQSHLILGRNWMIKLLNFDDFPLNSPTILNSLQNDQ SGSSPSSSNDVKNHQIAFGNLPIFNINPTLEDFKNGTLMFQQMVSLSIILGEIMDTFY TQGSMTINKSIEQVLKLAKPLQLKLREWYHSLPKNLSMSYATPQKLNSNSTLTLAYFA TEITLHRKIICALNPQTPKELVQVCRTAARTRLVAAIEFIRDLKNEHINAFWYNCSTG NLMLIGTFAALLYVTSATKEEAMIFRDYVRNYTWVLKIGSKYFDKLSNALNNMHLLFA QIPGLLTDEPVVVSPNSNINSVNPQRSGVQSQIPIQFNVGSPAMTEQGSPLNQWKNLP QEILQQLNSFPNGTTSTTTPVNPTSRQTQLESQGSPAINSANNNSNNTPLPFAPNKSS KKTSQSSPNVTPSHMSRHPPSNTSSPRVNSSTNVNSNTQMNASPLTSINETRQESGDA ADEKTAGRERTANEESSTELKDDNPNSNQETSATGNQTIKMNDDKNVTINTRETPL YIR024C MFMARQVLRNGLFLRSLAPIKITARTVASANAGIKRKSRFDKTM IKPLLLVMIFGSILNAVIAEKRNIIDMERKYKLKLDKLKELIRRVHDNNGKVDFDADD ELKLVNLRLGIVGKNATGMKEDETDIVVPKEESLEEIWQSIIDEAKKEVIEKTPDAGV KNKEGIVTDLNVLKDLEKSKKEDEKVYLSGDVHMMMNQPGDLNEIAKEHDKIPKFL YIR025W MARALRDISLFNDIRKDQNSAGAKHERYNMRDLRSKKNQHVNGI DDYEDDSLDRFIRRKKSRVVKYIPSLSAYNVFNEFPYYPTSASQLLDGKLDEFLMLSE QYKSRLPKIRKLGWNRFKPIGINKTMYELEMLRSRARAQNAEGNNEEDFRQHDSREED PRNNGSIGRVILPHILQENEEYDTGEGVTGLHSMPNDSMAILANNSANNSQNEEVSEE DEISYDYDAEFDHVVDEDDNEEGEVPGEGVEGIEVQRERIVPDDLLMRPTSLSRSLQQ FVEEAHHLDRNPYDIDSDNDGEDSKVELDMNPDFEDDVGREHDYNSEYSQEPTSYGGI TPDLASNWRNWTRERITSLDELMERRARQQRGQD YIR026C MAGNANSVDEEVTRILGGIYLGGIRPIIDHRPLGAEFNITHILS VIKFQVIPEYLIRKGYTLKNIPIDDDDVTDVLQYFDETNRFIDQCLFPNEVEYSPRLV DFKKKPQRGAVFAHCQAGLSRSVTFIVAYLMYRYGLSLSMAMHAVKRKKPSVEPNENF MEQLHLFEKMGGDFVDFDNPAYKQWKLKQSIKLDPSGSELVSNSGMFKDSESSQDLDK LTEAEKSKVTAVRCKKCRTKLALSTSFIAHDPPSKESSEGHFIKRAANSHRIIDIQES QANCSHFFIEPLKWMQPELQGKQELEGKFSCPGCSSKVGGYNWKGSRCSCGKWVIPAI HLQTSKVDQFPLQSTALPNMVNFESEKVNR YIR027C MPINAITSDHVIINGANKPATIVYSTESGTILDVLEGSVVMEKT EITKYEIHTLENVSPCTILPGLVDSHVHLNEPGRTSWEGFETGTQAAISGGVTTVVDM PLNAIPPTTNVENFRIKLEAAEGQMWCDVGFWGGLVPHNLPDLIPLVKAGVRGFKGFL LDSGVEEFPPIGKEYIEEALKVLAEEDTMMMFHAELPKAHEDQQQPEQSHREYSSFLS SRPDSFEIDAINLILECLRARNGPVPPVHIVHLASMKAIPLIRKARASGLPVTTETCF HYLCIAAEQIPDGATYFKCCPPIRSESNRQGLWDALREGVIGSVVSDHSPCTPELKNL QKGDFFDSWGGIASVGLGLPLMFTQGCSLVDIVTWCCKNTSHQVGLSHQKGTIAPGYD ADLVVFDTASKHKISNSSVYFKNKLTAYNGMTVKGTVLKTILRGQVVYTNANGVSKTP LGQTLLDSRR YIR028W MANDALSAIFSNPSRKGVQPSTSIVSYTNNEDDIIDVENGKFNK NKNINTNVYVDNSSIEESEVVPLPETKSIWSKIYYDFIVLDKTTLNVSLKESFLYNRD LKPVEEERRCWSWFNYLYFWLADCFNINTWQIAGTGLQLGLNWWQCWLTVWIGYTFAG IFVVLNSRFGSAYHLSFPITVRASFGIFFSMWPIINRVVMAIVWYAVQAWLGATPVAL MLKSIFGKNLEDRIPNHFGSPNSTTFEFMCFFIFWVVSIPFVLVAPHKIRHLFTVKAA LIPFAAFGFLIWALKKSHGKIELGTLNDYSPHGSEFSWIFVRSLMACVANFAALIINA PDFGRFAKNPQASLWPQLVAIPLFFAITCLIGIIVTAAGYHLYGVNYWSPLDVLGQFL ETTYTRGTRAGVFLISFVFALAQLGTNISANSLACGADMTALFPRYINIRRGSLFCVA MALCICPWNLMASSSKFTSALGAYAIFLSSIAGVICADYFVVRRGYVKLTHLFLAQKG SFYMFGNKFGANWRAFVAYICGIAPNLPGFIGDVGAPKITVSEGAMRLYYLGYPVGFF ISAVIYLILCYFFPVPGTPVTNFLTEKGWFQRWAYVEDFEQDWKNELRRDDLCDDTVS IYDGTEEKIVY YIR029W MKFFSLADEAEFKSIIISKNKAVDVIGSKLGGQVVSFSDEWFAS AENLIQPTAPIRDPTRFVHSGAWYDGWETRRHNEMEYDWVIIKMGVAAAHIIGGEIDT AFFNGNHAPFVSIEALYDEGEEGNIVEDDSRWVEIVEKFECGPSQRHLFVRGNGLTKE RFTHIKLKMYPDGGIARFRLYGRVVPPELKTKDHIIDLAYVCNGAVALKYSDQHFGSV DNLLLPGRGHDMSDGWETKRSRQPGHTDWAVIQLGRESSFIEKIIVDTAHFRGNFPQF ITVEGCLKESESSENTGEGTWVELVGKSKTGPDKEHVYEIRKSIRVSHVKLTIIPDGG VKRIRVWGY YIR030C METRILVVNPNSSKSMTVSLRETIEKTFSMESCKISYFTGPDTS PPQIDGQETSIKSMEACLPLLIDDQESVYYFQKFNGILIACFSDHPLVAKIKDRAAKE KADVSIVGLLDSSINYCNLVGKKFSIITSNKEWIPILNNSVESKFLTGNTVNKNLWKG TVSTDLQVLDLHSPENFQQIAEIIYRENIKKLDSDIVILGCAGFSGLQNKLAKTFQRD GTLFLDTIEIGLQILITMIRFVNSQK YIR031C MVKISLDNTALYADIDTTPQFEPSKTTVADILTKDALEFIVLLH RTFNSTRKQLLANRSNLQSKLDSGEYRFDFLPETEQIRNDPTWQGAIPAPGLINRSSE ITGPPLRNMLVNALNAEVTTYMTDFEDSSSPTWENMIYGQVNLYDAIRNQIDFKTPRK EYRLKDDISRLPTLIVRPRGWHMVEKHLYIDDEPISASIFDFGLYFYHNAKELVKIGK GPYFYLPKMEHHMEVKLWNDIFCVAQDFIGMPRGTIRATVLIETLPAAFQMEEIIYQI REHSSGLNCGRWDYIFSTIKKLRNLPEHVLPNRDLVTMTSPFMDAYVKRLINTCHRRG VHAMGGMAAQIPIKDDPKANEAAMNKVRNDKIREMKNGHDGSWVAHPALAPICNEVFS NMGTANQIYFVPDVHVTSSDLLNTKIQDAQVTTEGIRVNLDIGLQYMEAWLRGSGCVP INHLMEDAATAEVSRCQLYQWVKHGVVLSDTGDKVTPELTAKILNEETAKLASASPLG EKNKFALAAKYFLPEVTGKIFSDFLTTLLYDEIIKPSAKPVDLSKL YIR032C MVTVVAETLTKESFEEYGTIISPDEEISRMQNLEKGANQGTAIK LLQVSQVENKSTSKVPNWNLFRCFPQPHLNRVFTQGSNQAISHSIKVLEKHPCSTQTF VPMGRTSAEVAYLVVVAKEIGNKPDLSTLRAFTCLGNQAVTYGLGTWHAPMIVLGKEE HLDFSVLIYESLDPDRPEKDCVEEHYSDGDVCIII YIR033W MQQNSEFLTETPGSDPHISQLHANSVMESQLLDDFLLNGSPMYQ DDSMAHINIDEGANFQNFIKTDEGDSPNLLSFEGIGNNTHVNQNVSTPLEEEMESNRA LKEEEEDEHENKVFNEKNIGNPAHDEIVFGRKETIQSVYINPLDYLKVNAAQLPLDVE VSGLPQVSRVENQLKLKVKITSETPLNQSMLYLPSDSISREKFYLKKNIEDFSEDFKK NLLYINAFVLCAVSNRTTNVCTKCVKREQRRAARRKSGIADNLLWCNNINRRLVVFNN KQVFPIMKTFDNVKEFELTTRLVCYCRHHKANNGFVILFTITDWQNRLLGKFTTTPIM ITDRKPANMDTTKFNNTTTSSRRQLTEEESTTEYYSTDNNQLSKDENMPFQYTYQHNP YDNDSQMNNIPLKDKNVPFPYSISQQTDLLQNNNLSLNLSLPNQHIPSPTSMSEEGSE SFNYHHRDNDNPVRTISLTNIEQQSQLNQRKRARNNLENDIGKPLFKHSFSNSISATN TMNPALHSMQDFSMKNNNNNLPSINRVIPSQGPINGGIEVTLLGCNFKDGLSVKFGSN LALSTQCWSETTIVTYLPPAAYAGQVFVSITDTNNENNNDDLPQEIEINDNKKAIFTY VDDTDRQLIELALQIVGLKMNGKLEDARNIAKRIVGNDSPDSGTNGNSCSKSTGPSPN QHSMNLNTSVLYSDEVLIQKVIKSLNINSNISICDSLGRTLLHLACLKNYSSLVYTLI KKGARVNDIDSFGLTPLHFACISGDPKIIKMLLNCKVNYSLRSHNGLTAREVFIANHI HSKEIDKKQDNRDNHKFVHNDTYISEVLSLFEEFQNGTKFTDSVETDSNYSISRKYSQ SSFNSSLLDNESLNENLFESQSMINPTSMEIQHPTLQLFENSSYSEYDQSDFEEDGDE DLFVTDEVEKPGVACREEQSELLDIGSSANEPEEDNGSTSLWNRVLHRINDDLPKYED LFPLSWGKDDKLKTTNQDSIVEQSASNIENSENSEEEDYEEEEEFLKKQFNRFFQNKQ NFRNDKMLIFFWIPLTLLLLTWFIMYKFGNQDSSINHISELISEYLRIALAKFLLGNE RMKTAFRSKLSNLQTTRMLNDLIVS YIR034C MAAVTLHLRAETKPLEARAALTPTTVKKLIAKGFKIYVEDSPQS TFNINEYRQAGAIIVPAGSWKTAPRDRIIIGLKEMPETDTFPLVHEHIQFAHCYKDQA GWQNVLMRFIKGHGTLYDLEFLENDQGRRVAAFGFYAGFAGAALGVRDWAFKQTHSDD EDLPAVSPYPNEKALVKDVTKDYKEALATGARKPTVLIIGALGRCGSGAIDLLHKVGI PDANILKWDIKETSRGGPFDEIPQADIFINCIYLSKPIAPFTNMEKLNNPNRRLRTVV DVSADTTNPHNPIPIYTVATVFNKPTVLVPTTAGPKLSVISIDHLPSLLPREASEFFS HDLLPSLELLPQRKTAPVWVRAKKLFDRHCARVKRSSRL YIR035C MGKVILVTGVSRGIGKSIVDVLFSLDKDTVVYGVARSEAPLKKL KEKYGDRFFYVVGDITEDSVLKQLVNAAVKGHGKIDSLVANAGVLEPVQNVNEIDVNA WKKLYDINFFSIVSLVGIALPELKKTNGNVVFVSSDACNMYFSSWGAYGSSKAALNHF AMTLANEERQVKAIAVAPGIVDTDMQVNIRENVGPSSMSAEQLKMFRGLKENNQLLDS SVPATVYAKLALHGIPDGVNGQYLSYNDPALADFMP YIR036C MGKVILITGASRGIGLQLVKTVIEEDDECIVYGVARTEAGLQSL QREYGADKFVYRVLDITDRSRMEALVEEIRQKHGKLDGIVANAGMLEPVKSISQSNSE HDIKQWERLFDVNFFSIVSLVALCLPLLKSSPFVGNIVFVSSGASVKPYNGWSAYGCS KAALNHFAMDIASEEPSDKVRAVCIAPGVVDTQMQKDIRETLGPQGMTPKALERFTQL YKTSSLLDPKVPAAVLAQLVLKGIPDSLNGQYLRYNDERLGPVQG YIR037W MSEFYKLAPVDKKGQPFPFDQLKGKVVLIVNVASKCGFTPQYKE LEALYKRYKDEGFTIIGFPCNQFGHQEPGSDEEIAQFCQLNYGVTFPIMKKIDVNGGN EDPVYKFLKSQKSGMLGLRGIKWNFEKFLVDKKGKVYERYSSLTKPSSLSETIEELLK EVE YIR038C MSLPIIKVHWLDHSRAFRLLWLLDHLNLEYEIVPYKRDANFRAP PELKKIHPLGRSPLLEVQDRETGKKKILAESGFIFQYVLQHFDHSHVLMSEDADIADQ INYYLFYVEGSLQPPLMIEFILSKVKDSGMPFPISYLARKVADKISQAYSSGEVKNQF DFVEGEISKNNGYLVDGKLSGADILMSFPLQMAFERKFAAPEDYPAISKWLKTITSEE SYAASKEKARALGSNF YIR039C MQLISILSLLSSLMCSLTVLGSSASSYVKFPVQKLADIINICTQ DVSTVFKRNEVLNTTVINGIGVYVVKMEIGTPPQTLYLQLDTGSSDMIVNNADIAYCK SMSDGSDYASTDNYELTATFNGLPSTTISSEAYNTLCSYWGTFDASNSSTFENNATFF NNTYGDGTYYAGTYGTDVVSFENITLNDFTFGVSNDTIGNPSGILGISLPIAEFTDGI EYALALNRTPFIYDNFPMELKNQGKINKIAYSLFLNGPDAHFGSILFGAVDKSKYTGQ LYTLPMLQAFNTLGSNPGMIITAQSVAILDSESGNKTVSDIQFPVMLDSGTTFSYLPT EIAEAIGKSFDGEYSSDDQGYIFDCSKVNDTLLSVDFGGFNISANISNFVTSAKDRCV LNVKQSESTYMLGDAFLVDAYVVYDLENYEISIAQASFNNQEEDIEVISDTVPGATPA PGYFSTWVYKPGSPIGTGDFINVSWTSYSEFSQYKSLLATAAQSDDASSFSSSGGSSE STTKKQNAGYKYRSSFSFSLLSFISYFLL YIR041W MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYLFQAAHPSETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYS TRLRPAISSALSKDGIYTAIPK YIR042C MANLNIFGQEVGADVEGWTTRAFPEKVVLKGNTCRLEPLDRERH GSELFSAYSEAGQKLWTYLPAGPFTNLEEYLEFIKELNETKDTVPFAIINKETERAVG TLCLIRIDEANGSLEVGYVVFSPELQKTIIATEAQFLLMKYVFDDLQYRRYEWKCDSL NGPSRRAAMRLGFKYEGTFRQVVVYKGRTRDTQWFSIIDKEWLRIRKTFEEWLDKTNF ENGKQKRGIAAIRESLSN YJL225C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKVVISVMVGKNVKKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDILHIILRACALNF GAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALLVS SCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETTLKDSYRITLVPSSDGISLLAFA GPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVFYFVTVLRQMQICALG NSYDAFNHDPWMDVVGFEDPNQVTNRDISRIVLYSYMFLNTAKGCLVEYATFRQYMRE LPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMANHSVQTGRNIYGVD SFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSNHHQ KISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCYEIYMADTPSVAVQAP PGYGKTELFHLPLIALASKGDVEYVSFLFVPYTVLLANCMIRLGRRGCLNVAPVRNFI EEGYDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFET EVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKR SEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIRKKVESQPEEALKLLLALFESEPES KAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMQVLIGTKL VTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGELPP IKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQATAS MSIVALPSSFQESNSSDRYRKYCSSDEDSNTCIHGSANASTNASTNAITTASTNVRTN ATTNASTNATTNASTNASTNATTNASTNATTNSSTNATTTASTNVRTSATTTASINVR TSATTTESTNSSTNATTTESTNSSTNATTTESTNSNTSATTTASINVRTSATTTESTN SSTSATTTASINVRTSATTTKSINSSTNATTTESTNSNTNATTTESTNSSTNATTTES TNSSTNATTTESTNSNTSAATTESTNSNTSATTTESTNASAKEDANKDGNAEDNRFHP VTDINKESYKRKGSQMVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDI YFCPEGVFTQYGLCKGCQKMFELCVCWAGQKVSYRRIAWEALAVERMLRNDEEYKEYL EDIEPYHGDPVGYLKYFSVKRREIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQ VFRMSGRQIKELYFKVWSNLRESKTEVLQYFLNWDEKKCQEEWEAKDDTVVVEALEKG GVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRSRYELSLGMHLRDQIALGVTPSKVPH WTAFLSMLIGLFYNKTFRQKLEYLLEQISEVWLLPHWLDLANVEVLAADDTRVPLYML MVAVHKELDSDDVPDGRFDILLCRDSSREVGE YJL223C MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSSRL KPAISSALSKDGIYTIAN YJL222W MALFRALYIIWVFLLIPLSNAEEFTPKVTRTLSRYVFDIVNFDD SNTLIRAEEDSVEISFDAGENWKTIDEIEEPIESFVVDPFRGHDRAFAFVKTAPKFYV TDDQGKSWRPLTIPISEKASNYFCDVTTHPIKKKHLIIRCDLLTIKNSGLMYVGREIY TTNDGVSFSQVKPSFGKIDGHISTARCDFIKSSEDSDLGGNDASILCLFRNTEYIEST GSTIDKSELILSADGGETFKELVQFKDKVVSRYEILKHHVIVLTQDDMYNEMSSTNIW ISNDVSTFQVARTPTKIRHVNMGQIHEDSIGRIVLPVSRERDDEDSNQPGAAEVLISD SEGLKFLPINWIPNNQFGYINVAYPGFLKGTFFGSFHPFIEYSDRKRKYSRQKVREET KVSVDNGLTWTNLKVVDRENVDLFGCDVTKPERCSLQTHFYDLRNLNPSAGIMMISGI VGDGSAYNWKEEKTFISRDSGLTWRLVHNSTGLYTTGDLGNIIMYIPYRSNENGDVPS KFYYSLDQGKTWGEYDLIMPIYPYRLVSTISDGSGSKFILTGTSITEDPIFITYSIDF SAVFDYKSCEEGDFEDWNLADGKCVNGAKYKYRRRKQDAQCLVKKAFKDLSLDETPCN SCTGSDYECSFEFVRDAKGDCIPDYNLIALSDICDKSKGKSVLVKPLQLIKGDKCKTP MKIESVDIPCDEIPKEGSSDKEIVTTENKFDFEIKFYQYFDTVADESLVMLNSIGDAY ISHDGGQTIKRFDTDGEKIVEIVFNPYFNSSAYLFGSKGNIFLTHDRGYSFMIAKLPE ARQLGMPLDFSAKAQDTFIYYGGKNCESILSPECHAVAYLTKDGGETFTEMLDNAIHC EFAGTLFKYPSNDDMVMCQVKEKFSQTRSLVSSTDFFQDDRKTVFENIIGYLSTGGYI IVAVPHEDNELRAYVTNDGAEFTEAKFPYDEDIGKQDAFTILGSEEGSIFLHLATNLE SGHDFGNLLKSNSNGTSFVTLEHAVNRNTFGYVDFEKVQGLEGIIITNIVSNSEKVGE NKEDEQLKTKITFNDGSDWNFLKPPKKDSEGKKFPCDSVSLDKCSLHLHGYTERKDIR DTYSSGSALGMMFGVGNVGDRLLPYEECSTFLTTDGGETWTEVKKGPHQWEYGDHGGV LVLVPENAETDSISYSTDFGKTWKDYKFCGDKVLVKDIITVPRDSALRFLLFGEAKNM GSGSFRTYTIDFRNIFERQCEFDITGRKRADFKYSPLGSRTGCLFGHKTEFLRKTDEK CFIGNIPLSEFSRNVKNCPCTRQDFECDYNFYKASDGTCKLVKGLSSANGADICKKEP DLIEYYDSSGYRKIPLSTCKGGLKLDAHLAPHPCPGKEKAFREKYSINTGAYALVFVT ILLVIFFVAWFVYDRGIRRNGGFSRFEEIRLGDDGLIENNRTDRVVNIIVRLGLCISL ITKSAFQRAKAGTAQLSSKFRARFGNKKGATYSSLLHDQLSDEPDGFHEDSNDLSSFR GQGSNSEIEQEDVDTSQQEHTSRTDLLGASNIPDALPARSASHESDLAAARSEDK YJL221C MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGTDAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWRSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVAGLPDAPVIDENSKWQLSDPFTMNGPRIHEFHQEMNKFIRNRVKDGREIMTVG EMRHATDETKRLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPYELKDWKVALAELF RYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLSGTLYVYQGQ ELGEINFKNWPIEKYEDVEVRNNYDAIKEEHGENSKEMKRFLEAIALISRDHARTPMQ WSREEPNAGFSGPNAKPWFYLNESFREGINAEDESKDPNSVLNFWKEALRFRKAHKDI TVYGYDFEFIDLDNKKLFSFTKKYDNKTLFAALNFSSDSIDFTIPNNSSSFKLEFGNY PRSEVDASSRTLKPWEGRIYISE YJL219W MSGVNNTSANDLSTTESNSNSVANAPSVKTEHNDSKNSLNLDAT EPPIDLPQKPLSAYTTVAILCLMIAFGGFIFGWDTGTISGFVNLSDFIRRFGQKNDKG TYYLSKVRMGLIVSIFNIGCAIGGIVLSKVGDIYGRRIGLITVTAIYVVGILIQITSI NKWYQYFIGRIISGLGVGGIAVLSPMLISEVAPKQIRGTLVQLYQLMCTMGIFLGYCT NYGTKNYHNATQWRVGLGLCFAWTTFMVSGMMFVPESPRYLIEVGKDEEAKRSLSKSN KVSVDDPALLAEYDTIKAGIELEKLAGNASWSELLSTKTKVFQRVLMGVMIQSLQQLT GDNYFFYYGTTIFKSVGLKDSFQTSIIIGVVNFFSSFIAVYTIERFGRRTCLLWGAAS MLCCFAVFASVGVTKLWPQGSSHQDITSQGAGNCMIVFTMFFIFSFATTWAGGCYVIV SETFPLRVKSRGMAIATAANWMWGFLISFFTPFITGAINFYYGYVFLGCLVFAYFYVF FFVPETKGLTLEEVNTMWLEGVPAWKSASWVPPERRTADYDADAIDHDDRPIYKRFFS S YJL218W MGVLENIVPGELYDANYDPDLLKIRKETKIKLHEYNTLSPADEN KKSQVIRELLGSCTDNFIIEPPFYCDYGSNIYIGDNFYANHNLVILDGAKVVIGDNVF IAPNVGIYTAGHPIDVERRLQGLEYAMPVTIGDNVWIGGGVSIIPGVNIGKNSVIAAG SVVIRDIPENVVAAGNPCKVIRKITEKDSTTTNYRK YJL217W MVESKNTELSQGTWLNKPKSVFQEAGKVTLETDEKTDFWRETFY GFTRDSGHFLGVETGSAFTAQVRVQGSYESLYDQAGIMVRIDDGHWLKAGIEISDGHA MLSSVLTNGKSDWSTAVYGGNARDFWLRVTVEKGVLRIQVSSDKKTWPLVRLAPFPTS DHYLVGPMACTPERGGLKVTFSEWSLTAPLGKALHDLS YJL216C MTIIHNPKWWKEATVYQIYPASFKDSNNDGWGDLAGITSKLDYV KELGVDAIWVCPFYDSPQEDMGYDIANYEKVWPRYGTNEDCFQMIEEAHKRGIKVIVD LVINHCSEEHEWFKESRSSKANPKRDWFFWRPPKGYDEKGNPIPPNNWRSFFGGSAWR YDEKTGEFFLHVFALGQPDFNWENEECRKAIYDSSVGYWLRHNVDGFRIDVGSMYSKV EGLPDAPITDPTVPYQKGTEFFINGPRIHEYHKEMHNYMLSQVPEGKEIMTVGEVGIG NEDDFRVYTSAKEGELNMMFNFKHTSVGENPKCKYELIPFTLKDFKLALAESFLFIEN TDCWSTIYLENHDQPRSVSRFGSDSPKWREISSKMLATLIISLTGTVFIYQGQELGMP NFKNRKIEQIKCVEGTGTYAAIKRDYGEDSEKMKKFFEALALISRDHGRTPFPWSADE PSAGFSKDAKPWIDMNESFRDGINAEAELKDKNSVFFFWKKALQVRKEHKDILVYGHN FQFIDLDNDKLFMFTKDTDNKKMFAVFNFSSDNTDFSVPDNEASYTMFFGNYANSNGD SRTLQPWEGRLYLLK YJL214W MTDRKTNLPEEPIFEEAEDDGCPSIENSSHLSVPTVEENKDFSE YNGEEAEEVVVPEKPASAYATVSIMCLCMAFGGFMSGWDTGTISGFVNQTDFLRRFGN YSHSKNTYYLSNVRTGLIVSIFNVGSAIGCLFLSKLGDIYGRCMGLIIVIVVYMVGIV IQIASIDKWYQYFIGRIIAGIGAGSISVLAPMLISETAPKHIRGTLLACWQLMVTFAI FLGYCTNYGTKTYSNSVQWRVPLGLCFAWAIIMIGGMTFVPESPRFLVQVGKIEQAKA SFAKSNKLSVDDPAVVAEIDLLVAGVEAEEAMGTASWKELFSRKTKVFQRLTMTVMIN SLQQLTGDNYFFYYGTTIFKSVGMNDSFETSIVLGIVNFASCFFSLYSVDKLGRRRCL LLGAATMTACMVIYASVGVTRLYPNGKSEPSSKGAGNCTIVFTCFYIFCFSCTWGPVC YVIISETFPLRVRSKCMSVATAANLLWGFLIGFFTPFITSAINFYYGYVFMGCLAFSY FYVFFFVPETKGLTLEEVDEMWMDGVLPWKSESWVPASRRDGDYDNEKLQHDEKPFYK RMF YJL213W MLMPKSVALLRIRYTLEAALARGFTTVRDCGGAEGFLKAEIRQG SLNGPRLITCGHAISQTGGHGDLRSGALPASAFDSCSCHFGQVGVVADGVPECYKAAR EEFRRGADFIKIMGGGGVASPTDKISNKQFCDDEIKALVDVANSYHTYVTAHAYTAEA IQNCIKLGVKGIEHGNLLDERTAELMAELGCYLTPTLVTYKVMGSDQFSAFLGPENSR KNTEVLYKGIDAMKIAQKKKVKICFGSDLLGPLYGYQTQEFRIRGKVQTTQEILLSAT VTPAEMNGLGDKLGQIKPGFIADLLMMKSNPLDDITILDEPESNILFVMKEGRIY YJL212C MSTIYRESDSLESEPSPTPTTIPIQINMEEEKKDAFVKNIDEDV NNLTATTDEEDRDPESQKFDRHSIQEEGLVWKGDPTYLPNSPYPEVRSAVSIEDDPTI RLNHWRTWFLTTVFVVVFAGVNQFFSLRYPSLEINFLVAQVVCYPIGRILALLPDWKC SKVPFFDLNPGPFTKKEHAVVTIAVALTSSTAYAMYILNAQGSFYNMKLNVGYQFLLV WTSQMIGYGAAGLTRRWVVNPASSIWPQTLISVSLFDSLHSRKVEKTVANGWTMPRYR FFLIVLIGSFIWYWVPGFLFTGLSYFNVILWGSKTRHNFIANTIFGTQSGLGALPITF DYTQVSQAMSGSVFATPFYVSANTYASVLIFFVIVLPCLYFTNTWYAKYMPVISGSTY DNTQNKYNVTKILNEDYSINLEKYKEYSPVFVPFSYLLSYALNFAAVIAVFVHCILYH GKDIVAKFKDRKNGGTDIHMRIYSKNYKDCPDWWYLLLQIVMIGLGFVAVCCFDTKFP AWAFVIAILISLVNFIPQGILEAMTNQHVGLNIITELICGYMLPLRPMANLLFKLYGF IVMRQGLNLSRDLKLAMYMKVSPRLIFAVQIYATIISGMVNVGVQEWMMHNIDGLCTT DQPNGFTCANGRTVFNASIIWSLPKYLFSSGRIYNPLMWFFLIGLLFPLAVYAVQWKF PKFKFAKHIHTPVFFTGPGNIPPSTPYNYSLFFAMSFCLNLIRKRWRAWFNKYNFVMG AGVEAGVAISVVIIFLCVQYPGGKLSWWGNNVWKRTYDNDYKKFYTLKKGETFGYDKW W YJL210W MSRVAQLDSIALDKELYGQFWSEFNAAFNTSEHKEEWELALNTV VFMCATRFLPHYGSSCTYGSALSGVVFQCRKRTLYVVTVLAGYVWKKITHIIFNGPHC GNQMMWLKLYKWVNLLYHGCDVTNFLRFLAAEGPNARAFLSPLYRAFNVHSTRLIRDG SAIASEFYSNSVFAGLEYQNRQLLWNALLELFSNTLLTKRGLLTFVKKPPRSRSTTTY KTVCPRCGGFPTNPYQIACCRANYCYVCVVKALEWSMCDACGSSGRLTASPVY YJL209W MFLPRLVRYRTERFIKMVPTRTLRRINHSSRDPIQKQVLALIKA NANLNDNDKLKIRKYWSDMADYKSLRKQENSLLESSILHEVKIEDFISFINRTKTSSM TTRGIYRRECLYQCKKNLDLVNQVVSQVSSVRHQKPLTTQLDTMRWCVDDAIGTGDIV MAADLFLLYYRLFTDDKKLDEQYAKKIISVLAYPNPLHDHVHLVKYLQLNSLFESITG GGIKLTRFQLETLSNKALGLSNEAPQLCKAILNKLMNINYSLTNDLKLRDDQVLLAYK SIDENYRRGNVASVYSIWNKIKEHYVSISAHDSRIIYKVFKICTHNRAYRSICSEMFW QLTPEYYCNNPLILPAIIDFITKQDSLTMAKELMQNINRYTLPENHHIVWLNKRCLSS LLRMHLKFNDSNGVDRVLKQITTNFRALSQENYQAIIIHLFKTQNLDHIAKAVKLLDT IPPGQAMLAYGSIINEVVDWKLASKVKFTDNLMALVNDLLTKAHDFDPDHRNSLWNVV SALYIKKLCHYKKRDGKFVANAKKDIDLAKLLYINAAKRSKTYWTKSNCNPFIASSPC DVKLKVNNQNRFTILRNIALSALQIGRTDIFLWACAELYQNGMTIEELKLDWNFILKH QIRNSEFKTNKEIIQDIKKHGVSAVKRYLR YJL208C MCSRILLSGLVGLGAGTGLTYLLLNKHSPTQIIETPYPPTQKPN SNIQSHSFNVDPSGFFKYGFPGPIHDLQNREEFISCYNRQTQNPYWVLEHITPESLAA RNADRKNSFFKEDEVIPEKFRGKLRDYFRSGYDRGHQAPAADAKFSQQAMDDTFYLSN MCPQVGEGFNRDYWAHLEYFCRGLTKKYKSVRIVTGPLYLPKKDPIDNKFRVNYEVIG NPPSIAVPTHFFKLIVAEAPTANPAREDIAVAAFVLPNEPISNETKLTDFEVPIDALE RSTGLELLQKVPPSKKKALCKEVNCQIVVRDFSNAAIKQSKDVKLLPPPKKRN YJL207C MANRSLKKVIETSSNNGHDLLTWITTNLEKLICLKEVNDNEIQE VKEIHTQLDEFVRYISVLENTDDLELHSVFISLSQLYTISIWRLKDEYPGVVFDSAAF LTNVLCEEDVSIDDGDTDPNQKKKKKKSSTKKKKYIYSPAKDIACTILVQLFENFGSS ISSLIPLLFNAIFKNLKKIMEKSKYYHATFMTTLLQLFNAILRNSNNDDKILDPATYA KFSKLSKTVFDSISTDEKDFSVTFVSVLIECWTAHFKQTNFIREHSHDIIETIYSRFT EGEIGVYGFANDETRIFTAKSLAEILFDYYFSKNILTLQEVWSIYVKIFLNCDTRDVE SGCFESIIHLINLNLLADNTFLSNSKYLDIVLSLSGVFSSYEVNNRSMNTLSRYLRYF QHMHEVILPHLNDSAKTQMLYYILGCSDTYQSSSKSDSASNFKYSIDAKPETQWLTLL QLDFTYVLISDLGSTFTTEENTVKEIRDKLVDLATCEIFTIRVHTVEILKVFLNNCPE YLSETIENSLRALSTDFKSTGKFIFHKNHGHAFIIANLIKGAESDYISYELIMRITVF STSFIKNNTTSTSSNLYFKGLLCWILLIGLMNYKDEQYLKLQIPQLFLFWKVLLTHTY TYHDEDELYKNLEIRNHALTCLLTYLSNTTIDKEMAKQVSYLLTKCSNFNHSIDLKSK NIDNALLHNENRILQVYLKLEKYINSDFNSSLLILIVKNFSDPNLYTESSSSVLGSLK DIGNRKVSNKDDMESNIVLESSINTLLRQNNGFAFGLSSKITGDRIVNLSMSSAYKYD ESISGSWPSKDYNWYNIFEVEVSKPISPILSLDSLILLYGSGSYSQIDRYAPQVTTSL IDSSMELFSSVFPFLNSKIQYSIMETLNLSMFSKMTTPLRSVAVAANVCSALHNALRI MQENNLELDYSVGQLIIESIKKIQFFNDIFLTKIKADCVGLLTAAIARTLGDEERQKF LTEQSRIFIKNVADMDEPYLRMFHVLSLATIFKYNSQYANFEEYFDVIFALMRDPHPV VHSWSLKAMHILLEKHLVIDLKTAALLLSSMEELLVQDKYGIYGRSTLRCNYNRDFNS HVAIGEISRTLTETVGPNFLELNTKVLDSFRNITLSMLISNNILNSITSIKMFENIAT FKMKNILNYEIFILASKSIIKSSIVTGIGSSYFDTTFTGSNELISRTSSLKGAFENFD LLTLLYKLQMEEFFMKEMENLSWRYLALFPNSGSVKNYFTEWILHTFKRDNHWFDKLY SIFNMSLGRLFQSYNRDVSALLEVNGLKKSSEKEIKGEEEESIANVNQLTDTDAGGLD SENLQWKSRQIILNLILMLCLESEKYENLLLALSNKIADLIKISFRGSTVRNEGMKLT GLHILNFVLKNYSTMRDPQVPGSSILEQQEAQITSALMPAFSKGSSPTVMSFAITVAA EVLASNIMPPDKLGRISQLLIDLLGNFKDPNSGIRIGEAIIVTPKAKRKIELAVLDAW AEVVQRSITSSNDALFSFTRKYWSILVPLWIISLREYMMIKYNDNDSTVQVKNDSKEN SLIEPRSTKIELYEPVWLNFVEALGCTLDSDVQVILASLNDEELEYFLFILFSQCLEA IVKNIDDHSVKMQVLPALHNVLKSNLCIKSIFEDDIITEVVEIMDRLISTGDSKEEFL LVDIISDLIIGYSKCNATPETFLQDIDKLYELLRLLMTIISERLPFIKYNVLTSEEDD NEIKISPTDISLLKKTFIAFESNISNFDNMFKVDLYSCLLFIIGKIYECSHREVIIPI ILPLFKALVKALTESEDEKNIVLLEIFYGSIKDVIYHKLDSKNKVATILILLSNGYSK LSFQELNQCANILSEALNNPATQPIALQGFKRIISNIFKYPLLQYFMKLVIKRFFQDI QTNDSLSQASIKTKLIIQFSEEVIKQDHQKASLSIALCLSFFAAYHSAYTEKIDNEVA SGIVALAKLDKNSFKEAISSTISPQQKAIIGSVMEAYVKSQSLGSVEEAFQLKSFD YJL206C MTPKESGKPISCAMKKLKGKRSKILVLSRDAGTNELKPTKGRAH RACIACRKRKVRCSGNIPCRLCQTNSYECKYDRPPRNSSVFDREVSDDSSLYAQRASH EREDSKGPISSIDYKKVVETIFPPETLRQILASSSFNSQNFLDTIKTCLLQGQLNVNQ VIRQSLPKDTPWHMQTSVPLPPREIALKFIQKTWDCACVLFRFYHRPTIISILDSIYE AEKHGKQYTPEQVKTQPLIYSVLAVGALFSKEDLSKDSKATREFYTDEGYRYFLEAKN SLDFSNITDIYSIQAIFMMTIFLQCSANLKACYSFIGIALRAALKEGLHRRSSIVGPT PIQDETKKRLFWSVYKLDLYMNCILGFPSGIDESDIDQEFPLDVDDENISTIGIKFQD WRTISSCGMNNKHTKLILIMSRIYKLMYSLRRKPLEEDSRTQIVSLNDQLDNWYAQLP DILKVDTIRYRQTQPPLTVSANDTSSPYTKPKKLLYLDFLLSKIVLYKPFYHYISIDP LDIPEFQFQIHMAENCIEVAKKVIQLSYEMITQNLLSGSYWFSIHTIFFSVACLKFYV YQTEKGLIRNGKVDSDIHNATQLGSEILSLLKGASNASKRTFEVLNQLFKEFNEKTSV LSEQLLNIVKLQRQESSGALVPQLQTNNNFTKCQGELHHGQQHHQTPATSLRSILNLP QGEADLKFQNTNNESHTTTAAQEEYLDKLLAEFEEFDYSINRVLPDVIDFSALIGQDS SANNQIFSSEFSSDPTVN YJL205C MVQYAPFLLGKFSDPLLAIMVGCLSYYVYERKMGRPQGHHLHEL IKKRWDDRK YJL204C MDDLLKVPEIVTNIASYLSTVDYLSFQQVNKRVYAIINGKNDSK YWSLKLTRMGLQQVHSNEEEEITLLDENDNQNSLRIFEIYKSFTAQNSKKIFVKFYRC YNSYARKLYNNNLANFFPTSYSNDPLKQTRILNFIKKYNFSNKNDIETFTRIETNFNI LREIFINSVLKESELNYQSNNLAAVARFMKILLISNEESNAIEFFKSKADLPPSLTVL PSNDELFWAEQPREEDSGGSTVIFNSKNLDTFLNQLRDFLNEKIKLADILFKDEFPVI LQFIESFIQDILLDILNNILLSYSEFLKENGKDSKANYECVPELYFTFIKKFDTELND SVNAGANFRKVVRDLLNLYLEPFVVNYMNQTTRVFESLINSQLANYDTQVQDKQREQN AKIYNTLKDQTDASSASNNELPNDLSIITETSKTVPEADSKPSTIHQSVHSTDISNDK LDFLSSFTKIFKFSNNENQRLKQQLQLAYNLNLISNNLQNIKSLISLDLCYKILQETS EKTDQIYKFHTIESLLPLIKLRCQEIFKILITQLNKNHVKPAFEKAILLLQKYNPNEI EQIEIKFNSLSPANTQVEPLVQFTELINIGDIILQMISIFYKNELIPKKIIDKNKDFL NDVIQLKKNFETSIDDFVAEGLNIGINKLMDEISFVFKTLQLPDDYNPPPPSRNSPIR DIKPTKCAIRVVELLSNHCFLLTGATDKGTIDVYQQEIGERFFNEIVKHLKKCFISTE GAIWLICDLNYFYDFIANKLKQKNVVPYFVGLKSIGQLYIISGKDSKELGKLISDLGK FNGIFTQEEIYEFVQRRSDWVRVRKDVEKVMYGLGIRDCCIM YJL203W MEPEDTQLKEDIKTTVNYIKQHGVEFENKLLEDERFSFIKKDDP LHEYYTKLMNEPTDTVSGEDNDRKSEREIARPPDFLFSQYDTGISRRDMEVIKLTARY YAKDKSIVEQMISKDGEARLNFMNSSHPLHKTFTDFVAQYKRVYSFTGQEIKKSKRTI LDNCFERTQYWEFEKDKDREHDKLVELCKIQFAAIPWDKFTQVAKFSIPEDTEIFEGS LDLEQMRLRRVQTGIKLFDSIKPTNEEEKIVSDQGKQKGGDSKGKKRKIRAVGETRLK KSKK YJL201W MIDINVNNIFFRSYSVDPNSGHAIYVFDSTYLPASDEIGDKQVY DLLINALMDRLVMKLPQAPYSLVIFSSGFSQRKISWVYGIKMFAKLPKETKFYLQKIF IVHESFFVRSVYQVISNAMNFNFLDSKDSQHDFPSLVHVLDLTSLSELIDITRLRISL NVYLYDYQIREHINVPEEYYNRLTPLAIRQYRQLVFDKIFKKLQNDALLCELIFQKPG NYKKVNIFLDIIKRNNYIDLSQWDIYSLASVWLNYFIKNKAKPLIPIELIPLPIVDDL KFTSETFRKIIKFNQYQDLFMVIIPFFNRIIAHGESTKHDSRTLSKALTPALCKEKLS MMTNDRLAIGSRYIKNLLDFFPEIAKEISSPPSSVSSSSTIPVLPKPRKSSPTRYSEL GCLTLPRSRSPSPQRSVTSPTYTPVALQNTPVLKPKSSSRNVSSPSFNAKPPLPIKAV TRPQLSLTSNSNTDLALASSSTDTLSSPTKTPSADSLPLSNSSTDLTISDNIKEMVKD EPAKDKNSVETDIFVQQFESLTLVQNAKIKKFDKELQEKKKKNETTSKTADKFSQKGY SDIKASNKVSRLAALYEERLQGLQVMNEMKQRW YJL200C MLSSANRFYIKRHLATHANMFPSVSKNFQTKVPPYAKLLTNLDK IKQITNNAPLTLAEKILYSHLCDPEESITSSDLSTIRGNKYLKLNPDRVAMQDASAQM ALLQFMTTGLNQTSVPASIHCDHLIVGKDGETKDLPSSIATNQEVFDFLESCAKRYGI QFWGPGSGIIHQIVLENFSAPGLMMLGTDSHTPNAGGLGAIAIGVGGADAVDALTGTP WELKAPKILGVKLTGKLNGWSTPKDVITKLAGLLTVRGGTGYIVEYFGEGVSTLSCTG MATICNMGAEIGATTSTFPYQEAHKRYLQATNRAEVAEAADVALNKFNFLRADKDAQY DKVIEIDLSAIEPHVNGPFTPDLSTPISQYAEKSLKENWPQKVSAGLIGSCTNSSYQD MSRVVDLVKQASKAGLKPRIPFFVTPGSEQIRATLERDGIIDIFQENGAKVLANACGP CIGQWNREDVSKTSKETNTIFTSFNRNFRARNDGNRNTMNFLTSPEIVTAMSYSGDAQ FNPLTDSIKLPNGKDFKFQPPKGDELPKRGFEHGRDKFYPEMDPKPDSNVEIKVDPNS DRLQLLEPFKPWNGKELKTNVLLKVEGKCTTDHISAAGVWLKYKGHLENISYNTLIGA QNKETGEVNKAYDLDGTEYDIPGLMMKWKSDGRPWTVIAEHNYGEGSAREHAALSPRF LGGEILLVKSFARIHETNLKKQGVLPLTFANESDYDKISSGDVLETLNLVDMIAKDGN NGGEIDVKITKPNGESFTIKAKHTMSKDQIDFFKAGSAINYIGNIRRNE YJL199C MYKVSACGVRIMSGISEIWIGELRDYKYALRLDREEYPAVLVYE YDSSSTRNYLFTIKYSDQRPNWQLITRDAALTAYDLLNRGGEFTTLSFPGATIHRSVS ELSRLH YJL198W MRFSHFLKYNAVPEWQNHYMDYSELKNLIYTLQTDELQVGDNEE GFGAGKSSNITDRFKNKFSFKNAKEDTSSGMNKDAGIVEETIELRELPTAQTVAAKPS PFRRMKEKIFYKRRSSSASSVSSTANENLQLDTYDTFVGDLTAEKQKVDDFYKRTEAK FYDKFDALVKDLKKIGVIEYDIDDDTLFNEPIASTNDEVPPLDLDDDEDDDEFYDDQS NIEDNTALLHHSQYNIKSQKKSLLKKSIVNLYIDLCQLKSFIELNRIGFAKITKKSDK VLHLNTRTELIESEQFFKDTYAFQAETIELLNSKISQLVTFYARITDRPHNISHSKQE LKSYLHDHIVWERSNTWKDMLGLLSQADELTPKETEYNANKLVGKLDLEYYRWPLPRP INLKFTSINNVALPKLFFTKKAYKIYFIILVTGLLLGIKTFNDAAQHRCMALVECVAF LWASEAIPLHITAFLVPLLVVLFKVLKTSDGAIMSAASASSEILAAMWSSTIMILLAG FTLGEVLAQYNIAKVLASWLLAFAGCKPRNVLLMAMCVVFFLSMWISNVAAPVLTYSL LSPLLDAMDADSPFAQALVLGVALAANIGGMSSPISSPQNIISMSYLKPYGIGWGQFF AVALPSGILAMLLVWILLFTTFKMNKTKLEKFKPIKTKFTVKQYYIITVTVATILLWC VESQIEGAFGSSGQIAIIPIVLFFGTGLLSTQDLNAFPWSIVILAMGGIALGKAVSSS GLLSTIAKALQKKIENDGVFAILCIFGILMLVVGTFVSHTVSAIIIIPLVQEVGDKLG NPKAAPILVFGCALLSSCGMGLASSGFPNVTAISKVDRKGDRYLSVMTFLTRGVPASI LAFLCVITLGYGIMASVVKGNATSA YJL197W MGSSDVSSRECSLVYNEDPDFTDGTTPCDRLGVDLMNVLDDKDE IKQESVPVSDREIEDTESDASAVSSFASANELIAEPHAASETNLGTNGQDGRNVLEQQ RDVVARLIEENKETQKEGDKVCIVPKVWYDKFFDPDVTDPEDIGPINTRMICRDFENF VLEDYNRCPYLSIAEPVFNFLSEIYGMTSGSYPVVTNLVINQTTGELETEYNKWFFRL HYLTEKQDGRKRRHGQDDSIMYLSMSALNLVRDLVEKSMNLFFEKADHLDVNAVDFKI WFVSEGSDIATDSNVSTFLNSSYEITPLQFLELPIKKLLIPDMFENRLDKITSNPSDL VIEIKPIEGNHHWPSNYFAYNKLEPASGTTGLVNLGNTCYMNSALQCLVHIPQLRDYF LYDGYEDEINEENPLGYHGYVARAFSDLVQKLFQNRMSIMQRNAAFPPSMFKSTIGHF NSMFSGYMQQDSQEFLAFLLDSLHEDLNRIIKKEYTEKPSLSPGDDVNDWNVVKKLAD DTWEMHLKRNCSVITDLFVGMYKSTLYCPECQNVSITFDPYNDVTLPLPVDTVWDKTI KIFPMNSPPLLLEVELSKSSTYMDLKNYVGKMSGLDPNTLFGCEIFSNQIYVNYESTE SNAQFLTLQELIKPADDVIFYELPVTNDNEVIVPVLNTRIEKGYKNAMLFGVPFFITL KEDELNNPGAIRMKLQNRFVHLSGGYIPFPEPVGNRTDFADAFPLLVEKYPDVEFEQY KDILQYTSIKVTDKDKSFFSIKILSVEKEQQFASNNRTGPNFWTPISQLNLDKATDID DKLEDVVKDIYNYSSLVDCAEGVLMQVDDEGDTEGSEAKNFSKPFQSGDDEENKETVT NNENVNNTNDRDEDMELTDDVEEDASTEPELTDKPEALDKIKDSLTSTPFAILSMNDI IVCEWSELGSNEAFSDDKIYNWENPATLPNKELENAKLERSNAKERTITLDDCLQLFS KPEILGLTDSWYCPTCKEHRQATKQIQLWNTPDILLIHLKRFESQRSFSDKIDATVNF PITDLDLSRYVVYKDDPRGLIYDLYAVDNHYGGLGGGHYTAYVKNFADNKWYYFDDSR VTETAPENSIAGSAYLLFYIRRHKDGNGLGSSKLQEIIQKSRHGYDERIKKIYDEQMK LYEFNKTDEEEDVSDDMIECNEDVQAPEYSNRSLEVGHIETQDCNDEDDNDDGERTNS GRRKLRLLKKVYKNNSGLGSSSTSEISEGCPENEVADLNLKNGVTLESPE YJL196C MVSDWKNFCLEKASRFRPTIDRPFFNIYLWDYFNRAVGWATAGR FQPKDFEFTVGKQPLSEPRPVLLFIAMYYVVIFGGRSLVKSCKPLKLRFISQVHNLML TSVSFLWLILMVEQMLPIVYRHGLYFAVCNVESWTQPMETLYYLNYMTKFVEFADTVL MVLKHRKLTFLHTYHHGATALLCYNQLVGYTAVTWVPVTLNLAVHVLMYWYYFLSASG IRVWWKAWVTRLQIVQFMLDLIVVYYVLYQKIVAAYFKNACTPQCEDCLGSMTAIAAG AAILTSYLFLFISFYIEVYKRGSASGKKKINKNN YJL194W MSAIPITPTKRIRRNLFDDAPATPPRPLKRKKLQFTDVTPESSP EKLQFGSQSIFLRTKALLQKSSELVNLNSSDGALPARTAEYEQVMNFLAKAISEHRSD SLYITGPPGTGKTAQLDMIIRQKFQSLPLSLSTPRSKDVLRHTNPNLQNLSWFELPDG RLESVAVTSINCISLGEPSSIFQKIFDSFQDLNGPTLQIKNMQHLQKFLEPYHKKTTF VVVLDEMDRLLHANTSETQSVRTILELFLLAKLPTVSFVLIGMANSLDMKDRFLSRLN LDRGLLPQTIVFQPYTAEQMYEIVIQKMSSLPTIIFQPMAIKFAAKKCAGNTGDLRKL FDVLRGSIEIYELEKRFLLSPTRGSLNSAQVPLTPTTSPVKKSYPEPQGKIGLNYIAK VFSKFVNNNSTRTRIAKLNIQQKLILCTIIQSLKLNSDATIDESFDHYIKAITKTDTL APLQRNEFLEICTILETCGLVSIKKTKCKGKTKRFVDKIDVDLDMREFYDEMTKISIL KPFLH YJL193W MFQQLSASIRHNAHIIFLCISWYFISSLASQVTKQVLTVCPLPL FLGEFQFIYTAVLAWFTCYIAYSFPGFYRIFPNGTFPEYYIDDRETSRAARKESKLSS LIIPPSKPILQTVLPLGLFQFVGKYFGHTATSLVPVSTVASIKTLSPMFILLLQKILK ISTLKITLTLIFSLCTLVLGVWIIVQEDNRSPASSNELREFSKYGVICAMISMFIFVL QNIYGKTVFTYRSQTDESQSNSGFSRQESPLPLYEKLDEKLVAKKKPKSYDKLTLMIY ISLVGFCLSFGWFITLEFPVLFRYFFQINSSSTVIKAFPVSLFLLNGTFHFIQAMITF HLLGEVSTLTYSIANLMKRFAIIAVSWVFIGRRITWLQVFGLVLNTLGLFLYERCTSQ SKIKAKIRPE YJL192C MFSQIVLLLSAFIYVASATARRGTIKGRLDLAASNITGFVSTRT SFKLYQIGNFSTEYPYTSTTMFQDDEGNFEFANLPLNDGVNETTYYVMYPASMDFNLK PNRILIEFKNLENGTLQLNAFKNFFGREYFPSKDITYPEKLQSMKVHPYITVELLHKA PIRSYLQARNVSIFSTGIVGNILNSRWKLAGVITLIALVVFPIIVEKLDPETARAIRE EAKRKQREKYAAVASK YJL191W MANDLVQARDNSQVFGVARIYASFNDTFVHVTDLSGKETIARVT GGMKVKADRDESSPYAAMLAAQDVAAKCKEVGITAVHVKIRATGGTRTKTPGPGGQAA LRALARSGLRIGRIEDVTPVPSDSTRKKGGRRGRRL YJL190C MTRSSVLADALNAINNAEKTGKRQVLIRPSSKVIIKFLQVMQKH GYIGEFEYIDDHRSGKIVVQLNGRLNKCGVISPRFNVKIGDIEKWTANLLPARQFGYV ILTTSAGIMDHEEARRKHVSGKILGFVY YJL189W MAAQKSFRIKQKMAKAKKQNRPLPQWIRLRTNNTIRYNAKRRNW RRTKMNI YJL187C MSSLDEDEEDFEMLDTENLQFMGKKMFGKQAGEDESDDFAIGGS TPTNKLKFYPYSNNKLTRSTGTLNLSLSNTALSEANSKFLGKIEEEEEEEEEGKDEES VDSRIKRWSPFHENESVTTPITKRSAEKTNSPISLKQWNQRWFPKNDARTENTSSSSS YSVAKPNQSAFTSSGLVSKMSMDTSLYPAKLRIPETPVKKSPLVEGRDHKHVHLSSSK NASSSLSVSPLNFVEDNNLQEDLLFSDSPSSKALPSIHVPTIDSSPLSEAKYHAHDRH NNQTNILSPTNSLVTNSSPQTLHSNKFKKIKRARNSVILKNRELTNSLQQFKDDLYGT DENFPPPIIISSHHSTRKNPQPYQFRGRYDNDTDEEISTPTRRKSIIGATSQTHRESR PLSLSSAIVTNTTSAETHSISSTDSSPLNSKRRLISSNKLSANPDSHLFEKFTNVHSI GKGQFSTVYQVTFAQTNKKYAIKAIKPNKYNSLKRILLEIKILNEVTNQITMDQEGKE YIIDYISSWKFQNSYYIMTELCENGNLDGFLQEQVIAKKKRLEDWRIWKIIVELSLAL RFIHDSCHIVHLDLKPANVMITFEGNLKLGDFGMATHLPLEDKSFENEGDREYIAPEI ISDCTYDYKADIFSLGLMIVEIAANVVLPDNGNAWHKLRSGDLSDAGRLSSTDIHSES LFSDITKVDTNDLFDFERDNISGNSNNAGTSTVHNNSNINNPNMNNGNDNNNVNTAAT KNRLILHKSSKIPAWVPKFLIDGESLERIVRWMIEPNYERRPTANQILQTEECLYVEM TRNAGAIIQEDDFGPKPKFFI YJL186W MLIRLKKRKILQVIVSAVVLILFFCSVHNDVSSSWLYGKKLRLP VLTRSNLKNNFYTTLVQAIVENKPADSSPDLSKLHGAEGCSFANNVAAHDSGHDSDLS YESLSKCYNLNKTVQESLREVHSKFTDTLSGKLNFSIPQREALFSGSEGIVTIGGGKY SVLAYTMIKKLRDTGTTLPIEVIIPPQDEGEDDFCKNWLPKFNGKCIYFSDIVPSKPL SDLKLTHFQLKVFGLIISSFKRIIFLDADNYAVKNLDLAFNTTSFNDTGLILWPDFWR RVTPPAFYNIIGSSINIGKRVRFVSDDISPVSRYDPFVSNSNDYTPKERQEHFLKHVP LHDLDGTMPDLSSESGQMVIDKIRHFNTLLLALYYNVYGPTWYYKMISQGTAGEGDKD TFVAAAHALNMPYYQVRTNFEFDGFFYQKDDYKGLALLQHDFEQDYKQYQKAQQKVKA NIEEFSKLDPDYTLDNGFLKTLMVNDDGSDLDIMFIHASFYKADPWTLYHENRFIGPN GEQVRGFRKPHRYGMDFELFLFNDMRGSFCTTPKSQVIKFKYFTDKVNTPEWDAMCEY LTNHVNYLESTHKEAMGEKN YJL185C MASVNNYQVDCGSRSARIQPRINNGIHDEESLFEVLELSEEEFE LDFHRLKSFNDVRVINNPDLSPECTNTAISRDETLESASSAFEVPSDEIAILSISSDS NKNSPPSEQPAPALRNIRSSSNSDRIDEWCLGSHLFNELHQNVPQSSDGVNHGFPVYS FKERELYTSAKLKKLTNAQRIAVQKLSRDLYPILRTCYREKTRRQLLTYHHERIFDDI PSFFPQRDFIFNYYSMPLEFDRLSDVDIDSSSRSRFTDESTGETLNRSPSAASSSLEN TSWFGWTLLSRFLDREW YJL184W MKLPVAQYSAPDGVEKSFAPIRDDPRYMTTEGRTTGPSDHVLNA GQIDRDKPSEPERTKDGSQLTYLGQLRTQLTGLQDDINEFLTGRMELAKNKKKAGADE KRIQEEINQLLDGGDGDEDAV YJL183W MAIKPRTKGKTYSSRSVGSQWFNRLGFKQNKYGTCKFLSIITAF VFILYFFSNRFYPISRSAGASYSPSHGLYINEIPASSRLIYPHVEHVPVLKQMTVRGL YITRLEVDGSKRLILKPEENALTDEEKKKTTDQILLVKHSFLDHGKLVYRKSNDAPEV VVVTLIDFENYELETIIQIVQNRVDYAQKHQYGVYIRWIQEFLPVLENQNLAESYEFI KPLVIRAAMHAFPTAKYIHFVDQDALLMNLDLSLQKYLLDPKIMDLALLKNVPVVANS NIKTYNHFEYSSAKIIIPHDADGNIDASSFVIANDFYGKALIDYLNDPLLRNFPWDNT GDKLSAAIGHILQWHPTLLGKTAIVIPKVLASQYDASLDQEGESGNGASNGDVYHYNE GDLAASFKGCRSRGTCASEIGHMYQKIKKS YJL181W MEIFKEEEEEAFSAIEGIIYACEVYDPVPRHLHKSKTKIINAAK LIIETHLSYYTILNNISDIQAYLSTWLRDLGTTGPYQTILSESISLMFDRTVSIFRKC TIEGGFPHLIARLYLRLKSYQKLLNDAGLKNFFSSYDYAFGVAYNLVNCSEYRYDEVH YISNGTYSLVASMKIDPAEVIKREHFRLTIPKFNISNILIEIFHLLDGLAFFKVNPDS LSISTASAETIFRSISEGNHQVLELGRSLMFPLLRTGDFEICRIDDAGAVITFTEAKD VKLEIISLDEVSWVMQWKSCLQNYERRAANDSSFIKTHLQFKKANNFNEDNNGLGLIV DRNIPTDDFTLASTNRQSPPPSNTGCSLHRSKPLHIPLSSVIREDFYDSSLNERISKD GDSSCESFSGAESILSDYDFHDNEFFNNQSPHYFSEHIDNNSREVVITDENTIISLEN TQVSRWSNYSWQKISPHQLQVSIIQLRMGNFIVAYDSDYNLHQFKIRLCDDIKCIQST EQDIQIRVPLGAIMCSVTGILNIRTKDADKLLRVLSFYTTDHTEAVSHSNNQDATASP LSSVSSAMDLKHSLQKCSSTIMPQELTQDVIGSKSDLISNIRQKI YJL180C MLPSLRKGCFIVNSIRLKLPRFYSLNAQPLGTDNTIENNTPTET NRLSKTSQKFWEKVSLNRDVEKGKIALQLDGRTIKTPLGNGIIVDNAKSLLAYLLKLE WSSLSSLSIKTHSLPLTSLVARCIDLQMTNEPGCDPQLVAKIGGNSDVIKNQLLRYLD TDTLLVFSPMNEFEGRLRNAQNELYIPIIKGMEEFLRNFSSESNIRLQILDADIHGLR GNQQSDIVKNAAKKYMSSLSPWDLAILEKTVLTTKSFICGVLLLENKKDTANLIPALK TDMDNIVRAATLETIFQVEKWGEVEDTHDVDKRDIRRKIHTAAIAAFKQ YJL179W MSQIAQEMTVSLRNARTQLDMVNQQLAYLDRQEKLAELTKKELE SYPTDKVWRSCGKSFILQDKSKYVNDLSHDETVLLDQRKTLKIKKNYLETTVEKTIDN LKALMKN YJL178C MVSKTWICGFISIITVVQALSCEKHDVLKKYQVGKFSSLTSTER DTPPSTTIEKWWINVCEEHNVEPPEECKKNDMLCGLTDVILPGKDAITTQIIDFDKNI GFNVEETESALTLTLKGATWGANSFDAKLEFQCNDNMKQDELTSHTWADKSIQLTLKG PSGCLKSKDDDKKNGDGDNGKDGDSEGKKPAKKAGGTSWFTWLFLYALLFTLIYLMVV SFLNTRGGSFQDFRAEFIQRSTQFLTSLPEFCKEVVSRILGRSTAQRGGYSAV YJL177W MARYGATSTNPAKSASARGSYLRVSFKNTRETAQAINGWELTKA QKYLDQVLDHQRAIPFRRFNSSIGRTAQGKEFGVTKARWPAKSVKFVQGLLQNAAANA EAKGLDATKLYVSHIQVNQAPKQRRRTYRAHGRINKYESSPSHIELVVTEKEEAVAKA AEKKVVRLTSRQRGRIAAQKRISA YJL176C MENTLGEGSTVNASVDVDQHGNDNNSDSNANAAVAGVANTDTAG EESQQQDESLKDEATVPNTRDAESEAITVTAKQQPTMQANKLDSQETPSTEESRAQNV FGQDNEDSDNLFGETESSVSNNEANTPSIPTNPVDNENNKPAIKEDSTIQDSNGDVKN MEDVKIQKEEEPENNTVIEGVKEESQPDENTKEMDEVEEDDEDDDQPMISPDNSIFGD TKSESKQLGNTSSVANTPSEIPDAHKAEQEDIIEKTESVDKKVDSGEERNEQEREIMN DHSKSANPKKTTITRVEPETFEIPQAHEIVIPSYSKWFNLEKIHSIEVQSLPEFFTNR IPSKTPEVYMRYRNFMVNSYRLNPNEYFSVTTARRNVSGDAAALFRLHKFLTKWGLIN YQVDSKLLPKNIEPPLTSQYSTRHDAPRGLFPFESYKPSVQLPDMAKLKKMMNTSDSE STLYKYLKESKRKYDEITHPPSTTDDENGDKNDNGGKMNNEVSTSTSMTGDANLLEEG ETSRPLKKVKILEQIDENWSKEDLQKLLKGIQEFGADWYKVAKNVGNKSPEQCILRFL QLPIEDKFLYGDGNGKGDNDNGLGPLKYAPHLPFSKSENPVLSTIAFLVGLVNPKTVQ SMTQRAIQSAESIKSQKEEISDQKPIEHIKEGSEIAISSLGYRSHIFATNEERQMNFL TNELIRLQMEKLDAKLNHLKKLEKFMELERKTLERQQENLLIQRLNFNQNSSKIVNVL SKCLNLISDSNINNSSVAEKEEIRSQIDHFKSMLSKPETLSIGKNPFNKPNIETGENH NGQSISNENDVKPISIEAPQFYRYWSA YJL174W MRLQRNSIICALVFLVSFVLGDVNIVSPSSKATFSPSGGTVSVP VEWMDNGAYPSLSKISTFTFSLCTGPNNNIDCVAVLASKITPSELTQDDKVYSYTAEF ASTLTGNGQYYIQVFAQVDGQGYTIHYTPRFQLTSMGGVTAYTYSATTEPTPQTSIQT TTTNNAQATTIDSRSFTVPYTKQTGTSRFAPMQMQPNTKVTATTWTRKFATSAVTYYS TFGSLPEQATTITPGWSYTISSGVNYATPASMPSDNGGWYKPSKRLSLSARKINMRKV YJL173C MASETPRVDPTEISNVNAPVFRIIAQIKSQPTESQLILQSPTIS SKNGSEVEMITLNNIRVSMNKTFEIDSWYEFVCRNNDDGELGFLILDAVLCKFKENED LSLNGVVALQRLCKKYPEIY YJL172W MIALPVEKAPRKSLWQRHRAFISGIVALIIIGTFFLTSGLHPAP PHEAKRPHHGKGPMHSPKCEKIEPLSPSFKHSVDTILHDPAFRNSSIEKLSNAVRIPT VVQDKNPNPADDPDFYKHFYELHDYFEKTFPNIHKHLKLEKVNELGLLYTWEGSDPDL KPLLLMAHQDVVPVNNETLSSWKFPPFSGHYDPETDFVWGRGSNDCKNLLIAEFEAIE QLLIDGFKPNRTIVMSLGFDEEASGTLGAASLASFLHERYGDDGIYSIIDEGEGIMEV DKDVFVATPINAEKGYVDFEVSILGHGGHSSVPPDHTTIGIASELITEFEANPFDYEF EFDNPIYGLLTCAAEHSKSLSKDVKKTILGAPFCPRRKDKLVEYISNQSHLRSLIRTT QAVDIINGGVKANALPETTRFLINHRINLHSSVAEVFERNIEYAKKIAEKYGYGLSKN GDDYIIPETELGHIDITLLRELEPAPLSPSSGPVWDILAGTIQDVFENGVLQNNEEFY VTTGLFSGNTDTKYYWNLSKNIYRFVGSIIDIDLLKTLHSVNEHVDVPGHLSAIAFVY EYIVNVNEYA YJL171C MLQSIVLSVCMFMLHTVAASGPQSYQKLDFTNVGFTGSYVDVNK FKDITNNESCTCEVGDRVWFSGKNAPLADYLSVHFRGPLKLKQFAFYTSPGFTVNNSR SSSDWNRLAYYESSSKTADNVTFLNHGGEASPCLGNALSYASSNGTGSASEATVLADG TLISSDQEYIIYSNVSCPKSGYDKGCGVYRSGIPAYYGYGGTTKMFLFEFEMPTETEK NSSSIGYYDLPAIWLLNDHIARTSQYPTNANCSCWASGCGEYDIFEAMNGTEKNHLYS TFHTFQGIEDLGTGIQSYGYITRNTTGTMKGGVVFDSSGNVVSFISDATPFNGTVSAD TVNDLLAAIPENETYSSQLMSISATAPSTTSKSNGVALTKMQNGVWYYILAIFTAFTQ VVLI YJL170C MTTLASSIEHKTKHLAAPFENDENPWMKKYCCQCKSCKMSVPVQ PWLPRFFVFGILCPVFWLVNLLAWWFLQYWQPHELEFHDLQEDEYPGFYEYEAITKRT VIPIKEEVLQEIRVMQNFSDSNSEEYYESKDGMPSSFLNVNTEQVEDENDTLKKYRYA FLKKVAHDVLESHDLLRKTFRDWNLRSLLGLLIDSILIIFVVLLCKKSR YJL168C MSKNQSVSASEDEKEILNNNAEGHKPQRLFDQEPDLTEEALTKF ENLDDCIYANKRIGTFKNNDFMECDCYEEFSDGVNHACDEDSDCINRLTLIECVNDLC SSCGNDCQNQRFQKKQYAPIAIFKTKHKGYGVRAEQDIEANQFIYEYKGEVIEEMEFR DRLIDYDQRHFKHFYFMMLQNGEFIDATIKGSLARFCNHSCSPNAYVNKWVVKDKLRM GIFAQRKILKGEEITFDYNVDRYGAQAQKCYCEEPNCIGFLGGKTQTDAASLLPQNIA DALGVTVSMEKKWLKLKKLSGEPIIKNENENINIEFLQSLEVQPIDSPVDVTKIMSVL LQQDNKIIASKLLKRLFTIDDDSLRHQAIKLHGYTCFSKMLKLFITEQPQVDGKGNET EEDDIKFIKGILDFLLELPKTTRNGIESSQIDNVVKTLPAKFPFLKPNCDELLEKWSK FETYKRITKKDINVAASKMIDLRRVRLPPGWEIIHENGRPLYYNAEQKTKLHYPPSGS SKVFSSRSNTQVNSPSSSGIPKTPGALDSKKHKLSDEEYERKKQKRLEYERIALERAK QEELESLKQKLKLENERKSVLEDIIAEANKQKELQKEEAKKLVEAKEAKRLKRKTVSQ SQRLEHNWNKFFASFVPNLIKKNPQSKQFDHENIKQCAKDIVKILTTKELKKDSSRAP PDDLTKGKRHKVKEFINSYMDKIILKKKQKKALALSSASTRMSSPPPSTSS YJL167W MASEKEIRRERFLNVFPKLVEELNASLLAYGMPKEACDWYAHSL NYNTPGGKLNRGLSVVDTYAILSNKTVEQLGQEEYEKVAILGWCIELLQAYFLVADDM MDKSITRRGQPCWYKVPEVGEIAINDAFMLEAAIYKLLKSHFRNEKYYIDITELFHEV TFQTELGQLMDLITAPEDKVDLSKFSLKKHSFIVTFKTAYYSFYLPVALAMYVAGITD EKDLKQARDVLIPLGEYFQIQDDYLDCFGTPEQIGKIGTDIQDNKCSWVINKALELAS AEQRKTLDENYGKKDSVAEAKCKKIFNDLKIEQLYHEYEESIAKDLKAKISQVDESRG FKADVLTAFLNKVYKRSK YJL166W MGPPSGKTYMGWWGHMGGPKQKGITSYAVSPYAQKPLQGIFHNA VFNSFRRFKSQFLYVLIPAGIYWYWWKNGNEYNEFLYSKAGREELERVNV YJL165C MGDEKLSRHTSLKRARSLSESIKGLFKPSGISGSNNAAAPSSRP GQDQAHSHQTARIITSNVSSPSISPVHSPVLQAAPKHHKLGVPNIAKLSLSPSREPSL NSENEMFSQESFISEKDEDEANLLEREDLQNKKEEKARAKHVRSKEAYVPHHRYTVGS DEVERQPRERLKNFPQNAGSSNPANSNANHVLDQENNFSIDAMLDYDEESKLRRRNSL GVRNHSNRTRSRKNSLSTPRSPPMKNGNGGMNSNATNNVGNGTGNRIYMRGRNHSDSI SASSLPKFQEIECKCILDLGHFKVFENGYHEHSLRVLPIITNNKNVDSGDEKDADASV NSGDDGDNDSEANMHKQKSVFSLSGLFKSHKDGNQQQQQQQQQEENGEQINLEKAFSI IPSQRFIKSQTLKKSRTSNLKNGNNDELMKNDGKNIPQIVNPNAAVGVEELKLINALS EKIRKGLKSENTKGNNGEGRSNSNKQEDSDDTEGKAGTTNDDTSHKPCSQKYGKSIGV VGAGAYGVVKICARCKTAKDVLPYSTYSNGKKLFFAVKELKPKPGDQIDKFCTRLTSE FIIGHSLSHPHFEANAMIAGNVSRTTPPKHVFNAPNILKILDLMEYSNSFVEVMEFCA SGDLYSLLTRNNISNESNNGSSRLIQTVKEGSGSPLHPLEADCFMKQLLNGVQYMHDH GIAHCDLKPENILFQPNGLLKICDFGTSSVFQTAWEKHVHFQSGAMGSEPYVAPEEFI RDAEYDPRLVDCWSCGIVYCTMVMGQYLWKIAIPEKDSLFKSFLSEIKDDGQFYLFEE LRHVSSELNRLRKIALYRTFQVDPTKRITIEQLLQSSWMRKTKCCVVYRHLHTKVSK YJL164C MSTEEQNGGGQKSLDDRQGEESQKGETSERETTATESGNESKSV EKEGGETQEKPKQPHVTYYNEEQYKQFIAQARVTSGKYSLQDFQILRTLGTGSFGRVH LIRSRHNGRYYAMKVLKKEIVVRLKQVEHTNDERLMLSIVTHPFIIRMWGTFQDAQQI FMIMDYIEGGELFSLLRKSQRFPNPVAKFYAAEVCLALEYLHSKDIIYRDLKPENILL DKNGHIKITDFGFAKYVPDVTYTLCGTPDYIAPEVVSTKPYNKSIDWWSFGILIYEML AGYTPFYDSNTMKTYEKILNAELRFPPFFNEDVKDLLSRLITRDLSQRLGNLQNGTED VKNHPWFKEVVWEKLLSRNIETPYEPPIQQGQGDTSQFDKYPEEDINYGVQGEDPYAD LFRDF YJL163C MSNEDETTRLMSSDEMDYLLETAGINALEEIISQNDSTGINLDT NETAQDSSYDSIRRSPSILSVAKSVEGEHGRRKLLCLYGLVMIICIAESISMTATIPL VMDKVAEGISDENGHYDSVAVQTIVSSISSSTMMIAGAISIFMAGKWGELSDRIGRVR VFKYMSGIRVIGLLTHVFTLSSKMKYHKWAIVLTACIVPSFGGLFALVANGNSYVSDI VKTEHRMVTIGIMMSCIYATMGVGPMFGSFLVKWTHGNGFIPIYTSIAFVILALIICE TIMVEPRHETQMAHSQSTYTKRREKLRSQSGSDDARNYQSVTYGKFQIMRLMDLLAPV KKLWLKPDSAGSLVPRHTVILLIVLDILFVCGTTSCMPALILFSTYEYKWHAVELGYF ISILGIGRGVVLLVVSPTLLYTLKRIYQHLNHSIDKIDIFCIQFSMIVITLSLFVMIR FGEKTPTSMIIFALLQALSAFCSPTLQSGIIKYTSKKHTGEMFGAMALVRSCVMLVIP PILLKLYGSTVSVNPSLFMYIPFSTSIVAILLTFFLRIYKNPPLDGP YJL162C MSQVIEPQLDRTTYYSILGLTSNATSSEVHKSYLKLARLLHPDK TKSDKSEELFKAVVHAHSILTDEDQKLRYDRDLKIKGLHTYQPKKNCHIFKTKAKESQ GASPTLGQSEAYHRQNKPYEQQPYGFGVGKKMTSSSKSKVPIFKSFNLKSYQRNHYYS SKKERKHGSPDIDSLFHETNGASKVRMTDAGKMDTNSQFQEIWEILGKNAYTHKSYSE DPNSCLGSALSDHEEEEEAGKQQQQQQQQQQQQQHYGMTSKSSSPDEEKKNNKEPKRE SRVSPEENGEEETGHKQFKLPKTSTFSSGSHDSNLQSPFYNHEYRHYARSKFECKNQF RKSVSPIKEIPATTSANEGWNILRDIIEKLNISNVDDRNKDLLFRRDEIGDKNHSDSI DIENLSIKEPKGMKRRKKDDISLEELFQSLPREKDYFMMDAINDSLESINLFKKPKTT QSHEQGGTFAQAESNRAKFKPLLEQCGITPEILDLEIPEIPEFDAVADLETLKLNVQL FNNQCNKLKETIHQVSLQRLRADTQFSDMLTQKQSIMVWKTYLEFDKSLMDKLNILQE RQMQVIKIFSERCDGKV YJL161W MLYTRLLRHNSQFTKFSGTSPNLGSKPLFSKGNLYTSLLVTTLY GTGLACLYLESNSLNKSKEQEDPHAIAEDDIVNIVHDAPNRIFKPALDTYQEKELDLQ KSDLHKVLHSLTYSDVSQFSIVWGFLIQLSSLIGNSTLGKKSILYKGSVVSVLGFPPL IYMALKLRMKQLEKAGVRFE YJL160C MHYKKAFLASLLSSIALTAYAPPEPWATLTPSSKMDGGTTEYRT SFGLAVIPFTVTESKVKRNVISQINDGQVQVTTQKLPHPVSQIGDGQIQVTTQKVPPV VSHIVSQIGDGQLQITTAKNVVTKSTIAVPSKTVTATATSTATAVSQIHDGQVQVTIS SASSSSVLSKSKLEPTKKPNNEKVIKVQACKSSGTLAITLQGGVLIDSSGRIGSIVAN RQFQFDGPPPQAGAIYAGGWSITKHGTLAIGDNDVFYQCLSGTFYNLYDQSIGGQCNP VHLQTVGLVDC YJL159W MQYKKTLVASALAATTLAAYAPSEPWSTLTPTATYSGGVTDYAS TFGIAVQPISTTSSASSAATTASSKAKRAASQIGDGQVQAATTTASVSTKSTAAAVSQ IGDGQIQATTKTTAAAVSQIGDGQIQATTKTTSAKTTAAAVSQISDGQIQATTTTLAP KSTAAAVSQIGDGQVQATTTTLAPKSTAAAVSQIGDGQVQATTKTTAAAVSQIGDGQV QATTKTTAAAVSQIGDGQVQATTKTTAAAVSQIGDGQVQATTKTTAAAVSQITDGQVQ ATTKTTQAASQVSDGQVQATTATSASAAATSTDPVDAVSCKTSGTLEMNLKGGILTDG KGRIGSIVANRQFQFDGPPPQAGAIYAAGWSITPDGNLAIGDNDVFYQCLSGTFYNLY DEHIGSQCTPVHLEAIDLIDC YJL158C MQFKNVALAASVAALSATASAEGYTPGEPWSTLTPTGSISCGAA EYTTTFGIAVQAITSSKAKRDVISQIGDGQVQATSAATAQATDSQAQATTTATPTSSE KISSSASKTSTNATSSSCATPSLKDSSCKNSGTLELTLKDGVLTDAKGRIGSIVANRQ FQFDGPPPQAGAIYAAGWSITEDGYLALGDSDVFYQCLSGNFYNLYDQNVAEQCSAIH LEAVSLVDC YJL157C MKTPTRVSFEKKIHTPPSGDRDAERSPPKKFLRGLSGKVFRKTP EFKKQQMPTFGYIEESQFTPNLGLMMSKRGNIPKPLNLSKPISPPPSLKKTAGSVASG FSKTGQLSALQSPVNITSSNKYNIKATNLTTSLLRESISDSTTMCDTLSDINLTVMDE DYRIDGDSYYEEDSPTFMISLERNIKKCNSQFSPKRYIGEKCLICEESISSTFTGEKV VESTCSHTSHYNCYLMLFETLYFQGKFPECKICGEVSKPKDKDIVPEMVSKLLTGAGA HDDGPSSNMQQQWIDLKTARSFTGEFPQFTPQEQLIRTADISCDGFRTPRLSNSNQFE AVSYLDSPFLNSPFVNKMATTDPFDLSDDEKLDCDDEIDESAAEVWFSKTGGEHVMVS VKFQEMRTSDDLGVLQDVNHVDHEELEEREKEWKKKIDQYIETNVDKDSEFGSLILFD KLMYSDDGEQWVDNNLVILFSKFLVLFDFEEMKILGKIPRDQFYQVIKFNEDVLLCSL KSTNIPEIYLRFNENCEKWLLPKWKYCLENSSLETLPLSEIVSTVKELSHVNIIGALG APPDVISAQSHDSRLPWKRLHSDTPLKLIVCLNLSHADGELYRKRVLKSVHQILDGLN TDDLLGIVVVGRDGSGVVGPFGTFIGMINKNWDGWTTFLDNLEVVNPNVFRDEKQQYK VTLQTCERLASTSAYVDTDDHIATGYAKQILVLNGSDVVDIEHDQKLKKAFDQLSYHW RYEISQRRMTPLNASIKQFLEELHTKRYLDVTLRLPQATFEQVYLGDMAAGEQKTRLI MDEHPHSSLIEIEYFDLVKQQRIHQTLEVPNL YJL156C MVRFFGLNKKKNEEKENTDLPADNEQNAAETSSSNVSGNEERID PNSHDTNPENANNDDASTTFGSSIQSSSIFSRGRMTYGTGASSSMATSEMRSHSSGHS GSKNSKNLQGFKDVGKPLRAVSFLSPVKEEESQDTQNTLDVSSSTSSTLATSENAREN SFTSRRSITLEYIHKSLSELEENLVDIMDDIHQDVISISKAVIEAIEYFKEFLPTTRD RIPYRISLEKSSSLRKINKIVLHFLDNLLVSDAFSNSRSILLRRFYFFLKKLNLITDD DLISESGVLPCLSVFCIGSHCNLPSMDKLGMILDELTKMDSSIISDQEGAFIAPILRG ITPKSSILTIMFGLPNLQHEHYEMIKVLYSLFPDVHMYCVKDYIKKAASAVGSIPSHT AATIDTIAPTKFQFSPPYAVSENPLELPISMSLSTETSAKITGTLGGYLFPQTGSDKK FSQFASCSFAITCAHVVLSEKQDYPNVMVPSNVLQTSYKKVLTKESDRYPDGSVEKTA FLEEVQRIDQNLNWQKSNKFGQVVWGERAIVDHRLSDFAIIKVNSSFKCQNTLGNGLK SFPDPTLRFQNLHVKRKIFKMKPGMKVFKIGASTGYTSGELNSTKLVYWADGKLQSSE FVVASPTPLFASAGDSGAWILTKLEDRLGLGLVGMLHSYDGEQRQFGLFTPIGDILER LHAVTKIQWDIDPQLDG YJL155C MGYSTISNDNDIKVCVIMVGLPARGKSFISQKIIRYLSWLSIKA KCFNVGNYRRDVSGNVPMDAEFFNFENTDNFKLRELAAQNAIKDIVNFFTKEDGSVAV FDATNSTRKRRKWLKDICEKNNIQPMFLESWSNDHELIINNAKDIGSTSPDYENSEPH VAEADFLERIRQYERFYEPLDPQKDKDMTFIKLVNIIEEVVINKIRTYLESRIVFYVM NIRPKPKYIWLSRHGESIYNVEKKIGGDSSLSERGFQYAKKLEQLVKESAGEINLTVW TSTLKRTQQTANYLPYKKLQWKALDELDAGVCDGMTYEEIEKEYPEDFKARDNDKYEY RYRGGESYRDVVIRLEPVIMELERQENVLIITHQAVLRCIYAYFMNVPQEESPWMSIP LHTLIKLEPRAYGTKVTKIKANIPAVSTYKEKGTSQVGELSQSSTKLHQLLNDSPLED KF YJL154C MAYADSPENAIAVIKQRTALMNRCLSQHKLMESLQHTSIMLTEL RNPNLSPKKYYELYVIIFDSLTNLSTYLIENHPQNHHLADLYELVQYTGNVVPRLYLM ITVGTSYLTFNEAPKKEILKDMIEMCRGVQNPIRGLFLRYYLSQRTKELLPEDDPSFN SQFIMNNFIEMNKLWVRLQHQGPLRERETRTRERKELQILVGSQLVRLSQIIDDNFQM YKQDILPTILEQVIQCRDLVSQEYLLDVICQVFADEFHLKTLDTLLQTTLHLNPDVSI NKIVLTLVDRLNDYVTRQLEDDPNATSTNAYLDMDVFGTFWDYLTVLNHERPDLSLQQ FIPLVESVIVLSLKWYPNNFDNLNKLFELVLQKTKDYGQKNISLESEHLFLVLLSFQN SKLQLTSSTTAPPNSPVTSKKHFIFQLISQCQAYKNILALQSISLQKKVVNEIIDILM DREVEEMADNDSESKLHPPGHSAYLVIEDKLQVQRLLSICEPLIISRSGPPANVASSD TNVDEVFFNRHDEEESWILDPIQEKLAHLIHWIMNTTSRKQTMKNKIQFSLEAQLEIL LLIKSSFIKGGINVKYTFPAIITNFWKLMRKCRMIQEYLLKKRPDNKTLLSHYSNLLK QMFKFVSRCINDIFNSCNNSCTDLILKLNLQCAILADQLQLNEISYDFFSQAFTIFEE SLSDSKTQLQALIYIAQSLQKTRSLYKEAYYDSLIVRCTLHGSKLLKKQDQCRAVYLC SHLWWATEISNIGEEEGITDNFYRDGKRVLECLQRSLRVADSIMDNEQSCELMVEILN RCLYYFIHGDESETHISIKYINGLIELIKTNLKSLKLEDNSASMITNSISDLHITGEN NVKASSNADDGSVITDKESNVAIGSDGTYIQLNTLNGSSTLIRGVVATASGSKLLHQL KYIPIHHFRRTCEYIESQREVDDRFKVIYV YJL153C MTEDNIAPITSVKVVTDKCTYKDNELLTKYSYENAVVTKTASGR FDVTPTVQDYVFKLDLKKPEKLGIMLIGLGGNNGSTLVASVLANKHNVEFQTKEGVKQ PNYFGSMTQCSTLKLGIDAEGNDVYAPFNSLLPMVSPNDFVVSGWDINNADLYEAMQR SQVLEYDLQQRLKAKMSLVKPLPSIYYPDFIAANQDERANNCINLDEKGNVTTRGKWT HLQRIRRDIQNFKEENALDKVIVLWTANTERYVEVSPGVNDTMENLLQSIKNDHEEIA PSTIFAAASILEGVPYINGSPQNTFVPGLVQLAEHEGTFIAGDDLKSGQTKLKSVLAQ FLVDAGIKPVSIASYNHLGNNDGYNLSAPKQFRSKEISKSSVIDDIIASNDILYNDKL GKKVDHCIVIKYMKPVGDSKVAMDEYYSELMLGGHNRISIHNVCEDSLLATPLIIDLL VMTEFCTRVSYKKVDPVKEDAGKFENFYPVLTFLSYWLKAPLTRPGFHPVNGLNKQRT ALENFLRLLIGLPSQNELRFEERLL YJL151C MDRDHINDHDHRMSYSINKDDLLLMVLAVFIPPVAVWKRKGMFN RDTLLNLLLFLLLFFPAIIHACYVVYETSSERSYDLSRRHATAPAVDRDLEAHPAEES QAQPPAYDEDDEAGADVPLMDNKQQLSSGRT YJL149W MPFQDYFQKKKAAFINRNNKSNADASALRDINDININFAAKSKN YVFPLTKLPDELMQEVFSHLPQPDRLQLCLVNKRLNKIATKLLYRRIYLNDSNVVKSD FMHLAINWTLLNLPSSLKEEESRDIANCKLKKLIETLQNNIHITEVIQWIRINWDLDS TLQRSILSILCNQGKSLQRLENVTDPACNDIISNGHFSRSNVSSFDMAPPNSLPEMVV PENYIPNLTKYLSQRISSRLSHMTLFIDPLKLFNYLYPLDIKLQIIDLKLHWRREFYN NDYFVKKIRPGNPLTKLSEVFDKRTLKILTIISWNDTLLKRETEMLKDFKEFENLEDL SLISIKQDVHILVDLFSSLTNLKRLKMDFLEEYVPEPTNPHIFLSILLACSKLQFIDL RYDGLIPQIINIQENKFQLNQQCNCTNCQIVFSDILKGKIFMFPEDYYIHDLQDIAAK DIFKMMKYLSLLPYSKACDAYPSVRTQPMNLTNFVTKMNRNLLEYRNSKSQLVPKIVN NPHQHSTVTSTSTAHMSEPEMIIIDDDDDDDEINAAIPPSSDDTAATISTDLELPHES LTKRDIIMCYHALIHHFKSIYVTFLKSFPHLRFLMLNDIPTIVMEENNERIFEPVFYH YDYKSNLYGWSKESNKNLENDSNNNNNNSDTIARIATVM YJL148W MSKLSKDYVSDSDSDDEVISNEFSIPDGFKKCKHLKNFPLNGDN KKKAKQQQVWLIKFPSNVDISKLKSLPVDFESSTTMTIDKHDYKIMDDTDIESSLTQD NLSNMTLLVPSESKESLKIASTAKDNAPLQFDKVFSVSETAKIPAIDYSKVRVPRKDV PKVEGLKLEHFATGYDAEDFHVAEEVKENKKEPKKRSHHDDEEESSEKKKKKKEKREK REKKDKKDKKKKHRD YJL147C MRRTFSQLATRLLKSKDDELKSTLKYLTKGPVKLLGPLFESSEV NEQGSLLNRSRTKENNLQNHHIENILRILNSNLPEVESKKQKVAVHYDVLFSHLNSIV TQATDNKSSSSKELQGSSSEDLYDRLLLLQYVGKLTNVRQITEILLSKKFNKFDKVWE HRALFDEYQRVVISILLYYRTHDVQIRKDYEPRWLSDYSDLPFPLRRLLWRCLTSNVS EDNIRQNIIHYIKLLGANWRNNDLILIYQSLYEKSHILPDLTVLNHNKDDGFSFTQNQ ILLVRILRAISKCVEEEPKLVKKWLIDIVKLSIQSKIMLESPKKPSTPIMDQYKFIRS LDISIRSIHRTCQDKLIFEDLQVNLGSVLKMINEEEHELKTHLPLNLI YJL146W MDNQQESISEDITGDLAAAVRKSWSESQDNPLLLNFNNSPIGTP TDRYSPEPATMMEGNAMNLSSLARGSTQQQQRLYGSSQTREKSDQQQQDYQLFKHHYS LGQETRESVSDILNDLTLGSPEPSERASPIRQPSVDVPPLTTRRSSIQDVQWIRHLLN PRSSFSGASSNEPTNSPGDFLNQSRAWITILHDSSAESLQAVIVLAESLKNVNSQYNL WVLHSSEVNAFQLAQVGIKTLIIDEYINLFMNFGTGSGFSASSQSTETKGELNFKWCK LFLFFSLIDRFELICYLSPTCLVLQNIDELLESTEVSDEIDNETCVLLSNKVNYINED LVSVNQDQSSAENYDDDPQIIILKPNRAVAMCIKEYFTIYGNDFEGESKRSMFHQMND LQIMKALFGDKWSYIDSVGYCAVPIASVPANRLNYKIIEFKILKPWERQNYIAAGQHR ESIMNKWLDLWRDFLNQAN YJL145W MKFDNDSEKQVFDKLKKAIPGIIKEKCAGYDELYGYKLNPEGLT QEEVDKYYDEKIADRLTYKLCKAYQFEYSTIVQNLIDILNWRREFNPLSCAYKEVHNT ELQNVGILTFDANGDANKKAVTWNLYGQLVKKKELFQNVDKFVRYRIGLMEKGLSLLD FTSSDNNYMTQVHDYKGVSVWRMDSDIKNCSKTVIGIFQKYYPELLYAKYFVNVPTVF GWVYDLIKKFVDETTRKKFVVLTDGSKLGQYLKDCPYEGYGGKDKKNNLTKQNVTNVH PTEYGLYILQKQIIEDVE YJL144W MLRRETSTIYRTHKKSNSSILRSQRDQTRVDSLVEESPMGDFGI NNQPTQPGVIYYFVELTNLGIQENTSSNNNNNNNHGDDENGSRYGHGSSLGGDVHSRR CS YJL143W MSADHSRDPCPIVILNDFGGAFAMGAIGGVVWHGIKGFRNSPLG ERGSGAMSAIKARAPVLGGNFGVWGGLFSTFDCAVKAVRKREDPWNAIIAGFFTGGAL AVRGGWRHTRNSSITCACLLGVIEGVGLMFQRYAAWQAKPMAPPLPEAPSSQPLQA YJL141C MNSSNNNDSSSSNSNMNNSLSPTLVTHSDASMGSGRASPDNSHM GRGIWNPSYVNQGSQRSPQQQHQNHHQQQQQQQQQQQQNSQFCFVNPWNEEKVTNSQQ NLVYPPQYDDLNSNESLDAYRRRKSSLVVPPARAPAPNPFQYDSYPAYTSSNTSLAGN SSGQYPSGYQQQQQQVYQQGAIHPSQFGSRFVPSLYDRQDFQRRQSLAATNYSSNFSS LNSNTNQGTNSIPVMSPYRRLSAYPPSTSPPLQPPFKQLRRDEVQGQKLSIPQMQLCN SKNDLQPVLNATPKFRRASLNSKTISPLVSVTKSLITTYSLCSPEFTYQTSKNPKRVL TKPSEGKCNNGFDNINSDYILYVNDVLGVEQNRKYLVLDILGQGTFGQVVKCQNLLTK EILAVKVVKSRTEYLTQSITEAKILELLNQKIDPTNKHHFLRMYDSFVHKNHLCLVFE LLSNNLYELLKQNKFHGLSIQLIRTFTTQILDSLCVLKESKLIHCDLKPENILLCAPD KPELKIIDFGSSCEEARTVYTYIQSRFYRAPEIILGIPYSTSIDMWSLGCIVAELFLG IPIFPGASEYNQLTRIIDTLGYPPSWMIDMGKNSGKFMKKLAPEESSSSTQKHRMKTI EEFCREYNIVEKPSKQYFKWRKLPDIIRNYRYPKSIQNSQELIDQEMQNRECLIHFLG GVLNLNPLERWTPQQAMLHPFITKQEFTGEWFPPGSSLPGPSEKHDDAKGQQSEYGSA NDSSNNAGHNYVYNPSSATGGADSVDIGAISKRKENTSGDISNNFAVTHSVQEGPTSA FNKLHIVEE YJL140W MNVSTSTFQTRRRRLKKVEEEENAATLQLGQEFQLKQINHQGEE EELIALNLSEARLVIKEALVERRRAFKRSQKKHKKKHLKHENANDETTAVEDEDDDLD EDDVNADDDDFMHSETREKELESIDVLLEQTTGGNNKDLKNTMQYLTNFSRFRDQETV GAVIQLLKSTGLHPFEVAQLGSLACDTADEAKTLIPSLNNKISDDELERILKELSNLE TLY YJL139C MAKGGSLYIVGIFLPIWTFMIYIFGKELFLIRKYQKIDSTYTAL SQRVKEQYDTSRRRNYFPKVKLSRNSYDDYTLNYTRQNDSDSFHLRENATILMLVRNS ELEGALDSMRSLEDRFNNKYHYDWTFLNDVPFDQDFIEATTSMASGKTQYALIPPEDW NRPQWINDTLFEERLRVMEDEGVLYGGSKSYRNMCRFNSGFFFRQSILDNYDYYFRVE PNVKYYCDFPYDPFRVMRLKGKKYGFVISLYEYEETIPTLWDAVEEYLVASEETILRK EDSAYAFLTDSGLVGKHYPVVEANSDYNLCHFWSNFEIGDLNFFRSDEYKHFFETLDA KGGFYYERWGDAPVHSIGVSLLLRPDEIIHFDELGYFHSPFGTCPASYAVRLDQRCRC KSDDESVIDITPHSCLMRWWKNGSGKYFLKEEQDEI YJL138C MSEGITDIEESQIQTNYDKVVYKFDDMELDENLLRGVFGYGFEE PSAIQQRAIMPIIEGHDVLAQAQSGTGKTGTFSIAALQRIDTSVKAPQALMLAPTREL ALQIQKVVMALAFHMDIKVHACIGGTSFVEDAEGLRDAQIVVGTPGRVFDNIQRRRFR TDKIKMFILDEADEMLSSGFKEQIYQIFTLLPPTTQVVLLSATMPNDVLEVTTKFMRN PVRILVKKDELTLEGIKQFYVNVEEEEYKYECLTDLYDSISVTQAVIFCNTRRKVEEL TTKLRNDKFTVSAIYSDLPQQERDTIMKEFRSGSSRILISTDLLARGIDVQQVSLVIN YDLPANKENYIHRIGRGGRFGRKGVAINFVTNEDVGAMRELEKFYSTQIEELPSDIAT LLN YJL137C MAKKVAICTLLYSRDYLPGALTLAYQLQKLLKHAVVEDEITLCL LIEKKLFGDEFKPQEIALIRSLFKEIIIIEPLKDQEKSIEKNKANLELLKRPELSHTL LKARLWELVQFDQVLFLDADTLPLNKEFFEILRLYPEQTRFQIAAVPDIGWPDMFNTG VLLLIPDLDMATSLQDFLIKTVSIDGADQGIFNQFFNPICNYSKEVLHKVSPLMEWIR LPFTYNVTMPNYGYQSSPAMNFFQQHIRLIHFIGTFKPWSRNTTDYDDHYYQLWRSTQ RELYSECHLSNYFTHLQLGNIETETNFYHEPPCLQDLLNHGKRENQKHVDLDITSVDR NASQKSTAEKHDIEKPTSKPQSAFKFDWESTDYLDRVQRAFPKPDT YJL136W-A MTRCISKKMLLEVDALSLIYSPHLYMS YJL136C MENDKGQLVELYVPRKCSATNRIIKADDHASVQINVAKVDEEGR AIPGEYITYALSGYVRSRGESDDSLNRLAQNDGLLKNVWSYSR YJL134W MVDGLNTSNIRKRARTLSNPNDFQEPNYLLDPGNHPSDHFRTRM SKFRFNIREKLLVFTNNQSFTLSRWQKKYRSAFNDLYFTYTSLMGSHTFYVLCLPMPV WFGYFETTKDMVYILGYSIYLSGFFKDYWCLPRPRAPPLHRITLSEYTTKEYGAPSSH TANATGVSLLFLYNIWRMQESSVMVQLLLSCVVLFYYMTLVFGRIYCGMHGILDLVSG GLIGIVCFIVRMYFKYRFPGLRIEEHWWFPLFSVGWGLLLLFKHVKPVDECPCFQDSV AFMGVVSGIECCDWLGKVFGVTLVYNLEPNCGWRLTLARLLVGLPCVVIWKYVISKPM IYTLLIKVFHLKDDRNVAARKRLEATHKEGASKYECPLYIGEPKIDILGRFIIYAGVP FTVVMCSPVLFSLLNIA YJL133C-A MIAQSTRLAAAVSSSAASAGVSRIAASAMASTIFKRSPGNSFNS FKEYRENAKTYGPLSASLATRRHLAHAPKL YJL133W MVENSSSNNSTRPIPAIPMDLPDYEALPTHAPLYHQLIAGAFAG IMEHSVMFPIDALKTRIQSANAKSLSAKNMLSQISHISTSEGTLALWKGVQSVILGAG PAHAVYFGTYEFCKKNLIDSSDTQTHHPFKTAISGACATTASDALMNPFDTIKQRIQL NTSASVWQTTKQIYQSEGLAAFYYSYPTTLVMNIPFAAFNFVIYESSTKFLNPSNEYN PLIHCLCGSISGSTCAAITTPLDCIKTVLQIRGSQTVSLEIMRKADTFSKAASAIYQV YGWKGFWRGWKPRIVANMPATAISWTAYECAKHFLMTY YJL132W MSIISSWLLVSIICLTTSIVTKLQAAGVTTHLFYLTRGAPLSLK ENYYPWLKAGSFFPDALYSCAPSNKDWSDFAEFTHWPNFLMIAVSYWQQKYGQNDRLR GTHGSLALKSFLIGVFTHQIVDVSWHSLVTDYRMHGLLRVLSETEFDGDIETAHTFLD VMGEFLTLNNVIRDSNNNENWDFLTRSDWKLPREEDLMEIIRNAGLSKEKLSYAELEF CVKRGMAAAISEGYLFRSQRNQLLTNIYSTSPRANDLILNHWLGGQSNLVAMLQRCVP FFETLFHDENTNEAQAEELRLCANLPPVSQKRINARPLVSSLKARKGNSHIVVSPMKS FSDFGTSLTMGKFREDNKDYLAVSAPLEDTVGAIYIVPWDILTVARKEDFSILQPITA MYGSKVGTYKASDVDYLLVSQPGTCTIDFYFKGVKILTIKDETTEEAHQLQFAVTGNF YDDKIPDLVVSSPSYGANETGIATFIPGSSIISYLTNSDKYQVVDISTFKGVINLDGY PMKIPFQHFGATIQISDTTDKQKLIYITCQSLGTVFVYSSNDLHDLSIPIYYITKNGV IPAKDSDHVEWHIIPSKEHGMFGAAIYSWNFEGMSFVAVSQPMFDTVFIYIEKSGQIE FFLKLVLKIKTKSDSIPDEFGSSLLFNDEEKKLYVSSPGSFDARGSIWKISMDELLKA GNDPKRKTLLINNLRHLMLINPDKSSKGVSNFGNSMILGPQNHLIVGIPQYGYGNFDH MQLTGRILVL YJL131C MLKVPLSDVLSQKMLFLKSFRYFHCTKYFSRDNASSTTDIFRNA MKRKRELANLKEQSHGNVARNAAFPKEYIKRPKQVPRNATNRKKILITWSTGTDRAKE AANSVVSEIFKKNHKGNIKVVDPTTHRIEASNIRYFAKGIDLDKVGLSIVNVEQIDNE NQIPLVKIVESRVALKKYSDFLAKKKEKELMELGVLNKSYKNLVTDKKEDNLKHIKIS WQIESDDLKRQKAHEIVSLLKKGNKVTLYLDDKNNINSNNWLENFEELDRSQKGEPPR LPESVFQKRAAVLETLKEIVSEYANDPVLLGNMNSKMIMKLIPKDVKPQNNDKRALKE LRKKERQEKLQKRIQRKKMNEM YJL130C MATIAPTAPITPPMESTGDRLVTLELKDGTVLQGYSFGAEKSVA GELVFQTGMVGYPESVTDPSYEGQILVITYPLVGNYGVPDMHLRDELVEELPRYFESN RIHIAGLVISHYTDEYSHYLAKSSLGKWLQNEGIPAVYGVDTRSLTKHLRDAGSMLGR LSLEKSGSDRTISRSSSWRSAFDVPEWVDPNVQNLVSKVSINEPKLYVPPADNKHIEL QTGPDGKVLRILAIDVGMKYNQIRCFIKRGVELKVVPWNYDFTKEDYDGLFISNGPGD PSVLDDLSQRLSNVLEAKKTPVFGICLGHQLIARAAGASTLKLKFGNRGHNIPCTSTI SGRCYITSQNHGFAVDVDTLTSGWKPLFVNANDDSNEGIYHSELPYFSVQFHPESTPG PRDTEFLFDVFIQAVKEFKYTQVLKPIAFPGGLLEDNVKAHPRIEAKKVLVLGSGGLS IGQAGEFDYSGSQAIKALKEEGIYTILINPNIATIQTSKGLADKVYFVPVTAEFVRKV ILHERPDAIYVTFGGQTALSVGIAMKDEFEALGVKVLGTPIDTIITTEDRELFSNAID EINEKCAKSQAANSVDEALAAVKEIGFPVIVRAAYALGGLGSGFANNEKELVDLCNVA FSSSPQVLVEKSMKGWKEVEYEVVRDAFDNCITVCNMENFDPLGIHTGDSIVVAPSQT LSDEDYNMLRTTAVNVIRHLGVVGECNIQYALNPVSKDYCIIEVNARLSRSSALASKA TGYPLAYTAAKLGLNIPLNEVKNSVTKSTCACFEPSLDYCVVKMPRWDLKKFTRVSTE LSSSMKSVGEVMSIGRTFEEAIQKAIRSTEYANLGFNETDLDIDIDYELNNPTDMRVF AIANAFAKKGYSVDKVWEMTRIDKWFLNKLHDLVQFAEKISSFGTKEELPSLVLRQAK QLGFDDRQIARFLDSNEVAIRRLRKEYGITPFVKQIDTVAAEFPAYTNYLYMTYNADS HDLSFDDHGVMVLGSGVYRIGSSVEFDWCAVTAVRTLRANNIKTIMVNYNPETVSTDY DEADRLYFETINLERVLDIYEIENSSGVVVSMGGQTSNNIAMTLHRENVKILGTSPDM IDSAENRYKFSRMLDQIGVDQPAWKELTSMDEAESFAEKVGYPVLVRPSYVLSGAAMN TVYSKNDLESYLNQAVEVSRDYPVVITKYIENAKEIEMDAVARNGELVMHVVSEHVEN AGVHSGDATLIVPPQDLAPETVDRIVVATAKIGKALKITGPYNIQFIAKDNEIKVIEC NVRASRSFPFISKVVGVNLIELATKAIMGLPLTPYPVEKLPDDYVAVKVPQFSFPRLA GADPVLGVEMASTGEVATFGHSKYEAYLKSLLATGFKLPKKNILLSIGSYKEKQELLS SVQKLYNMGYKLFATSGTADFLSEHGIAVQYLEVLNKDDDDQKSEYSLTQHLANNEID LYINLPSANRFRRPASYVSKGYKTRRLAVDYSVPLVTNVKCAKLLIEAISRNITLDVS ERDAQTSHRTITLPGLINIATYVPNASHVIKGPAELKETTRLFLESGFTYCQLMPRSI SGPVITDVASLKAANSVSQDSSYTDFSFTIAGTAHNAHSVTQSASKVTALFLPLRELK NKITAVAELLNQWPTEKQVIAEAKTADLASVLLLTSLQNRSIHITGVSNKEDLALIMT VKAKDPRVTCDVNIYSLFIAQDDYPEAVFLPTKEDQEFFWNNLDSIDAFSVGALPVAL ANVTGNKVDVGMGIKDSLPLLLAAVEEGKLTIDDIVLRLHDNPAKIFNIPTQDSVVEI DLDYSFRRNKRWSPFNKDMNGGIERVVYNGETLVLSGELVSPGAKGKCIVNPSPASIT ASAELQSTSAKRRFSITEEAIADNLDAAEDAIPEQPLEQKLMSSRPPRELVAPGAIQN LIRSNNPFRGRHILSIKQFKRSDFHVLFAVAQELRAAVAREGVLDLMKGHVITTIFFE PSTRTCSSFIAAMERLGGRIVNVNPLVSSVKKGETLQDTIRTLACYSDAIVMRHSEEM SVHIAAKYSPVPIINGGNGSREHPTQAFLDLFTIREEIGTVNGITVTFMGDLKHGRTV HSLCRLLMHYQVRINLVSPPELRLPEGLREELRKAGLLGVESIELTPHIISKTDVLYC TRVQEERFNSPEEYARLKDTYIVDNKILAHAKENMAIMHPLPRVNEIKEEVDYDHRAA YFRQMKYGLFVRMALLAMVMGVDM YJL129C MHFRRTMSRVPTLASLEIRYKKSFGHKFRDFIALCGHYFAPVKK YIFPSFIAVHYFYTISLTLITSILLYPIKNTRYIDTLFLAAGAVTQGGLNTVDINNLS LYQQIVLYIVCCISTPIAVHSCLAFVRLYWFERYFDGIRDSSRRNFKMRRTKTILERE LTARTMTKNRTGTQRTSYPRKQAKTDDFQEKLFSGEMVNRDEQDSVHSDQNSHDISRD SSNNNTNHNGSSGSLDDFVKEDETDDNGEYQENNSYSTVGSSSNTVADESLNQKPKPS SLRFDEPHSKQRPARVPSEKFAKRRGSRDISPADMYRSIMMLQGKHEATAEDEGPPLV IGSPADGTRYKSNVNKLKKATGINGNKIKIRDKGNESNTDQNSVSSEANSTASVSDES SLHTNFGNKVPSLRTNTHRSNSGPIAITDNAETDKKHGPSIQFDITKPPRKISKRVST FDDLNPKSSVLYRKKASKKYLMKHFPKARRIRQQIKRRLSTGSIEKNSSNNVSDRKPI TDMDDDDDDDDNDGDNNEEYFADNESGDEDERVQQSEPHSDSELKSHQQQQEKHQLQQ NLHRMYKTKSFDDNRSRAVPMERSRTIDMAEAKDLNELARTPDFQKMVYQNWKAHHRK KPNFRKRGWNNKIFEHGPYASDSDRNYPDNSNTGNSILHYAESILHHDGSHKNGSEEA SSDSNENIYSTNGGSDHNGLNNYPTYNDDEEGYYGLHFDTDYDLDPRHDLSKGSGKTY LSWQPTIGRNSNFLGLTRAQKDELGGVEYRAIKLLCTILVVYYVGWHIVAFVMLVPWI ILKKHYSEVVRDDGVSPTWWGFWTAMSAFNDLGLTLTPNSMMSFNKAVYPLIVMIWFI IIGNTGFPILLRCIIWIMFKISPDLSQMRESLGFLLDHPRRCFTLLFPKAATWWLLLT LAGLNITDWILFIILDFGSTVVKSLSKGYRVLVGLFQSVSTRTAGFSVVDLSQLHPSI QVSYMLMMYVSVLPLAISIRRTNVYEEQSLGLYGDMGGEPEDTDTEDDGNDEDDDEEN ESHEGQSSQRSSSNNNNNNNRKKKKKKKTENPNEISTKSFIGAHLRKQLSFDLWFLFL GLFIICICEGDKIKDVQEPNFNIFAILFEIVSAYGTVGLSLGYPDTNQSFSRQFTTLS KLVIIAMLIRGKNRGLPYSLDRAIILPSDRLEHIDHLEGMKLKRQARTNTEDPMTEHF KRSFTDVKHRWGALKRKTTHSRNPKRSSTTL YJL128C MEDKFANLSLHEKTGKSSIQLNEQTGSDNGSAVKRTSSTSSHYN NINADLHARVKAFQEQRALKRSASVGSNQSEQDKGSSQSPKHIQQIVNKPLPPLPVAG SSKVSQRMSSQVVQASSKSTLKNVLDNQETQNITDVNINIDTTKITATTIGVNTGLPA TDITPSVSNTASATHKAQLLNPNRRAPRRPLSTQHPTRPNVAPHKAPAIINTPKQSLS ARRGLKLPPGGMSLKMPTKTAQQPQQFAPSPSNKKHIETLSNSKVVEGKRSNPGSLIN GVQSTSTSSSTEGPHDTVGTTPRTGNSNNSSNSGSSGGGGLFANFSKYVDIKSGSLNF AGKLSLSSKGIDFSNGSSSRITLDELEFLDELGHGNYGNVSKVLHKPTNVIMATKEVR LELDEAKFRQILMELEVLHKCNSPYIVDFYGAFFIEGAVYMCMEYMDGGSLDKIYDES SEIGGIDEPQLAFIANAVIHGLKELKEQHNIIHRDVKPTNILCSANQGTVKLCDFGVS GNLVASLAKTNIGCQSYMAPERIKSLNPDRATYTVQSDIWSLGLSILEMALGRYPYPP ETYDNIFSQLSAIVDGPPPRLPSDKFSSDAQDFVSLCLQKIPERRPTYAALTEHPWLV KYRNQDVHMSEYITERLERRNKILRERGENGLSKNVPALHMGGL YJL127C-B MIFFFNQIRSIFTALHTPTQQIQLSRRAFFQFLGYLGSCVVISL AAQSKYVQ YJL127C MLNQHTSSVPDDEHLQMAHQNSSSEVRNEAAVPDQLLTPLQPYT ILLKDGETIATMYPIPAYPDLLPLGLLNFLLDEFNMEVEKGDSFPYYETLSLEEFKNV WFHNDGHVCIMVLGEIPELDYSMDTEADTNDNFGTEIETTKHTTQYKKRKERRNLNLS MQWEKQCLGIFDLKPAYPGRSAHVVTGTFLVNAGIRGKGIGKTLMETFIEWSKKLGFT SSFFPLIYGTNVGIRRILEGLNFRRIGKLPEAGILKGFDVPVDSFMYGKEFTHITKSI DLLRDPQKSIEIGKYERLKHFLETGKYPLHCDRNEKARLRVLSKTHSVLNGKLMTKGK EIIYDTDQQIQIALEIHLMEHLGINKVTSKIGEKYHWRGIKSTVSEVISRCQKCKMRY KDGTGVIIEQKRAVKQAHMLPTQHIETINNPRKSKKHDNALLGQAINFPQNIISSTLN DVEGEPTPPDTNIVQPTFQNATNSPATTAEANEANKRSEFLSSIQSTPLLDDEQSMNS FNRFVEEENSRKRRKYLDVASNGIVPHLTNNESQDHANPVNRDERDMNHSVPDLDRND HTIMNDAMLSLEDNVMAALEMVQKEQQQKINHRGEDVTGQQIDLNNSEGNENSVTKIV NNESNTFTEHNSNIYY YJL126W MTSKLKRVAVAQLCSSADLTKNLKVVKELISEAIQKKADVVFLP EASDYLSQNPLHSRYLAQKSPKFIRQLQSSITDLVRDNSRNIDVSIGVHLPPSEQDLL EGNDRVRNVLLYIDHEGKILQEYQKLHLFDVDVPNGPILKESKSVQPGKAIPDIIESP LGKLGSAICYDIRFPEFSLKLRSMGAEILCFPSAFTIKTGEAHWELLGRARAVDTQCY VLMPGQVGMHDLSDPEWEKQSHMSALEKSSRRESWGHSMVIDPWGKIIAHADPSTVGP QLILADLDRELLQEIRNKMPLWNQRRDDLFH YJL125C MSTNCFSGYKDLIKEGDLTLIWVSRDNIKPVRMHSEEVFNTRYG SFPHKDIIGKPYGSQIAIRTKGSNKFAFVHVLQPTPELWTLSLPHRTQIVYTPDSSYI MQRLNCSPHSRVIEAGTGSGSFSHAFARSVGHLFSFEFHHIRYEQALEEFKEHGLIDD NVTITHRDVCQGGFLIKKGDTTSYEFGNNETAASLNANVVFLDLPAPWDAIPHLDSVI SVDEKVGLCCFSPCIEQVDKTLDVLEKYGWTDVEMVEIQGRQYESRRQMVRSLNDALE RLRDIKRHKLQGVERRKRMFNNTIDSNDEKVGKRNEDGVPLTEKAKFNPFGKGSRIKE GDSNYKWKEVTKMEAEIKSHTSYLTFAFKVVNRSRDDEKVNEILRSTEK YJL124C MSANSKDRNQSNQDAKRQQQNFPKKISEGEADLYLDQYNFTTTA AIVSSVDRKIFVLLRDGRMLFGVLRTFDQYANLILQDCVERIYFSEENKYAEEDRGIF MIRGENVVMLGEVDIDKEDQPLEAMERIPFKEAWLTKQKNDEKRFKEETHKGKKMARH GIVYDFHKSDMY YJL123C MSENKNSEAEDVFEFLDSLPEAKNGGKMVNTDVKGSQEGVKGGS NSVAGKTGNDGKKGDDDIFEFLEELEKSNLSLTDKKGVEKKAPSESVNNKAQDEKVEE SKENKNSEQDAHGKEKEPQQQEKEEEEEEEEEEEEEEEETPLHDPIASISNWWSSSGS AKVSSIWNKTAEQASQIKNRLAQEQLDLTSKINTSTITEIARNLQKIVVGETEEVLRI HLVHDLVNYPSLQYNIESKFDQVLSSQVEGGIRIFVDEWGHPNNNGITPVEKKPSVAD GELGNSKKKLQFNLFDGKVTDGEKLAFANLENAVKLFNTAHEEYQKQQKEADATPDDD RSSISSNSNKISDLFISILPIAIPQKQKDADGDFQVTDSNTPGNFNFTLVLKDITNDI TTITRSQGFPVKWVNWLEGSVEKTGSTASEERNKSYDQKKQKESEDEDEDDEIIDPSE WVKEWIEDGLSLSFGVMAQNYVIDRMGL YJL122W MPSKNSINRPKLTSNLHHKVHSLNKKRAQRERAGLLKPARSSVN SKSGEIKSVALDLYFQNKKNESQNSTAVTLQNASSSPASITTRTLSKKRAKKIERNLK YATQRKLLVDASAKLEDEMDIDLDGGKKVKENEKKSSLTLVKEALWSVIDDTASQGLI IENGQGTTLGGPFFP YJL121C MVKPIIAPSILASDFANLGCECHKVINAGADWLHIDVMDGHFVP NITLGQPIVTSLRRSVPRPGDASNTEKKPTAFFDCHMMVENPEKWVDDFAKCGADQFT FHYEATQDPLHLVKLIKSKGIKAACAIKPGTSVDVLFELAPHLDMALVMTVEPGFGGQ KFMEDMMPKVETLRAKFPHLNIQVDGGLGKETIPKAAKAGANVIVAGTSVFTAADPHD VISFMKEEVSKELRSRDLLD YJL118W MASCFSVSLLARVAVVEPIRVQLWLNVVNCMIESSMHQCPPRDR HFFSSSRPILLIRRSVSTVYRFVASRTTQVLRAAKTVVKWFIIVDPLINSILINYLID RLCTLGHAVLRVKKRKTEERQPCSPIIQHTHVKRRKRPRLRIVAIKRKRRRRRPHRIE RPLSNMYPIMEIQMVAVPLALPSPTALVHYQQQQQQLPQHHPWYDLSLSEEALSTCCC S YJL117W MAVQQRKKKEGRKSDKNAPSVPQVDASLDKPLDIDAPPTIYSVN LKPEYGTAALNLSADFIRQEQALANKYLFFHPVILVVLTIGLLIYLTPRIVFPIRNTG SVAGWFYQLARINKKVVLSGLVFTAIGASFLFTLLSRVSDSYFKSKINQLVGSKGEKV FGINLNDLVARHETKDPVVNNTHIIVYRETPIALISLAPNMTLSTDENLVMSVTTVGC RRVYVKSGIIEDLIDWAMLHSKNIRSSGKYGETMKLLIDVYSFDSTLKEILKKKGFTY IQSIRVSENRLLGGLFGVKKELWGLQFHFKAEHKD YJL116C MKISAALILSSLSSVAFSAPAPAPADSHHEDHHKDEKPAVVTVT QYIDSNAATSTVESAATTTTLSSSEKDTSEQKRDGGFQDGTVKCSDFPSVNGIVSLDW LGFGGWASVMDMDANTSSECKDGYYCSYACEPGMSKTQWPSDQPSDGKSVGGLYCKNG YLYRTNTDTSDLCSTDETSAKAINKKSDSIALCRTDYPGSENMVIPTVVDGGDSQPIS VVDEDTYYQWQGKKTSAQYYINNAGVSAEDGCIWGTSGSDVGNWAPLVLGAGSTNGET YLSLIPNPNSNQAANFNVKIVASDGANVQGSCAYEDGSFTGDGSDGCTVSVLSGSAEF VFY YJL115W MSIVSLLGIKVLNNPAKFTDPYEFEITFECLESLKHDLEWKLTY VGSSRSLDHDQELDSILVGPVPVGVNKFVFSADPPSAELIPASELVSVTVILLSCSYD GREFVRVGYYVNNEYDEEELRENPPAKVQVDHIVRNILAEKPRVTRFNIVWDNENEGD LYPPEQPGVDDEEEEDDEEEDDDEDDEDDEDDDQEDGEGEAEEAAEEEEEEEEKTEDN ETNLEEEEEDIENSDGDEEEGEEEVGSVDKNEDGNDKKRRKIEGGSTDIESTPKDAAR STN YJL114W MATPVRGETRNVIDDNISARIQSKVKTNDTVRQTPSSLRKVSIK DEQVRQYQRNLNRFKTILNGLKAEEEKLSEADDIQMLAEKLLKLGETIDKVENRIVDL VEKIQLLETNENNNILHEHIDATGTYYLFDTLTSTNKRFYPKDCVFDYRTNNVENIPI LLNNFKKFIKKYQFDDVFENDIIEIDPRENEILCKIIKEGLGESLDIMNTNTTDIFRI IDGLKKQI YJL113W MNKLQKLVLMEKWIFSKCCQDCPNLKDYLQEAIMGTLHESLRNS VKQRLYNIPHDVGIDHEEFLINTVIETVIDLSPIADDQIENSCMYCKSVFHCSINCKK KPNRELGLTRPISQKPIIYKVHRDNNHLSPVQNEQKSWNKTQKRSNKVYNSKKLVIID TGSGVNITNDKTLLHNYEDSNRSTRFFGIGKNSSVSVKGYGYIKIKNGHNNTDNKCLL TYYVPEEESTIISCYDLAKKTKMVLSRKYTRLGNKIIKIKTKIVNGVIHVKMNELIER PSDDSKINAIKPTSSPGFKLNKRSITLEDAHKRMGHTGIQQIENSIKHNHYEESLDLI KEPNEFWCQTCKISKATKRNHYTGSMNNHSTDHEPGSSWCMDIFGPVSSSNADTKRYM LIMVDNNTRYCMTSTHFNKNAETILAQVRKNIQYVETQFDRKVREINSDRGTEFTNDQ IEEYFISKGIHHILTSTQDHAANGRAERYIRTIITDATTLLRQSNLRVKFWEYAVTSA TNIRNYLEHKSTGKLPLKAISRQPVTVRLMSFLPFGEKGIIWNHNHKKLKPSGLPSII LCKDPNSYGYKFFIPSKNKIVTSDNYTIPNYTMDGRVRNTQNINKSHQFSSDNDDEED QIETVTNLCEALENYEDDNKPITRLEDLFTEEELSQIDSNAKYPSPSNNLEGDLDYVF SDVEESGDYDVESELSTTNNSISTDKNKILSNKDFNSELASTEISISGIDKKGLINTS HIDEDKYDEKVHRIPSIIQEKLVGSKNTIKINDENKISDRIRSKNIGSILNTGLSRCV DITDESITNKDESMHNAKPELIQEQLKKTNHETSFPKEGSIGTNVKFRNTNNEISLKT GDTSLPIKTLESINNHHSNDYSTNKVEKFEKENHHPPPIEDIVDMSDQTDMESNCQDG NNLKELKVTDKNVPTDNGTNVSPRLEQNIEASGSPVQTVNKSAFLNKEFSSLNMKRKR KRHDKNNSLTSYELERDKKRSKKNRVKLIPDNMETVSAPKIRAIYYNEAISKNPDLKE KHEYKQAYHKELQNLKDMKVFDVDVKYSRSEIPDNLIVPTNTIFTKKRNGIYKARIVC RGDTQSPDTYSVITTESLNHNHIKIFLMIANNRNMFMKTLDINHAFLYAKLEEEIYIP HPHDRRCVVKLNKALYGLKQSPKEWNDHLRQYLNGIGLKDNSYTPGLYQTEDKNLMIA VYVDDCVIAASNEQRLDEFINKLKSNFELKITGTLIDDVLDTDILGMDLVYNKRLGTI DLTLKSFINRMDKKYNEELKKIRKSSIPHMSTYKIDPKKDVLQMSEEEFRQGVLKLQQ LLGELNYVRHKCRYDIEFAVKKVARLVNYPHERVFYMIYKIIQYLVRYKDIGIHYDRD CNKDKKVIAITDASVGSEYDAQSRIGVILWYGMNIFNVYSNKSTNRCVSSTEAELHAI YEGYADSETLKVTLKELGEGDNNDIVMITDSKPAIQGLNRSYQQPKEKFTWIKTEIIK EKIKEKSIKLLKITGKGNIADLLTKPVSASDFKRFIQVLKNKITSQDILASTDY YJL112W MSVNDQITHIGKTLSTTASAFLNYQKSNSNTQDVLTNNGPYKNL LSNTVNNASSTSYFYKRTEHGRFVKNASNTFEDIYSKTRRGDVFRNKFTDNKTCFRML TYISDDLLNEIPTKEGLKSDADGKLLTEGGENENLRKNASKKETSLFQGFKSYLPIAE LAIENTERLNYDTNGTSGTVGAKDVMSKTNERDEIHTELPNFQDSFLIPPGVETKKIS SSYSPSALKSFSQTLVNSLEFLNIQKNSTLSEIRDIEVEVENLRQKKEKLLGKIANIE QNQLLLEDNLKQIDDRLDFLEEYGLEVIEANSDENAEDDGMSERKALKNDAIRNEGVT TESISSEASNLPPRRRQQLRDDNSLNRLGAFYSKSKKRHRKSFPTFQQLYEPGTKIGS IMSTHDDFLTCLDFDAPFGTLCTAGYLDHTVKIWDLSKQNKIGELAGHLATINCMQIN RDYGTLVTGGRDAALKLWNLNLAQQLYQETQNLTSPTNHIDSPCVHTFEAHTDEVTAL SLDPSFLVSGSQDRTIRQWDLRSGKCLQTIDLSFANVLTTSTNVDLSKSTLLTQRNER PSIGALQSFDAALATGTKDGVVRLWDLRSGKVIRTLKGHTDAITSLKFDSACLVTGSY DRTVRIWDLRTGLLNKFHAYSAPVLSLDLFQENAAVVVADEPSVQIYDSEKDESWSCV EQGNETSVSTVKYKENYMVEGRENGDVNIWAV YJL111W MNFGSQTPTIVVLKEGTDASQGKGQIISNINACVAVQEALKPTL GPLGSDILIVTSNQKTTISNDGATILKLLDVVHPAAKTLVDISRAQDAEVGDGTTSVT ILAGELMKEAKPFLEEGISSHLIMKGYRKAVSLAVEKINELAVDITSEKSSGRELLER CARTAMSSKLIHNNADFFVKMCVDAVLSLDRNDLDDKLIGIKKIPGGAMEESLFINGV AFKKTFSYAGFEQQPKKFNNPKILSLNVELELKAEKDNAEVRVEHVEDYQAIVDAEWQ LIFEKLRQVEETGANIVLSKLPIGDLATQFFADRNIFCAGRVSADDMNRVIQAVGGSI QSTTSDIKPEHLGTCALFEEMQIGSERYNLFQGCPQAKTCTLLLRGGAEQVIAEVERS LHDAIMIVKRALQNKLIVAGGGATEMEVSKCLRDYSKTIAGKQQMIINAFAKALEVIP RQLCENAGFDAIEILNKLRLAHSKGEKWYGVVFETENIGDNFAKFVWEPALVKINALN SATEATNLILSVDETITNKGSESANAGMMPPQGAGRGRGMPM YJL110C MASQATTLRGYNIRKRDNVFEPKSSENLNSLNQSEEEGHIGRWP PLGYEAVSAEQKSAVQLRESQAGASISNNMNFKANDKSFSTSTAGRMSPDTNSLHHIL PKNQVKNNGQTMDANCNNNVSNDANVPVCKNCLTSTTPLWRRDEHGAMLCNACGLFLK LHGKPRPISLKTDVIKSRNRKSNTNHAHNLDNFRNQTLIAELKGDCNIESSGRKANRV TSEDKKKKSSQLLMGTSSTAKISKKPKTESKERSDSHLSATKLEVLMSGDCSRPNLKP KLPKQDTAIYQEKLLTFPSYTDVKEYSNSAHQSAFIKERSQFNAASFPLNASHSVTSK TGADSPQLPHLSMLLGSLSSTSISNNGSEIVSNCNNGIASTAATLAPTSSRTTDSNPS EVPNQIRSTMSSPDIISAKRNDPAPLSFHMASINDMLETRDRAISNVKTETTPPHFIP FLQSSKAPCISKANSQSISNSVSSSDVSGRKFENHPAKDLGDQLSTKLHKEEEIIKLK TRINELELVTDLYRRHINELDGKCRALEERLQRTVKQEGNKGG YJL109C MSSLSDQLAQVASNNATVALDRKRRQKLHSASLIYNSKTAATQD YDFIFENASKALEELSQIEPKFAIFSRTLFSESSISLDRNVQTKEEIKDLDNAINAYL LLASSKWYLAPTLHATEWLVRRFQIHVKNTEMLLLSTLNYYQTPVFKRILSIIKLPPL FNCLSNFVRSEKPPTALTMIKLFNDMDFLKLYTSYLDQCIKHNATYTNQLLFTTCCFI NVVAFNSNNDEKLNQLVPILLEISAKLLASKSKDCQIAAHTILVVFATALPLKKTIIL AAMETILSNLDAKEAKHSALLTICKLFQTLKGQGNVDQLPSKIFKLFDSKFDTVSILT FLDKEDKPVCDKFITSYTRSIARYDRSKLNIILSLLKKIRLERYEVRLIITDLIYLSE ILEDKSQLVELFEYFISINEDLVLKCLKSLGLTGELFEIRLTTSLFTNADVNTDIVKQ LSDPVETTKKDTASFQTFLDKHSELINTTNVSMLTETGERYKKVLSLFTEAIGKGYKA SSFLTSFFTTLESRITFLLRVTISPAAPTALKLISLNNIAKYINSIEKEVNIFTLVPC LICALRDASIKVRTGVKKILSLIAKRPSTKHYFLSDKLYGENVTIPMLNPKDSEAWLS GFLNEYVTENYDISRILTPKRNEKVFLMFWANQALLIPSPYAKTVLLDNLNKSPTYAS SYSSLFEEFISHYLENRSSWEKSCIANKTNFEHFERSLVNLVSPKEKQSFMIDFVLSA LNSDYEQLANIAAERLISIFASLNNAQKLKIVQNIVDSSSNVESSYDTVGVLQSLPLD SDIFVSILNQNSISNEMDQTDFSKRRRRRSSTSKNAFLKEEVSQLAELHLRKLTIILE ALDKVRNVGSEKLLFTLLSLLSDLETLDQDGGLPVLYAQETLISCTLNTITYLKEHGC TELTNVRADILVSAIRNSASPQVQNKLLLVIGSLATLSSEVILHSVMPIFTFMGAHSI RQDDEFTTKVVERTILTVVPALIKNSKGNEKEEMEFLLLSFTTALQHVPRHRRVKLFS TLIKTLDPVKALGSFLFLIAQQYSSALVNFKIGEARILIEFIKALLVDLHVNEELSGL NDLLDIIKLLTSSKSSSEKKKSLESRVLFSNGVLNFSESEFLTFMNNTFEFINKITEE TDQDYYDVRRNLRLKVYSVLLDETSDKKLIRNIREEFGTLLEGVLFFINSVELTFSCI TSQENEEASDSETSLSDHTTEIKEILFKVLGNVLQILPVDEFVNAVLPLLSTSTNEDI RYHLTLVIGSKFELEGSEAIPIVNNVMKVLLDRMPLESKSVVISQVILNTMTALVSKY GKKLEGSILTQALTLATEKVSSDMTEVKISSLALITNCVQVLGVKSIAFYPKIVPPSI KLFDASLADSSNPLKEQLQVAILLLFAGLIKRIPSFLMSNILDVLHVIYFSREVDSSI RLSVISLIIENIDLKEVLKVLFRIWSTEIATSNDTVAVSLFLSTLESTVENIDKKSAT SQSPIFFKLLLSLFEFRSISSFDNNTISRIEASVHEISNSYVLKMNDKVFRPLFVILV RWAFDGEGVTNAGITETERLLAFFKFFNKLQENLRGIITSYFTYLLEPVDMLLKRFIS KDMENVNLRRLVINSLTSSLKFDRDEYWKSTSRFELISVSLVNQLSNIENSIGKYLVK AIGALASNNSGVDEHNQILNKLIVEHMKASCSSNEKLWAIRAMKLIYSKIGESWLVLL PQLVPVIAELLEDDDEEIEREVRTGLVKVVENVLGEPFDRYLD YJL108C MIVSFGDATTRTSEVQLVRCTQGLNLWKLHQVHAVYKRVVHDTL GADEGNALLDQILADTNLYPPWMCVLLYAFCSAMVTPYAFGGDWVNLAISFFMGLCVG SLQFILSQKSYMYSNVFEISASIVVSFCGRAFGSIPRSHICFGAVTQGSLALILPGYI ILCGALELQSRSLVAGAVRMFYAIIYSLFLGFGITLGSALFGWMYHNATNEISCPQLI SPWFRFLFVPAFTISISLLNQAHISQLPVMVFISCTGYVVTYWAGKHFANSTEFTAAL AAFVIGVLGNLYSRIWKGLAVSAMLPAIFVQVPSGIASQNSLLSGLQSANTIVNANET ITTSTSDPSSSMSFGMTMIQVCVGISVGLFASSLFVYPFGKKKTGLFSL YJL107C MDGRNEKPTTPVSDFRVGSSEQSQAGVNLEDSSDHRTSNSAESK KGNLSGKSISDLGISNNDNKNVRFTADTDALENDLSSRSTETSDNSKGTDGQDEEDRP ARHKRKPKVSFTHLRNNGKDGDDETFIKKIINNLTGNQGGLVPGLAPIPSENENGKND IEKNNRNEEIPLSDLADASKIVDVHEGDDKEKLEALKLEGDVNCTSDGETLGSSSKNS FLAPAVDHFDDYAENNSSDDNEGFIETSTYVPPPSQVKSGVLGSLLKLYQNEDQNSSS IFSDSQAVTTDDEGISSTAGNKDVPVAKRSRLQNLKGKAKKGRMPRLKKRLKTEAKIT VHIADILQRHRFILRMCRALMMYGAPTHRLEEYMVMTSRVLEIDGQFCIFQVV YJL106W MVEKRSRQSSSSGSEFSVPPDVDNPPLSIPLKTLSDRYQLIEKL GAGSFGCVTLAKAQFPLSNILGKQHDIRGTLMDQPKNGHQNYITKTQGVVAIKTMMTK LHTLQDYTRVREIKFILAIPANDHLIQIFEVFIDSENYQLHIVMECMEQNLYQMMKHR RRRVFSIPSLKSILSQILAGLKHIHEHNFFHRDLKPENILITPSTQYFEKEYMNQIGY QDNYVIKLADFGLARHVENKNPYTAYVSTRWYRSPEILLRSGYYSKPLDIWAFGCVAV EVTVFRALFPGANEIDQIWKILEVLGTPIKRSDFVNTNHITAPPPGGFWDDASNLVHK LNLKLPYVEGSSLDHLLSSSQLSDLSEVVKKCLRWDPNERATAQELCEMPFFENTVAS QVDARGNVTNTEQALIFAGINPVATNTKPIYFNSSTKLPAETESNDIDISNNDHDSHA MCSPTLNQEKLTLVEFLNEFVEEDNDDHSIPDVGTDSTISDSIDETELSKEIRNNLAL CQLPDEEVLDHSLSNIRQLTNDIEIINKDEADNMEQLFFDLEIPEKDEFQRKQPFNEH ADIDEDIVLPYVNNSNYTHTDRSHHRGDNVLGDASLGDSFNSMPDFTPRNFLIPTLKK SREKFEPHLSNSNQHFGNVTF YJL105W MTSPESLSSRHIRQGRTYTTTDKVISRSSSYSSNSSMSKDYGDH TPLSVSSAASETLPSPQYMPIRTFNTMPTAGPTPLHLFQNDRGIFNHHSSSGSSKTAS TNKRGIAAAVALATAATIPFPLKKQNQDDNSKVSVTHNESSKENKITPSMRAEDNKPK NGCICGSSDSKDELFIQCNKCKTWQHKLCYAFKKSDPIKRDFVCKRCDSDTKVQVNQV KPMIFPRKMGDERLFQFSSIVTTSASNTNQHQQSVNNIEEQPKKRQLHYTAPTTENSN SIRKKLRQEKLVVSSHFLKPLLNEVSSSNDTEFKAITISEYKDKYVKMFIDNHYDDDW VVCSNWESSRSADIEVRKSSNERDFGVFAADSCVKGELIQEYLGKIDFQKNYQTDPNN DYRLMGTTKPKVLFHPHWPLYIDSRETGGLTRYIRRSCEPNVELVTVRPLDEKPRGDN DCRVKFVLRAIRDIRKGEEISVEWQWDLRNPIWEIINASKDLDSLPDPDKFWLMGSIK TILTNCDCACGYLGHNCPITKIKNFSEEFMRNTKESLSNKSYFNTIMHNCKP YJL104W MAHRAFIQVIITGTQVFGKAFAEAYRQAASQSVKQGATNASRRG TGKGEYGGITLDESCKILNIEESKGDLNMDKINNRFNYLFEVNDKEKGGSFYLQSKVY RAAERLKWELAQREKNAKAKAGDASTAKPPPNSTNSSGADNSASSNQ YJL103C MTKKLPSELKQTRKSIQTACEFCHTKHIQCDVGRPCQNCLKRNI GKFCRDKKRKSRKRIEKHGTQPYLNLGKRLVIHDVPSKTVSPSSVHLQRDFLSSDQEK PGKTPAHNTNIQYTYNINDNFQSAGSIPRITNFNTNNRQTVLENTSNNISASQAVHLM NDPIIPTVRKSTLNLKSHFLEQHKAMQQPLATNCLVATSNVPVHSGMDDSNKSDDDVD DETNIHFDSMWCNDEYMKLKDIVDISTPFLPNNSQIFSLQESEYPNPSASTRGNSSLH LTNLLNSTKSVNDQKDSSIGHSTSTFNTYDEVVSRPFISLDMLHLNRGANANTHPSHN AKLESECDSSSHSDADLEKHDTDFISPSKFRELVKTPQDLYDNKCLIKPHNYKLAYTK LLTTLRKKFLEGAEIDKSASVKDEHSTQKHNLRYDLEVIIRSILERYAPIFISLTSNM IEEDLLLQEVTLQRALLDLENMAKLVSCTPMCIWRRSGEICFVSNEFYSLTGFNKNLL LDRTSFIFEYLDHKSVSNYFQIFNELLAFGYNDINKRKKLLMLNACSSTSSKITEGFS FTTDGKAIFTKCNLLLSNGLYLKCACCWTVKRDSFNIPILVMGQFLPIFEMD YJL102W MWKWNVRRWAGARVNISKNRLSVINVGSRYLSTARSPLSKVRNI GIIAHIDAGKTTTTERMLYYAGISKHIGDVDTGDTITDFLEQERSRGITIQSAAISFP WRNTFAINLIDTPGHIDFTFEVIRALKVIDSCVVILDAVAGVEAQTEKVWKQSKSKPK ICFINKMDRMGASFNHTVNDLINKFMRGTTTKPVLVNIPYYRKQPTSNDYVFQGVIDV VNGKRLTWNPENPDEIIVDELDGTSLEQCNRCRESMIETLTEYDEDLVQHFLEEAEGD YSKVSAQFLNASIRKLTMKNMIVPVLCGASFKNIGVQPLLDAIVNYLPSPIEAELPEL NDKTVPMKYDPKVGCLVNNNKNLCIALAFKVITDPIRGKQIFIRIYSGTLNSGNTVYN STTGEKFKLGKLLIPHAGTSQPVNILTAGQIGLLTGSTVENNISTGDTLITHSSKKDG LKSLDKKKELTLKINSIFIPPPVFGVSIEPRTLSNKKSMEEALNTLITEDPSLSISQN DETGQTVLNGMGELHLEIAKDRLVNDLKADVEFGQLMVSYKETINSETNIETYESDDG YRFSLSLLPNSDALPNCLAYPLGVNENFLIMEKNGNWDKEWKYQVSFESILNSIIASC IVGLQRGGKIANFPLYACSIKINSDWSVPPDIETPQEILKITRNLIFKALNDLKPEKY NLLEPIMNLDLTIPQSDVGTVLQDLTGARKAQILSIEDESSVSNSGASTCNSPENSNR IYIPSDAVTTLHATKDKKNTQETSSNVKKIIKAKVPLREITTYTNKLRSLSQGRGEFN IEYSDMEKVTNDRLQSILHDL YJL101C MGLLALGTPLQWFESRTYNEHIRDEGIEQLLYIFQAAGKRDNDP LFWGDELEYMVVDFDDKERNSMLDVCHDKILTELNMEDSSLCEANDVSFHPEYGRYML EATPASPYLNYVGSYVEVNMQKRRAIAEYKLSEYARQDSKNNLHVGSRSVPLTLTVFP RMGCPDFINIKDPWNHKNAASRSLFLPDEVINRHVRFPNLTASIRTRRGEKVCMNVPM YKDIATPETDDSIYDRDWFLPEDKEAKLASKPGFIYMDSMGFGMGCSCLQVTFQAPNI NKARYLYDALVNFAPIMLAFSAAAPAFKGWLADQDVRWNVISGAVDDRTPKERGVAPL LPKYNKNGFGGIAKDVQDKVLEIPKSRYSSVDLFLGGSKFFNRTYNDTNVPINEKVLG RLLENDKAPLDYDLAKHFAHLYIRDPVSTFEELLNQDNKTSSNHFENIQSTNWQTLRF KPPTQQATPDKKDSPGWRVEFRPFEVQLLDFENAAYSVLIYLIVDSILTFSDNINAYI HMSKVWENMKIAHHRDAILFEKFHWKKSFRNDTDVETEDYSISEIFHNPENGIFPQFV TPILCQKGFVTKDWKELKHSSKHERLYYYLKLISDRASGELPTTAKFFRNFVLQHPDY KHDSKISKSINYDLLSTCDRLTHLDDSKGELTSFLGAEIAEYVKKNKPSIESKC YJL100W MSNEAYQHDHTVNPHQKIVVNSYDWLQFRDEQDHCKSKNPITHA SPGVGSNAQNSDIAEAPQVFHPSYQSLVNVPSESPRPDQTSGSNPAVGLLHNAEDKAS GQEEEGSQYEIQYSVFRPLHAYPTKGLAYEQLRRKEEQEQRENFNHLVSDCIEAVETF GRELERIQTGSSGSYFVYGTRADESVPVGVFKPKDEEPYGPFSPKWTKWAHRTFFPCL FGRSCLIPNLGYICESAASLLDRRLETHLVPYTDTASIESFNFYDNRKKWVLGYNLQK KKQKKLGSFQLFLKEYINADEFFHKYPLPGMYSDVKHSFHRKSSGEDINHKPETTRNL TDETEPSKQINSSPISTESEENSKFEWTESSLSQFRLELEKLIILDYIMRNTDRGLDN WMVKLIKLSNNKWRLKLAAIDNGLSFPWKHPDEWRLYPYGWLYLPLQLLAKPFSEQMR SHFLPILTSTNWWEESYQEFLALFSRDQDFNVRMWKKQWAVLKGQAFNVVETLKDPRQ GPLELVRRTRCQVIDEKMQVPCCPPPVSIFKNAIDEPIGSYSTSPMVLPSTPSTIPFH AHNQSNSNPVYYDSTLHPFANKTVIAERLQIVNSTPVFTWC YJL099W MNLFWPSETKKQNEIPGGDYTPGNSPSVQKGYQFLNRDIFKSCP RIMERQFGECLHNRTHLIKDLISSGNVGLGPIEIVHMSYLNKHEKEEFGEYFYVTGIE VSGPAMPVEFLEVLKSSKRISKNISNNIILTYCCFNFFSNLDIRIRYDADDTFQTTAI DCNKETTDLTMTEKMWEETFASSVIRAIITNTNPELKPPGLVECPFYVGKDTISSCKK IIELLCRFLPRSLNCGWDSTKSMQATIVNNYLMYSLKSFIAITPSLVDFTIDYLKGLT KKDPIHDIYYKTAMITILDHIETKELDMITILNETLDPLLSLLNDLPPRDADSARLMN CMSDLLNIQTNFLLNRGDYELALGVSNTSTELALDSFESWYNLARCHIKKEEYEKALF AINSMPRLRKNDGHLETMYSRFLTSNYYKKPLNGTREHYDLTAMEFTNLSGTLRNWKE DELKRQIFGRIAMINEKKIGYTKEIWDDIAIKLGPICGPQSVNLINYVSPQEVKNIKN INLIARNTIGKQLGWFSGKIYGLLMEIVNKIGWNGLLNIRTEAFMMETEFYQASNNII DENGHIPMESRKKRFCEGWLDDLFLDLYQDLKLSKISLSNKDEKHSGLEWELLGLIML RTWHWEDAVACLRTSIVARFDPVSCQQLLKIYLQPPKNIQEVTLLDTDTIISLLIKKI SYDCRYYNYCQIFNLQLLEKLCNELGTHILRNKILLQPSIGDEIMVMIDAMLAWIADL DHTVQP YJL098W MSGSFWKFGQDFGSQSPLAKLLNRAFIKIDDKPTSTEAGKIDSN STDESLESNSFKSEDEEEEYELPNREEDYKAYKPNLSLLNDLLDDEELYTELMCSNFK LLVYLKYPEVLSKLIDYVRNSTILESNIDRVTSEDRDLVRGEDKDTTEDFENAKADKK NIDGTFEEKERTRSGEEEELENEENDSASEDTRVTLPHELEEHDDTRRARIAAEILSA DVWPISSALIENEGLLAKLWSILRLPSPLSIEASTYFMKINERLLDMNMDGIIEFILK KEHIVDDFLAHIDNPPLMDFLLKVISTDKPEISNGVIQLFKKQNLVPKLIHLLDPVFD SCTQSAAGDFLKALVTISGNCPNEITSSIGPNELTRQLVSPNMMKQLMDIMLKGGNSL NNGVGIIIELIRKNNSDYDTIQTNYTTIESHPPTDRDPIYLGYLVKMFSEHMADFNKI LTEKKIPLLQTSYGTIEPLGFERFKICELIAELLHCSNMTLLNEPSAYDIVRERDAER ERIFNSQNYVDSNDRSELKENEDDNTGDADDEVEDDTNQVESANTSIDGEEVIDKLNS LQIETNKVNQNMNNEEQHSLMPDFNNGDFKDEEDENPFEPQYSDVILDSSDIEKNFRV SPNVGDQLKISLQDTRVIDTMLEMFFHFQWNNFLHNVVYDVVQQIFNGPLKIGYNRFL LDDLLINIRLTDMIINGNNECIEYEKGHDTRLGYMGHLTLIAEEVTKFTAYIEEMNIT FENTEVMSSLFESKWIAYTEDVLEDLKEKYNAILGDIAEEGDMLQDEEEDAVYDKGER TMGTVDDYINDIMQMDNVRCQEEEEDEGEGYVSFDEDEPQEYRNGDSVRSKESNSSEG KRDQEQLYYEYVNEDGTKTRLNFNPDSDATEQVPGEVNRDHKIPLKLKRSFTDACKSE TIPNNTVNAKEESVFQFSNELSDGWESSPSNSIPKRASPSKNGMNSPMFQHQFELHSP TDEFGGHKDEILSAEGHDYDIDEYDELSDDSDEEYDNCEDEDSLDYADSAAYALCRSK SKDKISWDEEEQARLMGVVKFNSEHYRD YJL097W MSKKLASPLSFLPLYNLLSAVGWSYLLYLVISLYPKVGQPAFFY QTKNVATLVQCGAIIEIINSFLGVVRSPLLTTVAQVSSRLLVVLGIFQLLPNTSGVQS VVYISLLLAWSITEIVRYLYYFFMLVFKNGAPKILILLRYNLFWILYPTGVASELRII YCALNAAESQYSLLYKRILIAAMLAYIPGFPMLFLHMVAQRKKVMKSLRSSFGKKLI YJL096W MLQLKFIWPVARITPIYRPFTSHPFRNLATSSSISSTKAKTTKT DTTPLKLSNELYAIFKIHNRPYLVTEGDRVILPFKLKQAEVGDILNMTDVTTLGSRNY KLVGHPINTSLYTLKATVVGKTKRAFQTREVTKRRNRRVRHAKSKGDLTILRISELSM N YJL095W MPFLRKIAGTAHTHSRSDSNSSVKFGHQPTSSVASTKSSSKSPR ATSRKSIYDDIRSQFPNLTPNSTSSQFYESTPVIEQSFNWTTDDHISAGTLENPTSFT NSSYKNDNGPSSLSDSRKSSGGNSVNSLSFDKLILSWDPTDPDEWTMHRVTSWFKFHD FPESWILFFKKHQLFGHRFIKLLAYDNFAVYEKYLPQTKTASYTRFQQLLKKTMTKNV TNSHIRQKSASKLKSSRSSSESIKSKLKNSKSQEDISNSRSTSESALSPTKSGPSKTD EKNFLHSTSTHQKTKSASSLYRRSFISLRGSSSSNASSAKSPSNIKLSIPARPHSIIE SNSTLTKSASPPASPSYPSIFRRHHKSSSSESSLLNSLFGSGIGEEAPTKPNPQGHSL SSENLAKGKSKHYETNVSSPLKQSSLPTSDDKGNLWNKFKRKSQIGVPSPNTVAYVTS QETPSLKSNSSTATLTVQTADVNIPSPSSSPPPIPKTANRSLEVISTEDTPKISSTTA SFKETYPDCINPDKTVPVPVNNQKYSVKNFLLDQKFYPLKKTGLNDSENKYILVTKDN VSFVPLNLKSVAKLSSFKESALTKLGINHKNVTFHMTDFDCDIGAAIPDDTLEFLKKS LFLNTSGKIYIKDQMKLQQKPKPAPLTSENNVPLKSVKSKSSMRSGTSSLIASTDDVS IVTSSSDITSFDEHASGSGRRYPQTPSYYYDRVSNTNPTEELNYWNIKEVLSHEENAP KMVFKTSPKLELNLPDKGSKLNIPTPITENESKSSFQVLRKDEGTEIDFNHRRESPYT KPELAPKREAPKPPANTSPQRTLSTSKQNKPIRLVRASTKISRSKRSKPLPPQLLSSP IEASSSSPDSLTSSYTPASTHVLIPQPYKGANDVMRRLKTDQDSTSTSPSLKMKQKVN RSNSTVSTSNSIFYSPSPLLKRGNSKRVVSSTSAADIFEENDITFADAPPMFDSDDSD DDSSSSDDIIWSKKKTAPETNNENKKDEKSDNSSTHSDEIFYDSQTQDKMERKMTFRP SPEVVYQNLEKFFPRANLDKPITEGIASPTSPKSLDSLLSPKNVASSRTEPSTPSRPV PPDSSYEFIQDGLNGKNKPLNQAKTPKRTKTIRTIAHEASLARKNSVKLKRQNTKMWG TRMVEVTENHMVSINKAKNSKGEYKEFAWMKGEMIGKGSFGAVYLCLNVTTGEMMAVK QVEVPKYSSQNEAILSTVEALRSEVSTLKDLDHLNIVQYLGFENKNNIYSLFLEYVAG GSVGSLIRMYGRFDEPLIKHLTTQVLKGLAYLHSKGILHRDMKADNLLLDQDGICKIS DFGISRKSKDIYSNSDMTMRGTVFWMAPEMVDTKQGYSAKVDIWSLGCIVLEMFAGKR PWSNLEVVAAMFKIGKSKSAPPIPEDTLPLISQIGRNFLDACFEINPEKRPTANELLS HPFSEVNETFNFKSTRLAKFIKSNDKLNSSKLRITSQENKTE YJL094C MANTVGGILSGVNPFHYNSSSPLTLFLFQACLILLVCNLIHIPF SMMRQPKVISEVISGVILGPTIFGQIPNYTNTIFPTSSIPGLNLVANLGIILFMFFLG LEVDIAFIKKHLKKALVIGIVTLAVPFGFGCLLAIPLFHTYANKTEGERHIKFSVFMV FIAVSISVTAFPVLCRILNELRLIKDRAGIVVLAAGIINDIMGWILLALSIILSSAEG SPVNTVYILLITFAWFLIYFFPLKYLLRWVLIRTHELDRSKPSPLATMCILFIMFISA YFTDIIGVHPIFGAFIAGLVVPRDDHYVVKLTERMEDIPNIVFIPIYFAVAGLNVDLT LLNEGRDWGYVFATIGIAIFTKIISGTLTAKLTGLFWREATAAGVLMSCKGIVEIVVL TVGLNAGIISRKIFGMFVLMALVSTFVTTPLTQLVYPDSYRDGVRKSLSTPAEDDGAA DGLDSEGVDKTEINTQLNSLADVSKYRIGELTTVINTTEAISPSLKLLNYLSLGVSPK PKNNKHKNETSLSRMTTATDSTLKSNTFKIKKMVHIWSKSVDDVDTNLSVIDEKLTPF EGVGALRAIHLRLLTERTTDLLQSSSLYNDDPHFTANTDSLLQIFDIFSNLSKIPFSS EVIFSTMREKAANIATMKMDSTDLILLPLKGASYEYRGSPVFIDEKYANFDHIYSHLL GLNELSSTFFKSIFQSLKANFAVQISNTYGRLNADRFKRKRFNLLLPKPYLTQSDYLG LYLLLLICYRDGYNNDNASCSIFINSKNIDFAKDLSTAFAEHDWLNESTIKIVDIPFE TKVPEEAIEKPSFIETVLDVGLSDTALADIEETTFIIGEDLPDESEPFSEEVRTVIFE GSNRRFDTLIVHHFSSE YJL093C MTRFMNSFAKQTLGYGNMATVEQESSAQAVDSHSNNTPKQAKGV LAEELKDALRFRDERVSIINAEPSSTLFVFWFVVSCYFPVITACLGPVANTISIACVV EKWRSLKNNSVVTNPRSNDTDVLMNQVKTVFDPPGIFAVNIISLVLGFTSNIILMLHF SKKLTYLKSQLINITGWTIAGGMLLVDVIVCSLNDMPSIYSKTIGFWFACISSGLYLV CTIILTIHFIGYKLGKYPPTFNLLPNERSIMAYTVLLSLWLIWGAGMFSGLLHITYGN ALYFCTVSLLTVGLGDILPKSVGAKIMVLIFSLSGVVLMGLIVFMTRSIIQKSSGPIF FFHRVEKGRSKSWKHYMDSSKNLSEREAFDLMKCIRQTASRKQHWFSLSVTIAIFMAF WLLGALVFKFAENWSYFNCIYFCFLCLLTIGYGDYAPRTGAGRAFFVIWALGAVPLMG AILSTVGDLLFDISTSLDIKIGESFNNKVKSIVFNGRQRALSFMVNTGEIFEESDTAD GDLEENTTSSQSSQISEFNDNNSEENDSGVTSPPASLQESFSSLSKASSPEGILPLEY VSSAEYALQDSGTCNLRNLQELLKAVKKLHRICLADKDYTLSFSDWSYIHKLHLRNIT DIEEYTRGPEFWISPDTPLKFPLNEPHFAFMMLFKNIEELVGNLVEDEELYKVISKRK FLGEHRKTL YJL092W MSSNNDLWLHLVSQLNTQQRAAALFDYTRGLQVIAGPGTGKTKV LTSRVAYLILHHHIHPRDIIVTTFTNKAANEMKERLQEMLRGAGVNISELLIGTFHSI CLKILYRFGHLVDLQKDWRIIDEKEIDVILDDMIEKVPDQIRDYASSITRKVNLCMPS KNGDEWTIHPKLIKKQISKLKSNAILPEEYILDSNHDAALGYFYQIYQSELSKKNTLD FDDLLMYTFRLLTRVRVLSNIKHVLVDEFQDTNGIQLDLMFLFAKGNHHLSRGMTIVG DPDQSIYAFRNALAHNFLEMGRKCPIEYSTIILVENYRSSQKILNTSEILITQQNKGR QNRAPLRAQFDLDFPPVYMNFPAYFLEAPSLVRELLYLKALPNLFTFNDFAILVRQRR QIKRIESALIEHRIPYKIIRGHSFWDSKETRAMLNLLKLIFSPNDKHAILASLLYPAR GLGPATGEKIKNALDTLATDVSCFQILKDISSKKIMLDIPTKGRSVIADFISMIENCQ LLLQSTLLGGLSDLFDKLYELSGLKYEYLYKDGKKKNDQLEKSEPNLLNARHKNIELL KNYFLALLSKSESSDKEKNEAIKAATDEAEPIENKVITPKEYLRNFFNSLSLHSDAAE EEESESNKDAKIKREKNGFVTISTIHGAKGLEWPVVFIPGCEEGIIPCVFNDDKKDES EEDEEEDQENSKKDASPKKTRVLSVEDSIDEERRMFFVAQTRAKYLLYLSNTVTVEDV DRPRIASRFLTTDLIKAMSDSQKLFESTNSIKKLYRILNKKPPAEDDKLFSLDQLRKD YNQFIENRRERMIWQGIQMNDVYGIQLSRNKLLGSVSDFTSAADQLRLETQNSIFPQK KLIEKSRPSKINGNYAPKSRVKSPEKRYAPETTSFHSPTKKKVYAPQYVSTTNVPSRQ EFHSSTGKNIPFLRREDRSITDISPRSSTRSLKGASPNKTSHMSDDLMRPSPTRKDKV TRNIHFATAGTFRIETQSNVDELHPPEYSNKSGQSLTSSEFSGFSSACSNSDQPTNLI EDINNELDLSDEELLNDISIERRRELLGSKKTKKIKPKTRNRKSKRGDKVKVEEVIDL KSEFEEDDSRNTTAAELLHNPDDTTVDNRPIISNAKFLADAAMKKTQKFSKKVKNEPA SSQMDIFSQLSRAKKKSKLNNGEIIVID YJL091C MSTLKQRKEDFVTGLNGGSITEINAVTSIALVTYISWNLLKNSN LMPPGISSVQYIIDFALNWVALLLSITIYASEPYLLNTLILLPCLLAFIYGKFTSSSK PSNPIYNKKKMITQRFQLEKKPYITAYRGGMLILTAIAILAVDFPIFPRRFAKVETWG TSLMDLGVGSFVFSNGIVSSRALLKNLSLKSKPSFLKNAFNALKSGGTLLFLGLLRLF FVKNLEYQEHVTEYGVHWNFFITLSLLPLVLTFIDPVTRMVPRCSIAIFISCIYEWLL LKDDRTLNFLILADRNCFFSANREGIFSFLGYCSIFLWGQNTGFYLLGNKPTLNNLYK PSTQDVVAASKKSSTWDYWTSVTPLSGLCIWSTIFLVISQLVFQYHPYSVSRRFANLP YTLWVITYNLLFLTGYCLTDKIFGNSSEYYKVAECLESINSNGLFLFLLANVSTGLVN MSMVTIDSSPLKSFLVLLAYCSFIAVISVFLYRKRIFIKL YJL090C MKPFQGITFCPTAINNEILAKKISKKIIKLGGIFSKDLTRQVNV LVVGSTTNTNKFKFAVKHRFDIIFIDIQAIDDIYQLWLSGENILPDSNTATMTGSTYE MLKILYRRFSFKYLHNFNIFIGRITDTNITSIDSLVRSIKKLGCSSYNYQNFVIKDTS SHNDDDDQGQNGQISIFVTDTLLGARVNAAIEQNIPIVHFKWILDCQKRSALLPYDPY YLLPNIKDLPYDSIGSNSCDCWDKINTTFPTNIDAQSSLQRQQSSSTLTPSLPKTSSL LNKFKPKGEKIWDKAMSLQQHSKTNFSVLGQSPLSINNKQEDLSDNSTLIFKNCAFII HHIFPGNHRSILTKIVVQNGGKIETSYLSGIYDHSYYIIPSNKALDSFNDLPEIIDDN DGIVTEFFIERCLYYQKLLHPIDLWSKPFLSTIEFQVSSSSKLLHHEFSSSPFLNVTI TGFSGVELLHLTKVLNLLKPMGINYVEYLNKSTDILLINLAALPSIPKTHPLWSNEFS DLFTQFCINNNNDDPGDNNRKDFQNNSILRNSMKRKIEYIKKFHSIPVVTPAFIFKLL SAASGENNEIFLNNIKWCIICPRGHKDDFKCKIKKPYYTSISSEKKYQNNDPKIDKTI LLKRNNSSLSEHSMKDTKNELLQKIRETDSGRKKRSVSSSIMDVSSERQMPDTKRIKL ESLPKNFVPKQIKRTTSWGTIMSENVPTEQPTAISNPEEIPRTEEVSHTQVTYGSIQD KKRTASLEKPMRRQTRNQTKELDS YJL089W MAKRKYGRSYSLDDTDSCSNKVLIVPTGQSSSNAITDFSVRKAH ACDRCRLKKIKCDGLKPNCSNCAKIDFPCKTSDKLSRRGLPKGYTELLEKEVVRLTNM NASSSANANSNLPFINDTFYCFDNYNTQSENQRFLGHLTWNILTNTFPTQKAVVFTDD RNNIDLQLQLLTNFLNLNGDFNHLPNFLLLKYDYNLQFLKNLLSVIIKDFFKRQNSLL LLLYPTNLWKNLLLDKINSTAMTGEPITLLALLYIIQFTWSCFDDFKLFKVTKLIVSL TTNSKLDLKVLQLVNLSIFYFMGASVDSCKSKSSLTEHSNVNSVIWTNDLLNLNFTNI LNMGLYINPKNLIPISGNNNNNKSNEEDDRIVTFWCFQFLSSWWSLIQGLPKSNFLTE EFQPKSISVLEIPRLKPFEILLNFIIYSLDGCNLLNISSLNVSDPNFQFFQNELESFK KNLLLWNLYHNLSDHDNFRFLTSSSNKKLTTNLLLKNLTGLNHKLNQPDFVEIQLTLF YLSLKLMTLKEGDQDLKKEDISLEILSLYFLILTDDSNNDDNQQLQPQQLNLYHFTPF NSIDIIDLCLNNLNNWSLSLKYESGQNQPHSSKIKFEKFQNFLNHWCPIWYYDEFSTN PFLQILKINFKLLPFETIHYSQEEQRLLISLNKLRYLDAVSSFNSSSVKSNFASKVNT QLNLLQHSSSNSNFLDASPYDFNKIFMNNFENYDYETDEGYAEDDDEEDSDSDNSLPL EIPFKKSKNKCKNRNKELSQRLSLFENRDSNSVDFNTDTNLNLNPDSPSVTSSKKKYL DHIILDNRDIVSNHDSSKQKFKIQNILNSTF YJL088W MSTTASTPSSLRHLISIKDLSDEEFRILVQRAQHFKNVFKANKT NDFQSNHLKLLGRTIALIFTKRSTRTRISTEGAATFFGAQPMFLGKEDIQLGVNESFY DTTKVVSSMVSCIFARVNKHEDILAFCKDSSVPIINSLCDKFHPLQAICDLLTIIENF NISLDEVNKGINSKLKMAWIGDANNVINDMCIACLKFGISVSISTPPGIEMDSDIVDE AKKVAERNGATFELTHDSLKASTNANILVTDTFVSMGEEFAKQAKLKQFKGFQINQEL VSVADPNYKFMHCLPRHQEEVSDDVFYGEHSIVFEEAENRLYAAMSAIDIFVNNKGNF KDLK YJL087C MPSPYDGKRTVTQLVNELEKAEKLSGRGRAYRRVCDLSHSNKKV ISWKFNEWDYGKNTITLPCNARGLFISDDTTNPVIVARGYDKFFNVGEVNFTKWNWIE ENCTGPYDVTIKANGCIIFISGLEDGTLVVCSKHSTGPRADVDRNHAEAGEKQLLRQL AAMNINRSDFARMLYTHNVTAVAEYCDDSFEEHILEYPLEKAGLYLHGVNVNKAEFET WDMKDVSQMASKYGFRCVQCITSNTLEDLKKFLDNCSATGSFEGQEIEGFVIRCHLKS TEKPFFFKYKFEEPYLMYRQWREVTKDYISNKSRVFKFRKHKFITNKYLDFAIPILES SPKICENYLKGFGVIELRNKFLQSYGMSGLEILNHEKVAELELKNAIDYDKVDERTKF LIFPISVIGCGKTTTSQTLVNLFPDSWGHIQNDDITGKDKSQLMKKSLELLSKKEIKC VIVDRNNHQFRERKQLFEWLNELKEDYLVYDTNIKVIGVSFAPYDKLSEIRDITLQRV IKRGNNHQSIKWDELGEKKVVGIMNGFLKRYQPVNLDKSPDNMFDLMIELDFGQADSS LTNAKQILNEIHKAYPILVPEIPKDDEIETAFRRSLDYKPTVRKIVGKGNNNQQKTPK LIKPTYISAKIENYDEIIELVKRCIASDAELTEKFKHLLASGKVQKELHITLGHVMSS REKEAKKLWKSYCNRYTDQITEYNNNRIENAQGSGNNQNTQVKTTDKLNFRLEKLCWD EKIIAIVVELSKDKDGCIIDENNEKIKGLCCQNKIPHITLCKLESGVKAVYSNVLCEK VESAEVDENIKVVKLDNSKEFVGSVYLNF YJL085W MPAEIDIDEADVLVLSQELQKTSKLTFEINKSLKKIAATSNQSS QLFTPILARNNVLTTLQRNIESTLNSVASVKDLANEASKYEIILQKGINQVGLKQYTQ VVHKLDDMLEDIQSGQANREENSEFHGILTHLEQLIKRSEAQLRVYFISILNSIKPFD PQINITKKMPFPYYEDQQLGALSWILDYFHGNSEGSIIQDILVGERSKLILKCMAFLE PFAKEISTAKNAPYEKGSSGMNSYTEALLGFIANEKSLVDDLYSQYTESKPHVLSQIL SPLISAYAKLFGANLKIVRSNLENFGFFSFELVESINDVKKSLRGKELQNYNLLQDCT QEVRQVTQSLFRDAIDRIIKKANSISTIPSNNGVTEATVDTMSRLRKFSEYKNGCLGA MDNITRENWLPSNYKEKEYTLQNEALNWEDHNVLLSCFISDCIDTLAVNLERKAQIAL MPNQEPDVANPNSSKNKHKQRIGFFILMNLTLVEQIVEKSELNLMLAGEGHSRLERLK KRYISYMVSDWRDLTANLMDSVFIDSSGKKSKDKEQIKEKFRKFNEGFEDLVSKTKQY KLSDPSLKVTLKSEIISLVMPMYERFYSRYKDSFKNPRKHIKYTPDELTTVLNQLVR YJL084C MPMDQSISSPLFPMEKDIDIPLDATPLAQSSSLQLFIHLAEPVV FLQGFDPQKTEYPSVVLRGCLVVRILKPTKLKSISLSFKGYSRTEWPEGIPPKRQEFV EIKDIVDHTWALYPPTEQKSKKKMDASAPNESNNAANNFLTKESGASLYRTLSDNETI TSRKNSISGLSSLNLSPLGAPGNSSVNVKDRESRQRSRSSSVTSSNGPSRNLSPINLL KRATSPSVSHHNYKPTTTSIFSDLLNNTFTHNDAASHHGHHIPTSSNHLAMTSNNFTS GSGGEFFVFQPGDYIYAFEELIPQAYPESIKADFGFVEYFLFASIERPGAFKSNISAR QVVNIVRTQAHNSVEESEPIIISRDWENQLYYDIVIASKDIILDAFLPITFKFAPLDK VTLHRIRIYVTETMEYYCREKKVHRMEPTKKFLLTEQKGPKLPNLPNDANLSKAKNMG NLLQDPKNGDLVNKEYEYQIFIPSRFNNHQQLHPDTSYENIKANHWIKICLRLSRVVD NKRKHYEISIDSPIHVLHRLCSHANTLLPSYDGHPASFPKETDSSISSILESSDDNIN LYHNSNIFFPKEVLSSPVLSPNVQPLDILIPHLPSTSLTRNSRQFNRNSKSHPSDNTI FNSAKLKSNIYQPESLQRELASPQAIPLSPITSPMSNMEVPPPDFDFSSDFISDAASG TTTTEVSSSESSILPRDPPSYKDTVLHDNNQKRRPNSKHPTPPSLKASHPNKNSDKNS SETLNKKESMSKIEENKHKRETTPKKRENRDVKSLSTPQREESKDSTSTGNQSNEKNR KRVLSLSSSLHSSPNNSGFAHSALGNLSNESLRSLNRRESVQDNLPSTIRHDNPFFTD LNQVLIEDELKNHDKNELNRHSTNTSSTPASARSSFDYSGINISKDKLNMEPLLSKTE TLTNKVNEDSFLRPNDSYVDLLEPSVDTTIDITAPYARNSSAWHPLQNDNDNNQFSPL LGSNENFLNAANAQNSAESDHNNDIFTQGSGLTESSKNSDSEERFISRLSSPEKVLIN TLDNESGLQSINESTL YJL083W MHFPKKKHSGNLSVVELPKEALQDSLTAAQITFKRYAHPNGNAG SAERPRHLKVESAPVVKSEPSLPRMRQPEPRSINHQYSRETLPGHSEAFSVPTTPLQT IHYDVRNKASNSPSSIAAAETAAYLAHTNSFSNRSSGVGSRDPVMDTETKPPRAPSAL KNELQLNRMRIPPPSYDNNVRSRSISPQVSYSTSLSSSCSISSDGEETSYREKSTDEA FPPEPSMSSYSLASKASAKASLTDPSQRQQESDYTAMNKLNGGNIIYKGTLPDLIPRS QRKTSKPRFKHRLLRSPEQQQENLSRVYSDQTQNGRAIINTQQNVKLKTTMRRGKYAI TDNDETFPYDRKSVSSDSDTDEDSNVMEIKDKKKKSRRSKIKKGLKTTAAVVGSSTSV LPFPHHHHHHHQLHNPNSHHLHTHHHTSSHKFNEDKPWKSHRDLGFITEQERKRYESM WVSNRYSYLRLLPWWPSLANEDDESHLQPLNLPQDGLMLNLVVKDIWYRSNLPRDLLV QIYNMVDTRKDGTLDRKSFIVGMWLVDQCLYGRKLTNELDQRVWNSVDGYVLGTINVK PATSDHYHNANNPLDKPSKLSVRQELKNIKRDLRNVRI YJL082W MFRVFGSFGSKGNQSSGEEQSTKTKQVLKQANDFEIALKAMDFV LDDRTDEGLNLLKKAEMETGSDQTILTLARGVIEFLQATLSFETEEMKRAAITLGKAE QMSWKSKQNAEKTNFRSSSIYPPGTVYAVTYTESCLLHALLMLFSESMMEAAKALLKL RRAYTMLQDIMVTVKKAERSKNSSSPSPSEKSQESCGSFVSAETTFISVDIPYKLSSE DKSNPLLLEFAEKIYTMRMGRLSGAHIGNTPSFHRLRDDLGLQTTPSQASDRHSVSDD FDLEQATIDEFIHSGANLCYGILQVVLSLLPPAIGAVLSIVGFKGSREEGLRLVWKAT KERNVHGCIGLLGLMFYYDGPFQFTDADFDIPPNDNGSRALNKSRTNDSSLLPGYMDS ATLLHPGKILEDALLKARALFPNSALWLLNEAKMLAGKGRLRDSLALMDSIDVNSIRM RQVKSLMVFERAILLVNLHEYNRAADDLISLLDISDWSHALYTYFAGCCYLENWRMTQ LGLLNDGKEQFYKERARELIFDAPSLLGKKTFKSKNLPLDRFMLRKVQQFNNMQKKLN LQEPLDSIATSPVHELAYFYNGYNRMTENDLILTKKMLTEYHNPAIDSEDPDQELIRN LLLSLTLRRLGDAERGLALLDDIVLPKIFYIQNGKVKYFKKTEDPWAYPAALYERALF CWKLGGMESLNECREWLLRAQNYAADYELSTRIGMKIKAALDRVENALA YJL081C MSNAALQVYGGDEVSAVVIDPGSYTTNIGYSGSDFPQSILPSVY GKYTADEGNKKIFSEQSIGIPRKDYELKPIIENGLVIDWDTAQEQWQWALQNELYLNS NSGIPALLTEPVWNSTENRKKSLEVLLEGMQFEACYLAPTSTCVSFAAGRPNCLVVDI GHDTCSVSPIVDGMTLSKSTRRNFIAGKFINHLIKKALEPKEIIPLFAIKQRKPEFIK KTFDYEVDKSLYDYANNRGFFQECKETLCHICPTKTLEETKTELSSTAKRSIESPWNE EIVFDNETRYGFAEELFLPKEDDIPANWPRSNSGVVKTWRNDYVPLKRTKPSGVNKSD KKVTPTEEKEQEAVSKSTSPAANSADTPNETGKRPLEEEKPPKENNELIGLADLVYSS IMSSDVDLRATLAHNVVLTGGTSSIPGLSDRLMTELNKILPSLKFRILTTGHTIERQY QSWLGGSILTSLGTFHQLWVGKKEYEEVGVERLLNDRFR YJL080C MSEEQTAIDSPPSTVEGSVETVTTIDSPSTTASTIAATAEEHPQ LEKKPTPLPSLKDLPSLGSNAAFANVKVSWGPNMKPAVSNSPSPSPSAPSLTTGLGAK RMRSKNIQEAFTLDLQSQLSITKPELSRIVQSVKKNHDVSVESTLSKNARTFLVSGVA ANVHEAKRELVKKLTKPINAVIEVPSKCKASIIGSGGRTIREISDAYEVKINVSKEVN ENSYDEDMDDTTSNVSLFGDFESVNLAKAKILAIVKEETKNATIKLVVEDEKYLPYID VSEFASDEGDEEVKVQFYKKSGDIVILGPREKAKATKTSIQDYLKKLASNLDEEKVKI PSKFQFLIDAEELKEKYNVIVTFPSTPDDELVSFVGLRDKVGEAITYARSSSKSYVVE SLDISKAHSKNLTHAKNLIMYFTKYSVLKGLEESHPNVKISLPSIQSLPTAETVTIHI SAKSDEANDIKAVRKELISFVNNIPPSETLVITDLDYELFGGSIKHCLLASESSVAFV QFGDYYPNDNSILLVALTEDEDFKPSIEEIQASLNKANESLNSLRTKQNNMETKTYEF SEEVQDSLFKPSSATWKLIMEDISEQEGHLQIKLHTPEENQLTVRGDEKAAKAANKIF ESILNSPSSKSKMTVNIPANSVARLIGNKGSNLQQIREKFACQIDIPNEENNNASKDK TVEVTLTGLEYNLTHAKKYLAAEAKKWADIITKELIVPVKFHGSLIGPHGTYRNRLQE KYNVFINFPRDNEIVTIRGPSRGVNKAHEELKALLDFEMENGHKMVINVPAEHVPRII GKNGDNINDIRAEYGVEMDFLQKSTDPKAQETGEVELEITGSRQNIKDAAKRVESIVA EASDFVTEVLKIDHKYHKSIVGSGGHILREIISKAGGEEIRNKSVDIPNADSENKDIT VQGPQKFVKKVVEEINKIVKDAENSVTKTIDIPAERKGALIGPGGIVRRQLESEFNIN LFVPNKDDPSGKITITGAPENVEKAEKKILNEIIRENFDREVDVPASIYEYVSERGAF IQKLRMDLSVNVRFGNTSKKANKLARAPIEIPLEKVCGSTEGENAEKTKFTIEEVGAP TSSEEGDITMRLTYEPIDLSSILSDGEEKEVTKDTSNDSAKKEEALDTAVKLIKERIA KAPSATYAGYVWGADTRRFNMIVGPGGSNIKKIREAADVIINVPRKSDKVNDVVYIRG TKAGVEKAGEMVLKSLRR YJL079C MKLSKLSILTSALATSALAAPAVVTVTEHAHEAAVVTVQGVVYV ENGQTRTTYETLAPASTATPTSTATALVAPPVAPSSASSNSDVVLSALKNLASVWGKT TDSTTTLTSSESTSQSLAQATTTSTPAAASTTSTPAATTTTSQAAATSSASSSDSDLS DFASSVLAEHNKKRALHKDTPALSWSDTLASYAQDYADNYDCSGTLTHSGGPYGENLA LGYDGPAAVDAWYNEISNYDFSNPGFSSNTGHFTQVVWKSTTQVGCGIKTCGGAWGDY VICSYDPAGNYEGEYADNVEPLA YJL078C MLEFPISVLLGCLVAVKAQTTFPNFESDVLNEHNKFRALHVDTA PLTWSDTLATYAQNYADQYDCSGVLTHSDGPYGENLALGYTDTGAVDAWYGEISKYNY SNPGFSESTGHFTQVVWKSTAEIGCGYKYCGTTWNNYIVCSYNPPGNYLGEFAEEVEP LISTVSSSSSSSSSTSTTSDTVSTISSSIMPAVAQGYTTTVSSAASSSSLKSTTINPA KTATLTASSSTVITSSTESVGSSTVSSASSSSVTTSYATSSSTVVSSDATSSTTTTSS VATSSSTTSSDPTSSTAAASSSDPASSSAAASSSASTENAASSSSAISSSSSMVSAPL SSTLTTSTASSRSVTSNSVNSVKFANTTVFSAQTTSSVSASLSSSVAADDIQGSTSKE ATSSVSEHTSIVTSATNAAQYATRLGSSSRSSSGAVSSSAVSQSVLNSVIAVNTDVSV TSVSSTAHTTKDTATTSVTASESITSETAQASSSTEKNISNSAATSSSIYSNSASVSG HGVTYAAEYAITSEQSSALATSVPATNCSSIVKTTTLENSSTTTITAITKSTTTLATT ANNSTRAATAVTIDPTLDPTDNSASPTDNAKHTSTYGSSSTGASLDSLRTTTSISVSS NTTQLVSTCTSESDYSDSPSFAISTATTTESNLITNTITASCSTDSNFPTSAASSTDE TAFTRTISTSCSTLNGASTQTSELTTSPMKTNTVVPASSFPSTTTTCLENDDTAFSSI YTEVNAATIINPGETSSLASDFATSEKPNEPTSVKSTSNEGTSSTTTTYQQTVATLYA KPSSTSLGARTTTGSNGRSTTSQQDGSAMHQPTSSIYTQLKEGTSTTAKLSAYEGAAT PLSIFQCNSLAGTIAAFVVAVLFAF YJL077W-B MPILVWQLPKSKKLKTLKYRICFREFFDRVET YJL077C MHISLPTRNKSYFRIRTRTYQIGLYHSDSSPIRDISVLHLLIAT LCTIFFPIFFSLSKVQVVQWQGTTISKNCIALTMSFPLNAIPGMYLIIAFPRLQTVIP LQRNTPVRITKSVIVKGAVSVPRISSPMH YJL077W-A MPGIAFKGKDMVKAIQFLEIVVPCHCTT YJL076W MYKLQVVLVPPSLQATMPIQFGYGPTIAESSQLLPNRTNMAQSA GDASLQYANLRSANVSFTPSYFNQSRFRKFLLFTKPTNTLLNLSDEIIDKCEKMYPSL QEDIEILSLQDNSGCDLDPDFLVKDVFNVNNIVRVILKNEIDLDDSAPVSLYKSVKRS KLNNGSPQSVQPQQQIPSSSGVLRIAKKRPPTGTTTTTTIRSATNGSMRVSTPLARQI YPPPSSKIVSNNSDDEDEDIGERSFLPPPTQPQSPPIRISSGIDAGKKIKSSIVEEDI VSRSATVDPDKTKQQRLLSGTPIMSTMTPNRVTLTGQRVVSEHAHKNELVFSASASSS SFANGGTAAVTAQDINRKPPVTTPRITSGMLKIPEPRISEIEKELKEGPSSPASILPA KAAKIPMKKPYLENGENYESDDSSSSENQETPETEPHSKASLQRSQSSIADNNGSPVK NSPLGDAMPHNVHLAELPKASNTSITKSSNGESWGKQQEHQPPRKSSLETIVEKKSQA EPSGIVEPKRMTNFLDDNQVREKEDTNDKLLEKEILPTIPHNDQPILASSDKSNGTLK SLAGKVSSNNNASKEDGTIINGTIEDDGNDNDEVDTTVRIVPQDSDSSSFPKSDLFKM IEGDDTDLPQWFKGKNSRTSGNSKNSKPYTTVLNKDIDNSKPDPRNILPQRTPRSAAK RAAQLLAGAKKNEVPQKSTEDSSSAASTDDESESGIETDFSSDDDFKRKNMSVPNNGP KDISLHSLKGSVVPVKDSKIINKEVDEERNDKRDSQKKSAVSESSVTNSKISEQMAKS FYPNSNKKQNEATKVETKPATQASSFPVVGGSPSVATKGTTSFNEEGNRKNVKTKAKN ESAQIDRQQKETTSRVADLKSANIGGEDLNKKAEGSKEPEKASANIQDANDKNNSKEK EDSKSKQVSQKKLKMTDHLKEGNVQLPKPSANDKLKDLKAKFTNSKTLVPPGIISNEK NNSSANDDDSSSSGSSTEDESSSSSSSSDEETSTSRKARRVVVNTPREPVRSSSKIEA PSPSVNKKINATPDKIPVTQLMDMSSPPSVKSKTTSNPSSILHDLPRKVRPSLSSLSD LVSRGIPDVKEKTSKSNEKSQTKAPSSSDDESSSDSDSNSSSDSVSDSSSDSKSESDS DDSGDSSDDGKSFISAKSASAALGKKKKPSGGFASLIKDFKKK YJL074C MYIKRVIIKGFKTYRNETIIDNFSPHQNVIIGSNGSGKSNFFAA IRFVLSDDYSNLKREERQGLIHQGSGGSVMSASVEIVFHDPDHSMILPSGVLSRGDDE VTIRRTVGLKKDDYQLNDRNVTKGDIVRMLETAGFSMNNPYNIVPQGKIVALTNAKDK ERLQLLEDVVGAKSFEVKLKASLKKMEETEQKKIQINKEMGELNSKLSEMEQERKELE KYNELERNRKIYQFTLYDRELNEVINQMERLDGDYNNTVYSSEQYIQELDKREDMIDQ VSKKLSSIEASLKIKNATDLQQAKLRESEISQKLTNVNVKIKDVQQQIESNEEQRNLD SATLKEIKSIIEQRKQKLSKILPRYQELTKEEAMYKLQLASLQQKQRDLILKKGEYAR FKSKDERDTWIHSEIEELKSSIQNLNELESQLQMDRTSLRKQYSAIDEEIEELIDSIN GPDTKGQLEDFDSELIHLKQKLSESLDTRKELWRKEQKLQTVLETLLSDVNQNQRNVN ETMSRSLANGIINVKEITEKLKISPESVFGTLGELIKVNDKYKTCAEVIGGNSLFHIV VDTEETATLIMNELYRMKGGRVTFIPLNRLSLDSDVKFPSNTTTQIQFTPLIKKIKYE PRFEKAVKHVFGKTIVVKDLGQGLKLAKKHKLNAITLDGDRADKRGVLTGGYLDQHKR TRLESLKNLNESRSQHKKILEELDFVRNELNDIDTKIDQVNGNIRKVSNDRESVLTNI EVYRTSLNTKKNEKLILEESLNAIILKLEKLNTNRTFAQEKLNTFENDLLQEFDSELS KEEKERLESLTKEISAAHNKLNITSDALEGITTTIDSLNAELESKLIPQENDLESKMS EVGDAFIFGLQDELKELQLEKESVEKQHENAVLELGTVQREIESLIAEETNNKKLLEK ANNQQRLLLKKLDNFQKSVEKTMIKKTTLVTRREELQQRIREIGLLPEDALVNDFSDI TSDQLLQRLNDMNTEISGLKNVNKRAFENFKKFNERRKDLAERASELDESKDSIQDLI VKLKQQKVNAVDSTFQKVSENFEAVFERLVPRGTAKLIIHRKNDNANDHDESIDVDMD AESNESQNGKDSEIMYTGVSISVSFNSKQNEQLHVEQLSGGQKTVCAIALILAIQMVD PASFYLFDEIDAALDKQYRTAVATLLKELSKNAQFICTTFRTDMLQVADKFFRVKYEN KISTVIEVNREEAIGFIRGSNKFAEV YJL073W MILISGYCLLVYSVILPVLISASKLCDLAELQRLNKNLKVDTES LPKYQWIAGQLEQNCMTADPASENMSDVIQLANQIYYKIGLIQLSNDQHLRAINTFEK IVFNETYKGSFGKLAEKRLQELYVDFGMWDKVHQKDDQYAKYLSLNETIRNKISSKDV SVEEDISELLRITPYDVNVLSTHIDVLFHKLAEEIDVSLAAAIILDYETILDKHLASL SIDTRLSIHYVISVLQTFVLNSDASFNIRKCLSIDMDYDKCKKLSLTISKLNKVNPSK RQILDPATYAFENKKFRSWDRIIEFYLKDKKPFITPMKILNKDTNFKNNYFFLEEIIK QLIEDVQLSRPLAKNLFEDPPITDGFVKPKSYYHTDYLVYIDSILCQASSMSPDVKRA KLAAPFCKKSLRHSLTLETWKHYQDAKSEQKPLPETVLSDVWNSNPHLLMYMVNSILN KSRSKPHSQFKKQLYDQINKFFQDNGLSESTNPYVMKNFRLLQKQLQTYKEHKHRNFN QQYFQQQQQQQQHQRHQAPPAAPNYDPKKDYYKILGVSPSASSKEIRKAYLNLTKKYH PDKIKANHNDKQESIHETMSQINEAYETLSDDDKRKEYDLSRSNPRRNTFPQGPRQNN MFKNPGSGFPFGNGFKMNFGL YJL072C MSLPAHLQQTFSPEEIQFIVENEPIKIFPRITTRQKIRGDDRGT GNHTRWQLITTDDKALNNMVAMRSTEVVLWIALLLKQQSKCSIVAPQWLTTKELDRKI QYEKTHPDRFSELPWNWLVLARILFNKAKDDFHDPIHELRGKIQDLREIRQIKVLKGL KYLNESHLQLDNLSLLEINELRPFITEIMDKLREIHTASLTAGTENDEEEFNI YJL071W MWRRIFAHELKYDQPNASSKNLILSVLNTTATKREAKDYLSKYT NDSGQHNHCLFFIRDLHKVAPAILSQFSSVIKRLGMLGLRPMFVIPPSPTHVNIQAEL LDSIVTEADLKPLHLKEGLTKSRTGLYHSVFSQESRFFDIGNSNFIPIVKPYVYNEET ASEFMTKDVVKFMDCLCQGNIPHIDKFFILNNAGGIPSGERNDNAHVFINLSQELEHL SSSLSHNISTLTKREPRSQNLLHRMEVYVKKDEISSLECEYHDHLENLLLMDKVLSNL AATATGLITTVKAAALSSDRKNPLVYNLLTDRSLISSSLPRFKKKDGEIDSPANMFDD HAWYELPSQQVNAAPSNSDAVLVTTVLKKGVHIKTYDYKTLTQFNSIGLPKKFHVPEK GAKPSSNSPKLDINKFKSIIDQSFKRSLDLHDYIKRINGKIATIIVIGDYEGIAILTY EGSEENSFVYLDKFAVLPHLKGSLGISDIIFNLMFKKFPNEILWRSRKDNVVNKWYFQ RSVAVLDLSIDLDPEHCDEKQSQFKLFYYGNPQYAKRALRDKKRLREFMRSVRDIKPS WENEKNIS YJL070C MQAVERRPSLLFDEYQNSVTKPNETKNKEARVLSENDGDVSPSV LKQKEISVDDMDMISLPTEFDRQMVLGSPMFFDLEDEENKIDPLPSVSHHYGNGESDS FVSSYTPSNLKTGEETKDLFINPFELVSQMRKRYIAASKQDGISNIKNDTEKWFLYPK PLPKFWRFEDDKRFQDPSDSDLNDDGDSTGTGAATPHRHGYYYPSYFTDHYYYYTKSG LKGKGNIKVPYTGEYFDLEDYKKQYIYHLSNQENTQNPLSPYSSKEESLEEEFLTDVP TFQEFRDDFAYIIELIQSHKFNEVSRKRLSYLLDKFELFQYLNSKKEILANKNVPYRD FYNSRKVDRDLSLSGCISQRQLSEYIWEKINLEPERIVYQDPETSRKLSLRDIFQFGC SSNDQPIAIGLKLIDDEFLDWYRNIYLIDYHLTPNKVAKLVGKEMRFYLLAKVFLEFD NFIEGEYLAEIFIKYVIHILEKSKYQLAQVSVNFQFYSSGEDWYKKFSQWLLRWKLVS YNIRWNIQIARIFPKLFKENVVSNFQEFLDLIFNPLFTLEKEQLPIDSSVNTDIIGLQ FFLSNVCSMDLVIKESDEYYWKEFTDMNCKPKFWTAQGDNPTVAHYMYYIYKSLAKVN FLRSQNLQNTITLRNYCSPLSSRTSQFGVDLYFTDQVESLVCNLLLCNGGLLQVEPLW DTATMIQYLFYLFQIPILAAPLSSVSLLNSQKSTFLKNKNVLLEHDYLKDQETAKINP SRDITVGEQRSYETNPFMKMFKMGLKISLSSKSILYNSSYTLEPLIEEYSVAASIYLL NPTDLCELSRTSVLSSGYEGWYKAHWIGVGVKKAPYFEENVGGIDNWYDTAKDTSIKH NVPMIRRRYRKETLDQEWNFVRDHFGVINSIW YJL069C MTMATTAMNVSVPPPDEEEQLLAKFVFGDTTDLQENLAKFNADF IFNEQEMDVEDQEDEGSESDNSEEDEAQNGELDHVNNDQLFFVDDGGNEDSQDKNEDT MDVDDEDDSSSDDYSEDSEEAAWIDSDDEKIKVPILVTNKTKKLRTSYNESKINGVHY INRLRSQFEKIYPRPKWVDDESDSELDDEEDDEEEGSNNVINGDINALTKILSTTYNY KDTLSNSKLLPPKKLDIVRLKDANASHPSHSAIQSLSFHPSKPLLLTGGYDKTLRIYH IDGKTNHLVTSLHLVGSPIQTCTFYTSLSNQNQQNIFTAGRRRYMHSWDLSLENLTHS QTAKIEKFSRLYGHESTQRSFENFKVAHLQNSQTNSVHGIVLLQGNNGWINILHSTSG LWLMGCKIEGVITDFCIDYQPISRGKFRTILIAVNAYGEVWEFDLNKNGHVIRRWKDQ GGVGITKIQVGGGTTTTCPALQISKIKQNRWLAVGSESGFVNLYDRNNAMTSSTPTPV AALDQLTTTISNLQFSPDGQILCMASRAVKDALRLVHLPSCSVFSNWPTSGTPLGKVT SVAFSPSGGLLAVGNEQGKVRLWKLNHY YJL068C MKVVKEFSVCGGRLIKLSHNSNSTKTSMNVNIYLPKHYYAQDFP RNKRIPTVFYLSGLTCTPDNASEKAFWQFQADKYGFAIVFPDTSPRGDEVANDPEGSW DFGQGAGFYLNATQEPYAQHYQMYDYIHKELPQTLDSHFNKNGDVKLDFLDNVAITGH SMGGYGAICGYLKGYSGKRYKSCSAFAPIVNPSNVPWGQKAFKGYLGEEKAQWEAYDP CLLIKNIRHVGDDRILIHVGDSDPFLEEHLKPELLLEAVKATSWQDYVEIKKVHGFDH SYYFVSTFVPEHAEFHARNLGLI YJL066C MGFYEGDDNDANTKAFNDKYIKDQKFATAPFWNLFPKLRDIDEY DNPLLPLPFNFNFRDLGDSALAMASGIPTVKQFDKCEELKGQSAWTTQGIWKCLVPSK AIPPLPQLDFLLPLEEIKSDKSHSHGLFFNDFNLFLKWRSHMNRLQKQRIKTRSTAVE PLARTPEDLMLNWDDLHLGNDAEYASADGSKKIVGRAQSISTTKDSNDAKPSTVKTEK IYFDDGTVDITTTTTSKGSSPQVKHKVVSVDEDN YJL065C MNNETSGKETASAPLCSPKLPVEKVQRIAKNDPEYMDTSDDAFV ATAFATEFFVQVLTHESLHRQQQQQQQQVPPLPDELTLSYDDISAAIVHSSDGHLQFL NDVIPTTKNLRLLVEENRVRYTTSVMPPNEVYSAYVVNDTAPKPNIVEIDLDNDEDDD EDVTDQE YJL063C MTVGIARKLSRDKAHRDALLKNLACQLFQHESIVSTHAKCKEAS RVAERIITWTKRAITTSNSVAQAELKSQIQSQLFLAGDNRKLMKRLFSEIAPRYLERP GGYTRVLRLEPRANDSAPQSVLELVDSPVMSESHTVNRGNLKMWLLVKSVINDDANQL PHNPLTLQNLHKVAKFKAEAQLHGEIMLIKQVLLKEMSLPYDEALENERTQALLKEVY SSSLPKKTKKPSSYVMVPRP YJL062W-A MVLNPSKYQDTRTWKMTPAMIRARKPFFKGNMLGLTLLLGVTGS VYYYTYHFLHKDNDFADVPIPPIDPQELEALKKEYEAKKKA YJL062W MNLKQFTCLSCAQLLAILLFIFAFFPRKIVLTGISKQDPDQDRD LQRDRPFQKLVFVIIDALRSDFLFDSQISHFNNVHQWLNTGEAWGYTSFANPPTVTLP RLKSITTGSTPSFIDLLLNVAQDIDSNDLSEHDSWLQQFIQHNNTIRFMGDDTWLKLF PQQWFDFADPTHSFFVSDFTQVDNNVTRNLPGKLFQEWAQWDVAILHYLGLDHIGHKD GPHSKFMAAKHQEMDSILKSIYDEVLEHEDDDDTLICVLGDHGMNELGNHGGSSAGET SAGLLFLSPKLAQFARPESQVNYTLPINASPDWNFQYLETVQQIDIVPTIAALFGMPI PMNSVGIIIPDFLQLLPNKLASMKENFMHLWKLSDHHGEVALDDFTAEDIYTKMYTIQ ETLTKSATNYNYPLLTLAFVGFLIITIIAIYVLLRYSGPDFWQLRVSSLSVLLVSIIL GVSTFASSFIEEEHQLWWWIVTAFSAVPLFVYRLNVLIIVRWFIMMACVRSIKFWNNS GQKFIYSNVMSNLLNQNPSWKWCLNMLTFLVLIMASAGFQVLHFIVTTILVGLCFTYK ISWEIVNGNQAEIPLFMHDLLAKIDFAPTESNLIVLARVFFQAWAIVVISRLVLTKLK VLNKNYLIKDMKVYITILLMFQTSSQNIGQFLVFQILESQIFYFFQNIPTASLTSTSK IYFSNLVSLILQNFTFFQFGGTNSISTIDLGNAYHGVSSDYNIYVVGILMSVANFAPA IYWSMLPWSINYASIPAQVKLQTFIRSKLPAFTYHCIFGTCLMTACVVLRFHLFIWSV FSPKLCYFLGWNFVMGLLNGWLPELALLCALD YJL061W MSQSSRLSALPIFQASLSASQSPRYIFSSQNGTRIVFIQDNIIR WYNVLTDSLYHSLNFSRHLVLDDTFHVISSTSGDLLCLFNDNEIFVMEVPWGYSNVED VSIQDAFQIFHYSIDEEEVGPKSSIKKVLFHPKSYRDSCIVVLKEDDTITMFDILNSQ EKPIVLNKPNNSFGLDARVNDITDLEFSKDGLTLYCLNTTEGGDIFAFYPFLPSVLLL NEKDLNLILNKSLVMYESLDSTTDVIVKRNVIKQLQFVSKLHENWNSRFGKVDIQKEY RLAKVQGPFTINPFPGELYDYTATNIATILIDNGQNEIVCVSFDDGSLILLFKDLEMS MSWDVDNYVYNNSLVLIERVKLQREIKSLITLPEQLGKLYVISDNIIQQVNFMSWAST LSKCINESDLNPLAGLKFESKLEDIATIERIPNLAYINWNDQSNLALMSNKTLTFQNI SSDMKPQSTAAETSISTEKSDTVGDGFKMSFTQPINEILILNDNFQKACISPCERIIP SADRQIPLKNEASENQLEIFTDISKEFLQRIVKAQTLGVSIHNRIHEQQFELTRQLQS TCKIISKDDDLRRKFEAQNKKWDAQLSRQSELMERFSKLSKKLSQIAESNKFKEKKIS HGEMKWFKEIRNQILQFNSFVHSQKSLQQDLSYLKSELTRIEAETIKVDKKSQNEWDE LRKMLEIDSKIIKECNEELLQVSQEFTTKTQ YJL060W MKQRFIRQFTNLMSTSRPKVVANKYFTSNTAKDVWSLTNEAAAK AANNSKNQGRELINLGQGFFSYSPPQFAIKEAQKALDIPMVNQYSPTRGRPSLINSLI KLYSPIYNTELKAENVTVTTGANEGILSCLMGLLNAGDEVIVFEPFFDQYIPNIELCG GKVVYVPINPPKELDQRNTRGEEWTIDFEQFEKAITSKTKAVIINTPHNPIGKVFTRE ELTTLGNICVKHNVVIISDEVYEHLYFTDSFTRIATLSPEIGQLTLTVGSAGKSFAAT GWRIGWVLSLNAELLSYAAKAHTRICFASPSPLQEACANSINDALKIGYFEKMRQEYI NKFKIFTSIFDELGLPYTAPEGTYFVLVDFSKVKIPEDYPYPEEILNKGKDFRISHWL INELGVVAIPPTEFYIKEHEKAAENLLRFAVCKDDAYLENAVERLKLLKDYL YJL059W MSDKSHQIYCYFWLFGLINNVLYVVILSAAVDIVGPTLPKSLVL LADIFPSLAIKLCSPFFIDRIKYSYRIWSLITMSCLGMFLVSFKNLFVCLLGISFASI SSGFGEVTFLQLTHYYKQISLNGWSSGTGGAGIIGGASYMFLTSIFKVPVKLTLLVFS LLPFAFLFYFKLESNDTNLTYQSLQQIDEAEDDQLVPFPVAFTHTNASQSLYSTRQHI LQTVKRLRRLVFPYMVPLTTVYLFEYLINQAVAPTLLFPINGDERSKSMPFFFHKYRD IYVTYGTLYQLGVFISRSFGHLMRMRSLYILAFLQGVNLCITVLQSWFYVTHSPWAVM ILIFYEGFLGGASYVNTFLNILEQEDPDETEFAMGAVSIADSFGVFLAALLGLGLEPK LCRHQIADDRPWCRME YJL058C MTAEDILLRERTSTTTQRPVNSEQYLNVQLATAPVKNFQTTSEI SRQTLVDTSNDDVYSIKNLKGSRNPISPSVSNVGFQSIFHTVDHPRSKVSVASNHSLR SNDNASAATSKSGSSQIGESHSVDTVECSNNLSKKLSSDAISITQKSLHSTPSGRYMK GKASGFFNRRNRAHTTISSDPASFLTDSSTLHNSSHSFRNVIKNFFQNKSHRHIGQDA IEPAIPNSLSKFLHSSYGRHKSPSQFIHTNAGQLVDSGTSVYSLNVNPSGVNPNTIVE DPLSGTDPASPNPVSMLHDLLRNLPSLEANYKHFNSQELTTLTNNIWNIFCSNVAELF RTQRIWKLRAKIENFNEVLEFYCILKTDPRVTHSGMNRIISDLKEFLVSSLYNLENQI VFNYSNEDTINNALKRLGVIWRIFYQEVYYDLAAVLLPLDQSIREDGNSTVLKSGNES RTHINGNYSIGFLLLMCFRDSIVLPCYENFVNSNDGISKSFQLYIFNQEEESNVTETD KLTLLQCFGILSTIQSNDRNQRIIEELLAGIRMSI YJL057C MSLVPYEEGSLILDDPNSKSVVVVNPTSGTLSFFQQDNSNNDSE LNEDQTASLSALDFPSGIHQYKSPIASYVCPQCGTEINPDIINRRQLHRRASAGVESE SSRLSIPENTVPLGFEFANSSFSRRYFQSLERNHRHYALQNDSNNKQGQFSKNKYFIP DDLFIPGYFRKFFKILSLLGNGARGSVYKVVHTIGNTELGVFALKKIPIGNDMEWFNK CIREVKALSSLTHKSANLITYNHVWLEMDSSVGFVRSIDGSQSDSQEEVPCIFILQQY CSGGNLEDCILRKVFNRFSDTESPEERKKKFRTRKKNHGKSGEVGLSTEQLVSIIRDI ARGLHELHSIGLIHRDLKPSNCLLLTPFKSDNENDNVYDREHNSDEFFPSIVIGDLGE SQLEGESRLGTGCTGTLEFTAPDLIIQGRPVSSSTLPSRSSHTYNEYTFASDMYSLGM ICYFIVFGELPFEPQLDIVDLKVRIKNFRFDTEGMIEKHQAMKLKPIDRRIFHLMDAL LQPNNDARPTAKTVEETLDEMLINSKPGKKFWKENVDSTLNFSTISEVNENTNSFTDD YIDGDNVTLSLPAPEENLSTVSTQNLQKYSALNRTIQVCYKLVSMILTIIIFKCTKTG SWLSYMSLILLGMVFKSPADERGKHARALVLLAFIAACKKYIY YJL056C MDALTPRDSPKRDDSMATSAATAASAKPDALTIGKEGIVHGHIH NYNNLTYIHGHLHHSAPVNDSSASATPAAAAVADAATSAFASGASHDMGGDCHVNEKC KEYTDCQHFEFLNYHNNPSLTKYNDTATYNSNNHSFANNFHSVASDPTSPQQNSKSDL PRRKDSWFNDDLILLPSSKKNKPNPPPGSDDCYCTPKILEICCDETHPKSEANIKQGE SDQPTKKDISENGNDVAIFTDVKNDHLMPNFNLHDQYCNSTNHDSHNHNNTVPDSFSQ LMSHLSEIDCDLTCDTPCTASTSATSGHKFVQDHQSSNNDDVFHKYCKFCEESTDNQP CSKHMHLESKPPQLPPKCSSLRKPTNTLQGTNHAYHEHILNTDMDLKILEDLCNISSL YEVPFGKHINHHDHNNAGNGCDGSSTGNNENGNQTMNLLLSSINRCNPKNNLNGSNNN TAGATSTDHQHHHHRIQFHSHKPNRNNIVNNSGISAANTTADLTNNDLNDLISREYSY ERFRNQSEPPSLPKVTHQNQKNRRSWPTKDLESTDFSSLEDSLPSSISPPIQTTSTIN FNWCFKEEKNNDLKCKWKECPESCSSLFDLQRHLLKDHVSQDFKHPMEPLACNWEDCD FLGDDTCSIVNHINCQHGINFDIQFANPDSFLPGSISKEKHHLLHCPNPQTHEVSKAD GAPDMTSANDVSNIPPIKQPEQVICQWDGCNKSFSSAQELNDHLEAVHLTRGKSEYQC LWHDCHRTFPQRQKLIRHLKVHSKYKPYKCKTCKRCFSSEETLVQHTRTHSGEKPYKC HICNKKFAISSSLKIHIRTHTGEKPLQCKICGKRFNESSNLSKHIKTHQKKYKCSDCS KSFDDLGKLNSQKVKCALERKPYL YJL055W MTMEKNGGNSSRGGQVGGKSVCVYCGSSFGAKALYSESAEELGA LFHKLGWKLVYGGGTTGLMGKIARSTMGPDLSGQVHGIIPNALVSKERTDEDKEDVNK ALLESVENHKGATPISEEYGETTIVPDMHTRKRMMANLSDAFVAMPGGYGTFEEIMEC ITWSQLGIHNKPIILFNIDGFYDKLLEFLKHSIQERFISVKNGEIIQVASTPQEVVDK IEKYVVPEGRFNLNWSDEGHAHEDCAK YJL054W MSSESGKPIAKPIRKPGYTNPALKALGIPALRLPSRNWMIFWSV LTVSIGGIAYDKYKQRQILSHATDLVKPLAEESMEVDKVPRKITVFIAPPPNDYLESS LKVWRRYVKPVLYYAGLDYELVQEDRQGIIRTNVANRIRELRKEILASTDGQPVKEPN QTVAKPSGSSTSKISSLLPFNKIIQDPAEEDDSFDPEIGKKFKENFDWRNVIGIFYTM PKPKHIISEDALTKDPILSGGVICLGRGAYKEYIAGIHEGLLGPIEKTEKTGSTEPKM TGVVEANQIESKVSESGATELVDAEKETALEEAKVQDDLKVDEENSSEDSQKFLKPFI SSDQYPDLQIASELQTPNGEFIRNPNTNIPLLINQPLLVIPIPNLIGFTTIPRRIHRF YQKRFYVEDVCSSVVNCVRQTRIRPFDIAKDIDLAKDEEKDWPQNWVKQGKEKNSEWT QELVCDPRITKHMFVYEKPPKEEPESDI YJL053W MSIFFKPPIDIEILFDNEESRKHVDIATRSSNSSYKSMKESLPV YEDGESLGGIVTLRVRDSKKVDHLGIKVSVIGSIDMLKSHGSGNSSSKKVTSSTSSSS SNGSVDVRKNSVDQFLCQSYDLCPAGELQHSQSFPFLFRDLSKRYESYKGKNVDVAYY VKVTVMRKSTDISKIKRFWVYLYNSVTTAPNTLSANETKATTNDIAGGNYAADNASDN TQTKSTQGEAADVNQVLPISHSNNEPKPVRLDIGIENCLHIEFEYAKSQYSLKEVIVG RIYFLLTRLRIKHMELSLITRESSGLQTSNVMTDSTAIRYEIMDGSSVKGETIPIRLF LSGYDLTPNMSCNYFNVKNYLSLVIIDEDGRRYFKQSEITLYRTR YJL052C-A MHLRSRWWLALLYCKDPVSRSATTPKVETRASCLLSRAF YJL052W MIRIAINGFGRIGRLVLRLALQRKDIEVVAVNDPFISNDYAAYM VKYDSTHGRYKGTVSHDDKHIIIDGVKIATYQERDPANLPWGSLKIDVAVDSTGVFKE LDTAQKHIDAGAKKVVITAPSSSAPMFVVGVNHTKYTPDKKIVSNASCTTNCLAPLAK VINDAFGIEEGLMTTVHSMTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDVSVVDLTVKLEKEATYDQIKKAVKAAAEGPMKGVLGYTE DAVVSSDFLGDTHASIFDASAGIQLSPKFVKLISWYDNEYGYSARVVDLIEYVAKA YJL051W MCHNSVRSGNKAGFLGIKFGSALLSIATGAIAIALLCKFHDHEA VLIVIVCSTLLYGIPSLISFITETVFAPSKFHIGYFYNVLNFALPLITMGCTVDYFHN TLRSPISVQSESHRVYITTLDSLLIFTLFINGIQLGFFLKDGNANNFGSSSNNISTDQ YDKEANAVENGRFVPLKNSSQTLTPDLELLHGSPKSMNGVAWLINELSTNSNTNANKT ISSDENSNSSVIRHKLGPISTSKCPKKPSHSHFSKLKKYNSFFLGPKENRYKRNTQQA TKVPTEKKSNHRSSQYVSRLSTISDISKSFLNFLALNEKNGNSTSTARTPSEGRVSII INEGNNTLKYKTPHDSHTIDSPNLELEREAIGRINSALLPACLRVTDKMISPQQSTQN EDSYQATPLIPQVEVDDDFYVGDILMTNELQDIPQVPRISSDIEDDFEQQYTKHVDLP ARVTLEMWEKDQEKILQKVTTNRDKSKLLPPFRFTSESDMDPSTSTELEVELHAQNNF SFPFKSAGLQIATSDQFNQQEFKTSDTISELDEYLHDPSIQEEDASQLIESSLNQNNL SSTTIDNGPKDMSRFSTRHSPTKSIISMISGSGSVKHQHSHSTLSNFFTGHSRNNSQI NQLLQGSSSNMMSNTSPHSSPTKSLRMRFGKKLSLSNISDTMSPYEGSTTDPINYSFG HGHNKNQSIDFSYVRTLQSSHSPTKSTSGNSRRDSLNNDRTQSTVNERALRTASTLFY LQHNNATCTLNGEEPVLDTPQSIQSSSSGSEQESAGSGSGYPEVVFSEYDREKWNVLR NLKEIAPEKTIESGPVEELVSPSK YJL050W MDSTDLFDVFEETPVELPTDSNGEKNADTNVGDTPDHTQDKKHG LEEEKEEHEENNSENKKIKSNKSKTEDKNKKVVVPVLADSFEQEASREVDASKGLTNS ETLQVEQDGKVRLSHQVRHQVALPPNYDYTPIAEHKRVNEARTYPFTLDPFQDTAISC IDRGESVLVSAHTSAGKTVVAEYAIAQSLKNKQRVIYTSPIKALSNQKYRELLAEFGD VGLMTGDITINPDAGCLVMTTEILRSMLYRGSEVMREVAWVIFDEVHYMRDKERGVVW EETIILLPDKVRYVFLSATIPNAMEFAEWICKIHSQPCHIVYTNFRPTPLQHYLFPAH GDGIYLVVDEKSTFREENFQKAMASISNQIGDDPNSTDSRGKKGQTYKGGSAKGDAKG DIYKIVKMIWKKKYNPVIVFSFSKRDCEELALKMSKLDFNSDDEKEALTKIFNNAIAL LPETDRELPQIKHILPLLRRGIGIHHSGLLPILKEVIEILFQEGFLKVLFATETFSIG LNMPAKTVVFTSVRKWDGQQFRWVSGGEYIQMSGRAGRRGLDDRGIVIMMIDEKMEPQ VAKGMVKGQADRLDSAFHLGYNMILNLMRVEGISPEFMLEHSFFQFQNVISVPVMEKK LAELKKDFDGIEVEDEENVKEYHEIEQAIKGYREDVRQVVTHPANALSFLQPGRLVEI SVNGKDNYGWGAVVDFAKRINKRNPSAVYTDHESYIVNVVVNTMYIDSPVNLLKPFNP TLPEGIRPAEEGEKSICAVIPITLDSIKSIGNLRLYMPKDIRASGQKETVGKSLREVN RRFPDGIPVLDPVKNMKIEDEDFLKLMKKIDVLNTKLSSNPLTNSMRLEELYGKYSRK HDLHEDMKQLKRKISESQAVIQLDDLRRRKRVLRRLGFCTPNDIIELKGRVACEISSG DELLLTELIFNGNFNELKPEQAAALLSCFAFQERCKEAPRLKPELAEPLKAMREIAAK IAKIMKDSKIEVVEKDYVESFRHELMEVVYEWCRGATFTQICKMTDVYEGSLIRMFKR LEELVKELVDVANTIGNSSLKEKMEAVLKLIHRDIVSAGSLYL YJL049W MKVDLPESRLPSLYRDFRPLKDLNPDGYEANISTWRDFFLQQYI SNSNRIIFTIGTRTLQELTHEVYGVPKSIDIAIDVLVNEGNLIPMELFNLGGMYTDNS RKGFWKWVRSWKESTNMYKSRKDETNFYLREDKFIIKANLEKEYQRFHELLKRSVFME ASSITDLVFTRNEFVTTESLQSFFSTYDEETKNVFLHFIENYKHIIVSKDNVIKVIAA EVEDITSRFSKGITENDLRIASVKVGIININKQITRLRKEINESNIKLRGPEFNELPK RIRIEYKQARLLSEKHLSRLLKFQNNLAQVRTQIDTSATNAVLIQTLSESNEVIKSIN GYIGSTEKVEDLLDEIKEGHDRTEEVNDLLAHYNKGQDEEAEEEIERELEQLELDEKN NNKEENKNQDLHEPKESSSEDLLKRLNNLKINTNEGPVQDNENHDNEIRKIMMEEQPR YJL048C MYEMSGIDSLFHDRVVHDYSHTSEQVIVVYISSAAGDNSWLHQW FKPGNLSDEERENILWVRLVNGTKECLLFKSIFPSSSAPSINILQNGLLECSIQGNSL SREQDPWETFINGLQSVFKGQVTKRKLFSKSNEEYQRVKRMIQNDKLERKYVFQNTND PQRKPQKWKQLTVTDNVSYKSQKGFLAQNYCTLQLKLPNGYTISNTFPPQTKLHKVRM WLDYNCYDDGTPYLFHRNIPRVTLTRNDELKSLQELDLLPRSTLILEPLEANNKTFDY MEQSSLLHKVYSGLTSFWAKEPEVDASSSRLGYQRLGTNVSNSANYSLQKLSSLDMVS DGGGGGGGDSMTPSAYTTPRMYPSNGTSQLRQNVSELNLSSNNSASNTKVRTLGYSNN NGNN YJL047C-A MKIKISIEISLSLLSEHYKRNENCISNMLVIGEGPRGETIVERF YJL047C MINESVSKREGFHESISRETSASNALGLYNKFNDERNPRYRTMI AELHEFFHLTLAETITETDVKELECNKEKAAKFRKLMPKMLNNCRELTQRKSYIPYNS EFNGNDEKQKKFQLLHQHQIVLSFQEFCDELAKLIIDAHVLSFLTRCDYSYEIIPKNW TSFYKLFQYVMGAVGPIISYVPVNYPMIRKELGFETLTIFQYYDSKLFECMKSHFGRE FSTLVSATIHHYIHMFPITNTMLEKEVPMLRIMSNCNFSIEGLSPKDFYMKTLRQYYC EESNLRPRLETFKNFKVLLTRNALLASLFSPEWVSDANDLFISHLLLNKKSISEYIEI GKDTYDEEKERYFKTETHFSLLMFRNAFEAKNMLSKFKEFCDDAVSEKLKAAYGSNHD TERLFDEVVQLANVDHLKIYSDSIEYHLCNLLGSTSKAIEQYVKYFESHLFIIVRKIK TTKKDLPRDMKIKYLNENLPILRLKFVNLPTFPNFFERSIFRKTILQSDQNSSFIKDI LPVYKDSLMELFKQRIITNVSQEDEMRYRDQYQPYLSQFFQPVEVMADLRIKYASFLS FYENIEAAVKFGKTYNENNSKSFFPLIFDRERIPKVFQQSNEVKKNFVLPQEMDDTWN QFLRNYHEQNKVEDSDASKKELYPMWNLHHCEVESPYIIQDGTNLIFELTLFQTCVLT LFNESDHLTLQVISEQTKLAYKDLALVLKSFCNYKILTRDIDNTYSINESFKPDMKKV KNGKLRVVLPRTASLQSSNTGGERTSSAHHEGSNSQWTQELLKACITRSVKSERNGLD YDHLFETVKQQIKGFSVGEFKDALAKLLRDKFITRDESTATYKY YJL046W MSMMLSNWALSPRYVGQRNLIHCTTLFHTLTRWAKDADDKYHDI NSMYENMFTPSNDNVSILQDEGKSDYDTTKASSMEEDISAFNKDLYNFYNIGYAKQIM SASQLENIVKAKGRFVIQSLSTSPYYNLALENYVFKNTPRAKRGPDNCRLLFYINDRC AVIGKNQNLWQEVDLAKLKSKNFELLRRFSGGGTVLHDLGNVNYSYLTSREKFETKFF NKMIIKWLNSLNPELRLDLNERGDIIQDGFKISGSAYKIAGGKAYHHATMLLNADLEQ FSGLLEPSLPNNMEWESSGVHSVKSKIKNVGIITPNQFIAVVSERFQKTFKVDGEIPI YYCDEFKSINDEIKDAMNTLQSEQWKYFSGPKFSVKIKDKGLTIKVEKGMIYDCDRND LIGLEFKGFLENIDSYT YJL045W MLSLKKGITKSYILQRTFTSSSVVRQIGEVKSESKPPAKYHIID HEYDCVVVGAGGAGLRAAFGLAEAGYKTACLSKLFPTRSHTVAAQGGINAALGNMHPD DWKSHMYDTVKGSDWLGDQDAIHYMTREAPKSVIELEHYGMPFSRTEDGRIYQRAFGG QSKDFGKGGQAYRTCAVADRTGHAMLHTLYGQALKNNTHFFIEYFAMDLLTHNGEVVG VIAYNQEDGTIHRFRAHKTVIATGGYGRAYFSCTSAHTCTGDGNAMVSRAGFPLEDLE FVQFHPSGIYGSGCLITEGARGEGGFLLNSEGERFMERYAPTAKDLASRDVVSRAITM EIRAGRGVGKNKDHILLQLSHLPPEVLKERLPGISETAAVFAGVDVTQEPIPVLPTVH YNMGGIPTKWTGEALTIDEETGEDKVIPGLMACGEAACVSVHGANRLGANSLLDLVVF GRAVANTIADTLQPGLPHKPLASNIGHESIANLDKVRNARGSLKTSQIRLNMQRTMQK DVSVFRTQDTLDEGVRNITEVDKTFEDVHVSDKSMIWNSDLVETLELQNLLTCATQTA VSASKRKESRGAHAREDYAKRDDVNWRKHTLSWQKGTSTPVKIKYRNVIAHTLDENEC APVPPAVRSY YJL044C MKDVLQWAIDHYETRDQLVQKGIWKGELYHDSIFKENSRGWLWK ALLLCDENNNCLLTDNFKGLDLNQFGLVPVPMLADGDNYDENHNANVPKRVLHSNVSS SVGIRRLTPVEAVEKHPLSDDNDKTKGSLSKGSDEKPLTLRETLEIIDLDLSRIMLDD IFQEPKVHAQMRQLLYNYLLIHQSEHLQYKQGFHEILSVIYLQLYHGTDLDNTDLQNV LIIFNKLMNQIEPIFYNEENLINWDKRVFTKIFRICLPDLFSKVFYQPPKTGSGKKKN VDHLIHSNLIWLIRWTRLLFLRELPLKYVLIVWDHVLTFNYPLDIFIACTIITLLLSI YDELHELVSQGDYEHTNNNDEFVELILHFKKIFEKEDASKDDEKFLDLCKVTGNLCEL WYGKNYDDMRLICDTFINAKFGIKTSDVLSMETAKLTIDPNRQSLENKLRERVRQTIL KNKKKISQ YJL043W MFVDYSGLERYTDINASFGKLVNTYCCFQRCEAISEQLEILKSL VPKCHDIVALTDEDFASGRTAGLTQKLFAMAMTLHQITDCIDLLQKCNTIIPIEIANP ASFESGAATAPLRQSYARLLDDWSHYMGPSTVKHTGCTNRPKWRFPWQQSRTIIIPML FIGETAMSTRDLRSVLHDCEIRHASEMPLQLLWTSSPELVYATPHVDDYDIWSRYGSD YNMQIEDEDEASKGRQRKCVVQLEALLGALPTTDPLFQW YJL042W MDSKDTQKLLKEHRIPCIDVGWLVRPSASTSKSSRPGKSESKAN SVAPDIQMDTARPPVFETSVDSSSSILSSNDKGRRHSVAASLLMDNQRANAGSTSVPT NIPPPRGRSKSVVETNLSNVEADSGHHHHHRHHHHTEDAPAPKKVGFFKSLFGHRKKD QEQQEKERERKERSPSPTHVDRGAAIRRERTATISAESPPPLQYNAPPSYNDTVVPLT RSKTESEVYYENHPQSYYHGRMRTYHSPEEGKVDGTSPADDHNYGGSRPDPRLMDFLR YYKSKDYKLAAFKEGNFIKSSASPTTKKNRRASFSLHNDKPQPAKSLAHQKFDAKGRP IPPHPDAPKLPSAFRKKHPSNASIVDTVDSNSDVSSSAQNNNQTPSSHKFGAFLRKVT SYGNNNNNSTNASSLSANVNNPDTSSTSLWSSSSMEFDPSKITTVPGLENIRPLKHVS FATNTYFNDPPQQICSKNPRKGEVEVKPNGSVVIHRLTPQERKKIMESTSLGVVVGGT GQLKLLNPEEDDANAKSKEEMAPQKQNEVEAHDEEDNNSQRRNIVMAAAEAAAEARAK EAPNELKRIVTNNEEEVTVSKTASHLTIDKPMISRRGASTSSLASMVSSDTNGTNADD EGEILPPPSLKIPHDIVYTRCCHLREILPIPATLKQLKKGSTDPIPILQLRNPRPSMV EIWSFSDFLSIAPVLCLSLDGVQLTVQMLRIILSSLVYKQHFQKLSLRNTPLDEEGWK VLCYFVSKAKSLHSIDLTMVPSIKTNVQKPSKSSLKSKILRMQCNLENRSDMNWDLLT ASIALMGGLEEIVISGAKMNSAQFKNFILVACIATERLGLAYNGLSKSQCDDLAKWMV QSKVTGLDVGFNDLNGKLSSFTDAVLGKIQKANEKNVFKFLSLNGTNLRVNEHDTFEN NEVLKLISVLCYLENLKFLDISNNPAIFPHCVPTLIDFLPVFVNLVRLHIDYNNLSST SVVMLAEILPMCSRLNYFSMLGTELDLASSKALAEAVRKSSSLMTLDVDYVYMPENIK EKISLYALRNIQGELKRVNSDDKDIKDSQFSSLQDQLSLLLTEKADNSEHYNKMVENF MAKIALARIKISKVVHDLFDLKLNGQLNLEGKEALIRLCFIEASLERGCDLLKQRHNN TLKSPEAVSKSRKGGNQAQPNSESCQRMLLSSSILQNSDHIALMPFGSAIVEKSSPDA EDAVEFREGDDSNVNHEDVPANDQQFRDEVDIKNKYSIIKRELEHEKLVGGGDLPVDK EILNRAAQSLDSDQIKEFLLKNDVSTILGVIDELHSQGYHLHHIFKKQGNQEETAFRT KDEQQSSQSNDSSANASPTTDPISTGSNTSRTNDNAHIPPTDAPGFDKFMNNAEENAI DAAYDDVLDKIQDARNSSTK YJL041W MNFNTPQQNKTPFSFGTANNNSNTTNQNSSTGAGAFGTGQSTFG FNNSAPNNTNNANSSITPAFGSNNTGNTAFGNSNPTSNVFGSNNSTTNTFGSNSAGTS LFGSSSAQQTKSNGTAGGNTFGSSSLFNNSTNSNTTKPAFGGLNFGGGNNTTPSSTGN ANTSNNLFGATANANKPAFSFGATTNDDKKTEPDKPAFSFNSSVGNKTDAQAPTTGFS FGSQLGGNKTVNEAAKPSLSFGSGSAGANPAGASQPEPTTNEPAKPALSFGTATSDNK TTNTTPSFSFGAKSDENKAGATSKPAFSFGAKPEEKKDDNSSKPAFSFGAKSNEDKQD GTAKPAFSFGAKPAEKNNNETSKPAFSFGAKSDEKKDGDASKPAFSFGAKPDENKASA TSKPAFSFGAKPEEKKDDNSSKPAFSFGAKSNEDKQDGTAKPAFSFGAKPAEKNNNET SKPAFSFGAKSDEKKDGDASKPAFSFGAKSDEKKDSDSSKPAFSFGTKSNEKKDSGSS KPAFSFGAKPDEKKNDEVSKPAFSFGAKANEKKESDESKSAFSFGSKPTGKEEGDGAK AAISFGAKPEEQKSSDTSKPAFTFGAQKDNEKKTEESSTGKSTADVKSSDSLKLNSKP VELKPVSLDNKTLDDLVTKWTNQLTESASHFEQYTKKINSWDQVLVKGGEQISQLYSD AVMAEHSQNKIDQSLQYIERQQDELENFLDNFETKTEALLSDVVSTSSGAAANNNDQK RQQAYKTAQTLDENLNSLSSNLSSLIVEINNVSNTFNKTTNIDINNEDENIQLIKILN SHFDALRSLDDNSTSLEKQINSIKK YJL039C MKWSAIPFQTLYRSIESGEFDFDLFKEVLPDLQNLNLNTDKLKN NASRSQLEKGEIELSDGSTFKVNQEFIFEAISLSDELNLDEIVACELILSGDTTANNG KVQYFLRRQYILQIVSFIVNCFHEDTELYQELIKNGALVSNILSAFKFIHTQLSEIKQ QINKAQILENYNALFQQNIKFRRDFLLREYDILSQILYGLVDKGAIMKNKDFILSLLH HVSELDSNDFFIIYYTPAFFHLFASLRVLPDADVKLLHSQFMKDLKDDSIYTKPVKVA LIFIFFAYFIGWCKEDPKRRADTMDFKTDVDEPMTSAVELGAIEQILIFAADTSIVEQ DKSMELFYDIRSLLERHIPRLIPKQLLDDEKIFSQTTNSTYNPASATDNMSGRGLWNP SYPGMMSTTGTARLNSMPNNVNEYSYTTIVLSDQTQEFFLSSFDDVLQTIITDCAFLL TKIKDAEEDSLLSGEDLTLDDISLKADLERFFLSIYFFYASRPEYSCTFWSDKESNAY GFIEWCSRCNDNLMRSCFYLMVSSLSFGPENALNVYHYFGENSSISWKNIAQCLSDYT KKISNFNSSLHKRQQFSESTHNDIDSTAVALEEGLNEEAVIFLSSLLTLVGSVTYQVD EDVKSSLSKVFSDVLFEFTKINTPLVGAAFKVISNLVPKLESSRTKFWSFLDSLIFKD SSLNYSSESYRNAFTNVLTKYSDVLGFLQLFHNLISIHSRENNSEYMVFGKLAFPTRL GQGYRKVGIWPYFDYIFNDILAHVDQIVDIRNKRAVQLPILKIIYTGLCSFDYSVILN SIPAAANLDALVDCENFFNYVQECPAIPIFNYIFTEKIYKSIFNVVDVGVDQLSIELE GGKNQAELLQLAVKIINKVLDYQETYVEELFPIVKKHGKTDYFLPKNYSLHGLRSFYD AIFFNIPLVAHLGLYVGVDDQILATNSLRILAKLSERSNGSVASLSKRNKLLTIFDSV DESARIKDAFITQLESSITDAGVLALKLELLDFLTSNLSNYSRTMTISHLLLGFQVSN VISLGPNLATFISSGTSLLDSLISVLEASLNSITKDNIDYAPMRLATAALEIILKLCR NPLTSGLLYSYLIKENFFERIMILDPQVTRFTTWNGSPFDNSTEEKCKNFIESESVGA FLSFLAYRNYWTQYLGLFIHKISFSGTKSEVLTYVNYLISNTMYSVRLFSFLDPLNYG NICEPKETLSIFTNVPLNLEQVTLNKYCSGNIYDFHKMENLMRLIKRVRAESLHSNSF SLTVSKEQFLKDADVECIKAKSHFTNIISRNKALELNLSVLHSWVQLVQIIVTDGKLE PSTRSNFILEVFGTIIPKISDYIEFNITFSEELVSLAVFLFDIYNRDRKLITDKGTVD GRLYQLFKTCIQGINSPLSSVALRSDFYILANHYLSRVLSDQVGSEKVLQDLRLGSKK LVEIIWNDVVYGEGTSRVTGILLLDSLIQLANRSKENFILDSLMKTTRLLLIIRSLKN TDALLNSTTEHINIDDLLYELTAFKATVFFLIRVAETRGGASALIENNLFRIIAELSF LKVDPDLGLDLMFDEVYVQNSKFLKVNVTLDNPLLVDKDANGVSLFELIVPIFQLISA VLVSMGSSNKAVVQTVKGLLNTYKRLVIGIFKRDLLREKEDKKNSSDPNNQSLNEMVK LIVMLCTLTGYQNND YJL038C MRFQLFIYFYFTIVVIAGTNTIQQFSDAGDRLITSLRNLDNNGT YETLTAEKVPIIEGQIQNISAKYEQHTFILKGLEAVLNYKVKSLDNNERESLEIEYEK VEKALDAALNVSPFEYIKKFKEVSRGKVVNALENLSREQNRITINGGREDEKEKEARE KKKRLDRIKRILTVSLLELGLAQGVADLCAVAPFACLLGVTVGSIGFIFWLALIYNAI Q YJL037W MKVQMIERIFLIQLCLLTVVLASSRAVVEFESTGTKLVNSLRVL AAYSQSSVCVDEKISGIERQIEEVKDMYGNHSFILKGLNGILNNKVNMLTREIQMETV GNNTFETETGKLTKGLNRAVNISPFKYIKKFKTVSTKKFESLLNKYDLVAKKGGELTE EQKKKKEVLSRISRVVAATTIEAGLAQGVVDLCITVTTSLCLVSASIGGVGFLIWLTI IYQALT YJL036W MTDKGKNDLTSKAKDKARGNPEKPPYWFEIIVSDPQKRTGDPGS SSGYVSYQISTKTNNTSFYDNRGDPESIIVVHRRYSDLLLLHDILLNRFPTCIIPPLP DKKVFQYIAGDRFSQRFTQKRCHSLQNFLRRVSLHPDLSQSKVFKTFLVSKDWESHRK VLQDSLQPNKDEVTDAFMNAFKTVHKQNEEFTEIREKSDKLDRTVTKIDKLFHKVVKK NDSMSEDYTKLGSNLQELQELVTGENEELAAKLKIFNEGVTQLSYGLQDLTKYLDYEY IVDLKDLEHYIDSMRQLIKLKDQKQIDYEELSDYLTRSIKEKNNLISGYGGSNFFANK LEELAGINQEASRREKINKLEGKITSLTGELENAKKVADGFEQECLKEIDHFESVKTA EIKKSLGSLADHHIEFYERILEAWEKVDDSL YJL035C MQHIKHMRTAVRLARYALDHDETPVACIFVHTPTGQVMAYGMND TNKSLTGVAHAEFMGIDQIKAMLGSRGVVDVFKDITLYVTVEPCIMCASALKQLDIGK VVFGCGNERFGGNGTVLSVNHDTCTLVPKNNSAAGYESIPGILRKEAIMLLRYFYVRQ NERAPKPRSKSDRVLDKNTFPPMEWSKYLNEEAFIETFGDDYRTCFANKVDLSSNSVD WDLIDSHQDNIIQELEEQCKMFKFNVHKKSKV YJL034W MFFNRLSAGKLLVPLSVVLYALFVVILPLQNSFHSSNVLVRGAD DVENYGTVIGIDLGTTYSCVAVMKNGKTEILANEQGNRITPSYVAFTDDERLIGDAAK NQVAANPQNTIFDIKRLIGLKYNDRSVQKDIKHLPFNVVNKDGKPAVEVSVKGEKKVF TPEEISGMILGKMKQIAEDYLGTKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRI VNEPTAAAIAYGLDKSDKEHQIIVYDLGGGTFDVSLLSIENGVFEVQATSGDTHLGGE DFDYKIVRQLIKAFKKKHGIDVSDNNKALAKLKREAEKAKRALSSQMSTRIEIDSFVD GIDLSETLTRAKFEELNLDLFKKTLKPVEKVLQDSGLEKKDVDDIVLVGGSTRIPKVQ QLLESYFDGKKASKGINPDEAVAYGAAVQAGVLSGEEGVEDIVLLDVNALTLGIETTG GVMTPLIKRNTAIPTKKSQIFSTAVDNQPTVMIKVYEGERAMSKDNNLLGKFELTGIP PAPRGVPQIEVTFALDANGILKVSATDKGTGKSESITITNDKGRLTQEEIDRMVEEAE KFASEDASIKAKVESRNKLENYAHSLKNQVNGDLGEKLEEEDKETLLDAANDVLEWLD DNFETAIAEDFDEKFESLSKVAYPITSKLYGGADGSGAADYDDEDEDDDGDYFEHDEL YJL033W MAKKNRLNTTQRKTLRQKEDEYIENLKTKIDEYDPKITKAKFFK DLPISDPTLKGLRESSFIKLTEIQADSIPVSLQGHDVLAAAKTGSGKTLAFLVPVIEK LYREKWTEFDGLGALIISPTRELAMQIYEVLTKIGSHTSFSAGLVIGGKDVKFELERI SRINILIGTPGRILQHLDQAVGLNTSNLQMLVLDEADRCLDMGFKKTLDAIVSTLSPS RQTLLFSATQSQSVADLARLSLTDYKTVGTHDVMDGSVNKEASTPETLQQFYIEVPLA DKLDILFSFIKSHLKCKMIVFLSSSKQVHFVYETFRKMQPGISLMHLHGRQKQRARTE TLDKFNRAQQVCLFATDVVARGIDFPAVDWVVQVDCPEDVDTYIHRVGRCARYGKKGK SLIMLTPQEQEAFLKRLNARKIEPGKLNIKQSKKKSIKPQLQSLLFKDPELKYLGQKA FISYVRSIYVQKDKQVFKFDELPTEEFAYSLGLPGAPKIKMKGMKTIEQAKERKNAPR QLAFLSKANEDGEVIEDKSKQPRTKYDKMFERKNQTILSEHYLNITKAQAQEDEDDDF ISVKRKDHEINEAELPALTLPTSRRAQKKALSKKASLASKGNASKLIFDDEGEAHPVY ELEDEEEFHKRGDAEVQKTEFLTKESAVMADIDNIDKQVAKEKKQEKKRKRLEAMRRE MEAAMEEEISGDEEEGKTVAYLGTGNLSDDMSDGDMPDSEGHLKKKARTVDYSHGHNP SNSVDDDIIEVEEPQTLEDLESLTAKLIQG YJL031C MHGIKRKQWTKELLRQKRVQDEKKIYDYRSLTENVLNMRDEKIY SIEALKKTSELLEKNPEFNAIWNYRRDIIASLASELEIPFWDKELVFVMMLLKDYPKV YWIWNHRLWVLKHYPTSSPKVWQTELAVVNKLLEQDARNYHGWHYRRIVVGNIESITN KSLDKEEFEYTTIKINNNISNYSAWHQRVQIISRMFQKGEVGNQKEYIRTEISYIINA MFTDAEDQSVWFYIKWFIKNDIVCKTLDEQEYLKMLKDLRENILLINNDEIEFSGKQN IWCLKILLVLEDILEEKEALTERSSEQYLVQLIDADPLRKNRYLHLLEQHK YJL030W MSQSISLKGSTRTVTEFFEYSINSILYQRGVYPAEDFVTVKKYD LTLLKTHDDELKDYIRKILLQVHRWLLGGKCNQLVLCIVDKDEGEVVERWSFNVQHIS GNSNGQDDVVDLNTTQSQIRALIRQITSSVTFLPELTKEGGYTFTVLAYTDADAKVPL EWADSNSKEIPDGEVVQFKTFSTNDHKVGAQVSYKY YJL029C MLEGTVDYDPLEDITNILFSKESLNNIDELISITRSYKKQLQED ILKEENELKEHPKNSAEIEASLRKVFQDFKETQDVSASTELTISNLTEGISYLDIAKK NLTHSLTLFQNLKILTDSYIQCNELLSQGSFKKMVSPYKIMCSLAENTFISYKSLDEI NYLLSSISRLKGDTLSKIKQNYNALFSGGNISEHDTALTMELREGACELLDCDTSTRA QMIDWCLDKLLFEMKEIFRVDDEAGSLENLSRRYIYFKKILNNFNSKFADYFLKDWEM AVRLTTTFYHITHKDLQTLLKREFKDKNPSIDLFMTALQSTLDFEKYIDVRFSKKIKE PKLSSCFEPYLTLWVSHQNQMMEKKFLSYMSEPKYPSNETESLVLPSSADLFRTYRSV LTQTLELIDNNANDSILTSLANFFSRWLQTYSQKILLPLLLPDNIEVQDKLEAAKYTV LLINTADYCATTIDQLEDKLSEFSGNREKLANSFTKTKNIYDDLLAKGTSFLLNRVIP LDLNFVWREFINNDWSNAAIEDYSRYMVTLKSVLKMPALTDASIKQQQEQPSTLAFIL SQFNRDVYKWNFLDKVIDIITTNFVSNTIRLLQPVPPFSLAGSKRKFETRTVVNIGEQ LLLDLELLKEIFHTLPESVSNDSDLRENTSYKRVKRHADNNIDQLLKFIKLLMAPLDS ADDYYETYSKLTNNNPDSAVWSFVLALKGIPWDLALWKKLWSAYNLETDDTDEGSRPD SNRDLFIFKWDKVLLGQFENNLARMQDPNWSKFVRQDLKISPPVMKRIVSTPQIQQQK EEQKKQSLSVKDFVSHSRFFNRGT YJL028W MALWGRSAYRQKTVTSRLTKHRHTSPLNLLNFFIFFSLHLCALF LATAVHYACFACFVLFRHAILLLFYLLARGRASQIQARQKVRCTGATFYRFLIISLSQ RAWATKKPI YJL027C MMVTRKHYRYIYLQNSHSLISCFVHFEFPRVWYGAICPCFPSFA LLRKIFFCQQQQHATLCAVLRSGLCGNGDIVPMPARREVWVWGVCDLVAMAIARGCGL SPNGCPLLRISHSCRVNKKHERGRTALNSGRSRDVK YJL026W MPKETPSKAAADALSDLEIKDSKSNLNKELETLREENRVKSDML KEKLSKDAENHKAYLKSHQVHRHKLKEMEKEEPLLNEDKERTVLFPIKYHEIWQAYKR AEASFWTAEEIDLSKDIHDWNNRMNENERFFISRVLAFFAASDGIVNENLVENFSTEV QIPEAKSFYGFQIMIENIHSETYSLLIDTYIKDPKESEFLFNAIHTIPEIGEKAEWAL RWIQDADALFGERLVAFASIEGVFFSGSFASIFWLKKRGMMPGLTFSNELICRDEGLH TDFACLLFAHLKNKPDPAIVEKIVTEAVEIEQRYFLDALPVALLGMNADLMNQYVEFV ADRLLVAFGNKKYYKVENPFDFMENISLAGKTNFFEKRVSDYQKAGVMSKSTKQEAGA FTFNEDF YJL025W MSTFIRGPICGTDNCPSRLWRIIDGRRTCQYGHVMEGDVEFNDD EDDLNGLGAGVITRRLNLTTNATGSFQSSQLTNSQLLQQQQRQSHKKFKKLIGHEAKL LFLKSFQFILKRQIRWLITEMRFPKEFEHVAKIIWLKILKTINDQPQEELKLQLHMTS TISILYLASTHLSLPVYTCDYIKWICTAKMPYFQASEILPKSWRIQLPNYYVSILEGS ISPFNGQLYNKIALTCGMIHFKEFFNSEISCQGLLLKLVMQCALPPEFYFYTKQVIEF EETDIRNLTLWERTDERHTGRVSNHAELRVLSYFMLTINWMLSFDRDRQYPLKWILSL TESLTQRTTTSESIGRNIVKVVYPDKPTSSDYFQWSEEETLEFLKWMEKQFLPTQTKS LHNENGSMEMTIDQKIARRKLYKIFPLDREANHDGEFNDSTHQLTFIEDLQERYAKQT PFFESNKIRDSLNYQEANPPARKEAIGRLLTHIASQLLVDFAISKEQLKDCISRIKNA CLHRMN YJL024C MIHAVLIFNKKCQPRLVKFYTPVDLPKQKLLLEQVYELISQRNS DFQSSFLVTPPSLLLSNENNNDEVNNEDIQIIYKNYATLYFTFIVDDQESELAILDLI QTFVESLDRCFTEVNELDLIFNWQTLESVLEEIVQGGMVIETNVNRIVASVDELNKAA ESTDSKIGRLTSTGFGSALQAFAQGGFAQWATGQ YJL023C MKIFSTLLSQKPKGKLVIRPSTTIHSSDPFSKFIVTKNTEPLSL GDLRKSDSGNSAVCLNAENTILSTLTDLQKEEERNWDPVKFVAGKLRGVISPIQAYVT IGKKFSPNSLVYTSRFFQLHYFPEDHFMSCFRKSKPAITVKSNKKFYLNGKVFNKDKE YFNETRISKANEVELSKIQTAMTRLTNRHRNSIPSEFAYLRRDLKLKVKTTFIKEWCK LNGDKAIREYVNLNRSPNINPASMKGKPKKSFLDNLGRSTVGTAKDGYYLYIVSIFPD KDMLGEFNDEVNRSVQKVANLDWDGFLTPKKGTKGKNWVESFNDSINVQTINKILEIN KFPFELRREQTEG YJL020C MSEPEVPFKVVAQFPYKSDYEDDLNFEKDQEIIVTSVEDAEWYF GEYQDSNGDVIEGIFPKSFVAVQGSEVGKEAESSPNTGSTEQRTIQPEVEQKDLPEPI SPETKKETLSGPVPVPAATVPVPAATVPVPAATAVSAQVQHDSSSGNGERKVPMDSPK LKARLSMFNQDITEQVPLPKSTHLDLENIPVKKTIVADAPKYYVPPGIPTNDTSNLER KKSLKENEKKIVPEPINRAQVESGRIETENDQLKKDLPQMSLKERIALLQEQQRLQAA REEELLRKKAKLEQEHERSAVNKNEPYTETEEAEENEKTEPKPEFTPETEHNEEPQME LLAHKEITKTSREADEGTNDIEKEQFLDEYTKENQKVEESQADEARGENVAEESEIGY GHEDREGDNDEEKEEEDSEENRRAALRERMAKLSGASRFGAPVGFNPFGMASGVGNKP SEEPKKKQHKEKEEEEPEQLQELPRAIPVMPFVDPSSNPFFRKSNLSEKNQPTETKTL DPHATTEHEQKQEHGTHAYHNLAAVDNAHPEYSDHDSDEDTDDHEFEDANDGLRKHSM VEQAFQIGNNESENVNSGEKIYPQEPPISHRTAEVSHDIENSSQNTTGNVLPVSSPQT RVARNGSINSLTKSISGENRRKSINEYHDTVSTNSSALTETAQDISMAAPAAPVLSKV SHPEDKVPPHPVPSAPSAPPVPSAPSVPSAPPVPPAPPALSAPSVPPVPPVPPVSSAP PALSAPSIPPVPPTPPAPPAPPAPLALPKHNEVEEHVKSSAPLPPVSEEYHPMPNTAP PLPRAPPVPPATFEFDSEPTATHSHTAPSPPPHQNVTASTPSMMSTQQRVPTSVLSGA EKESRTLPPHVPSLTNRPVDSFHESDTTPKVASIRRSTTHDVGEISNNVKIEFNAQER WWINKSAPPAISNLKLNFLMEIDDHFISKRLHQKWVVRDFYFLFENYSQLRFSLTFNS TSPEKTVTTLQERFPSPVETQSARILDEYAQRFNAKVVEKSHSLINSHIGAKNFVSQI VSEFKDEVIQPIGARTFGATILSYKPEEGIEQLMKSLQKIKPGDILVIRKAKFEAHKK IGKNEIINVGMDSAAPYSSVVTDYDFTKNKFRVIENHEGKIIQNSYKLSHMKSGKLKV FRIVARGYVGW YJL019W MNNSNEHRREEAGAANEQMPYNKAVKSAYADVLKDKMNREQEIS LRAIKKGIYTDGGETDNYDMDKENDSAYEMFKKNLDFPLDQHNDDDDDDPYIEDNGQE TDGYSDEDYTDEADKSFIEDSDSDSYDLESNSDFEENLESSGEAKKLKWRTYIFYGGL FFVFYFFGSFLMTTVKNNDLESHSSGATSSPGKSFSNLQKQVNHLYSELSKRDEKHSS ELDKTVKIIVSQFEKNIKRLLPSNLVNFENDINSLTKQVETISTSMSELQRRNHKFTV ENVTQWQDQLVKQLDTHLPQEIPVVINNSSSLLIIPELHNYLSALISDVIESPGIGTA GSAESRWEYDLNRYVKEILSNELQYIDKDYFIQEMNRRLQSNKQEIWEEITNRLETQQ QQQQQQVQQDYSNVPQQYSSILMKRLIHQIYNSNQHQWEDDLDFATYVQGTKLLNHLT SPTWRQGSGVQPIELLTDSKQSSSTYWQCENEPGCSWAIRFKTPLYLTKISYMHGRFT NNLHIMNSAPRLISLYVKLSQTKEIKALQTLANQYGFGQHHKRDRNYIKIAKFEYRLT DSRIRQQMYLPPWFIQLKPLVRSIVFQVDENYGNKKFISLRKFIINGVTPQDLQIIEN NEFPVLLGDTPEYGVTQNTDEGKRKVLLSKPPYASSSTSTKFHPASNVPSFGQDELDQ YJL016W MGILDSLKKPVNIKSSLPKFSRSATSINLSSHPVSSRSFLELPP ELFSITKPIFKLLQAHANKIYFQSSDAEAVWNVKDSSGHVFEAESISLLGSHIIITNS SVQVADVAIIDSPSNINQCEISSVGEFLQFNNGQLSITCNDFGLLEKFKRLCMISIFE FISIYKALTGTVISSYGLRMSDMHIILNSPFNFKDWCEVYLDGQGWVKVWCHIDKVSK TNNSKSSSDNDAKGKYQIRFYRDDKSTSSKNCVFFIPDNEYVQDIFFYNINAAEPSKN MNDFFQGLQMIKLVGNVRFCSDTDFNDVVDSGSIYSSANNGSGDSSSTALNNESPNTT PKSRTFFSPKGHRRNSSHVSSLTSRSTKKPITNFTTRTNGLLIRPLPHHGVHHLEAMI RFIIPLMDCARLYGRPVQFKTERTDINSLMFGLPKLPSVDYFAEEEIAHLMTQEFNPL KEKDTDDTMALTMSRFSSYLQERMTKVSKRNTELNFRTFSDVMGMYNTTRDHSKLNCM SDKDNSVKEFSLSDKSNVSSETTNMMNQLQVNAHEYKSSMCERPIVASTSPIA YJL014W MQAPVVFMNASQERTTGRQAQISNITAAKAVADVIRTCLGPKAM LKMLLDPMGGLVLTNDGHAILREIDVAHPAAKSMLELSRTQDEEVGDGTTTVIILAGE ILAQCAPYLIEKNIHPVIIIQALKKALTDALEVIKQVSKPVDVENDAAMKKLIQASIG TKYVIHWSEKMCELALDAVKTVRKDLGQTVEGEPNFEIDIKRYVRVEKIPGGDVLDSR VLKGVLLNKDVVHPKMSRHIENPRVVLLDCPLEYKKGESQTNIEIEKEEDWNRILQIE EEQVQLMCEQILAVRPTLVITEKGVSDLAQHYLLKGGCSVLRRVKKSDNNRIARVTGA TIVNRVEDLKESDVGTNCGLFKVEMIGDEYFSFLDNCKEPKACTIMLRGGSKDILNEI DRNLQDAMAVARNVMLSPSLSPGGGATEMAVSVKLAEKAKQLEGIQQWPYQAVADAME CIPRTLIQNAGGDPIRLLSQLRAKHAQGNFTTGIDGDKGKIVDMVSYGIWEPEVIKQQ SVKTAIESACLLLRVDDIVSGVRKQE YJL013C MKAYAKKRISYMPSSPSQNVINFEEIETQKENILPLKEGRSAAA LSKAIHQPLVEINQVKSSFEQRLIDELPALSDPITLYLEYIKWLNNAYPQGGNSKQSG MLTLLERCLSHLKDLERYRNDVRFLKIWFWYIELFTRNSFMESRDIFMYMLRNGIGSE LASFYEEFTNLLIQKEKFQYAVKILQLGIKNKARPNKVLEDRLNHLLRELGENNIQLG NEISMDSLESTVLGKTRSEFVNRLELANQNGTSSDVNLTKNNVFVDGEESDVELFETP NRGVYRDGWENFDLKAERNKENNLRISLLEANTNLGELKQHEMLSQKKRPYDEKLPIF RDSIGRSDPVYQMINTKDQKPEKIDCNFKLIYCEDEESKGGRLEFSLEEVLAISRNVY KRVRTNRKHPREANLGQEESANQKEAEAQSKRPKISRKALVSKSLTPSNQGRMFSGEE YINCPMTPKGRSTETSDIISAVKPRQLTPILEMRESNSFSQSKNSEIISDDDKSSSSF ISYPPQR YJL012C MKFGEHLSKSLIRQYSYYYISYDDLKTELEDNLSKNNGQWTQEL ETDFLESLEIELDKVYTFCKVKHSEVFRRVKEVQEQVQHTVRLLDSNNPPTQLDFEIL EEELSDIIADVHDLAKFSRLNYTGFQKIIKKHDKKTGFILKPVFQVRLDSKPFFKENY DELVVKISQLYDIARTSGRPIKGDSSAGGKQQNFVRQTTKYWVHPDNITELKLIILKH LPVLVFNTNKEFEREDSAITSIYFDNENLDLYYGRLRKDEGAEAHRLRWYGGMSTDTI FVERKTHREDWTGEKSVKARFALKERHVNDFLKGKYTVDQVFAKMRKEGKKPMNEIEN LEALASEIQYVMLKKKLRPVVRSFYNRTAFQLPGDARVRISLDTELTMVREDNFDGVD RTHKNWRRTDIGVDWPFKQLDDKDICRFPYAVLEVKLQTQLGQEPPEWVRELVGSHLV EPVPKFSKFIHGVATLLNDKVDSIPFWLPQMDVDIRKPPLPTNIEITRPGRSDNEDND FDEDDEDDAALVAAMTNAPGNSLDIEESVGYGATSAPTSNTNHVVESANAAYYQRKIR NAENPISKKYYEIVAFFDHYFNGDQISKIPKGTTFDTQIRAPPGKTICVPVRVEPKVY FATERTYLSWLSISILLGGVSTTLLTYGSPTAMIGSIGFFITSLAVLIRTVMVYAKRV VNIRLKRAVDYEDKIGPGMVSVFLILSILFSFFCNLVAK YJL011C MKVLEERNAFLSDYEVLKFLTDLEKKHLWDQKSLAALKKSRSKG KQNRPYNHPELQGITRNVVNYLSINKNFINQEDEGEERESSGAKDAEKSGISKMSDES FAELMTKLNSFKLFKAEKLQIVNQLPANMVHLYSIVEECDARFDEKTIEEMLEIISGY A YJL010C MGKTKTRGRRHQDKQRKDEFEPSSNSAKEHIQQEESTYNDEAEI KETQPQMFFGVLDREELEYFKQAESTLQLDAFEAPEEKFQFVTSIIEEAKGKELKLVT SQITSKLMERVILECDETQLKDIFQSFNGVFFGLSCHKYASHVLETLFVRSAALVERE LLTPSFDNNEKEGPYVTMENMFLFMLNELKPHLKTMMNHQYASHVLRLLILILSSKTL PNSTKANSTLRSKKSKIARKMIDIKDNDDFNKVYQTPESFKSELRDIITTLYKGFTNG AESRSDISQSTITKFREYSVDKVASPVIQLIIQVEGIFDRDRSFWRLVFNTADEKDPK EESFLEYLLSDPVGSHFLENVIGSARLKYVERLYRLYMKDRIVKLAKRDTTGAFVVRA LLEHLKEKDVKQILDAVVPELSMLLNSNMDFGTAIINASNKQGGYLRDDVIAQLIQKY YPEKSDAKNILESCLLLSASTLGNTRDDWPTAEERRRSVFLEQLIDYDDKFLNITIDS MLALPEERLIQMCYHGVFSHVVEHVLQTTRVDIIKRKMLLNILSKESVNLACNVYGSH IMDKLWEFTAKLTLYKERIARALVLETEKVKNSIYGRQVWKNWKLELYVRKMWDWKKL IKEQEFEIFPNSKPLQPKPEKHSRERNNSKEGSAFKKQKHYR YJL008C MSLRLPQNPNAGLFKQGYNSYSNADGQIIKSIAAIRELHQMCLT SMGPCGRNKIIVNHLGKIIITNDAATMLRELDIVHPAVKVLVMATEQQKIDMGDGTNL VMILAGELLNVSEKLISMGLSAVEIIQGYNMARKFTLKELDEMVVGEITDKNDKNELL KMIKPVISSKKYGSEDILSELVSEAVSHVLPVAQQAGEIPYFNVDSIRVVKIMGGSLS NSTVIKGMVFNREPEGHVKSLSEDKKHKVAVFTCPLDIANTETKGTVLLHNAQEMLDF SKGEEKQIDAMMKEIADMGVECIVAGAGVGELALHYLNRYGILVLKVPSKFELRRLCR VCGATPLPRLGAPTPEELGLVETVKTMEIGGDRVTVFKQEQGEISRTSTIILRGATQN NLDDIERAIDDGVAAVKGLMKPSGGKLLPGAGATEIELISRITKYGERTPGLLQLAIK QFAVAFEVVPRTLAETAGLDVNEVLPNLYAAHNVTEPGAVKTDHLYKGVDIDGESDEG VKDIREENIYDMLATKKFAINVATEAATTVLSIDQIIMAKKAGGPRAPQGPRPGNWDQ ED YJL007C MCSRGGSNSRPSDYETDALPTELLKHTKDVGEEKQTLHQIFADS MVIKGYSTGYTGHTRSSPGDLVIHKRELIFSHNIVIIVSPIYMISFIILLHYQSWHFS IY YJL006C MPSTFESQLFFSRPFLSKRQIQRAQKNTISDYRNYNQKKLAVFK FLSDLCVQLKFPRKTLETAVYFYQRYHLFNRFETEVCYTVATSCLTLGCKEVETIKKT NDICTLSLRLRNVVKINTDILENFKKRVFQIELRILESCSFDYRVNNYVHIDEYVIKI GRELSFDYKLCNLAWVIAYDALKLETILVIPQHSIALAILKIAYELLDNKNWSSKRYS LFETDEKSVNEAYFDIVNFYINSFDMCDLQRHLPADLLPIGVERFMELKKNAGPESGL PQIPDHLLNADPYITITRDNNVQERRYVLSLELINGESSINSSTRHA YJL005W MSSKPDTGSEISGPQRQEEQEQQIEQSSPTEANDRSIHDEVPKV KKRHEQNSGHKSRRNSAYSYYSPRSLSMTKSRESITPNGMDDVSISNVEHPRPTEPKI KRGPYLLKKTLSSLSMTSANSTHDDNKDHGYALNSSKTHNYTSTHNHHDGHHDHHHVQ FFPNRKPSLAETLFKRFSGSNSHDGNKSGKESKVANLSLSTVNPAPANRKPSKDSTLS NHLADNVPSTLRRKVSSLVRGSSVHDINNGIADKQIRPKAVAQSENTLHSSDVPNSKR SHRKSFLLGSTSSSSSRRGSNVSSMTNSDSASMATSGSHVLQHNVSNVSPTTKSKDSV NSESADHTNNKSEKVTPEYNENIPENSNSDNKREATTPTIETPISCKPSLFRLDTNLE DVTDITKTVPPTAVNSTLNSTHGTETASPKTVIMPEGPRKSVSMADLSVAAAAPNGEF TSTSNDRSQWVAPQSWDVETKRKKTKPKGRSKSRRSSIDADELDPMSPGPPSKKDSRH HHDRKDNESMVTAGDSNSSFVDICKENVPNDSKTALDTKSVNRLKSNLAMSPPSIRYA PSNLDGDYDTSSTSSSLPSSSISSEDTSSCSDSSSYTNAYMEANREQDNKTPILNKTK SYTKKFTSSSVNMNSPDGAQSSGLLLQDEKDDEVECQLEHYYKDFSDLDPKRHYAIRI FNTDDTFTTLSCTPATTVEEIIPALKRKFNITAQGNFQISLKVGKLSKILRPTSKPIL IERKLLLLNGYRKSDPLHIMGIEDLSFVFKFLFHPVTPSHFTPEQEQRIMRSEFVHVD LRNMDLTTPPIIFYQHTSEIESLDVSNNANIFLPLEFIESSIKLLSLRMVNIRASKFP SNITKAYKLVSLELQRNFIRKVPNSIMKLSNLTILNLQCNELESLPAGFVELKNLQLL DLSSNKFMHYPEVINYCTNLLQIDLSYNKIQSLPQSTKYLVKLAKMNLSHNKLNFIGD LSEMTDLRTLNLRYNRISSIKTNASNLQNLFLTDNRISNFEDTLPKLRALEIQENPIT SISFKDFYPKNMTSLTLNKAQLSSIPGELLTKLSFLEKLELNQNNLTRLPQEISKLTK LVFLSVARNKLEYIPPELSQLKSLRTLDLHSNNIRDFVDGMENLELTSLNISSNAFGN SSLENSFYHNMSYGSKLSKSLMFFIAADNQFDDAMWPLFNCFVNLKVLNLSYNNFSDV SHMKLESITELYLSGNKLTTLSGDTVLKWSSLKTLMLNSNQMLSLPAELSNLSQLSVF DVGANQLKYNISNYHYDWNWRNNKELKYLNFSGNRRFEIKSFISHDIDADLSDLTVLP QLKVLGLMDVTLNTTKVPDENVNFRLRTTASIINGMRYGVADTLGQRDYVSSRDVTFE RFRGNDDECLLCLHDSKNQNADYGHNISRIVRDIYDKILIRQLERYGDETDDNIKTAL RFSFLQLNKEINGMLNSVDNGADVANLSYADLLSGACSTVIYIRGKKLFAANLGDCMA ILSKNNGDYQTLTKQHLPTKREEYERIRISGGYVNNGKLDGVVDVSRAVGFFDLLPHI HASPDISVVTLTKADEMLIVATHKLWEYMDVDTVCDIARENSTDPLRAAAELKDHAMA YGCTENITILCLALYENIQQQNRFTLNKNSLMTRRSTFEDTTLRRLQPEISPPTGNLA MVFTDIKSSTFLWELFPNAMRTAIKTHNDIMRRQLRIYGGYEVKTEGDAFMVAFPTPT SGLTWCLSVQLKLLDAQWPEEITSVQDGCQVTDRNGNIIYQGLSVRMGIHWGCPVPEL DLVTQRMDYLGPMVNKAARVQGVADGGQIAMSSDFYSEFNKIMKYHERVVKGKESLKE VYGEEIIGEVLEREIAMLESIGWAFFDFGEHKLKGLETKELVTIAYPKILASRHEFAS EDEQSKLINETMLFRLRVISNRLESIMSALSGGFIELDSRTEGSYIKFNPKVENGIMQ SISEKDALLFFDHVITRIESSVALLHLRQQRCSGLEICRNDKTSARSNIFNVVDELLQ MVKNAKDLST YJL004C MVSIRRYLRVPNELKPSQIFKQDSLSPSKIGLQIVLLQIFYYTT AIVLFYCWAKLAGYDLNIKEWLFSWENIDFTNAYGLSISLLWLLDSLICVFFLTVIVG RSKLAWDFAITIHAINFIVVFLYTRKFPSFSWFFLQILSSLILIFLGTWTTRWRELRD TFFEGLVDPNEGEVGLVTPSQQHSNHSELEQSPIQLKDLESQI YJL003W MSFSGKKFRSRRQQLVYEASLAGRYKKALSKHPFLFFGLPFCAT IVLGSFWLSSFTAIKYEQGDRKVQEINEEDILKIRKNQREFDIKEEYYRLQGLSEEDW EPVRVARLKDESENVW YJL002C MRQVWFSWIVGLFLCFFNVSSAAQYEPPATWENVDYKRTIDVSN AYISETIEITIKNIASEPATEYFTAFESGIFSKVSFFSAYFTNEATFLNSQLLANSTT APGDDGESEIRYGIIQFPNAISPQEEVSLVIKSFYNTVGIPYPEHVGMSEEQHLLWET NRLPLSAYDTKKASFTLIGSSSFEEYHPPNDESLLGKANGNSFEFGPWEDIPRFSSNE TLAIVYSHNAPLNQVVNLRRDIWLSHWASTIQFEEYYELTNKAAKLSKGFSRLELMKQ IQTQNMRQTHFVTVLDMLLPEGATDHYFTDLVGLVSTSHAERDHFFIRPRFPIFGGWN YNFTVGWTNKLSDFLHVSSGSDEKFVASIPILNGPPDTVYDNVELSVFLPEGAEIFDI DSPVPFTNVSIETQKSYFDLNKGHVKLTFSYRNLISQVANGQVLIKYDYPKSSFFKKP LSIACYIFTALMGVFVLKTLNMNVTN YJL001W MNGIQVDINRLKKGEVSLGTSIMAVTFKDGVILGADSRTTTGAY IANRVTDKLTRVHDKIWCCRSGSAADTQAIADIVQYHLELYTSQYGTPSTETAASVFK ELCYENKDNLTAGIIVAGYDDKNKGEVYTIPLGGSVHKLPYAIAGSGSTFIYGYCDKN FRENMSKEETVDFIKHSLSQAIKWDGSSGGVIRMVVLTAAGVERLIFYPDEYEQL YJR001W MPEQEPLSPNGRKRSEVHYISIPLNRGSAFSPDDSVSQFQSDGF MTRRQSILDHPVGSFKGVNSLSRFATSLRRANSFRNIELNADNERSFFKESNDETYDP DTLAPALDGRRLSVTLNNAGRPRITNLANNDRVSTASMAIHDDDYGSIQNSTIGDSGS ILRPTASLTEMMSGGAGRRFTNNDMDSIVVKRVEGVDGKVVTLLAGQSTAPQTIFNSI NVLIGIGLLALPLGLKYAGWVIGLTMLAIFALATFCTAELLSRCLDTDPTLISYADLG YAAFGTKGRALISALFTLDLLGSGVSLVILFGDSLNALFPQYSTTFFKIVSFFIVTPP VFIPLSVLSNISLLGILSTTGTVLVICCCGLYKSSSPGSLVNPMETSMWPIDLKHLCL SIGLLSACWGGHAVFPNLKTDMRHPDKFKDCLKTTYKITSVTDIGTAVIGFLMFGNLV KDEITKNVLLTEGYPKFVYGLISALMTIIPIAKTPLNARPIVSVLDVLMNVQHIDEAA SAIKRRAAKGLQVFNRIFINVVFVLIAINFPEFDKIIAFLGAGLCFTICLILPCWFYL RLCKTTIKPWERVACHVTICISVVLSTLGVGAAIIS YJR002W MSELFGVLKSNAGRIILKDPSATSKDVKAYIDSVINTCKNGSIT KKAELDEITVDGLDANQVWWQVKLVLDSIDGDLIQGIQELKDVVTPSHNLSDGSTLNS SSGEESELEEAESVFKEKQMLSADVSEIEEQSNDSLSENDEEPSMDDEKTSAEAAREE FAEEKRISSGQDERHSSPDPYGINDKFFDLEKFNRDTLAAEDSNEASEGSEDEDIDYF QDMPSDDEEEEAIYYEDFFDKPTKEPVKKHSDVKDPKEDEELDEEEHDSAMDKVKLDL FADEEDEPNAEGVGEASDKNLSSFEKQQIEIRKQIEQLENEAVAEKKWSLKGEVKAKD RPEDALLTEELEFDRTAKPVPVITSEVTESLEDMIRRRIQDSNFDDLQRRTLLDITRK SQRPQFELSDVKSSKSLAEIYEDDYTRAEDESALSEELQKAHSEISELYANLVYKLDV LSSVHFVPKPASTSLEIRVETPTISMEDAQPLYMSNASSLAPQEIYNVGKAEKDGEIR LKNGVAMSKEELTREDKNRLRRALKRKRSKANLPNVNKRSKRNDVVDTLSKAKNITVI NQKGEKKDVSGKTKKSRSGPDSTNIKL YJR003C MLRSLHSAATLSNKRFYSLISHSNRKNIIKKLLRHPSFDPIRHH LPEDITTIDPYSLSQNVIESLNKLEVPKKDAAMVHNMMIENLSDLDYGVATIHSNNLR DLDLKPSLPAIKQIIRNNPGRVQSSWELFTQYKASMENVPDELMEVVLEKIIKFDKAE KVDGKKSLTYQDLVRCLYLINHFSSNYNLPSELVEPILIYIVDNGIPNVLGSVLKYKI PLSFFDKYVSEMTQYQICELYDFYSLDNIVADPLVLHKCLTVLGENEKIQQTEEEKEI ISKLEEEIDIVKSQCHDNWSLEFPNWSVRKTATSFEELFLEIQKRNIDKKDFELAHKL LRLIGAFKGKVSLFFKLYDEYLLKFKNNEDDLMFEAFLTLCCQGYKSSNEKMLQYAEA FIKEDFDSKLESKIQSVLIVANAKANIDLSLKIYNSNISTAKREKDKYTDLAESDVLT ESLILAFLSRDDADFARVIFDGALGEKLISGPTAAKKIKNLLAQYGEALETKTSKQVM QTKIEHYMESI YJR004C MFTFLKIILWLFSLALASAININDITFSNLEITPLTANKQPDQG WTATFDFSIADASSIREGDEFTLSMPHVYRIKLLNSSQTATISLADGTEAFKCYVSQQ AAYLYENTTFTCTAQNDLSSYNTIDGSITFSLNFSDGGSSYEYELENAKFFKSGPMLV KLGNQMSDVVNFDPAAFTENVFHSGRSTGYGSFESYHLGMYCPNGYFLGGTEKIDYDS SNNNVDLDCSSVQVYSSNDFNDWWFPQSYNDTNADVTCFGSNLWITLDEKLYDGEMLW VNALQSLPANVNTIDHALEFQYTCLDTIANTTYATQFSTTREFIVYQGRNLGTASAKS SFISTTTTDLTSINTSAYSTGSISTVETGNRTTSEVISHVVTTSTKLSPTATTSLTIA QTSIYSTDSNITVGTDIHTTSEVISDVETISRETASTVVAAPTSTTGWTGAMNTYISQ FTSSSFATINSTPIISSSAVFETSDASIVNVHTENITNTAAVPSEEPTFVNATRNSLN SFCSSKQPSSPSSYTSSPLVSSLSVSKTLLSTSFTPSVPTSNTYIKTKNTGYFEHTAL TTSSVGLNSFSETAVSSQGTKIDTFLVSSLIAYPSSASGSQLSGIQQNFTSTSLMIST YEGKASIFFSAELGSIIFLLLSYLLF YJR005W MSDQKVFARYKANEIVTDLQHFGVKKFKSNITRRKNALRKIIAN LVLGNYGEMSVLFSELLKFWQIEDDLEVKRICHEYIRVIGALKPQQAREALPFIMDDF KSRDEKLQIMALRTLVLVPVKELSDQAFDCIISLVNHKSPPEQVTRTAIYALLDLDEI DHERVLGLSSILHDIVKAQSSSPEVIVAALHTLYSIHEKNANMEPFRIPLELAFDMLE LLPELNEWNKATVLEVLTTSVVPQHYLDTHEMIELALPYLQQVNTYVVLNSLKFIMYL LNYVDVIKETLAEKLSNSVIALLDKPPELQFLVLRNVILLLLSRESSLLRLDISYFFI EYNDPIYIKDTKLECLYLLANKETLPRILEELEQYATDIDIQMSRKSVRAIGNLAVKL DEDSVHDCVAVLLDLLEFGVDYVVQEIISVFRNILRKYPNNFKANVTELVKHTEVVQE PESKNAMIWIITQYSDVIPNYLELFRVFSSNMFSETLEVQFSILNSAIKFFIRSPTKE TEELCMDLLKGCIDHENNPDLRDKTLMYWRLLSLTKTSRISNAITFESLKSVLDGELP LIEMNTKLDPTVLEELELNIGTIVSIYLKPVSHIFRLNKTKLLPQSPILNPNKDLLPV VGNSFPPTGANRDRQNSESQSSTKSRKTAMMDDYDKPAEKINQLKGKRKSSSNNPSKL SRKPSTLLRKLSMKRPFS YJR005C-A MHNTGKRYSETAKKVAAGRARKRRQAYEKDQLEKQQLEAQEAQR WEEGARTPNQKKLIMEQKKTEKLRAKKERDQLLAAEEEALGKGGRGKRY YJR006W MDALLTKFNEDRSLQDENLSQPRTRVRIVDDNLYNKSNPFQLCY KKRDYGSQYYHIYQYRLKTFRERVLKECDKRWDAGFTLNGQLVLKKDKVLDIQGNQPC WCVGSIYCEMKYKPNVLDEVINDTYGAPDLTKSYTDKEGGSDEIMLEDESGRVLLVGD FIRSTPFITGVVVGILGMEAEAGTFQVLDICYPTPLPQNPFPAPIATCPTRGKIALVS GLNLNNTSPDRLLRLEILREFLMGRINNKIDDISLIGRLLICGNSVDFDIKSVNKDEL MISLTEFSKFLHNILPSISVDIMPGTNDPSDKSLPQQPFHKSLFDKSLESYFNGSNKE ILNLVTNPYEFSYNGVDVLAVSGKNINDICKYVIPSNDNGESENKVEEGESNDFKDDI EHRLDLMECTMKWQNIAPTAPDTLWCYPYTDKDPFVLDKWPHVYIVANQPYFGTRVVE IGGKNIKIISVPEFSSTGMIILLDLETLEAETVKIDI YJR007W MSTSHCRFYENKYPEIDDIVMVNVQQIAEMGAYVKLLEYDNIEG MILLSELSRRRIRSIQKLIRVGKNDVAVVLRVDKEKGYIDLSKRRVSSEDIIKCEEKY QKSKTVHSILRYCAEKFQIPLEELYKTIAWPLSRKFGHAYEAFKLSIIDETVWEGIEP PSKDVLDELKNYISKRLTPQAVKIRADVEVSCFSYEGIDAIKDALKSAEDMSTEQMQV KVKLVAAPLYVLTTQALDKQKGIEQLESAIEKITEVITKYGGVCNITMPPKAVTATED AELQALLESKELDNRSDSEDDEDESDDE YJR008W MSIRPATHAGSWYSNRAQELSQQLHTYLIKSTLKGPIHNARIII CPHAGYRYCGPTMAYSYASLDLNRNVKRIFILGPSHHIYFKNQILVSAFSELETPLGN LKVDTDLCKTLIQKEYPENGKKLFKPMDHDTDMAEHSLEMQLPMLVETLKWREISLDT VKVFPMMVSHNSVDVDRCIGNILSEYIKDPNNLFIVSSDFCHWGRRFQYTGYVGSKEE LNDAIQEETEVEMLTARSKLSHHQVPIWQSIEIMDRYAMKTLSDTPNGERYDAWKQYL EITGNTICGEKPISVILSALSKIRDAGPSGIKFQWPNYSQSSHVTSIDDSSVSYASGY VTIG YJR009C MVRVAINGFGRIGRLVMRIALQRKNVEVVALNDPFISNDYSAYM FKYDSTHGRYAGEVSHDDKHIIVDGHKIATFQERDPANLPWASLNIDIAIDSTGVFKE LDTAQKHIDAGAKKVVITAPSSTAPMFVMGVNEEKYTSDLKIVSNASCTTNCLAPLAK VINDAFGIEEGLMTTVHSMTATQKTVDGPSHKDWRGGRTASGNIIPSSTGAAKAVGKV LPELQGKLTGMAFRVPTVDVSVVDLTVKLNKETTYDEIKKVVKAAAEGKLKGVLGYTE DAVVSSDFLGDSNSSIFDAAAGIQLSPKFVKLVSWYDNEYGYSTRVVDLVEHVAKA YJR010W MPAPHGGILQDLIARDALKKNELLSEAQSSDILVWNLTPRQLCD IELILNGGFSPLTGFLNENDYSSVVTDSRLADGTLWTIPITLDVDEAFANQIKPDTRI ALFQDDEIPIAILTVQDVYKPNKTIEAEKVFRGDPEHPAISYLFNVAGDYYVGGSLEA IQLPQHYDYPGLRKTPAQLRLEFQSRQWDRVVAFQTRNPMHRAHRELTVRAAREANAK VLIHPVVGLTKPGDIDHHTRVRVYQEIIKRYPNGIAFLSLLPLAMRMSGDREAVWHAI IRKNYGASHFIVGRDHAGPGKNSKGVDFYGPYDAQELVESYKHELDIEVVPFRMVTYL PDEDRYAPIDQIDTTKTRTLNISGTELRRRLRVGGEIPEWFSYPEVVKILRESNPPRP KQGFSIVLGNSLTVSREQLSIALLSTFLQFGGGRYYKIFEHNNKTELLSLIQDFIGSG SGLIIPNQWEDDKDSVVGKQNVYLLDTSSSADIQLESADEPISHIVQKVVLFLEDNGF FVF YJR010C-A MSEILQDVQRKLVFPIDFPSQRKTEKFQQLSLMIGALVACILGF AQQSLKVLLTAYGISCVITLICVLPAYPWYNKQKLRWAQPKIEINVDQYD YJR011C MSETRESNESTVSSLQTKLLLNDGISENNKKNVILLNQIVPFIL NTSHYMTDLMYVLYYLAQKQEDEVLNHSGTFISHKKELLALKSDICELIYDLRTGFRL LLDSCELDHFETPGKCRHLIEKVLVTSIYGVNRYIFQELNRLNVDFKDEFILQMQNCL SGFVNLYKFLNKIPMSKQQSQMNDLQMKILVNVLQNELLPIWKFQLDLLNCKLFNELS KDKGLINIYRKATNDSVIDVSKGEPFIRYVNWLKDQIIGEMTV YJR012C MTVQSSPILRQSSFNFITFYLACQLLTFLCIYIVFFFVKFLPTI KVSFIIIGACKRAPHVSVYLLKIDCEHNESSMAAGGELSYEELLDHILNNKPIPNIVE VPNVTLDEGLASTPSLRPRPRPWEGQLQHQSHQGSLDKPNISLDIDQESLEGMTSLTR LSECYDIQSKLQINDSDNDNDDNNNDNNKGDGNDDDNNTVTANPTAR YJR013W MTGEEWGLTVLSFLVRVGFFLFGIYQDANFKVRYTDIDYFVFHD AAKYVYEGKSPYARDTYRYTPLLSWLLVPNHYFGWFHLGKVIFVIFDLVTGLIIMKLL NQAISRKRALILESIWLLNPMVITISTRGNAESVLCCLIMFTLFFLQKSRYTLAGILY GLSIHFKIYPIIYCIPIAIFIYYNKRNQGPRTQLTSLLNIGLSTLTTLLGCGWAMYKI YGYEFLDQAYLYHLYRTDHRHNFSVWNMLLYLDSANKENGESNLSRYAFVPQLLLVLV TGCLEWWNPTFDNLLRVLFVQTFAFVTYNKVCTSQYFVWYLIFLPFYLSRTHIGWKKG LLMATLWVGTQGIWLSQGYYLEFEGKNVFYPGLFIASVLFFVTNVWLLGQFITDIKIP TQPTVSNKKNN YJR014W MLREVIYCGICSYPPEYCEFSGKLKRCKVWLSENHADLYAKLYG TDDNTQEVEAVTNKLAESSIGEAREEKLEKDLLKIQKKQENREQRELAKKLSSKVIIK REARTKRKFIVAISGLEVFDIDMKKLAKTFASRFATGCSVSKNAEKKEEVVIQGDVMD EVETYIHSLLEEKGLKDVKVETIDAKKKKKPAAEGAAK YJR015W MTSSLDDIEPTAYNNMEADEEYCRRNDIHDLSSVVGDAVSQGVP DMDGQTTDSSKDPEPNSEDKKAFPPSSGSFFSPNLQGQRKKVLLKFVFTNCLLAIICF TMFVLFWGALYDTSKYLHKVKLLVVIQEPPVVILDNNSSMVVPSISYALPTFINKIPC DWDIYNSPTFQAKFDVNTPQQVNDKVVDLVYDEKYWFAINIKPNATETLFESLINDTA PLFNSTLFNQVVYETGRDPTNLKSTILPVAQTIEEYYHTFYTLNYLPPLLTNITQVYR YALTNNARYIAAAGKYNYEYYDHRPFTDRILLAPTQIGVVYCLLLTFFQFLLYGPLHV EMAKVLRPANGLIYRIAMSWFTFFFASLFFCTTTAIFQVDFTKSFGRGGFVVYWMSTW LFMLAAGGANENAVMLVITLGPQYLGFWILSFVILNIAPSFFPLALNNNVYRYGYMMP VHNVIDIYRVIFFDVTRRKMGRNYGILVALIALNTALLPFVGKYASRKLKQKALVAAK QS YJR016C MGLLTKVATSRQFSTTRCVAKKLNKYSYIITEPKGQGASQAMLY ATGFKKEDFKKPQVGVGSCWWSGNPCNMHLLDLNNRCSQSIEKAGLKAMQFNTIGVSD GISMGTKGMRYSLQSREIIADSFETIMMAQHYDANIAIPSCDKNMPGVMMAMGRHNRP SIMVYGGTILPGHPTCGSSKISKNIDIVSAFQSYGEYISKQFTEEEREDVVEHACPGP GSCGGMYTANTMASAAEVLGLTIPNSSSFPAVSKEKLAECDNIGEYIKKTMELGILPR DILTKEAFENAITYVVATGGSTNAVLHLVAVAHSAGVKLSPDDFQRISDTTPLIGDFK PSGKYVMADLINVGGTQSVIKYLYENNMLHGNTMTVTGDTLAERAKKAPSLPEGQEII KPLSHPIKANGHLQILYGSLAPGGAVGKITGKEGTYFKGRARVFEEEGAFIEALERGE IKKGEKTVVVIRYEGPRGAPGMPEMLKPSSALMGYGLGKDVALLTDGRFSGGSHGFLI GHIVPEAAEGGPIGLVRDGDEIIIDADNNKIDLLVSDKEMAQRKQSWVAPPPRYTRGT LSKYAKLVSNASNGCVLDA YJR017C MPSDVASRTGLPTPWTVRYSKSKKREYFFNPETKHSQWEEPEGT NKDQLHKHLRDHPVRVRCLHILIKHKDSRRPASHRSENITISKQDATDELKTLITRLD DDSKTNSFEALAKERSDCSSYKRGGDLGWFGRGEMQPSFEDAAFQLKVGEVSDIVESG SGVHVIKRVG YJR019C MSASKMAMSNLEKILELVPLSPTSFVTKYLPAAPVGSKGTFGGT LVSQSLLASLHTVPLNFFPTSLHSYFIKGGDPRTKITYHVQNLRNGRNFIHKQVSAYQ HDKLIFTSMILFAVQRSKEHDSLQHWETIPGLQGKQPDPHRYEEATSLFQKEVLDPQK LSRYASLSDRFQDATSMSKYVDAFQYGVMEYQFPKDMFYSARHTDELDYFVKVRPPIT TVEHAGDESSLHKHHPYRIPKSITPENDARYNYVAFAYLSDSYLLLTIPYFHNLPLYC HSFSVSLDHTIYFHQLPHVNNWIYLKISNPRSHWDKHLVQGKYFDTQSGRIMASVSQE GYVVYGSERDIRAKF YJR021C MVARGRTDEISTDVSEANSEHSLMITETSSPFRSIFSHSGKVAN AGALEESDKQILEWAGKLELESMELRENSDKLIKVLNENSKTLCKSLNKFNQLLEQDA ATNGNVKTLIKDLASQIENQLDKVSTAMLSKGDEKKTKSDSSYRQVLVEEISRYNSKI TRHVTNKQHETEKSMRCTQEMLFNVGSQLEDVHKVLLSLSKDMHSLQTRQTALEMAFR EKADHAYDRPDVSLNGTTLLHDMDEAHDKQRKKSVPPPRMMVTRSMKRRRSSSPTLST SQNHNSEDNDDASHRLKRAARTIIPWEELRPDTLESEL YJR022W MSATLKDYLNKRVVIIKVDGECLIASLNGFDKNTNLFITNVFNR ISKEFICKAQLLRGSEIALVGLIDAENDDSLAPIDEKKVPMLKDTKNKIENEHVIWEK VYESKTK YJR024C MSSQDVLIHSDDPCHPANLICTLCKQFFHNNWCTGTGGGISIKD PNTNYYYLAPSGVQKEKMIPEDLFVMDAQTLEYLRSPKLYKPSACTPLFLACYQKKNA GAIIHTHSQNAVICSLLFGDEFRIANIEQIKAIPSGKVDPVTKKPMALSFFDTLKIPI IENMAHEDELIDDLHKTFKDYPDTCAVIVRRHGIFVWGPTIDKAKIFNEAIDYLMELA IKMYQMGIPPDCGIGEEKKHLKMASP YJR025C MFNTTPINIDKWLKENEGLLKPPVNNYCLHKGGFTVMIVGGPNE RTGYHINPTPEWFYQKKGSMLLKVVDETDAEPKFIDIIINEGDSYLLPGNVPHSPVRF ADTVGIVVEQDRPGGENDKIRWYCSHCRQVVHESELQMLDLGTQVKEAILDFENDVEK RTCFHCKTLNYARPQSN YJR027W MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVQYGDFYWV SKRYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDTGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSHYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YJR026W MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YJR029W MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIQQCGMEEVRGWSCVFKNSQVTICLFVDDMVLFSKNL NSNKRIIEKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YJR028W MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YJR030C MELFNKEEASFETLLKRLLVVCESHSRYHGSSLDPMVKVGHEMR KISGYLRCILRKHAANHDDMSLTQSIVNSYKSLFKDAQILDLYHNLLFGCMHLLLDAN MSYFRMDSQKLFAVLLFKVYYKLRDIFYVTNEVRLGSLISAFVYKFKSCYDFISCNSL KYGSVRDVISGEVSLINLPPIDSNKVINRAYYRLDVKKLAINNKLVEILELDNGEIAI FEVLSEKMPYTLQTIDNLFQSLALGNHDLMNVGRSLLFRPFRSGDLDLIRLDDSGAKL KVPINNSIVLRLTCKDPIQWQEYWKHVIRKLFDSTATKEYKRSGSKISQQVYVRSNNP DYTSPKRNDDMPISSVKISDTIHNGRTLHRSIPLPGSLSSLIETSNEYPDEESLSIMS ERATVSEDSDLDTSLKDIESLSCEKLIELDKSIQVPLSPKYMDTPTLKNIRTASQTFS LESVSPELIESVASEIDDSESIISEDGKDKRDKDLFDPDIDFYKPTLYRRKSSSLLSI FSKNKKNLTIDIPKNHSRSLFSLPGNQQSVTPVSATPHDDNVDETYVSFPLSINTSGG AVYFENDSVKVSLWNGKSWVPLSKDMLCLSLILSGDNETLLIVYKDFEKEKCKLVVKL EPTWKYNRSTAQDVQLRIPSSDFKASVFGTLHDLTLSIRCAQAAKLVNVLQYQLQSSQ TSSLSPSTTTGTLSTVSSSSCFSRNVTRSSTENSELANMKDSSEYISSSLLLSSVKVR QLRNM YJR031C MHDVPMETVLAVNPATMIVKECINLCSAMNKQSRDKSQTSVAAL LGGGSDIFLSQSDSFVDSFHNLPTSSYHDPLISGLVQLRLKINDLKGLDSLNALELLK PFLEIVSASSVSGYTTSLALDSLQKVFTLKIINKTFNDIQIAVRETVVALTHCRFEAS KQISDDSVLLKVVTLLRDIITSSFGDYLSDTIIYDVLQTTLSLACNTQRSEVLRKTAE VTIAGITVKLFTKLKLLDPPTKTEKYINDESYTDNNLKDDIIGTTTSDNDLSSTDDDS AVADDNKNEKPVQQVIREQENDEETAEKAENVEPNYGITVIKDYLGLLLSLVMPENRM KHTTSAMKLSLQLINAAIEISGDKFPLYPRLFSLISDPIFKSVLFIIQSSTQYSLLQA TLQLFTSLVVILGDYLPMQIELTLRRIFEILEDTTISGDVSKQKPPAIRELIIEQLSI LWIHSPAFFLQLFVNFDCNLDRSDLSIDFIKELTKFSLPAAAVNTSNNIPPICLEGVL SLIENIYNDLQRFDRAEFVKNQKEIDILKQRDRKTEFILCVETFNEKAKKGIQMLIEK GFIDSDSNRDIASFLFLNNGRLNKKTIGLLLCDPKKTSLLKEFIDLFDFKGLRVDEAI RILLTKFRLPGESQQIERIVEAFSSKYSADQSNDKVELEDKKAGKNGSESMTEDDIIH VQPDADSVFVLSYSIIMLNTDSHNPQVKDHMTFDDYSNNLRGCYNGKDFPRWYLHKIY TSIKVKEIVMPEEHHGNERWFEDAWNNLISSTSVMTEMQRDFTNPISKLAQIDILQYE KAIFSNVRDIILKTLFKIFTVASSDQISLRILDAISKCTFINYYFSFDQSYNDTVLHL GEMTTLAQSSAKAVELDVDSIPLVEIFVEDTGSKISVSNQSIRLGQNFKAQLCTVLYF QIIKEISDPSIVSTRLWNQIVQLILKLFENLLMEPNLPFFTNFHSLLKLPELPLPDPD ISIRKAKMSRSLLSTFASYLKGDEEPSEEDIDFSIKAFECVKASHPLSSVFENNQLVS PKMIETLLSSLVIEKTSENSPYFEQELLFLLEISIILISEASYGQEFGALIADHMINI SNLDGLSKEAIARLASYKMFLVSRFDNPRDILSDLIEHDFLVKNEIFNTKYYESEWGK QVINDLFTHLNDVKYNERALKNVKFWNFLRILISAKDRQFAVYTFLEKYIQNGDIFVD DGNFMNILSLLDEMSCAGAVGTKWEQNYENSVEDGCEAPESNPYRSIIDLSSRSINIT ADLLSTVGRSNSALNKNEIIAAIQGLAHQCLNPCDELGMQALQALENILLSRASQLRT EKVAVDNLLETGLLPIFELDEIQDVKMKRITSILSVLSKIFLGQLVEGVTSNETFLRV LNVFNKYVDDPTVERQLQELIISKREIEKE YJR032W MIQDPLVYLDISIDKKPIGRIVCKLFREKAPKTTENFYKLCAGD VKSPLKDQQYLSYKGNGFHRVVKNFMIQAGDIVFGTQKDSSSSSVGKGGCSIYADKEE VKTDDESFCYGNFEDENLGEFVEPFTLGMANLGSPNTNNSQFFITTYAAPHLNGKHSI FGQVVHGKSVVRTIENCRVDSDGVPESDVRISDCGVWEKTMGVPLYNASNDQIGGDVY EEYPDDDTHFGDDDFGKALEAANIIKESGTLLFKKKDYSNAFFKYRKSLNYINEYMPE PDVDKERNIQFINLKMKIYLNLSLVLFNLERYDDAIMYATYLLEMDNVPNRDQAKAYY RRGNSYLKKKRLDEALQDYIFCKEKNPDDEVIEQRIEYVNRLIEENKEKTRKNISKFF S YJR033C MSLNFLPGRPNATPQTACQATWQNHTIFAYCSGNNLIILTNKFT RLQTIYTQSDCTAVDINSQNGFIALSFHNRVLIYKPIHQIMQNPKWTQCCQLFHDDTP VNCLRWSSDNELAIGSDFLSFWKIKDNFGVYQPILQWNQKQPKPVYNVIISQDSQLIV SIGKYDCNAKLWKRVSIVGEQAIFNLTMLPHPKPITAMRWKKEPDQVSKNNTASHALY TLCEDKVLRIWSCFEMEKNHTVQIWGEVPLSPTQKFCVIIDNWIIRQTLSVKDSEIFD ISDSDIVILGSMTGEMEVLALNNLSQDPPKPMTKKTISHKKVKKATMLNDTRYLYLPE IQPYDNVKGKLSFLVHDLQGVIRHLLIDILQLINNKTEDLSAALEHKFTGHNKSVQKL VRSSDGEALLTTSRFSENGVWYPQKLNHGVSLRLQNTIQTESPIKFAVVHELGKQVIC LLENGALQAWECPTNRKEDSEQKQSYLRVETRLKEEKKIHPIVMLNTPEPKHSHERHF TALIFSDGSIKAFEVSLTRGIFEVKSDSLDIDGDDIYKISIIDPVHQTFVSNRPLISL ITKKGLTRTYKAIVNYNDRHVQWIKACEINTGIMNCTCIRGSSTGKLCIVNSTGKVMS LWDLNRGVLEYEETFHNPIEDIDWTSTEYGQSIVSIGFTGYALLYTQLRYDYTNNTPS YLPIEKIDITAHTAHNIGDSVWMKNGTFVVASGNQFYIKDKSLDLTDPFTYQSIGSRK ILSNDILHLSSVLNGPLPVYHPQFLIQAIYANKLQLVKELLLRLFLALRKLDFESQDV SNLDSNLGMDPLKYFIAKDRDYPVESFPDPYPCFNKTVSLALTEQLTKTTLPYLTRHQ QITLITVIEAVDEVTKNENIVDYNGVRFLLGVKLFLSHKNIQKSILMRDVSWALHSDN KEILLSSIDRHITSWNRAREYRIAYWIKEQDLVKKFEDIAKYEFSKDDKRDPSRCAIF YLALKKKQILLSLWKMAIGHPEQQKMVRFISNDFTVPRWRTAALKNAFVLLSKHRYMD AAVFFLLTDSLKDCVNVLCKQVHDMDLAIGVCRVYEGDNGPVLGELLTAQMLPETIKE NDRWKASFIYWKLRKQEVAIKALLTAPIDLENNSSIVDKEVCVNRSFLVEDPALLYLY NHLRNRNLKYFIGSLNVEAKIECTLILRVTDILCRMGCNYLAVSLVKNWKFIERNSIP VQKLLKSPTKDRAYSAIGAMASEPISTARMRPSLFDKFGSPSASDIESPNPKLPNSLL DDFLQPPPNSTSSNSLAQSSSSAPRSILDEFVSPSYSQHKENLTPKAPNDSVGETDNS ENRKDKLSKDILDDLSSQKPQKPKKSAITKNLLDDFV YJR034W MVASCKDQKKAVAICLQRSPCVMIERHNPQECLDNPELNKDLPE LCIAQMKAFLDCKRGIVDMTKRFTGNAPLSTGKYDQQYENLCKGKFDPREEMEKLKLL NSQQKD YJR035W MEDKEQQDNAKLENNESLKDLGVNVLSQSSLEEKIANDVTNFSN LQSLQQEETRLERSKTALQRYVNKKNHLTRKLNNTTRISVKQNLRDQIKNLQSDDIER VLKDIDDIQSRIKELKEQVDQGAENKGSKEGLQRPGETEKEFLIRTGKITAFGHKAGF SLDTANREYAKNDEQKDEDFEMATEQMVENLTDEDDNLSDQDYQMSGKESEDDEEEEN DDKILKELEDLRFRGQPGEAKDDGDELYYQERLKKWVKQRSCGSQRSSDLPEWRRPHP NIPDAKLNSQFKIPGEIYSLLFNYQKTCVQWLYELYQQNCGGIIGDEMGLGKTIQVIA FIAALHHSGLLTGPVLIVCPATVMKQWCNEFQHWWPPLRTVILHSMGSGMASDQKFKM DENDLENLIMNSKPSDFSYEDWKNSTRTKKALESSYHLDKLIDKVVTDGHILITTYVG LRIHSDKLLKVKWQYAVLDEGHKIRNPDSEISLTCKKLKTHNRIILSGTPIQNNLTEL WSLFDFIFPGKLGTLPVFQQQFVIPINIGGYANATNIQVQTGYKCAVALRDLISPYLL RRVKADVAKDLPQKKEMVLFCKLTKYQRSKYLEFLHSSDLNQIQNGKRNVLFGIDILR KICNHPDLLDRDTKRHNPDYGDPKRSGKMQVVKQLLLLWHKQGYKALLFTQSRQMLDI LEEFISTKDPDLSHLNYLRMDGTTNIKGRQSLVDRFNNESFDVFLLTTRVGGLGVNLT GANRIIIFDPDWNPSTDMQARERAWRIGQKREVSIYRLMVGGSIEEKIYHRQIFKQFL TNRILTDPKQKRFFKIHELHDLFSLGGENGYSTEELNEEVQKHTENLKNSKSEESDDF EQLVNLSGVSKLESFYNGKEKKENSKTEDDRLIEGLLGGESNLETVMSHDSVVNSHAG SSSSNIITKEASRVAIEAVNALRKSRKKITKQYEIGTPTWTGRFGKAGKIRKRDPLKN KLTGSAAILGNITKSQKEASKEARQENYDDGITFARSKEINSNTKTLENIRAYLQKQN NFFSSSVSILNSIGVSLSDKEDVIKVRALLKTIAQFDKERKGWVLDEEFRNNNAS YJR036C MVSLFDKLNAKKDGRDGSVSKELLSHSVAHTKNRLPKSGRRTSE RSLAASVKDGSCSNSKSNKRNSSASVSGEEDKSCLISLNCLCCGVPLRFPASITKFRC SACQVTVIVKEPEINSNLESSTHISCTLEGLQMVVRRCHDDLQRLKKTGILDKERKGL IFQPVITYLLDRFHDVSILNRSFLVHDGGKNIKMLNYEVLQRFYSILSNLPTRKPYYS MLCCCNDLLKRITINKGENLQILQYRWLLIILNIPTIRTCLIRDRKSKNVFETQQIRA VSYELAKRCIGYLSNLSTKTSQQLIQSLRRTPTDNFSYQVEILNLYINFQFSRLLSNE LSNRTAKNNVKPEDEMRSRLRRHHTTGHEFLSTRPISAQSNDKQGSGFTHPVNNKMKF KFFQYEEDWHIHSAAKLTFIYYVANTRRNGRGALSIQSFYNITLDFIDYKQDFDHWRG VAQKTKMNQLIEEWGNSTTKKCFSFCKYPFILSLGIKISIMEYEIRRIMEHEAEQAFL ISLDKGKSVDVYFKIKVRRDVISHDSLRCIKEHQGDLLKSLRIEFVNEPGIDAGGLRK EWFFLLTKSLFNPMNGLFIYIKESSRSWFAIDPPNFDKSKGKNSQLELYYLFGVVMGL AIFNSTILDLQFPKALYKKLCSEPLSFEDYSELFPETSRNLIKMLNYTEDNFEDVFSL TFETTYRNNNWILNDSKSSKEYVTVELCENGRNVPITQSNKHEFVMKWVEFYLEKSIE PQYNKFVSGFKRVFAECNSIKLFNSEELERLVCGDEEQTKFDFKSLRSVTKYVGGFSD DSRAVCWFWEIIESWDYPLQKKLLQFVTASDRIPATGISTIPFKISLLGSHDSDDLPL AHTCFNEICLWNYSSKKKLELKLLWAINESEGYGFR YJR039W MPAGRIRIAMTDNIIVSEVDGPPDVVKCFIREEDGLEGQSRELV VIGLEYIDVFEGVEQDEARKKLRLRTVGYTMAAFYFKDHTAPNRRFYILLKATGRLDF VNLDYKIVKSLETGIDQVRSEPKFMFQDPLRTALVFNLSCTEIYEISTEDIFCLEETD VKLSYVTSSPIVSIDACINFNDFLDKDVFTLSILTRAHNEVAYKLEACVCVFESKPAK GTKWQRTTNLTFVEEATVSQVLLKSVTNIGHFVFTPWKTYFIKHALSSKQTIDGKTVD KIYQGPGAFGSDNMERIELLQPILADTTLNHLTFTFMTSTAILITCRMNAILSSFEDD TYIWEKALFERLPINGGSSHDHYLAAFFNEKCWILVSPKGHLTVYSVRNEGHSNFVHL GSFLCKSTLYSDLIGNYTKSHLSCGSLHCGQGYLCLKFRSCGDIFASTCMKLLFKSKD SVPRQVYSTRKGIYWADVNNNVYRDSERIDFEINGSFIATKDGTLLKDNTIVTLVPIQ RDNECNYAYVTKQGYLRWSFSKVYYRIQNTGVDLTIDNCFLSAISSKGSFLTVLVLND EITVFDHCNRLKSQKVVFHRLSDLASIFLYEYESTVYIFMSDTEGNLCVMKLATFEIV EEVKICKKKLQFCEVPNSDYFFIYTADTIIFFKPSKIKGRFKIQEVYAPCPISCLIPG EKDGSVVMVTSQGQFYDVLVPGDAGRATLCSKFEKVLKTCLKFITLESSSRYVIVAAL PVANPLQDKYSEIYVYDIKQFKNISAFNFSNLNNDIESIKYENAMISDIIAVPMLKRT ETLGKRKTSELYKEVIFNSCILVSLNLDSIDGIDSKNMNNLLLFSFDEESGFIEFVFG INTGFSISGLHNYYNGCVLVYGEFVQAYQLNYSVHDNKFSIEQVSNRLNISGITITSS IFFDKRKAKMARKQQNIGTWVYLEEMILLDVRKGVMRFNVIHTTDGNIEKVQLQVQPL NLFERDLINSITDTGKMFTGAAAITFKNIRYLLISYGDQKLTLFSLKLDGEEEIDERV YHVAEQVTTINSVRTTDSRMSTFLGESTFMPLFLVSTLSNGCYVIGILHEESDISLHI LSEKKAVFAKRSVQKFLGFLDPQMDDHTVISEI YJR040W MPTTYVPINQPIGDGEDVIDTNRFTNIPETQNFDQFVTIDKIAE ENRPLSVDSDREFLNSKYRHYREVIWDRAKTFITLSSTAIVIGCIAGFLQVFTETLVN WKTGHCQRNWLLNKSFCCNGVVNEVTSTSNLLLKRQEFECEAQGLWIAWKGHVSPFII FMLLSVLFALISTLLVKYVAPMATGSGISEIKVWVSGFEYNKEFLGFLTLVIKSVALP LAISSGLSVGKEGPSVHYATCCGYLLTKWLLRDTLTYSSQYEYITAASGAGVAVAFGA PIGGVLFGLEEIASANRFNSSTLWKSYYVALVAITTLKYIDPFRNGRVILFNVTYDRD WKVQEIPIFIALGIFGGLYGKYISKWNINFIHFRKMYLSSWPVQEVLFLATLTALISY FNEFLKLDMTESMGILFHECVKNDNTSTFSHRLCQLDENTHAFEFLKIFTSLCFATVI RALLVVVSYGARVPAGIFVPSMAVGATFGRAVSLLVERFISGPSVITPGAYAFLGAAA TLSGITNLTLTVVVIMFELTGAFMYIIPLMIVVAITRIILSTSGISGGIADQMIMVNG FPYLEDEQDEEEEETLEKYTAEQLMSSKLITINETIYLSELESLLYDSASEYSVHGFP ITKDEDKFEKEKRCIGYVLKRHLASKIMMQSVNSTKAQTTLVYFNKSNEELGHRENCI GFKDIMNESPISVKKAVPVTLLFRMFKELGCKTIIVEESGILKGLVTAKDILRFKRIK YREVHGAKFTYNEALDRRCWSVIHFIIKRFTTNRNGNVI YJR041C MGDLTEELSIPDNAQDLSKLLRSTSTKPHQIAEIVSKFDKLETY FPKKEIFVLDLLIDRLNNGNLDDFKTSEHTWIIFTRLLDAINDPISIKKLLKKLKTVP VMIRTFFLWPKDKLLTRSVSFIKAFFAINDYLIVNFSVEESFQLLEHAINGLSSCPTT DFALSYLQDACNLTHVDNITTTDNKIATCYCKHMLLPSLRYFAQTKNSASSNQSFIRL SHFMGKFLLQPRIDYMKLNKKFVQENASEITDDMAYYYFATFVTFLSKDNFAQLEVIF TILGAKKPSLECRFLNLLSESKKTVSQEFLEALLLEMLASTDESGVLSLIPIILKLDI EVAIKHIFRLLELIQLENLNDPLFSSHIWDLIIQSHANARELSDFFAKINEYCSRKGP DSYFLINHPAYVKSITKQLFTLSSLQWKNLLQALLDQVNHDSTNRVPLYLIRICLEGL SEGASRATLDEVKPILSQVFTLESFNNSLQWDLKYHIMEVYDDIVPAEELEKIDYVLS SNIFDTTSADVEELFFYCFKLREYISFDLSDAKKKFMRHFEILDEERKSNLSYSVVSK FATLVNNNFTREQISSLIDSLLLNSTNLSSLLKNDDIFEETNITYALINKLASSYHQT FALEALIQIPIQCINKNVRVALINNLTCESFCLDSATRECLLHLLSSPTFKSNIETNF YELCEKTIMSPEMAISETGDEKKEIEDKISIFEKVWTNHLSQAKEPVSEKFLESGYDI VKQSMSLSNGDSKLIIAGFTIAKFLKPDNKHRDIQGMAISYAVKILENYSENFESETI PLFRISMSTLYKIITTGQGDISKHKSRILDIFSKIMLRYHSKKVYHAPEEQEMFLVHS LLTENKLEYIFAEYLNIEHTDKCDSALGFCLEESLKQGPDAFNRLLWNSAKSFSTISQ PCAEKFVRVFIIMSKRIARDNNLGHHLFVIALLEAYTYCDIEKFGYKSYLLLFNAIKE FLVSKPWLFSQYCIEMLLPFCLKTLAFIVNHESTDEINEGFINIIEVIDHMLLVHRFK FSNRHHLFNSVLCQILEIIAIHDGTLCANSADAVARLITNYCEPYNVSNAQNGQKNNL SSKISLIKQSIRKNVLVVLTKYIQLSITTQFSLNIKKSLQPGIHAIFDILSQNELNQL NAFLDTPGKQYFKALYLQYKKVGKWRED YJR042W MTIDDSNRLLMDVDQFDFLDDGTAQLSNNKTDEEEQLYKRDPVS GAILVPMTVNDQPIEKNGDKMPLKFKLGPLSYQNMAFITAKDKYKLYPVRIPRLDTSK EFSAYVSGLFEIYRDLGDDRVFNVPTIGVVNSNFAKEHNATVNLAMEAILNELEVFIG RVKDQDGRVNRFYELEESLTVLNCLRTMYFILDGQDVEENRSEFIESLLNWINRSDGE PDEEYIEQVFSVKDSTAGKKVFETQYFWKLLNQLVLRGLLSQAIGCIERSDLLPYLSD TCAVSFDAVSDSIELLKQYPKDSSSTFREWKNLVLKLSQAFGSSATDISGELRDYIED FLLVIGGNQRKILQYSRTWYESFCGFLLYYIPSLELSAEYLQMSLEANVVDITNDWEQ PCVDIISGKIHSILPVMESLDSCTAAFTAMICEAKGLIENIFEGEKNSDDYSNEDNEM LEDLFSYRNGMASYMLNSFAFELCSLGDKELWPVAIGLIALSATGTRSAKKMVIAELL PHYPFVTNDDIEWMLSICVEWRLPEIAKEIYTTLGNQMLSAHNIIESIANFSRAGKYE LVKSYSWLLFEASCMEGQKLDDPVLNAIVSKNSPAEDDVIIPQDILDCVVTNSMRQTL APYAVLSQFYELRDREDWGQALRLLLLLIEFPYLPKHYLVLLVAKFLYPIFLLDDKKL MDEDSVATVIEVIETKWDDADEKSSNLYETIIEADKSLPSSMATLLKNLRKKLNFKLC QAFM YJR043C MDQKASYFINEKLFTEVKPVLFTDLIHHLKIGPSMAKKLMFDYY KQTTNAKYNCVVICCYKDQTIKIIHDLSNIPQQDSIIDCFIYAFNPMDSFIPYYDIID QKDCLTIKNSYELKVSESSKIIERTKTLEEKSKPLVRPTARSKTTPEETTGRKSKSKD MGLRSTALLAKMKKDRDDKETSRQNELRKRKEENLQKINKQNPEREAQMKELNNLFVE DDLDTEEVNGGSKPNSPKETDSNDKDKNNDDLEDLLETTAEDSLMDVPKIQQTKPSET EHSKEPKSEEEPSSFIDEDGYIVTKRPATSTPPRKPSPVVKRALSSSKKQETPSSNKR LKKQGTLESFFKRKAK YJR044C MMEFKVSPLTKIISLSGFLALGFLLVILSCALFHNYYPLFDILI FLLAPIPNTIFNAGNKYHTSDFMSDSSNTGQDLAHFLTGMLVTSGIALPVVFYHCQLI GHLSCIMCMIGGLIIYSSIVIFKWFFKKDFNEDDSLFG YJR045C MLAAKNILNRSSLSSSFRIATRLQSTKVQGSVIGIDLGTTNSAV AIMEGKVPKIIENAEGSRTTPSVVAFTKEGERLVGIPAKRQAVVNPENTLFATKRLIG RRFEDAEVQRDIKQVPYKIVKHSNGDAWVEARGQTYSPAQIGGFVLNKMKETAEAYLG KPVKNAVVTVPAYFNDSQRQATKDAGQIVGLNVLRVVNEPTAAALAYGLEKSDSKVVA VFDLGGGTFDISILDIDNGVFEVKSTNGDTHLGGEDFDIYLLREIVSRFKTETGIDLE NDRMAIQRIREAAEKAKIELSSTVSTEINLPFITADASGPKHINMKFSRAQFETLTAP LVKRTVDPVKKALKDAGLSTSDISEVLLVGGMSRMPKVVETVKSLFGKDPSKAVNPDE AVAIGAAVQGAVLSGEVTDVLLLDVTPLSLGIETLGGVFTRLIPRNTTIPTKKSQIFS TAAAGQTSVEIRVFQGERELVRDNKLIGNFTLAGIPPAPKGVPQIEVTFDIDADGIIN VSARDKATNKDSSITVAGSSGLSENEIEQMVNDAEKFKSQDEARKQAIETANKADQLA NDTENSLKEFEGKVDKAEAQKVRDQITSLKELVARVQGGEEVNAEELKTKTEELQTSS MKLFEQLYKNDSNNNNNNNGNNAESGETKQ YJR046W MSGTANSRRKEVLRVPVIDLNRVSDEEQLLPVVRAILLQHDTFL LKNYANKAVLDALLAGLTTKDLPDTSQGFDANFTGTLPLEDDVWLEQYIFDTDPQLRF DRKCRNESLCSIYSRLFKLGLFFAQLCVKSVVSSAELQDCISTSHYATKLTRYFNDNG STHDGADAGATVLPTGDDFQYLFERDYVTFLPTGVLTIFPCAKAIRYKPSTMATTDNS WVSIDEPDCLLFHTGTLLARWSQGMHTTSPLQIDPRANIVSLTIWPPLTTPISSKGEG TIANHLLEQQIKAFPKVAQQYYPRELSILRLQDAMKFVKELFTVCETVLSLNALSRST GVPPELHVLLPQISSMMKRKIVQDDILKLLTIWSDAYVVELNSRGELTMNLPKRDNLT TLTNKSRTLAFVERAESWYQQVIASKDEIMTDVPAFKINKRRSSSNSKTVLSSKVQTK SSNANALNNSRYLANSKENFMYKEKMPDSQANLMDRLRERERRSAALLSQRQKRYQQF LAMKMTQVFDILFSLTRGQPYTETYLSSLIVDSLQDSNNPIGTKEASEILAGLQGILP MDISVHQVDGGLKVYRWNSLDKNRFSKLLQIHKSKQQD YJR047C MSDEEHTFENADAGASATYPMQCSALRKNGFVVIKGRPCKIVDM STSKTGKHGHAKVHLVTLDIFTGKKLEDLSPSTHNLEVPFVKRSEYQLLDIDDGYLSL MTMDGETKDDVKAPEGELGDSMQAAFDEGKDLMVTIISAMGEEAAISFKEAPRSD YJR048W MTEFKAGSAKKGATLFKTRCLQCHTVEKGGPHKVGPNLHGIFGR HSGQAEGYSYTDANIKKNVLWDENNMSEYLTNPKKYIPGTKMAFGGLKKEKDRNDLIT YLKKACE YJR049C MKENDMNNGVDKWVNEEDGRNDHHNNNNNLMKKAMMNNEQIDRT QDIDNAKEMLRKISSESSSRRSSLLNKDSSLVNGNANSGGGTSINGTRGSSKSSNTHF QYASTAYGVRMLSKDISNTKVELDVENLMIVTKLNDVSLYFLTRELVEWVLVHFPRVT VYVDSELKNSKKFAAGELCEDSKCRESRIKYWTKDFIREHDVFFDLVVTLGGDGTVLF VSSIFQRHVPPVMSFSLGSLGFLTNFKFEHFREDLPRIMNHKIKTNLRLRLECTIYRR HRPEVDPNTGKKICVVEKLSTHHILNEVTIDRGPSPFLSMLELYGDGSLMTVAQADGL IAATPTGSTAYSLSAGGSLVCPTVNAIALTPICPHALSFRPIILPESINLKVKVSMKS RAPAWAAFDGKDRIELQKGDFITICASPYAFPTVEASPDEFINSISRQLNWNVREQQK SFTHILSQKNQEKYAHEANKVRNQAEPLEVIRDKYSLEADATKENNNGSDDESDDESV NCEACKLKPSSVPKPSQARFSV YJR050W MSRNVDKANSVLVRFQEQQAESAGGYKDYSRYQRPRNVSKVKSI KEANEWKRQVSKEIKQKSTRIYDPSLNEMQIAELNDELNNLFKEWKRWQWHIDHTLME KKTKRKRLEDSHVLMNSGKLINGKRYFGRALELPEVKEWLKQSQRQNDGGSINTKCIP KDRNDFYYHGKVTAALTEFEANWTSILKAHYNVPVNEDEEEMSRQTQEIHVPTLADME HWLVQRRKKKLMDELNL YJR051W MIRSVRRVFIYVSIFVLIIVLKRTLSGTDQTSMKQPVVVIGSGL AGLTTSNRLISKYRIPVVLLDKAASIGGNSIKASSGINGAHTDTQQNLKVMDTPELFL KDTLHSAKGRGVPSLMDKLTKESKSAIRWLQTEFDLKLDLLAQLGGHSVPRTHRSSGK LPPGFEIVQALSKKLKDISSKDSNLVQIMLNSEVVDIELDNQGHVTGVVYMDENGNRK IMKSHHVVFCSGGFGYSKEMLKEYSPNLIHLPTTNGKQTTGDGQKILSKLGAELIDMD QVQVHPTGFIDPNDRENNWKFLAAEALRGLGGILLHPTTGRRFTNELSTRDTVTMEIQ SKCPKNDNRALLVMSDKVYENYTNNINFYMSKNLIKKVSINDLIRQYDLQTTASELVT ELKSYSDVNTKDTFDRPLIINAFDKDISTESTVYVGEVTPVVHFTMGGVKINEKSQVI KKNSESVLSNGIFAAGEVSGGVHGANRLGGSSLLECVVFGKTAADNIAKLY YJR052W MYRSRNRPKRGGENEVKGPNSALTQFLREEGISAENIKQKWYQR QSKKQEDATDEKKGKAEDDSFTAEISRVVEDEEIDEIGTGSGTETERAQVSYDARMKL VPADSDEEEYETSHISDTPVSLSSANDRESLTKKRQNTAKIIQNRRRKRKRAADLLDR RVNKVSSLQSLCITKISENISKWQKEADESSKLVFNKLRDVLGGVSTANLNNLAKALS KNRALNDHTLQLFLKTDLKRLTFSDCSKISFDGYKTLAIFSPHLTELSLQMCGQLNHE SLLYIAEKLPNLKSLNLDGPFLINEDTWEKFFVIMKGRLEEFHISNTHRFTDKSLSNL LINCGSTLVSLGLSRLDSISNYALLPQYLVNDEFHSLCIEYPFNEEDVNDEIIINLLG QIGRTLRKLVLNGCIDLTDSMIINGLTAFIPEKCPLEVLSLEESDQITTDSLSYFFSK VELNNLIECSFRRCLQLGDMAIIELLLNGARDSLRSLNLNSLKELTKEAFVALACPNL TYLDLGFVRCVDDSVIQMLGEQNPNLTVIDVFGDNLVTEKATMRPGLTLIGRQSDSI YJR053W MSIRPLTLNGLDEPETSFEELNTTLPRFQSHETLTLEENVPPLS TSTYIPPPSSVGTSDTGTVFSNSTSAFWSNKQADDDQDMEVDQDDEFLNDFQEFQNKK DDFDDAIKTNFHLRNGCRTGPFKNDIFAEEFDRKLSLEDKPRLKQPRSMMELKPKRKL SNSVTSRNLRSGNSVRFKKSMPNLALVNPAIREEEEDEEREREDQREFNYKIDNDTQD TILAKFSSDDEGDFLTGFEELEGEAIDETISSNDKESADHPRFLKKSSSSLPLKISPA QYDIVKHDELLTPGLHRRQRDWNTQQELDSFKEKRSVRHCSNQNVQLNGPAKIKTIKQ QIDHNTPMKKGSMIYNPKTMKWEGNENVLSKFSDVDTANRKALLIKNKLQRDADSKKQ KYSDLQHARATSRNQKVIGNMILDEQNLRWVSVSEEEADPFAGIPEINLPPVGKSMKK RSSSPFLRSKSQVNTPFVSNDNDGVYQSTAAQARLRKYHSMRTLNGTTETPEISSTFH LSSRALEKFYHEENRWCKKLASWFIPRDETIISVDEETIMDESTVNSKRKSYMYEIRN MVINSTKD YJR054W MFNHDWKYSINSKTFADLNIELFRNHKFKTVLNYIIGVVGWNGL KLALFVSDIYTCIKLLAFNSWSNNIIKPYLPFKISKWLFSGCILASIVLLIWEAIAGM RIYKTGNISLTYVNNFSRNLNSVLNYSKFCVYNMIERKGFRQKMTFFTFFQLKDCIRL IFTDTPRQVINGLTLWSVLVTVNKNEDLGDLESFTGLINKIKNIGQTNHEEAVILSLM LFSFIIWALFVFKFLLAVICSIFVYYKIINDQEYSGLREYICVTVSENVDELVERQRK KENDDTIYKTGLLESQTFDDFKEVENKIETSFNDTSYASNNDSMIELIERRPEYKSQD VCGPIPTMKKTETMESFVDNGNPQYTTRFSAILDSPYINSYESNDIKKAKIQSRSVNT PKYEDLSSSDIFNKIHSAGQLKSTTSMEFHGPLDSMPNTTNNIRNFNSNSSRPRPPPL QTKSSINSKADSNDNGRIYTPMKAYFREPDLPRKGLLEDEDRTYNYT YJR055W MVSSAVKCGICRGVDGKYKCPKCGVRYCSLKCYKDAAKHVHKES EQPRAGTEANVEVVNNDKIINSSLAMNKTLKTKAFDDIYQNSAELQELLKYNTVKFHL AKVYRILSSTVNDGSSGKMNSDLQKELAVNYLNTLRYGGIHYNEAIEEFCQILLDKLN AVKK YJR056C MEQMHSLESSLPPEQPPTKQAIESLNLELSQEFKLAANAVTRLY RVANEKNSLTKHQGYLTCLDDILCALDSNVTADELRAWCYKRRNDILSNSQDKSLNPV KERERKLNKFSENQHRENEAHKEPFEKDSAVKYNFSFNESNGDLSNINENIAPKFRLS MPPLSVEHPPRNASRIKSWKARTINHGRGDTRNLNDITGLGHERERDRENTHYEKKPK LDSDSEVDIRSFRQDMDL YJR057W MMGRGKLILIEGLDRTGKTTQCNILYKKLQPNCKLLKFPERSTR IGGLINEYLTDDSFQLSDQAIHLLFSANRWEIVDKIKKDLLEGKNIVMDRYVYSGVAY SAAKGTNGMDLDWCLQPDVGLLKPDLTLFLSTQDVDNNAEKSGFGDERYETVKFQEKV KQTFMKLLDKEIRKGDESITIVDVTNKGIQEVEALIWQIVEPVLSTHIDHDKFSFF YJR058C MAVQFILCFNKQGVVRLVRWFDVHSSDPQRSQDAIAQIYRLISS RDHKHQSNFVEFSDSTKLIYRRYAGLYFVMGVDLLDDEPIYLCHIHLFVEVLDAFFGN VCELDIVFNFYKVYMIMDEMFIGGEIQEISKDMLLERLSILDRLD YJR059W MAGNGKDKEVDKSPSVSTLKLLGKRLFNSSSHTDNSSLLLSAEQ LGNGRSLRKRPTSPSISGSGSGGNSPSSSAGARQRSASLHRRKNNASVGFSNGSVSSH KSSVALQDLIKHNNNPYLNSPSDILGTGTGIASTRDRDRAVLDREKEKERARNKERNT HHAGLPQRSNSMASHHFPNENIVYNPYGISPNHARPDTAFADTLNTNKENDLSFYMHD GNSKIRMLPLPIANPNDFLPEDMKQYSVHLTDNFVFDTDNKPIGSGGSSEVRKVKSSY RQKDVYALKKLNMIYHESPEKFYKRCSKEFIIAKHLSHNVHITNTFYLLKVPTTTYTT RGWGFIMELGVKDLFQLMERTGWKNVPFNEKYCLFKQVAQGIKFCHDNGIAHRDLKPE NVLISKEGICKLTDFGISDWYHVIPHDYTSPVKTCQGMIGSPPYTPPEVMYFDAKKHY PEKFQKPYNPLAMDSYALGIMLITMINNIIPFIDSCNTDARFREFEVSYDNFINHQNP HFRDKGCHKPGPGSEYSLARNFKNTDATRIAWRLADPNPATRYTMDDLFNDPFFQQIE TCVEPNDDDLVRVPELRKSTSTNDFSENSLDAPHDQEVIHTSNPFLKKETLTSKPRSM LEIAESPSLKQKSKVKDSAKTKTHDVGDEGGNESTKPKQQDKKENLKKDEVKNGDKDK VIEEATTTNVDSILEKPTPTSTKVEDNLSEDDSTMKELKSMLNSTPTTPTHNGPTPLP AKAGTQLDKRMSDLSLKSETPASTKNFSAPNVSSSSNSLRSLGSPSVSSSKKKKVIHH HLDITNSVTNMSSVSAFISR YJR060W MNSLANNNKLSTEDEEIHSARKRGYNEEQNYSEARKKQRDQGLL SQESNDGNIDSALLSEGATLKGTQSQYESGLTSNKDEKGSDDEDASVAEAAVAATVNY TDLIQGQEDSSDAHTSNQTNANGEHKDSLNGERAITPSNEGVKPNTSLEGMTSSPMES TQQSKNDMLIPLAEHDRGPEHQQDDEDNDDADIDLKKDISMQPGRRGRKPTTLATTDE WKKQRKDSHKEVERRRRENINTAINVLSDLLPVRESSKAAILACAAEYIQKLKETDEA NIEKWTLQKLLSEQNASQLASANEKLQEELGNAYKEIEYMKRVLRKEGIEYEDMHTHK KQENERKSTRSDNPHEA YJR061W MMLSLRRFSMYVLRSLRLHFKKIIITLLTIQLLFITIFVLGGRS SIIDGNWKSFMALFFKPLAYTNRNNNHASFDLRSKDNVAKLYEKMNFDTSGKWIDTYT LKNNLLTVKMGPEKGQVLDSVDELRYYDNDPRLVWSVLLDHLLESDSNEYAFSWYDWA NFDSTNKLIALRHTNISCQFVCEGAFDKNVLEMVESEVQEPLFVTNRNKYDESLWYNR VRKVVDSNSVQQAIHDHCMNNDAYSNGTPFELPFIISEISERLRPEVYDLQAKNHLLY SNFTPLSLTVLDSDKDAYRINLKTTDSSKSNIVQTNLLQNYIKRHRNEMVNGDLIFNH TSMFEKFLHHGSTKKRKLDVEALDKTIYAGEYLELSPSDFQFNAKERIIELETRLRSE GLPSHDTHYLRSLKTSVNTSPALQQKYFAEASDITDATADGHHRDRRFFSIGHNLLND PQEFEARLNSLIRNFQKFVKANGLISWLSHGTLYGYLYDGLKFPWDVDHDLQMPIKHL HYLSQYFNQSLILEDPREGNGRFLLDVGSAITVGVHGNGENNIDARFIDIDSGIYIDI TGLSVSSDAAKQYMSKFVEEESSGESFSALIEDYKFDENDYFDEVDGREGLAKYTIHE LMEWVNSHPDDFTDAEKNLVTKTYKKELAISRSDYAEKDLSPKQRYLVNEKYNLYNCR NQHFSSLNIISPLRNTMFSGVSAFVPNRPIATLNNEYKVPAKYGLLSFQGKVYLPEFR YWFSFADMKKFANLQLKEPKITRLESPLNDLKFSDISLLITNILKCGFHSVFASLFNS FDSTVYRLKELEIQYDPSLSEEEKSSLLKTLRRGMSKKIKSPEKDPIIYIYERKLWEN VEKLLNASNIYNIASQVEKEKGKEFVERSQQVYERNFDGFRLPDGGNSKTVNDLNSKG LNLFGDNKKTSNNIFGSDQKY YJR062C MLIDAIHGAKMSTKLLVSLKVLVIQLNPQIGQVDQTIKRTWSIL DKVTKSATYVKPDIILFPEFALTGYSFHARKDILPYVTKKDEGPSFELAKSISEKFQC YTIIGYPEDDDEQKLYNSALVVNPQGEQIFNYRKTFLYDTEMNWDCEENPEGFQTFPM DFSKCAKLSNEDSYNRDVTLKASIGICMDLSPYKFMAPFNHFEFSSFCVDNNVELILC PMAWLNSTSITDKQTLHNNSLLEAAKNKIAFALKEQGLPLAGSQGIYQLKIGDSQRTP RVPSDDSTSEYKDMDEPDMSNVNYWILRFFPFLYFKSRINWFKNSSLIESILGKTRMP LDHEYYKDGKHKEDTIDLLDSEEVIKDTVLEKTFLGTSLGQPWKFQGKNAILVLANRC GTEDGTTIFAGSSGIYKFNGKKPKGSQDDDESSLDSLNESVELLGNLGKGLEGAILRE VQFEVFR YJR063W MSVVGSLIFCLDCGDLLENPNAVLGSNVECSQCKAIYPKSQFSN LKVVTTTADDAFPSSLRAKKSVVKTSLKKNELKDGATIKEKCPQCGNEEMNYHTLQLR SADEGATVFYTCTSCGYKFRTNN YJR064W MAARPQQPPMEMPDLSNAIVAQDEMGRPFIIVKDQGNKKRQHGL EAKKSHILAARSVASIIKTSLGPRGLDKILISPDGEITITNDGATILSQMELDNEIAK LLVQLSKSQDDEIGDGTTGVVVLASALLDQALELIQKGIHPIKIANGFDEAAKLAISK LEETCDDISASNDELFRDFLLRAAKTSLGSKIVSKDHDRFAEMAVEAVINVMDKDRKD VDFDLIKMQGRVGGSISDSKLINGVILDKDFSHPQMPKCVLPKEGSDGVKLAILTCPF EPPKPKTKHKLDISSVEEYQKLQTYEQDKFKEMIDDVKKAGADVVICQWGFDDEANHL LLQNDLPAVRWVGGQELEHIAISTNGRIVPRFQDLSKDKLGTCSRIYEQEFGTTKDRM LIIEQSKETKTVTCFVRGSNKMIVDEAERALHDSLCVVRNLVKDSRVVYGGGAAEVTM SLAVSEEADKQRGIDQYAFRGFAQALDTIPMTLAENSGLDPIGTLSTLKSKQLKEKIS NIGVDCLGYGSNDMKELFVVDPFIGKKQQILLATQLCRMILKIDNVIISGKDEY YJR065C MSYLNNPAVVMDNGTGLTKLGFAGNDSPSWVFPTAIATAAPSNT KKSSGVGAPSAVSNEASYFGNSTSATNFNGATGGLLSNNLSGKRGTEDLDFYIGNEAL VASQGPSYSLSYPIRHGQVENWDHMERFWENSIFKYLRTEPEDHFFLLTEPPLNPPEN REQVAEIFFESFNCAGLYIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPV AEGYVIGSAIKNIPIAGRDITLFIQSLLRERGEADTSLRTAEKIKQEYCYVCPDIVKE FNKFDKDPSKFAQFVVENQEKTRRKVVDIGYERFLAPEIFFNPEIASSDFLTPLPTVV DQTIQACPIDVRKGLYNNIVLSGGSTMFKDFGRRLQRDLKSIVNNRIAQSELLSGTKS TGVDVSVISHRKQRNAVWFGGSLLAQTAEFKGYCHTKKDYEEYGPEIVRNFSLFNMV YJR066W MEPHEEQIWKSKLLKAANNDMDMDRNVPLAPNLNVNMNMKMNAS RNGDEFGLTSSRFDGVVIGSNGDVNFKPILEKIFRELTSDYKEERKLASISLFDLLVS LEHELSIEEFQAVSNDINNKILELVHTKKTSTRVGAVLSIDTLISFYAYTERLPNETS RLAGYLRGLIPSNDVEVMRLAAKTLGKLAVPGGTYTSDFVEFEIKSCLEWLTASTEKN SFSSSKPDHAKHAALLIITALAENCPYLLYQYLNSILDNIWRALRDPHLVIRIDASIT LAKCLSTLRNRDPQLTSQWVQRLATSCEYGFQVNTLECIHASLLVYKEILFLKDPFLN QVFDQMCLNCIAYENHKAKMIREKIYQIVPLLASFNPQLFAGKYLHQIMDNYLEILTN APANKIPHLKDDKPQILISIGDIAYEVGPDIAPYVKQILDYIEHDLQTKFKFRKKFEN EIFYCIGRLAVPLGPVLGKLLNRNILDLMFKCPLSDYMQETFQILTERIPSLGPKIND ELLNLVCSTLSGTPFIQPGSPMEIPSFSRERAREWRNKNILQKTGESNDDNNDIKIII QAFRMLKNIKSRFSLVEFVRIVALSYIEHTDPRVRKLAALTSCEIYVKDNICKQTSLH SLNTVSEVLSKLLAITIADPLQDIRLEVLKNLNPCFDPQLAQPDNLRLLFTALHDESF NIQSVAMELVGRLSSVNPAYVIPSIRKILLELLTKLKFSTSSREKEETASLLCTLIRS SKDVAKPYIEPLLNVLLPKFQDTSSTVASTALRTIGELSVVGGEDMKIYLKDLFPLII KTFQDQSNSFKREAALKALGQLAASSGYVIDPLLDYPELLGILVNILKTENSQNIRRQ TVTLIGILGAIDPYRQKEREVTSTTDISTEQNAPPIDIALLMQGMSPSNDEYYTTVVI HCLLKILKDPSLSSYHTAVIQAIMHIFQTLGLKCVSFLDQIIPTILDVMRTCSQSLLE FYFQQLCSLIIIVRQHIRPHVDSIFQAIKDFSSVAKLQITLVSVIEAISKALEGEFKR LVPLTLTLFLVILENDKSSDKVLSRRVLRLLESFGPNLEGYSHLITPKIVQMAEFTSG NLQRSAIITIGKLAKDVDLFEMSSRIVHSLLRVLSSTTSDELSKVIMNTLSLLLIQMG TSFAIFIPVINEVLMKKHIQHTIYDDLTNRILNNDVLPTKILEANTTDYKPAEQMEAA DAGVAKLPINQSVLKSAWNSSQQRTKEDWQEWSKRLSIQLLKESPSHALRACSNLASM YYPLAKELFNTAFACVWTELYSQYQEDLIGSLCIALSSPLNPPEIHQTLLNLVEFMEH DDKALPIPTQSLGEYAERCHAYAKALHYKEIKFIKEPENSTIESLISINNQLNQTDAA IGILKHAQQHHSLQLKETWFEKLERWEDALHAYNEREKAGDTSVSVTLGKMRSLHALG EWEQLSQLAARKWKVSKLQTKKLIAPLAAGAAWGLGEWDMLEQYISVMKPKSPDKEFF DAILYLHKNDYDNASKHILNARDLLVTEISALINESYNRAYSVIVRTQIITEFEEIIK YKQLPPNSEKKLHYQNLWTKRLLGCQKNVDLWQRVLRVRSLVIKPKQDLQIWIKFANL CRKSGRMRLANKALNMLLEGGNDPSLPNTFKAPPPVVYAQLKYIWATGAYKEALNHLI GFTSRLAHDLGLDPNNMIAQSVKLSSASTAPYVEEYTKLLARCFLKQGEWRIATQPNW RNTNPDAILGSYLLATHFDKNWYKAWHNWALANFEVISMVQEETKLNGGKNDDDDDTA VNNDNVRIDGSILGSGSLTINGNRYPLELIQRHVVPAIKGFFHSISLLETSCLQDTLR LLTLLFNFGGIKEVSQAMYEGFNLMKIENWLEVLPQLISRIHQPDPTVSNSLLSLLSD LGKAHPQALVYPLTVAIKSESVSRQKAALSIIEKIRIHSPVLVNQAELVSHELIRVAV LWHELWYEGLEDASRQFFVEHNIEKMFSTLEPLHKHLGNEPQTLSEVSFQKSFGRDLN DAYEWLNNYKKSKDINNLNQAWDIYYNVFRKITRQIPQLQTLDLQHVSPQLLATHDLE LAVPGTYFPGKPTIRIAKFEPLFSVISSKQRPRKFSIKGSDGKDYKYVLKGHEDIRQD SLVMQLFGLVNTLLKNDSECFKRHLDIQQYPAIPLSPKSGLLGWVPNSDTFHVLIREH RDAKKIPLNIEHWVMLQMAPDYENLTLLQKIEVFTYALDNTKGQDLYKILWLKSRSSE TWLERRTTYTRSLAVMSMTGYILGLGDRHPSNLMLDRITGKVIHIDFGDCFEAAILRE KYPEKVPFRLTRMLTYAMEVSGIEGSFRITCENVMRVLRDNKESLMAILEAFALDPLI HWGFDLPPQKLTEQTGIPLPLINPSELLRKGAITVEEAANMEAEQQNETKNARAMLVL RRITDKLTGNDIKRFNELDVPEQVDKLIQQATSIERLCQHYIGWCPFW YJR067C MSNTWDDVWASDSDVETERSPDLVKLRENHSKRGYLDGIVSSKE EKLQEGFNDGFPTGAKLGKQVGIIMGILLGLRTRFGDEDEDLSKAYIDAQKELRINKV LSKSIFDPNFDLQEKHPLITKWTDIANTYCEKYHVPSIQ YJR068W MFEGFGPNKKRKISKLAAEQSLAQQPWVEKYRPKNLDEVTAQDH AVTVLKKTLKSANLPHMLFYGPPGTGKTSTILALTKELYGPDLMKSRILELNASDERG ISIVREKVKNFARLTVSKPSKHDLENYPCPPYKIIILDEADSMTADAQSALRRTMETY SGVTRFCLICNYVTRIIDPLASRCSKFRFKALDASNAIDRLRFISEQENVKCDDGVLE RILDISAGDLRRGITLLQSASKGAQYLGDGKNITSTQVEELAGVVPHDILIEIVEKVK SGDFDEIKKYVNTFMKSGWSAASVVNQLHEYYITNDNFDTNFKNQISWLLFTTDSRLN NGTNEHIQLLNLLVKISQL YJR069C MSNNEIVFVTGNANKLKEVQSILTQEVDNNNKTIHLINEALDLE ELQDTDLNAIALAKGKQAVAALGKGKPVFVEDTALRFDEFNGLPGAYIKWFLKSMGLE KIVKMLEPFENKNAEAVTTICFADSRGEYHFFQGITRGKIVPSRGPTTFGWDSIFEPF DSHGLTYAEMSKDAKNAISHRGKAFAQFKEYLYQNDF YJR070C MSTNFEKHFQENVDECTLEQLRDILVNKSGKTVLANRFRALFNL KTVAEEFATKPEEAKKAIEYIAESFVNDKSELLKHEVAYVLGQTKNLDAAPTLRHVML DQNQEPMVRHEAAEALGALGDKDSLDDLNKAAKEDPHVAVRETCELAINRINWTHGGA KDKENLQQSLYSSIDPAPPLPLEKDATIPELQALLNDPKQPLFQRYRAMFRLRDIGTD EAILALATGFSAESSLFKHEIAYVFGQIGSPAAVPSLIEVLGRKEEAPMVRHEAAEAL GAIASPEVVDVLKSYLNDEVDVVRESCIVALDMYDYENSNELEYAPTAN YJR072C MSLSTIICIGMAGSGKTTFMQRLNSHLRAEKTPPYVINLDPAVL RVPYGANIDIRDSIKYKKVMENYQLGPNGAIVTSLNLFSTKIDQVIRLVEQKKDKFQN CIIDTPGQIECFVWSASGAIITESFASSFPTVIAYIVDTPRNSSPTTFMSNMLYACSI LYKTKLPMIVVFNKTDVCKADFAKEWMTDFESFQAAIKEDQDLNGDNGLGSGYMSSLV NSMSLMLEEFYSQLDVVGVSSFTGDGFDEFMQCVDKKVDEYDQYYKQEREKALNLKKK KEEMRKQKSLNGLMKDLGLNEKSSAAASDNDSIDAISDLEEDANDGLVDRDEDEGVER EYTFPGEERTKGEVNENSAPDLQRRYQEAMQQVGKTASSETAENIAKYIRN YJR073C MKESVQEIIQQLIHSVDLQSSKFQLAIVCTMFNPIFWNIVARME YHKHSLTKMCGGARKGCYMLAATIFSLGIVRDMVYESALREQPTCSLITGENWTKLGV ALFGLGQVLVLSSMYKLGITGTYLGDYFGILMDERVTGFPFNVSNNPMYQGSTLSFLG IALYKGKPAGLVVSAVVYFMYKIALRWEEPFTAMIYANRDKAKKNM YJR074W MKIEKASHISQPVQLSTCTLIDTYPGHQGSMNNKEVELYGGAIT TVVPPGFIDASTLREVPDTQEVYVNSRRDEEEFEDGLATNESIIVDLLETVDKSDLKE AWQFHVEDLTELNGTTKWEALQEDTVQQGTKFTGLVMEVANKWGKPDLAQTVVIGVAL IRLTQFDTDVVISINVPLTKEEASQASNKELPARCHAVYQLLQEMVRKFHVVDTSLFA YJR075W MAKTTKRASSFRRLMIFAIIALISLAFGVRYLFHNSNATDLQKI LQNLPKEISQSINSANNIQSSDSDLVQHFESLAQEIRHQQEVQAKQFDKQRKILEKKI QDLKQTPPEATLRERIAMTFPYDSHVKFPAFIWQTWSNDEGPERVQDIKGMWESKNPG FAHEVLNHDVINALVHHYFYSIPEILETYEALPSIILKIDFFKYLILLVHGGVYADID TFPVQPIPNWIPEELSPSDIGLIVGVEEDAQRADWRTKYIRRLQFGTWIIQAKPGHPV LREIISRIIETTLQRKRDDQLNVNLRNDLNIMSWTGSGLWTDTIFTYFNDFMRSGVRE KVTWKLFHNLNQPKLLSDVLVFPKFSFNCPNQIDNDDPHKKFYFITHLASQFWKNTPK VEQK YJR076C MSGIIDASSALRKRKHLKRGITFTVMIVGQSGSGRSTFINTLCG QQVVDTSTTILLPTDTSTEIDLQLREETVELEDDEGVKIQLNIIDTPGFGDSLDNSPS FEIISDYIRHQYDEILLEESRVRRNPRFKDGRVHCCLYLINPTGHGLKEIDVEFIRQL GSLVNIIPVISKSDSLTRDELKLNKKLIMEDIDRWNLPIYNFPFDEDEISDEDYETNM YLRTLLPFAIIGSNEVYEMGGDVGTIRGRKYPWGILDVEDSSISDFVILRNALLISHL HDLKNYTHEILYERYRTEALSGESVAAESIRPNLTKLNGSSSSSTTTRRNTNPFKQSN NINNDVLNPASDMHGQSTGENNETYMTREEQIRLEEERLKAFEERVQQELLLKRQELL QREKELREIEARLEKEAKIKQEE YJR077C MSVSAAPAIPQYSVSDYMKFALAGAIGCGSTHSSMVPIDVVKTR IQLEPTVYNKGMVGSFKQIIAGEGAGALLTGFGPTLLGYSIQGAFKFGGYEVFKKFFI DNLGYDTASRYKNSVYMGSAAMAEFLADIALCPLEATRIRLVSQPQFANGLVGGFSRI LKEEGIGSFYSGFTPILFKQIPYNIAKFLVFERASEFYYGFAGPKEKLSSTSTTLLNL LSGLTAGLAAAIVSQPADTLLSKVNKTKKAPGQSTVGLLAQLAKQLGFFGSFAGLPTR LVMVGTLTSLQFGIYGSLKSTLGCPPTIEIGGGGH YJR078W MNNTSITGPQVLHRTKMRPLPVLEKYCISPHHGFLDDRLPLTRL SSKKYMKWEEIVADLPSLLQEDNKVRSVIDGLDVLDLDETILGDVRELRRAYSILGFM AHAYIWASGTPRDVLPECIARPLLETAHILGVPPLATYSSLVLWNFKVTDECKKTETG CLDLENITTINTFTGTVDESWFYLVSVRFEKIGSACLNHGLQILRAIRSGDKGDANVI DGLEGLAATIERLSKALMEMELKCEPNVFYFKIRPFLAGWTNMSHMGLPQGVRYGAEG QYRIFSGGSNAQSSLIQTLDILLGVKHTANAAHSSQGDSKINYLDEMKKYMPREHREF LYHLESVCNIREYVSRNASNRALQEAYGRCISMLKIFRDNHIQIVTKYIILPSNSKQH GSNKPNVLSPIEPNTKASGCLGHKVASSKTIGTGGTRLMPFLKQCRDETVATADIKNE DKN YJR079W MKIKIDIIHFINAPPFFFFFVDAEASQLKAFQLFLLGHIFYTYI HTYICDFDEFETKDLAEGKIGDLISRLEFCSNAIIESLPNTFQSFVPVKFSTDKLLEE SKGLLDV YJR080C MISPRVNTRVWQRSISLLSPQAAKTESNVVTKERTYIENLSKDI ATSRFRLVDENGKIASITVQPDIPICIKKDCLVSIHNLNHLSLSYKWLNFWSNLIKFR SFKSSLFHRIIGSSVLEILAAPNFQTSRRPFDSSRSLSVLNLTGTKDWNVFGKDSIIA FEQNSSLEIKSPIFPSARSLVSNSSKSQLPRKFQILNGRGNVLVCGGGLVYSIELIDE SDKILVNSRNILAINGQSQLDIANSVERQELHVEGAYVGDSSNDTVAPKFIKNQTLKS AYGHTVQFFKRMRSWIRNQYEKRYIYGVDSYFMKIKGPRTILIQTHEMTTSKDNILTK LTSKGHVKKSNVNDNGVNLEKQVANDVNSKIIELANRPSLFIATVSQDGRVDFQSTSK FT YJR082C MTDELKSYEALKAELKKSLQDRREQEDTFDNLQQEIYDKETEYF SHNSNNNHSGHGGAHGSKSHYSGNIIKGFDTFSKSHHSHADSAFNNNDRIFSLSSATY VKQQHGQSQND YJR083C MSEDQRVISQPIELHKLSIVDKHSQGQQQQPHQKQHEVQPESKS PRVTTPLKPKRLAIPISSPQRSTTNQSPVSDHASPISTDQDLIYKLAAKHREINELSF KLEVAQKELKQLELQFKDTLPRNGQQKLGNQNPSEYLSTFTKRIQQTFVDVNNSPNML KGKKSINDFFSKPNNNVNSNINNTLPNRKPNPPPNRSQRMQNIAPSRSSESTPTSGPP LLPPRNTMKNANTTATAGENTPFLQRILNKFNQMNMEEDEFDDLLEKRKSKKDHYYIK ENLGYEYDEVRSEDEDDEEFEPMGDIPVHLFKR YJR084W MDVDIGCYFEEKRYDDKLLDFIRYDVKTPKKTKYILQRPTATDE ESVRLQRFYQLGVDLKLKYSKRRSLKKQGRIKNATEELLRLANEQLKLFNRIVERETN WIIYPLWVMAKQLIRLANESSELNKDSIEECGRTIHRSFTICLNDRNPRLNENKKIGC YMFANLEFSIYHRLSNKDMIKNLVKVLESRVNARDIPPLNKSLAMEHKSQVVLYNYYL GQYYGCLENDHERGFFHLNEALLQCPMLYVESTGKFVLQGQMEKIMILLVPLALLTKR LYPHWDHPVIAGVITRSKRLSQVYPTLVRSVISGNLSLYEATAASHERFFLSQGLHVV ITLLREVVFTRLVQRCWQWGNDRKSIMPLKILLATKQHDSSANEDEEEQLDALECRLA SAIASGLLRAYLSHSNRCIVFSKKEPFPHSK YJR085C MEHPAYTLSLLTTAGGLMGYYRKGSIPSLVSGLVFGSVYGIAGY LLHMNRDGGLEMALGASTLLLGAGVIRGMPSRFTKPVPVVLTALGGLGSYYYYNKYKE FYP YJR086W MTSVQNSPRLQQPQEQQQQQQQLSLKIKQLKLKRINELNNKLRK ELSRERITASNACLTIINYTSNTKDYTLPELWGYPVAGSNHFIEGLKNAQKNSQMSNS NSVCCTLM YJR088C MLKDLVREKLLTIMNTKAYTQFNPEQLLQLENEMKIYMKSGDSA LTEGNYFFLMEMLFYVLVYRNQDVDAQVVYNTLRDRLGENSYKMVIMKATLLQINGND KGAIEYLENLLNDDLEYETDFVTYVSIAKKLIAIKTTSKNLSQESVLKEVVALTDKFP LDAELWWYASEIYFEMGQFEKACYCLEQVLCITPFNYACFGRLSETLYYEALRSKKQT KTELLEKALKNALRSVELSELYLKGWALVNIISRELGRNKQNDLIKLSASKLKEISAK SNNKDKITAELILNKI YJR089W MDGQIDKMEKRYSMTKLENRLRTFQDGVALEKKKLKWSFKVIPY QAMAKLGFYFDPVIDPKTSKLKKDSVRCCYCHRQTYNVRDCRSKRKDVLETLSNIMRQ HLTVTDNKQVCLLIYLRNKLLTDYSFHMGVSDWKNDKYFSNPDDENVINLRKFTFQDN WPHSGSQNEHPLGIEKMVNAGLMRYDSSIEGLGDPSMDKTLMNDTCYCIYCKQLLQGW SINDDPMSRHYKVSQNGNCYFFQTRNRFERIKNDNDSITKNCEVSPTLGENGKREVIN TKTASQRQCPLFESPPSSTGPQLDDYNEKTDISVIQHNISVLDGAQGENVKRNSVEEK EQINMENGSTTLEEGNINRDVLADKKEVISTPTAKEIKRPNVQLTQSSSPIKKKRKFK RISPRKIFDEEDSEHSLNNNSANGDNKDKDLVIDFTSHIIKNRDVGRKNAILDDSTDE FSFSNQGHNTFDIPIPTSSHLLKGIDSDNDNVIREDDTGINTDTKGASSKHEKFSVNS EEDLNFSEVKLTGRDSSTNILIRTQIVDQNLGDIDRDKVPNGGSPEVPKTHELIRDNS EKREAQNGEFRHQKDSTVRQSPDILHSNKSGDNSSNITAIPKEEQRRGNSKTSSIPAD IHPKPRKNLQEPRSLSISGKVVPTERKLDNINIDLNFSASDFSPSSQSEQSSKSSSVI STPVASPKINLTRSLHAVKELSGLKKETDDGKYFTNKQETIKILEDVSVKNETPNNEM LLFETGTPIASQENKSRKLFDEEFSGKELDIPIDSSTVEIKKVIKPEFEPVPSVARNL VSGTSSYPRNSRLEEQRKETSTSLADNSKKGSSFNEGNNEKEPNAAEWFKIDENRHLV KNYFHDLLKYINNNDATLANDKDGDLAFLIKQMPAEELDMTFNNWVNLKVQSIKREFI DDCDKKLDILRRDYYTATNFIETLEDDNQLIDIAKKMGIL YJR090C MDQDNNNHNDSNRLHPPDIHPNLGPQLWLNSSGDFDDNNNNNNN NNNNNSTRPQMPSRTRETATSERNASEVRDATLNNIFRFDSIQRETLLPTNNGQPLNQ NFSLTFQPQQQTNALNGIDINTVNTNLMNGVNVQIDQLNRLLPNLPEEERKQIHEFKL IVGKKIQEFLVVIEKRRKKILNEIELDNLKLKELRIDNSPQAISYLHKLQRMRLRALE TENMEIRNLRLKILTIIEEYKKSLYAYCHSKLRGQQVENPTDNFIIWINSIDTTESSD LKEGLQDLSRYSRQFINNVLSNPSNQNICTSVTRRSPVFALNMLPSEILHLILDKLNQ KYDIVKFLTVSKLWAEIIVKILYYRPHINKKSQLDLFLRTMKLTSEETVFNYRLMIKR LNFSFVGDYMHDTELNYFVGCKNLERLTLVFCKHITSVPISAVLRGCKFLQSVDITGI RDVSDDVFDTLATYCPRVQGFYVPQARNVTFDSLRNFIVHSPMLKRIKITANNNMNDE LVELLANKCPLLVEVDITLSPNVTDSSLLKLLTRLVQLREFRITHNTNITDNLFQELS KVVDDMPSLRLIDLSGCENITDKTIESIVNLAPKLRNVFLGKCSRITDASLFQLSKLG KNLQTVHFGHCFNITDNGVRALFHSCTRIQYVDFACCTNLTNRTLYELADLPKLKRIG LVKCTQMTDEGLLNMVSLRGRNDTLERVHLSYCSNLTIYPIYELLMSCPRLSHLSLTA VPSFLRPDITMYCRPAPSDFSENQRQIFCVFSGKGVHKLRHYLVNLTSPAFGPHVDVN DVLTKYIRSKNLIFNGETLEDALRRIITDLNQDSAAIIAATGLNQINGLNNDFLFQNI NFERIDEVFSWYLNTFDGIRMSSEEVNSLLLQVNKTFCEDPFSDVDDDQDYVVAPGVN REINSEMCHIVRKFHELNDHIDDFEVNVASLVRVQFQFTGFLLHEMTQTYMQMIELNR QICLVQKTVQESGNIDYQKGLLIWRLLFIDKFIMVVQKYKLSTVVLRLYLKDNITLLT RQRELLIAHQRSAWNNNNDNDANRNANNIVNIVSDAGANDTSNNETNNGNDDNETENP NFWRQFGNRMQISPDQMRNLQMGLRNQNMVRNNNNNTIDESMPDTAIDSQMDEASGTP DEDML YJR091C MDKSKQMNINNLSNIPEVIDPGITIPIYEEEYENNGESNSQLQQ QPQKLGSYRSRAGKFSNTLSNLLPSISAKLHHSKKNSHGKNGAEFSSSNNSSQSTVAS KTPRASPSRSKMMESSIDGVTMDRPGSLTPPQDMEKLVHFPDSSNNFLIPAPRGSSDS FNLPHQISRTRNNTMSSQITSISSIAPKPRTSSGIWSSNASANDPMQQHLLQQLQPTT SNNTTNSNTLNDYSTKTAYFDNMVSTSGSQMADNKMNTNNLAIPNSVWSNTRQRSQSN ASSIYTDAPLYEQPARASISSHYTIPTQESPLIADEIDPQSINWVTMDPTVPSINQIS NLLPTNTISISNVFPLQHQQPQLNNAINLTSTSLATLCSKYGEVISARTLRNLNMALV EFSSVESAVKALDSLQGKEVSMIGAPSKISFAKILPMHQQPPQFLLNSQGLPLGLENN NLQPQPLLQEQLFNGAVTFQQQGNVSIPVFNQQSQQSQHQNHSSGSAGFSNVLHGYNN NNSMHGNNNNSANEKEQCPFPLPPPNVNEKEDLLREIIELFEANSDEYQINSLIKKSL NHKGTSDTQNFGPLPEPLSGREFDPPKLRELRKSIDSNAFSDLEIEQLAIAMLDELPE LSSDYLGNTIVQKLFEHSSDIIKDIMLRKTSKYLTSMGVHKNGTWACQKMITMAHTPR QIMQVTQGVKDYCTPLINDQFGNYVIQCVLKFGFPWNQFIFESIIANFWVIVQNRYGA RAVRACLEAHDIVTPEQSIVLSAMIVTYAEYLSTNSNGALLVTWFLDTSVLPNRHSIL APRLTKRIVELCGHRLASLTILKVLNYRGDDNARKIILDSLFGNVNAHDSSPPKELTK LLCETNYGPTFVHKVLAMPLLEDDLRAHIIKQVRKVLTDSTQIQPSRRLLEEVGLASP SSTHNKTKQQQQQHHNSSISHMFATPDTSGQHMRGLSVSSVKSGGSKHTTMNTTTTNG SSASTLSPGQPLNANSNSSMGYFSYPGVFPVSGFSGNASNGYAMNNDDLSSQFDMLNF NNGTRLSLPQLSLTNHNNTTMELVNNVGSSQPHTNNNNNNNNTNYNDDNTVFETLTLH SAN YJR092W MHDAESTVDSLLKEIDNEMEQTKSNITQNGSEDTPHNWKLPLQE IGDDTMEMLVKHNTRSNATENSRGRSPSKMSTISNESLNLGLLRVNSELEESPAAVHQ ERIKNSVANGALGHANSPKVLNNLKNMAQDIDKLARDEEKPVKLSSSPLKFTLKSTQP LLSYPESPIHRSSIEIETNYDDEDEEEEDAYTCLTQSPQILHSPSRIPITNAVSINKL NLDFTLNPNESDKSLVSDTSVDSTGRELDTKTIPELPFCMSSTPEMTPVDEKCNLPSK LLNTSNNSHSDSRSPTASVEDLNISTNLPGADSSQNNPVTTDADALIENDVVRDLQQN MEHIDDAFDEKKVLDEGCSNEPVTFLGENDTRSIVYSNKGTNANVQEFSQEDSLAHSE PKFKDLNATSDDVWNEDKETDANISTSTKSEESYIADYKVTRQEDWDTKKLHQESEHA NEQPAIIPQKDSSEETFTELNNESEFQRNFKDGEEYRIVQHEESLYGQRTKSPEENII NGSEIGVDHGEAAEVNEPLAKTSAEEHDLSSSCEDQSVSEARNKDRIEEKEVETKDEN IETEKDESEYHKVEENEEPEHVPLLPPLPRWEEIQFNEPFIDENDTSNDSIDLTRSMK PSDYISIWHIQEEEIKSNSPESIANSQFSQQSSITTASTVDSKKDNGSTSFKFKPRIV SRSRIYNPKSRVSSLNYYDNEDYILSNSEWNALDPMRRNTLISKRIQDNIRTQKGHAP LIRPSIMKLNGEDSGFQNHFLEVEQPQEHENIPLSTHLSEQDITTNVGLDEQKLPTNT QDEAEISIREIESAGDITFNRGDLLSLSFDEELGQDFANFLDALDHDSTSFNHGPDDS SSFQRDSSKKSFNSLWESSYELKPPPSIRKQPIAPDVLQKLLESDTKDDADLEKIREE RITEPRTGLGIGMLKTPVKDVSIALAASIKGYEASFSDTDSRPEGMNNSDAITLNMFD DFEEDKMTPSTPVRSISPIKRHVSSPFKVVKAGNKQENNEINIKAEEEIEPMTQQETD GLKQDIPPLLAQTKDNVEAKEETITQLEEPQDVEQEFPDMGTLYLSIKAISTLALYGT KSHRATYAIVFDNGENVVQTPWESLPYDGNIRINKEFELPIDFKGKAETSSASSERDS YKKCVITLKCKYEKPRHELVEIVDKVPVGKSFFGKTKYKFEKKYVQKKPKQDEWDYLF AQDGSFARCEIEINEEFLKNVAFNTSHMHYNMINKWSRIADKIHGSKRLYELPRKAPH KVASLDVEACFLERTSAFEQFPKQFSLVNKIVSKYKLQQNIYKEGYLLQDGGDLKGKI ENRFFKLHGSQLSGYHEISRKAKIDINLLKVTKVLRNEDIQADNGGQRNFTDWVLFNE CFQLVFDDGERITFNAECSNEEKSDWYNKLQEVVELNVFHQPWVKKYCEKLAEEEKTR TTGHNLKQDFN YJR093C MSSSEDEDDKFLYGSDSELALPSSKRSRDDEADAGASSNPDIVK RQKFDSPVEETPATARDDRSDEDIYSDSSDDDSDSDLEVIISLGPDPTRLDAKLLDSY STAATSSSKDVISVATDVSNTITKTSDERLITEGEANQGVTATTVKATESDGNVPKAM TGSIDLDKEGIFDSVGITTIDPEVLKEKPWRQPGANLSDYFNYGFNEFTWMEYLHRQE KLQQDYNPRRILMGLLSLQQQGKLNSANDTDSNLGNIIDNNNNVNNANMSNLNSNMGN SMSGTPNPPAPPMHPSFPPLPMFGSFPPFPMPGMMPPMNQQPNQNQNQNSK YJR094C MQADMHGKLHAALEDGFFLFPFEQQQQPNIYYDTTTDQEDRPCF SFGSTISPRSWHFEKSDKIASSQLQNLVHTQPIHLINPQILFNEEFLNLENIDSQPIS KETKTTKDCTMATGPERGKKSSESTRSSSLSSLFSNDESASTFHSSFNNHDNFQKSNR NGDDIDISDTIKYETNTNAQKDIKIFQENFEFNEFPYTQDFYPYTTNYTYSKPTNIHE SINSKNTDSYSQYQDQFPPHTDNIHSFNNRHYSNHKSTNCNYYNNTSNNNNASDNVYE ADPFIDEPQVPSYYYPLEIAFDVEKSPPPSLQKLNSKELEFLKKLNSKLSRYAAAYSF SSSNDQDYYDKVRFQEISYKFSKTYS YJR094W-A MAKRTKKVGITGKYGVRYGSSLRRQVKKLEIQQHARYDCSFCGK KTVKRGAAGIWTCSCCKKTVAGGAYTVSTAAAATVRSTIRRLREMVEA YJR095W MSQKKKASHPAINLMAGGTAGLFEALCCHPLDTIKVRMQIYRRV AGIEHVKPPGFIKTGRTIYQKEGFLALYKGLGAVVIGIIPKMAIRFSSYEFYRTLLVN KESGIVSTGNTFVAGVGAGITEAVLVVNPMEVVKIRLQAQHLTPSEPNAGPKYNNAIH AAYTIVKEEGVSALYRGVSLTAARQATNQGANFTVYSKLKEFLQNYHQMDVLPSWETS CIGLISGAIGPFSNAPLDTIKTRLQKDKSISLEKQSGMKKIITIGAQLLKEEGFRALY KGITPRVMRVAPGQAVTFTVYEYVREHLENLGIFKKNDTPKPKPLK YJR096W MVPKFYKLSNGFKIPSIALGTYDIPRSQTAEIVYEGVKCGYRHF DTAVLYGNEKEVGDGIIKWLNEDPGNHKREEIFYTTKLWNSQNGYKRAKAAIRQCLNE VSGLQYIDLLLIHSPLEGSKLRLETWRAMQEAVDEGLVKSIGVSNYGKKHIDELLNWP ELKHKPVVNQIEISPWIMRQELADYCKSKGLVVEAFAPLCHGYKMTNPDLLKVCKEVD RNPGQVLIRWSLQHGYLPLPKTKTVKRLEGNLAAYNFELSDEQMKFLDHPDAYEPTDW ECTDAP YJR097W MSLVNSLTHYEILRIPSDATQDEIKKAYRNRLLNTHPDKLSKSI HDTVSNVTINKIQDAYKILSNIKTRREYDRLILENYKRQGFHNCGDGLDEFSLDDFSF DEDKLEFMMNCPRCQFVGGFHFSESLLDECIDNVDAMERSHSGYQLLTQCSACSLWLK VNFDIEEEQEGQ YJR098C MMATPATDLISDNDKYNKQCLSDSSDSGSDVSFFSVNESEGELD TMEKVDTLIGGARVISNKVEKDSDSEQRGRKKETTGPNNYHNLEEKQASAISLDADDE DLDEIISYSHDGNYDSSHKTFSFSLPFGNTNFRSSSPLAIIKTVLPKTPDEFIKKNLR KNEIKQKLKKSTSISSLEEIELFKYERGIDNSRLRAVKESLEMDALKNSIKQITADPF DKTHDGYYRSRLESIWNELEGDVVIMGGYRGSVLRDATTHKRIWIPLKAGLNMTKVDL LIGPNDEDELKTQKEIVPDGMLTHIGPVDISKRLIKRLDANPNLNVQQFGYDWRLSLD ISAKHLTTKLEEIYNKQKNKKGIYIIAHSMGGLVAHKVLQDCTHLIRGIIYVGSPSQC PNILGPIRFGDDVMWNKTIFTKETNFFMRSSFYFLPLDGRCFVDKITLERYDFDFFDT DVWKTLGLSPLVNEKREESAHEKSKLLPRKTKSALSLKATLNATTKFVLNAPVVRNVA GNNKQVPRDVPFDEVFHTSYEDSCEYLARTLKRTKNYLDSLDYDPNKEYPPLAMVYGN KVPTVRGAKVNGIQDIKDGNYEDFYYGPGDGVVHHKWLLPEQRGFPVVCKIASSSGHV SLMTDLKSMAKAFISIVDSEKEGRRSRTRTSS YJR099W MSGENRAVVPIESNPEVFTNFAHKLGLKNEWAYFDIYSLTEPEL LAFLPRPVKAIVLLFPINEDRKSSTSQQITSSYDVIWFKQSVKNACGLYAILHSLSNN QSLLEPGSDLDNFLKSQSDTSSSKNRFDDVTTDQFVLNVIKENVQTFSTGQSEAPEAT ADTNLHYITYVEENGGIFELDGRNLSGPLYLGKSDPTATDLIEQELVRVRVASYMENA NEEDVLNFAMLGLGPNWE YJR100C MHRTAIFLTYRSCMRNFSTLSKTLTVSSGKVIRNGPFRRVIREK NQITKAPSVKAFKENSNSGIIKVHDPIATTILNEPTVIIERQIEFMNVFLGFEQANRY AIMDVNGNKIASMMERDFSITKAIMRQFYRLHRPFLVDVFDNWGNVIMTIKRPFSFIN SHIKTIIPPSAYVDNGSDSTHYHDGKEGTTVGETIQNWHLWRRRYELFQKDGVEGSTF DQFGKIDAPFLSFDFPVTDADGKIMASVDRNWVGLGREMFTDTGVYVVRFDSQRCFDN IYPTEMLSSQVLTLDQRAVLLANAVSIDFDYFSRHSRQTGGFLSFGGGYDE YJR101W MLVFKRGIHVVPKLPNSKALLQNGVPNILSSSGFKTVWFDYQRY LCDKLTLATAGQSLESYYPFHILLKTAGNPLQSNIFNLASSIHNNHLFVENILPSAVE HGTNSNAVVKTEPSRLFLSKIKDSFNGSDWEVVKEEMIYRAENEVLGQGWLFLVENNE KKLFILTSNNNGTPYYFPRNQSFDLNSAISIDEFATLKQMKELIGKSTKLNGKVQDWT MPIICVNLWDHAYLHDYGVGNRSKYVKNVLDNLNWSVVNNRIFSGISK YJR102C MSALPPVYSFPPLYTRQPNSLTRRQQISTWIDIISQYCKTKKIW YMSVDGTVINDNELDSGSTDNDDSKKISKNLFNNEDIQRSVSQVFIDEIWSQMTKEGK CLPIDQSGRRSSNTTTTRYFILWKSLDSWASLILQWFEDSGKLNQVITLYELSEGDET VNWEFHRMPESLLYYCLKPLCDRNRATMLKDENDKVIAIKVV YJR103W MKYVVVSGGVISGIGKGVLASSTGMLLKTLGLKVTSIKIDPYMN IDAGTMSPLEHGECFVLDDGGETDLDLGNYERYLGITLSRDHNITTGKIYSHVISRER RGDYLGKTVQIVPHLTNAIQDWIQRVSKIPVDDTGLEPDVCIIELGGTVGDIESAPFV EALRQFQFEVGRENFALIHVSLVPVIHGEQKTKPTQAAIKDLRSLGLIPDMIACRCSE ELNRSTIDKIAMFCHVGPEQVVNVHDVNSTYHVPLLLLKQHMIDYLHSRLKLGEVPLT LEDKERGSQLLTNWENMTKNLDDSDDVVKIALVGKYTNLKDSYLSVTKSLEHASMKCR RQLEILWVEASNLEPETQEVDKNKFHDSWNKLSSADGILVPGGFGTRGIEGMILAAKW ARESGVPFLGVCLGLQVAAIEFARNVIGRPNSSSTEFLDETLLAPEDQVVIYMPEIDK EHMGGTMRLGLRPTIFQPNSEWSNIRKLYGEVNEVHERHRHRYEINPKIVNDMESRGF IFVGKDETGQRCEIFELKGHPYYVGTQYHPEYTSKVLEPSRPFWGLVAAASGTLGEVI KDINLSEGNENE YJR104C MVQAVAVLKGDAGVSGVVKFEQASESEPTTVSYEIAGNSPNAER GFHIHEFGDATNGCVSAGPHFNPFKKTHGAPTDEVRHVGDMGNVKTDENGVAKGSFKD SLIKLIGPTSVVGRSVVIHAGQDDLGKGDTEESLKTGNAGPRPACGVIGLTN YJR105W MTAPLVVLGNPLLDFQADVTAEYLAKYSLKENDAILVDAKSGDA KMAIFDELLQMPETKLVAGGAAQNTARGAAYVLGAGQVVYFGSVGKDKFSERLLNENE KAGVKSMYQVQNDIGTGKCAALITGHNRSLVTDLGAANFFTPDHLDKHWDLVEAAKLF YIGGFHLTVSPDAIVKLGQHAKENSKPFVLNFSAPFIPHVFKDALARVLPYATVIIAN ESEAEAFCDAFQLDCANTDLEAIAQRIVKDSPVEKTVIFTHGVEPTVVVSSKGTSTYP VKPLDSSKIVDTNGAGDAFAGGFMAGLTKGEDLETSIDMGQWLAALSIQEVGPSYPSE KISYSK YJR106W MDWAINVAHPRLLYKDPKLSVTFIVPSLFHIIIAFVLLGICASD FLCPNVAHISDPNSLRSNGSLVSKTASHASHTGALMAVLLSWCNSSPDLFSNLMSWAT STRETRSTSVSLSIGEVLGACGIILCIVEGSIFIIMSRTHIEISQIQKLSIMRDLLFS LAAMCVMSYVSLMNQVTVLNCLLMAFLYAFYLVVKLTFKLNHSAETPDETAADTSLRE NSVSPFLDDSLMASGLLPPIQPGFDISNSITHGIKPSLLSAMDFNSFLSMLENSSLEE DDSRNEMAELNTLRSMTPGQHWSASATVAGEATSAGRPFSEPTNAFTEYRDSERAINS SPAVFAPYRDNPDDEESQEQVLLETTTHGHFGAQEMRRFSKRSLGWIIKIFIPHLSNF SQKSISDAIFSIITVPFFIIFKLSCPQPPSDILSYDPTLNRYSLTTLPIILLFIQSIT APFLLCSILSVLLTYHLGYLVYLFPLILAMALILLLTAFITKVNLHNKFTLSLDSSNI LQEKLQKRKLLERLNTSIQIIFLAIGIINIIIWISLLANSLIEMMEIYQKILGLSKAI LGLTIFAWGNSVGDLISNISMCRLYKTQTHYQDRVRLATKFFMISCASCLGGVMLNSM GGIGFSGLVSMLFIGAFNDNEWWFLRKVKLQETSQLDNTLNYKFIVSCVFIILQIILL LLFFGGPNNIKRRLTKEMKLVGISMCGLWALATLINILLELFS YJR107W MPVVHCSSNLPITPYIYERLVYFIKASSISSCISDNLLLVNKTF NDGGCPPHINFCNDEIINPTAGQTVVELVLNAKKGELGSGYLAVDHGKKVVILAFRGS TTRQDWFSDFEIYPVNYSPLCVKEYRKLIEEGKIRECEGCKMHRGFLRFTETLGMDVF KKMESILESFPEYRIVVTGHSLGAALASLAGIELKIRGFDPLVLTFATPKIFNSEMKQ WVDELFETDAIEKESILKDEIQFRKGYFRVVHTGDYIPMVPPFYHPAGLEMFINKVGL PQNAEDIEYRGKNNRLTLKDGFREGMSGLVEDWLHVYEHRAYFIDVVGCSGL YJR108W MSWRYSILTVDGSFKIFIPWEIFLTWNFLSAAWLNSTESNTYIH YSTCWGTSDYTLNISVIEATTEKLVDTRLLTTLENATAWINSNSIDEDEDDMPHATNV ADRLDGLSLSKRVYSICHYEF YJR109C MTSIYTSTEPTNSAFTTEDYKPQLVEGVNSVLVIGSGGLSIGQA GEFDYSGSQAIKALKEDNKFTILVNPNIATNQTSHSLADKIYYLPVTPEYITYIIELE RPDAILLTFGGQTGLNCGVALDESGVLAKYNVKVLGTPIKTLITSEDRDLFASALKDI NIPIAESFACETVDEALEAAERVKYPVIVRSAYALGGLGSGFANNASEMKELAAQSLS LAPQILVEKSLKGWKEVEYEVVRDRVGNCITVCNMENFDPLGVHTGDSMVFAPSQTLS DEEFHMLRSAAIKIIRHLGVIGECNVQYALQPDGLDYRVIEVNARLSRSSALASKATG YPLAYTAAKIGLGYTLPELPNPITKTTVANFEPSLDYIVAKIPKWDLSKFQYVDRSIG SSMKSVGEVMAIGRNYEEAFQKALRQVDPSLLGFQGSTEFGDQLDEALRTPTDRRVLA IGQALIHENYTVERVNELSKIDKWFLYKCMNIVNIYKELESVKSLSDLSKDLLQRAKK LGFSDKQIAVTINKHASTNINELEIRSLRKTLGIIPFVKRIDTLAAEFPAQTNYLYTT YNATKNDVEFNENGMLVLGSGVYRIGSSVEFDWCAVNTAKTLRDQGKKTIMINYNPET VSTDFDEVDRLYFEELSYERVMDIYELEQSEGCIISVGGQLPQNIALKLYDNGCNIMG TNPNDIDRAENRHKFSSILDSIDVDQPEWSELTSVEEAKLFASKVNYPVLIRPSYVLS GAAMSVVNNEEELKAKLTLASDVSPDHPVVMSKFIEGAQEIDVDAVAYNGNVLVHAIS EHVENAGVHSGDASLVLPPQHLSDDVKIALKDIADKVAKAWKITGPFNMQIIKDGEHT LKVIECNIRASRSFPFVSKVLGVNFIEIAVKAFLGGDIVPKPVDLMLNKKYDYVATKV PQFSFTRLAGADPFLGVEMASTGEVASFGRDLIESYWTAIQSTMNFHVPLPPSGILFG GDTSREYLGQVASIVATIGYRIYTTNETTKTYLQEHIKEKNAKVSLIKFPKNDKRKLR ELFQEYDIKAVFNLASKRAESTDDVDYIMRRNAIDFAIPLFNEPQTALLFAKCLKAKI AEKIKILESHDVIVPPEVRSWDEFIGFKAY YJR110W MEYIKIAKVSNVVLHRRGTATQGTLHLTTHHLIFESPQLSTEFW FPYPLIYGVHKNPGSTLLSKLTSTNQIQLEGTDSQNYKLYQGKDLWSFVNIKVIGKDY AVFSLDFGGDLHLQARKVYDSILNLTVLSNITQLYAFIYISNNLERKLPSPDSWDIYD PIKEFRRQGLDSKDETCPWRLSTVNEHYEFCPTYPSKLFVPRSTSDILLKHASKFRSQ KRIPVLTYHHKATDCNILRSSQPLPGLINQRSIQDEKLVWESFNSFCNKDIRRTKHVI VDARPRTNALAQMALGGGTENMDNYNFFLADNNMGVDKSLKLPTVTRLFLGIDNIHIV SNTAAYMTEVICQGGDLNLPLEQNLIRSQKFSNWLKLNTLILKSVDMLLKSIIFNHSN VLVHCSDGWDRTSQVVSLLEICLDPFYRTFEGFMILVEKDWCSFGHRFLERSGHLNSD IRFHDNTMHSNFNDVDTNGDDLDIGVNTQDDYAEDDEGGEDETNLINLSRISKKFNEN FKLNKKSLKFVSPVFQQFLDCVYQLLTQNPDLFEFNERFLRRLVYHLYSCQYGTFLSN SEKEKFQQNLPNKTKSVWDYFRSRRKQFINPNFIQRKRSGMNEHDQNLEEEEKVEWIS PDLKKVQWWWQLYGRKDSEMNDELRHKRDSVPISVDKKSKEHSNSDGGKGLNLSIFGF DMFNRK YJR111C MNQILNAQRLIQLSQFHPKLKNIWYLVAAATFSVCNEPQEIPKL YHYAMLLSNDNAHMYRFTLASQTIDLLRSELPMRKTLINENYQQPTFFQKQLTAKFRE VILKTGPLAGLPRAINGLTMLKETTPDILVPHLDPIDPWEAAMGNSSPLSETSMRRKH DKTIQERDHTIQNGLRHWNSIYNKVSTRVVNNLNSSYPDLWYYTLVHVYGPLFAFDEI LSAQETSLVIIASLVPQDVNPQLRGHLKGALNIGCDKETVEAVRGLAILISQWCGVSW KSGVVKL YJR112W MVNSHGIRYIRLKQVFNRALDQSISKLQSWDKVSSCFPQYVNSK QGAINVANCQRQLTEFWTELCQREFKEIMEERNVEQKLNELDELILEAKERYTDRDQD EVNKGPAIDELSSKELVECHLYSQRMHAIHEIDERLAKVNEMNDQLAQELKDLETQVE VEKNEIGKMYDEYLGSHTDQPANVLLVQSLNDMVLELKENY YJR112W-A MVQLRRTITTNKVFQAITSTNDKVAHFVVFMWESWLFVKMFAED IVTFRKLQANKYVLGVLICSLCASVTSEFAQSVVSRGQRVFDVKDIICNFWGSLLGVG IAFYQDR YJR113C MLHCARRYMLVRPRLLWQSGTCVARFQSSVRTPASEPSAEKGVD EWLEAINELREEFSAKEYLPETSLAPPGQSKVDLLQGSQAGSKIKPTAEQLAQWEALK SVPIPPRKNATLDHITNMIMRHGKKEKAQTILSRALYLVYCQTRQDPIQALEKSLDEL APLMMTKTFNTGVAKASVIPVPLNKRQRNRIAWNWIVQSANQRVSSDFAVRLGEELTA IAKGTSSAFEKRDQIHKTAIAHRAYIQLK YJR115W MFTNTRTILIYNSKVMNTHTHTHTHTHTHIYIYTGDQVSVRGRL LSLKFFKVLKLFFPSPTSLATSHPPLSSMSPYMTIPQQYLYISKIRSKLSQCALTRHH HRELDLRKMVGHANMLDRILDEIDEIDSEVVLCDAADGSSTAEAHSASPASSDSSPLT NNIRPISIM YJR116W MNANSTTTAIGLTSPFEKLSFFPHSSNLILAHLHEIIFSFVFYQ LAFSVVAPFLNKVVFRKHYTTIRDPLLKIDFNVHTVSMIQAVVSNTVLLPTLTTPMHY NVVTYTDSYSSMVSSLSAGYFIWDLTMCVRYFKLYGLEFTGHAIGSVYVMLLSLRPFC QPWIGRFLIYEASTPFVNINWFIMQCNAKSKNSIPLWFNVVNGLLLMTVFFVVRICWG SIASALLFRQMWKVRDELPKFSAVTMMSLNIFMNLLNVLWFKKMIRIAKKLAKPAPTS KLD YJR117W MFDLKTILDHPNIPWKLIISGFSIAQFSFESYLTYRQYQKLSET KLPPVLEDEIDDETFHKSRNYSRAKAKFSIFGDVYNLAQKLVFIKYDLFPKIWHMAVS LLNAVLPVRFHMVSTVAQSLCFLGLLSSLSTLVDLPLSYYSHFVLEEKFGFNKLTVQL WITDMIKSLTLAYAIGGPILYLFLKIFDKFPTDFLWYIMVFLFVVQILAMTIIPVFIM PMFNKFTPLEDGELKKSIESLADRVGFPLDKIFVIDGSKRSSHSNAYFTGLPFTSKRI VLFDTLVNSNSTDEITAVLAHEIGHWQKNHIVNMVIFSQLHTFLIFSLFTSIYRNTSF YNTFGFFLEKSTGSFVDPVITKEFPIIIGFMLFNDLLTPLECAMQFVMSLISRTHEYQ ADAYAKKLGYKQNLCRALIDLQIKNLSTMNVDPLYSSYHYSHPTLAERLTALDYVSEK KKN YJR118C MAQALNSTNIAFFRVAFLFTIAFFCLKNVNSILQNTYFIVLTQA MNLPQLTLSRYSGQLGLFALLFTLNGVHDLIPLLENNVKYFQSVVPVRLLIFFILTSI SYLWESNFYVHNNSVFIYCFAEVWINFLLYNAIREEKNEEFKRLNQFMVNDEDIEEPQ PFTVKTETTEIIEIINDEENDDEDGKDNDDNNEKGNDDSDAKK YJR119C MEEIPALYPTEQEFKNPIDYLSNPHIKRLGVRYGMVKVVPPNGF CPPLSIDMENFTFQPRIQNLENLDLKNRCRLFFMKQLNNFKRSVKDPSKLILREPYTI VEYSDSTHASEILKKKVYFYDVFSELIKDNRTLTDTTQSFRRKLKFRDISQLRGDISL WRTISKKFNVPIGLLKEIFEKYIASYYIFLHSLNENVHTALHADQYPKSLLSDDEDDF DLGPDSNSGSDFEEDDDDACIVCRKTNDPKRTILCDSCDKPFHIYCLSPPLERVPSGD WICNTCIVGNGYYGFTQDTHDYSLPEFQEYCKRQNSRLLPARKLSIDELEEMFWSLVT KNRRSSLTTVKYGADIHNELPGQITGFPTREFIPKNINGDELIDYLKYCDHPMNLTNL PMAHNSLLPLFKRNISGMTIPWIYIGSLFSTFCWHMEDQYTLSANYQHEGDPKVWYSI PESGCTKFNDLLNDMSPDLFIKQPDLLHQLVTLISPYDPNFKKSGIPVYKAVQKPNEY IITFPKCYHAGFNTGYNFNEAVNFTIDFWLPYGFGAITDYKLTQKACVFDMFDLMINV LDKYNKDTLLFNDAFVRQCYSSLIVFYNTELKRIRKIQAIVPRTTLLEVHTDPNDEDE EYDIFCSQCKTICSIAFVLRKNNYDSIRTYKRHKKNHLSIRQWNELSTTDSKVSILCT QDYLKSIQNLNNSDGEEPYIDDELYFTKSLKDIDSLIKQVGVKLDR YJR120W MRWDVIILYAISRPYATRRTGSHTHPRDSRYIAANQRRPPSACR VGPSPAKQRKDIPIFELLDTTLIKNALFALTSFLYYRTNILTCPFLNFLYLSRTGQLD KFCKDQTVTQILAT YJR121W MVLPRLYTATSRAAFKAAKQSAPLLSTSWKRCMASAAQSTPITG KVTAVIGAIVDVHFEQSELPAILNALEIKTPQGKLVLEVAQHLGENTVRTIAMDGTEG LVRGEKVLDTGGPISVPVGRETLGRIINVIGEPIDERGPIKSKLRKPIHADPPSFAEQ STSAEILETGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGGFSVFT GVGERTREGNDLYREMKETGVINLEGESKVALVFGQMNEPPGARARVALTGLTIAEYF RDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLATDMGLLQERITTTKK GSVTSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSKSRLLD AAVVGQEHYDVASKVQETLQTYKSLQDIIAILGMDELSEQDKLTVERARKIQRFLSQP FAVAEVFTGIPGKLVRLKDTVASFKAVLEGKYDNIPEHAFYMVGGIEDVVAKAEKLAA EAN YJR122W MFISRRCRIKGFTLKNLLWFRSSSTRFVSTESPDASAITKPDGI FNYSLLENRTYIRIRGPDTVKFLNGLVTSKLLPHFIKKNLTTVEENEVPTEEGTTKVD PIIPVPEFDARLGNWGLYNEKGIQGPYISRFGLYSAFLNGKGKLITDTIIYPTPVTVS EQISNYPEYLLELHGNVVDKILHVLQTHKLANKIKFEKIDHSSLKTWDVEVQFPNLPK DIENPWFDNLLDPMALPKNSIDANNFAVNVLNSLFNSDPRILGIYVERRTESMSRHYS TFPQSFRVVTSEQVDDLSKLFNFNVFDFPFQVNKKASVQVREIRFQKGLIDSTEDYIS ETLLPLELNFDFFPNTISTNKGCYVGQELTARTYATGILRKRLVPVKLDNYQLLDTDP ERKYAEFHIDNVVEKSLAENEPTLNPFTNKPPERTKRKQRPAGLLISNEGLYGVALLR TEHFSAAFSSDEPVEFYITTTKGENIKITPQKPFWFSDWKNNNGPHK YJR123W MSDTEAPVEVQEDFEVVEEFTPVVLATPIPEEVQQAQTEIKLFN KWSFEEVEVKDASLVDYVQVRQPIFVAHTAGRYANKRFRKAQCPIIERLTNSLMMNGR NNGKKLKAVRIIKHTLDIINVLTDQNPIQVVVDAITNTGPREDTTRVGGGGAARRQAV DVSPLRRVNQAIALLTIGAREAAFRNIKTIAETLAEELINAAKGSSTSYAIKKKDELE RVAKSNR YJR124C MAPEIFVKFKCASRDIKLLWASVFLRLLSYGLTNQVLTLFLNAI NMTEDKIGLFMSLTLAGDVICSYILTWYADSWGRRRVLVYGCAMMLLSGLVFSFSENF TLLLVFAIFGVISPSSDEVGPFKSIEEAMIAHLSPHNARPEIYAIHALVGTIGSALGA IICGIFVDLLKRTGLAATDLQCYKLVFLLYAFFAFCKMVIMLLLSDATELDGHYEHTD CNEETAEPLDVNDETAPLMRQATHPEERSNKLSKETVSVLMKLLVIFMVDSLGSGFMT SGWMVYYYSKQFLMGSLALGTLFFITQLVMASSTIPSSIIARCFGPVRATLLVQIPSG IFSILIPMAKNYLPLSILFLNLHFATTAMDVTPRQILLTNIIKPRDLTKVMGVVNIGK TFARCVGPIFTGILANNNYLWLCYIISGSLVITADLILACMFLGVDAKIKKQMNRH YJR125C MSLEDTLANMSLYDAKKYFRKAQNVVFNYTEMEGKVREATNNEP WGASSTLMDQISQGTYNFREREEILSMIFRRFTEKAGSEWRQIYKALQLLDYLIKHGS ERFIDDTRNSINLIRILETFHYIDSQGRDQGINVRTRVKALIELLSDDNKIRAERKKA RETAKKYKGVAGGSASADGSLNSKAGFTSTKVHGISVSADFDSDNEDNEDGSFSQNGY NDNASRATSTPGQGKQEPEDFVDFFSSESSKPSKELIQEDEKKADEEEDDDDEFSEFQ SAVPVTNPANSFNLLNTSPIEGMPATTSSMPFYNSSTTDQGKITPAIAEPKKVDPFSS LFSTAKASAEAPSAPKASQAKAAASNPVSNSTTALSTDQDDDDEFGEMHGGAVQQEQN TNNNHTSSKEIDLLSF YJR126C MRMIQRERKREKEEGQLKERTVVNMADPDDNEAEATGLQQYSGE TTRDDNEESMNDSFTLTSRNRGRSNTISSIVSGYEIMKEHMDKEKFMYLILASLLLYM GFVAAFAPRTSLSRDFRRFHSSRLTNAEVYRIYLNSLQQENRAKEHVYKYAGYMSNGA SDSSTFKYTLDEFLDMGYKPKVEKYYPWIGEPVDTNVAPLENGKVVYEASMIEDRVKG DPASHARKRQKGFHQYSKNGSVTARYVFCNYGSISDYKLLLKKNIDIEDKIHIVRSGK ILPGLKVKNAELYGASSVIIYTDPFDDGKVTEENGFLHYPYGPARNPSYIRRDSVNYF SDTPGDPTTPGYPSKDSDTEHMSPVGRVPRIPSVPMSARDVQPILERLNGRGFQIGPG SNIKDFGSFTGPSSSIDKVHLHNELTYNIKEMSSVEVSIPGIFTEGEIIIGAHRDSLA SSSAGDANSGSAILLEIARGMSKLLKHGWKPLRPIKLISWDGERSGLLGSTDYAEAHA AILRRRALVYLNLDNAISGTNFHCKANPLLQDVIYEAAKLTEFNGHEDWSLFDHWKYT SNATISLLDGLSSYTSFQYHLGVPAAHFQFNANDTSGAVYHSNSVFDSPTWLEKFTNS DYKLHNTMAMFVGLTTLMLSENELARFNTHVYLKKIYNWYIAWHSNLSSAFPQDDEVN SLAKRVLDLLKVATQEDSIQFDQQNGILYKECREALPVWAFYKKIKSYIKLQRSNSKS KQIDQLFITHRGLKDREWMKYSLLAPSKFEGSVGEVLPGLHEGLADIDRNEVIQWLTI LLSQFSNVRYLLQ YJR127C MEPFAFGRGAPALCILTAAARINLDNFVPCCWALFRLSFFFPLD PAYIRNENKETRTSWISIEFFFFVKHCLSQHTFFSKTLAPKRNFRAKKLKDIGDTRID RADKDFLLVPEPSMFVNGNQSNFAKPAGQGILPIPKKSRIIKTDKPRPFLCPTCTRGF VRQEHLKRHQHSHTREKPYLCIFCGRCFARRDLVLRHQQKLHAALVGTGDPRRMTPAP NSTSSFASKRRHSVAADDPTDLHIIKIAGNKETILPTPKNLAGKTSEELKEAVVALAK SNNVELPVSAPVMNDKREKTPPSKAGSLGFREFKFSTKGVPVHSASSDAVIDRANTPS SMHKTKRHASFSASSAMTYMSSSNSPHHSITNFELVEDAPHQVGFSTPQMTAKQLMES VSELDLPPLTLDEPPQAIKFNLNLFNNDPSGQQQQQQQQQQNSTSSTIVNSNNGSTVA TPGVYLLSSGPSLTDLLTMNSAHAGAGGYMSSHHSPFDLGCFSHDKPTVSEFNLPSSF PNTIPSNSTTASNSYSNLANQTYRQMSNEQPLMSLSPKNPPTTVSDSSSTINFNPGTN NLLEPSMEPNDKDSNIDPAAIDDKWLSEFINNSDPKSTFKINFNHFNDIGFIYSPPSS RSSIPNKSPPNHSATSLNHEKASLSPRLNLSLNGSTDLPSTPQNQLKEPSYSDPISHS SHKRRRDSVMMDYDLSNFFSSRQLDISKVLNGTEQNNSHVNDDVLTLSFPGETDSNAT QKQLPVLTPSDLLSPFSVPSVSQVLFTNELRSMMLADNNIDSGAFPTTSQLNDYVTYY KEEFHPFFSFIHLPSIIPNMDSYPLLLSISMVGALYGFHSTHAKVLANAASTQIRKSL KVSEKNPETTELWVIQTLVLLTFYCIFNKNTAVIKGMHGQLTTIIRLLKASRLNLPLE SLCQPPIESDHIMEYENSPHMFSKIREQYNAPNQMNKNYQYFVLAQSRIRTCHAVLLI SNLFSSLVGADCCFHSVDLKCGVPCYKEELYQCRNSDEWSDLLCQYKITLDSKFSLIE LSNGNEAYENCLRFLSTGDSFFYGNARVSLSTCLSLLISIHEKILIERNNARISNNNT NSNNIELDDIEWKMTSRQRIDTMLKYWENLYLKNGGILTPTENSMSTINANPAMRLII PVYLFAKMRRCLDLAHVIEKIWLKDWSNMNKALEEVCYDMGSLREATEYALNMVDAWT SFFTYIKQGKRRIFNTPVFATTCMFTAVLVISEYMKCVEDWARGYNANNPNSALLDFS DRVLWLKAERILRRLQMNLIPKECDVLKSYTDFLRWQDKDALDLSALNEEQAQRAMDP NTDINETIQLIVAASLSSKCLYLGVQILGDAPIWPIILSFAHGLQSRAIYSVTKKRNT RI YJR128W MQGQAGKRKTDGKVPSNTEQNCPDLFERPRLVCIMQAPLVQMRT VPSETGHFPKIISLNRSCSRSYLLSMPHTQLPYPLPYGEFPRCSTVRRDHNFPCTLYS APGVVHPRLCVFSCMMI YJR129C MNEDLFYDRLHQRCPGKYLLEELETSKSNDVLHASRFVCEMELV QKTNAYYCKTIVKMLLDHEWIFAKAFTIVNDGEDEIEIYDYLYEKYIKLLSTGKPDPM MKDVVRYRFDEDVKIKIEETPNLISAASTTGFRTWEAALYMGDFLIHKPLQELAPVQG QDDGKKKLNVLEVGAGTGIVSLVILQKYHEFVNKMYVTDGDSNLVETQLKRNFELNNE VRENEPDIKLQRLWWGSDRVPEDIDLVVGADVTYDPTILPDLCECLAECLALDRCKLC LLSATIRSESTVQLFSQECNKLGLKCTIVTSTEYDANNEIRAMKALQFKPLIAPIRIY KITKQ YJR130C MISRTIGESIPPNTKHAVSVCLPTWEATVGYEEGESSIINSLTT GYPRFFIHKSIKKLCEILSAKYSMEDEACLCFPSYKVANRCREFIKVKTGLSTKVRIL QLCTPKPMNQEEKLWRRECKITVVFVDQEIFPVMKQYWQHSGEIVSSRMAEYILHELQ VKDNLKKMETVDNGKKFMTEDENRVNEEYIETRFGRNLNFLAADKAKYLIRKRIATKV VEKIDSEGLSDLFSFEHYNESNGPFNVGSGEALDDDQLNSDIPAETITSMGESGSNST FENTATDDLKFHVNPNTDVYLFPSGMASIFTAHRLLLNFDAKRLSRSSSRQDKLIGYG PPFKKTVMFGFPYTDTLSILRKFNHTHFLGQGDSTSMNALKNILHSGEQILAVFIEAP SNPLLKMGDLQELKRLSDLYSFYIVVDETVGGFVNIDVLPYADIVCSSLTKIFSGDSN VIAGSLVLNPRGKIYEFARKFMKTEDGYEDCLWCEDALCLERNSRDFVERTIKVNTNT DILLKRVLLPQVGKLFKKIYYPSLTSEDTKRNYDSVMSTKDGGYGGLFSLTFFNIEEA KKFFNNLELCKGPSLGTNFTLACPYAIIAHYQELDEVAQYGVETNLVRVSVGLENSDV LCNVFQRAIEKALGE YJR131W MKNSVGISIATIVAIIAAIYYVPWYEHFERKSPGAGEMRDRIES MFLESWRDYSKHGWGYDVYGPIEHTSHNMPRGNQPLGWIIVDSVDTLMLMYNSSTLYK SEFEAEIQRSEHWINDVLDFDIDAEVNVFETTIRMLGGLLSAYHLSDVLEVGNKTVYL NKAIDLGDRLALAFLSTQTGIPYSSINLHSGQAVKNHADGGASSTAEFTTLQMEFKYL AYLTGNRTYWELVERVYEPLYKNNDLLNTYDGLVPIYTFPDTGKFGASTIRFGSRGDS FYEYLLKQYLLTHETLYYDLYRKSMEGMKKHLLAQSKPSSLWYIGEREQGLHGQLSPK MDHLVCFMGGLLASGSTEGLSIHEARRRPFFSLSLERKSDWDLAKGITDTCYQMYKQS SSGLAPEIVVFNDGNIKQDGWWRSSVGDFFVKPLDRHNLQRPETVESIMFMYHLSHDH KYREWGAEIATSFFENTCVDCNDPKLRRFTSLSDCITLPTKKSNNMESFWLAETLKYL YILFLDEFDLTKVVFNTEAHPFPVLDEEILKSQSLTTGWSL YJR132W MDITELLQCFACTLDHNAAVRTNAETHLKNASKVPGFLGACLDI IAADEVPENIKLSASLYFKNKITYGWSAGARQGSNELLDSHVDPDEKPVVKDMLIKTM VSVSKTSPRCIRVLKSALTVIISEDYPSKKWGNLLPNSLELLANEDITVTYVGLLCLA EIFRTYRWKNNDERQDLEELILNYFPALLNYGANVLFQDGKYMNNEQIGELVKLIIKI YKFVSYHDLPFTLQRSESFTPWACFFVSIIQQPLPQEVLAISDIEVRSKNPWVKCKKW ALANLYRLFQRYASTSLTRKFQYDEFKQMYCEEFLTQFLQVVFDQIEKWGTGQLWLSD ECLYYILNFVEQCVVQKTTWKLVGPHYNVILQHVIFPLLKPTAETLEAFDNDPQEYIN RNMDFWDVGYSPDLAALALLTTCVTKRGKTTLQPTLEFMVSTLQSAVGDYNNIMLDNA LQIESCLRIFSSIIDRLITKDSPFASEMEKFILTYVLPFFKSQYGFLQSRVCDICSKL GSMDFKDPVITSTIYEGVMNCLNNSSNSLPVELTAALALQTFISDDQFNMKLSEHVVP TMQKLLSLSNDFESDVISGVMQDFVEQFAEQLQPFGVELMNTLVQQFLKLAIDLHETS NLDPDSFTNVDSIPDESDKQMAALGILSTTISILLSFENSPEILKNLEQSFYPAAEFI LKNDIEDFYRECCEFVENSTFLLRDITPISWKILELIGECNRKPDSMVSYYLSDFMLA LNNILIYGRNELKKNEFYTKIIFEIYQKAVTAEDNSLDDLRVVFDLSQELVLALDDSL PQQYRERLLADVVGSILTQKNELKTNVVFSVTAFNVVISNMITEPLITLQYLKQQGCL EIFFQTWITDYIPNYKRCYDIKLSVLALLKIILKLESNDYSVLNLENLVPQLGSIVTQ LASRLPTALRQLANQRKEFSSSGFEEDTKWDENFLDVGDDDENDDEGDLTEKYLELIK NRADSLDFVDGYDAKETFDDLEEDPLTGSILDTVDVYKVFKESIANLQHVDSNRYQGI LRHLTPADQELFMGIMNA YJR133W MAENERMYISYNNIHKLCQGVAKHILARNERPDIIIAITGGGMI PARIIRSFLKTKGQKNIPIQAIGLSLYEDLGLDNSVETIGKEVIRTQWLDFGALNQHF DSLIGKKVLIVDEVDDTRTTLHYAVSELEKEIAEQQKVLNRMSEETVISIFVLHNKDK PKRAGLPDSMMNSGRYIAAQTVPDKWLCYPWDAEDIEEHTMLAKAQGHD YJR134C MSKKLSLEERLSLATKKGRKKNKRSTSNLSSPSPVVLSNNEQES ARTSIDDAAAGVVSIDNAENIDDPAVRSESTVEGDTGKADSIAVDDVVHPDHNRTDCF DDTMVSLPTWLPKNYTEFTVEELVKEISPEYLRLNKQIDDLTNELNRKSQIETTDSSF FKLIKEKDDLIDQLRKEGAKLAETELRQSNQIKALRTKVKDLEYEVSELNDSSAQSVE NYNELQSLYHNIQGQLAEATNKLKDADKQKESLETLEKNIKEKDDLITILQQSLDNMR TLLEKEKSEFQTEKKALQEATVDQVTTLETKLEQLRIELDSSTQNLDAKSNRDFVDDQ QSYEEKQHASFQYNRLKEQLESSKANWDSIEYALNTKIVNLENRFESTMKEKNDIEEK YQTALRSSETLGKQLEKEKENHSKAVLEVKDLERRAETLKSSLQSISDDYNLLKKKYE IQRSQLEQKENELKPHQENSNEKIIDKIPVELTDSLNSMEGNIEDEWTLPQENSMLSL SMSKLGELESDPSLKPIYNESHETICSEESQHFDRKNVDFSIDDIPEEAAALQAIREG ESMNSLNNTSIPYRRASVQLSNSNGHISAHLVNKLSTELKRLEGELSASKELYDNLLK EKTKANDEILRLLEENDKFNEVNKQKDDLLKRVEQMQSKLETSLQLLGEKTEQVEELE NDVSDLKEMMHQQVQQMVEMQGKMR YJR135C MDVEKDVLDVYIKNLENQIGNKRYFLKQAQGAIDEITKRSLDTE GKPVNSEVFTELLRKPMFFSERADPIGFSLTSNFLSLRAQSSSEWLSLMNDQSVDQKA MLLLQNNINSDLKELLRKLQHQMTIMDSKKQDHAHIRTRKARNKELWDSLADFLKGYL VPNLDDNDESIDSLTNEVMLLMKRLIEHDLNLTLNDFSSKTIPIYRLLLRANIITVIE GSTNPGTKYIKLIDFNETSLT YJR135W-A MSSLSTSDLASLDDTSKKEIATFLEGENSKQKVQMSIHQFTNIC FKKCVESVNDSNLSSQEEQCLSNCVNRFLDTNIRIVNGLQNTR YJR136C MTAVTDIIDELNDSSLSSTRLRELCLQLRKKTDTGCAITVSDEV NLIESLSYHSISPGVDIQINTDVLQTIDYYFQRNKSEHDEIMCVLISKLQPLLLKRKS NFELKEQRNLGLKPTLGMSLKEDNLMQAWVSQGGLKGIPLFYVILLHLKRRDISTNLS WIIPGILNILDDTTDIRRIKLRGVLLLQTLLNHTFMNETNDSKWIQFSSTGLFPLFEK TLINMCYFLPPSYNADETIAIWRVVFPTIQSLYKVEFLDNYTKYQYHLEKFMSEIILQ NIIPRASLAYENLTLYALECTMNILRLQREGSVVHLQRLIFVLGEYIVRNPFYTTFPK LISKTLSVVSTLIKVCPNERIVAHRFDILSLILVTYDKCSQEDALNESILQQCKETIS WLLNCDCAMGEQLSTLSKQPRFQLLFEFS YJR137C MTASDLLTLPQLLAQYSSSAPQNKVFYTTSTKNSHSSFKGLESV ATDATHLLNNQDPLNTIKDQLSKDILTTVFTDETTLVKSIHHLYSLPNKLPLVITVDL NLQDYSAIPALKDLSFPILISSDLQTAISNADSSYKIATSSLTPVFHFLNLEKIGTST AIEQDIDFPTLEIANEETKVALSEATDSLTNFELVKGKESITTVIVNLSPYDAEFSSV LPSNVGLIKIRVYRPWNFSKFLEILPSSVTKIAVLQGVSKKSQSNEFQPFLLDFFGNF NELVSRNIEQVVLTNIGNVNDYGNVINTVISNINKKEPDNNLFLGESNEKAEEQAEVT QLISSVKKVVNLEDAYIKVLKQLFSSNLQILNQFSSETIEPSNPEFGFGRFLKQEAQR EELISLAKTSLDPSLYLSEDANKIVQLLSKWLSFNGRDLDEAQLQEANATGLEIFQLL QSNQDSSTVLKFLKIAPTSDSFIFKSSWLIGSDAWSYDLGHSGIQQVLSSRKNINVLL IDSEPYDHRKQNQDRKKDVGLYAMNYYSAYVASVAVYASYTQLLTAIIEASKYNGPSI VLAYLPYNSENDTPLEVLKETKNAVESGYWPLYRFNPVYDDPSTDKEAFSLDSSVIRK QLQDFLDRENKLTLLTRKDPSLSRNLKQSAGDALTRKQEKRSKAAFDQLLEGLSGPPL HVYYASDGGNAANLAKRLAARASARGLKATVLSMDDIILEELPGEENVVFITSTAGQG EFPQDGKSFWEALKNDTDLDLASLNVAVFGLGDSEYWPRKEDKHYFNKPSQDLFKRLE LLSAKALIPLGLGDDQDADGFQTAYSEWEPKLWEALGVSGAAVDDEPKPVTNEDIKRE SNFLRGTISENLKDTSSGGVTHANEQLMKFHGIYTQDDRDIREIRKSQGLEPYYMFMA RARLPGGKTTPQQWLALDHLSDTSGNGTLKLTTRATFQIHGVLKKNLKHTLRGMNAVL MDTLAAAGDVNRNVMVSALPTNAKVHQQIADMGKLISDHFLPKTTAYHEVWLEGPEEQ DDDPSWPSIFENRKDGPRKKKTLVSGNALVDIEPIYGPTYLPRKFKFNIAVPPYNDVD VLSIDVGLVAIVNPETQIVEGYNVFVGGGMGTTHNNKKTYPRLGSCLGFVKTEDIIPP LEGIVIVQRDHGDRKDRKHARLKYTVDDMGVEGFKQKVEEYWGKKFEPERPFEFKSNI DYFGWIKDETGLNHFTAFIENGRVEDTPDLPQKTGIRKVAEYMLKTNSGHFRLTGNQH LVISNITDEHVAGIKSILKTYKLDNTDFSGLRLSSSSCVGLPTCGLAFAESERFLPDI ITQLEDCLEEYGLRHDSIIMRMTGCPNGCSRPWLGELALVGKAPHTYNLMLGGGYLGQ RLNKLYKANVKDEEIVDYIKPLFKRYALEREEGEHFGDFCIRVGIIKPTTEGKYFHED VSEDAY YJR138W MFAKLHGKKQRPISSINSQTPRTSNTTHANSISLSSGNLIVGSN RNLRQKKEQFGSQQRASGRKLISNKENDDNVNNGGDNNYDNGERVHRHHIPGLKIKAY QAELGYHESRFSENLVMLNLVEFPDIKPGDLVELKTYHKNPSASNGDKKIYFIAKDFD GETKRRAKTSNVSILSGQLQTLLDLPSRSRIWIKLKPNKFDLQADVVEFNIKDCLLNR GDMWVLSSKLVDTCVFMDQRLAFLDSIRGTIKGIYRNGKKIVSGYIGEQTRIIFRSES ARLIFLIQITDEMWNFEETGEQLFQKMVNSFFPKIFKKWKDVDTHHTITIAFAISMDL SDTSFKDLTPGESLKNSQDYFRIVVDQVSIIHWVDIMETLREEFMEIRKDLLNKQTDK GYSVANGRFSPVIKSNFLELVNFATTILTDPFKQLDLRHTTTHVMIISPGSGLFDVDY SLLRLTGKKLLSLEMTMDLICLSKAPLHIVPLFRYRDFENKLHHCVPLWLSVFFWNDH DKKSNSEWTPRCKIYDLQMMGITENELIREVDVEYLQLNKKVKSLSEFMNDYDKNAFE VKILCAGSNTKQSKKLNSKFDTVFENDVVVKARKIPATATTTHGNTKFIWRGPKVALP AIKDIQKPNVIPDLSIKTIEASFYDDCNTTNDKISTPTTSNNDNLEMNDSLVSVRSAD NQNTSLALDSLKGLSKRNSLKDFTQRVITKFISNIDTSKNKKIKSTLLRDDVDNSPLG SNTPLPSSESKISGLKLQQKGLADENVISKRGNLIIKKNLSIFGLPSNEIMSGSPSSY LGSSHTRTSSKLSNMSDKAAFITEGQKSKHDDSNTYSLTQQLKHRISETWVDIKSPSI PVSSEFANELLPIRWKDVWPKYVARKYSKWRSFTTPAELPITISDFPSKDDFDRNFIF RNHSVTLNTDQEQYNQTYKDLLRDMIYMRLLTGFQICVGRQVEKIELSRESGESETVV NKYLDFNQNDAFKLYLMIDSEIHRITCSSSGIIDVERYLRKDEANLFDQVPSYIPLVK TRYESSFRDAMIDPLHVKRESLNWNQIDQVLAGYGDNLIDRKWHGFRAKYVVLPTDIP PNTYSMVINGKSETLNPEEIRVEGLRRLIGSITRSRLRTEKEKKGRKTKREEIQPEVM FYTGPLYNFINEQQTSLESSAINFKDSIFVNDNNLLNRNVELSKLAYQIQRGEDRITL VNRKWHWKKHEKCFVGSEMVNWLIRNFSDIDTREDAIKYGQKVMKEGLFVHVLNKHNF LDGHYFYQFSPEYVMDTNKLEKTNSHRSTLSDPKQMLRKASTGSSNDPSAMTPFSSVV PAISASNASVADAKEPSRPILMLSNSLVIDVDPAGKSSKQESCTVHYDRVHNPDHCFH IRLEWLTTTPKLIDDLVGNWSRLCERYGLKMIEIPWEELCTIPSVNPFHSFVEIKLAI NPWEDPEFKDRELFAKSKFYYHVYLLKASGFLLDNRASKFLQNQDIEFDIMYSWGKPQ FKYVQYIHHTGAYVAELRENGCLFLAPNNIYISRVNPGNIIGKIHSASSSSLDAQKVI LNFKSTCLDYQKLRSIFLDAKEMWITGKIVED YJR139C MSTKVVNVAVIGAGVVGSAFLDQLLAMKSTITYNLVLLAEAERS LISKDFSPLNVGSDWKAALAASTTKTLPLDDLIAHLKTSPKPVILVDNTSSAYIAGFY TKFVENGISIATPNKKAFSSDLATWKALFSNKPTNGFVYHEATVGAGLPIISFLREII QTGDEVEKIEGIFSGTLSYIFNEFSTSQANDVKFSDVVKVAKKLGYTEPDPRDDLNGL DVARKVTIVGRISGVEVESPTSFPVQSLIPKPLESVKSADEFLEKLSDYDKDLTQLKK EAATENKVLRFIGKVDVATKSVSVGIEKYDYSHPFASLKGSDNVISIKTKRYTNPVVI QGAGAGAAVTAAGVLGDVIKIAQRL YJR140C MSMFNALNSNIEGEQYEAEEHSRELQIEQSFNILQDALIDLKNK DFEKSDSKFQELFQIDVVKPDRWGMYRNSSPTLDNLRYLCYRNRGMYYHLYLENNYER LNSQELVNCILKAVENLVESIQHSDADFAVTDLLARIFKSFNSVKLERLISEYEFTKQ ENLSLLLGRHRKFLLNDLTLMMNNYVELTNKLLVPNLSDNTIFERYHLEKYKDIKPEP LAFGPILSRISEMKKQDEEIMKKLDVFNVTLNEESWDEVAKALKNLLPSVKTSSLIGR NMDPYNEIEEPIEAVKFELSEAINNTPSLDRESERQEEEQDNESVRADDKSGNLAPSD IQTNEEARPNKRTDEHIDSTKPLQRSSKRFKEREQENSKELVMDVHKRFFGEFNTLLS YIHILPFCDFDTFASKFIIGSSDKQPEKFIPYTDLYECLKSWSSRYTDIFNQNDYLSS GSNENEELFQLNALLKSNAFDDKESFPRYLNDLDSDHIRSFISEVNAGNLHFHQVRLK LLFKLLGTYDEGNGRRLIIDYLWESQLLKIVLWFVFGIESNIFALINKNKRQCKYLAL SIYELLVNHLGNIVEEITNKRIQGHKSADLKSQRNKVEKRIRSWHTLLEQIADEKDKE LYVHFQWTHYCFLQYTCDIVDSRLSETLTSLENTIKDSDSSLDIAYPNYRHIPALNLN TVQSQKRKIRIIQNITVEDISEDTNSDTHSENHLETLEKVLLHILHPSTNHSNIDEEM VSFIFNSPFLLKIRLWGVLFSSYVKKSSIQDVQRIYFHVLDFMKGALTSPVYKESNPH GRHQMLLTVLTAIGYLSSQLTAILNSNRWESSDFVLEDYMFEKLLQTFFFFYTVLFYE SSAVNDVSNKSFFKRASKSSGKMKDIMIDLATLILYYYDLQAKLRTPAEQGIETTELI WSLHTLFGHFHFCDASNGKFLDLAEKLLCQFINNDSFLQLKQILWCRYHYAIASDNFS PDLHDTKAVEMEKIHSLPLGTYLIKLQYQNKNPYLSSSKTTLKQIMDNIIEKIGDPST LDNHIISRNSFLLNEYLSRPITADLLKHTFSGATSLYLTSPNDELQQGMTAGLFYVSS LQSLGLYKMRKKSMQARPSELDSIIRMLKNDIIYNTNRFESWILLGKCYSYIVEDDLI WTSDKITVPEKKDVIALTQRKAILCYLMAISIYYSKLDRTIDDKKIILEALDDLGSML ISGYYNPMNKLCFSWKSSAENTMRLSETGEVVMEKTKKITTISDFNIEQSIFLCFNRA CSLSGDIKSQDDVFVLNWSSFYNLAKFFFKTDGGNNCKLVAKYITQGCQIAYESSPAK DPIIEPHYLLVNACYKWVKRGVIGVNEALTLLSKDNQFFQEQEEFWVNDEGLAWDYQE KFFFDKIIRLLRHLLSVDKKKWQHRPRYRIARILFDDLGDVNGALEEMDSLISAKSIN KNLVNIWKPDFERPGKHFIYTYQYLVLYLDLLFAIKDFNTTGLVIKKLRRFGSGTVNV NELLERAINVYTQSAKIKLQLQDKSYVEQILPTLNYQEFLKISEQLNQVFDQGKYPEE ISSGLKLAFQLKKGHSGIAFDSVCLGIYFEYLYFPLARQDQSLTDVNDENNPALPSSG SVTSKSTPDPTSKPSAIKKRVTKKEVFDRVRLLVDKIT YJR141W MVQYVVEWLPRIQSISVVVEGWKQVEIKNLKDTLMSISGDEEQV EDILLPVEVEEKVDASYKFKNRGKDLEWMTKLRSKSSKIYDSSIMSLPDGRWTKEELR SDSDFSIECLNCKQKIISKDNCQVLNDMPSEFWFELMDYWHCHKPDVKEDKSSYTRFE TLKPSKNEILIGSSYFQGTPATFENVATTKENDNVLCIKCSAVLGQVTAGSLYKLHKW KLQLIRSGNTYKFPPECDITISLINVVKANSCRYVLVKCKTESLLVWIFSVDIGVTLT GNKSFKRAMKLLYTNSVTTINRCLNRQVVEELDFQETSFNAFYSALQHTNALLPSSMK KIGEWTISYTSLI YJR142W MKVEKSSKGLEVLVRTQEDDLEGFSFLEIMDRVDPLPLDFENYK NFKEGIYYMCTHDGTKIGFVLKFAINEMETVCSEIFEETFQLDESRHELRFKSEDFDH RNNLIDQLARKMYLESSLSGVKGWRNEKYAVWVNKKPYVLVERAVAGVLGIITYGIHI NGYVLDPKSKKVQFWVPRRSKTKQTWPLMLDNIIAGGLGYPYGIYETVLKESMEEANL EKSVIEDNIKATGSVSYLYFTGDISVTKFNKESDFIVGEVQYVYDLKLSEDIIPKPND GEVESFNLFSLQETINALRKKEFKPNCALVMVDFLIRHGYITPENEPNYLELVTRMHR RLPFPTLN YJR143C MSVPKKRNHGKLPPSTKDVDDPSLKYTKAAPKCEQVAEHWLLQP LPEPESRYSFWVTIVTLLAFAARFYKIWYPKEVVFDEVHFGKFASYYLERSYFFDVHP PFAKMMIAFIGWLCGYDGSFKFDEIGYSYETHPAPYIAYRSFNAILGTLTVPIMFNTL KELNFRAITCAFASLLVAIDTAHVTETRLILLDAILIISIAATMYCYVRFYKCQLRQP FTWSWYIWLHATGLSLSFVISTKYVGVMTYSAIGFAAVVNLWQLLDIKAGLSLRQFMR HFSKRLNGLVLIPFVIYLFWFWVHFTVLNTSGPGDAFMSAEFQETLKDSPLSVDSKTV NYFDIITIKHQDTDAFLHSHLARYPQRYEDGRISSAGQQVTGYTHPDFNNQWEVLPPH GSDVGKGQAVLLNQHIRLRHVATDTYLLAHDVASPFYPTNEEITTVTLEEGDGELYPE TLFAFQPLKKSDEGHVLKSKTVSFRLFHVDTSVALWTHNDELLPDWGFQQQEINGNKK VIDPSNNWVVDEIVNLDEVRKVYIPKVVKPLPFLKKWIETQKSMFEHNNKLSSEHPFA SEPYSWPGSLSGVSFWTNGDEKKQIYFIGNIIGWWFQVISLAVFVGIIVADLITRHRG YYALNKMTREKLYGPLMFFFVSWCCHYFPFFLMARQKFLHHYLPAHLIACLFSGALWE VIFSDCKSLDLEKDEDISGASYERNPKVYVKPYTVFLVCVSCAVAWFFVYFSPLVYGD VSLSPSEVVSREWFDIELNFSK YJR144W MKSIFKVRGCVSHAAQFCQKRTVVSTGTSNTATAGAVRKSFNST ETKPVFATKSEAGNGSHMKEYSSGINSKLGGTPLETRSTADDSLNNSYKQVKGDIDWY TSWYGLGMKPFEAKVQKDLIEPLDPKDIEIKPDGLIYLPEIKYRRILNKAFGAGGWGL VPRSQTIVTSKLVTREYGLICHGQLISVARGEQDYFNEAGIPTATEGCKSNALMRCCK DLGVGSELWDPVFIKKFKVDHCTEKFVEHVTTKRKKKIWLRKDRQVEYPYK YJR145C MARGPKKHLKRLAAPHHWLLDKLSGCYAPRPSAGPHKLRESLPL IVFLRNRLKYALNGREVKAILMQRHVKVDGKVRTDTTYPAGFMDVITLDATNENFRLV YDVKGRFAVHRITDEEASYKLGKVKKVQLGKKGVPYVVTHDGRTIRYPDPNIKVNDTV KIDLASGKITDFIKFDAGKLVYVTGGRNLGRIGTIVHKERHDGGFDLVHIKDSLDNTF VTRLNNVFVIGEQGKPYISLPKGKGIKLSIAEERDRRRAQQGL YJR146W MKVGKAKKKPEIFSAHCSVATAFLDPSFFYPNFVAQKASHYNDK TGSANIWTYISRTGSLLLFTQVVYRKSKWTHQSATFADCIYCQSGQSPSVSLSCSDAR QTWMQHRGWSSLMSL YJR147W MDATSRMEQPDVFVSKLYHLLQGNAYSNIIQWSTDGSKLVIWNP DQFTKVILERFFGIHTFAAFVKQLSKYNFQKAGRPDCVEFSNIHFQKDNINSLSLVKA HQSAATPNVAAVNNMNKQCTFHWDPFKVNSILSKAIGKPSFEKLVKNVDRLQGNLDEL KSTNADSLRIIREINASLQTISYHQFHAYQTANFLQENFEAIKKVVCPDSCLQHQQRQ PKRPKRYSLLLLIPNASELSETPLMRFAGVFEFMNCSLDTATQWHPQLHPEAYDLLFV TVSPNMQQEHLIYFKRLRNLLPSFPVIAIINRPVSPQDTSIAPSNYSRYYFHHFLQLG FSDILVSPFTPTQLITLLSKHLRT YJR148W MTLAPLDASKVKITTTQHASKPKPNSELVFGKSFTDHMLTAEWT AEKGWGTPEIKPYQNLSLDPSAVVFHYAFELFEGMKAYRTVDNKITMFRPDMNMKRMN KSAQRICLPTFDPEELITLIGKLIQQDKCLVPEGKGYSLYIRPTLIGTTAGLGVSTPD RALLYVICCPVGPYYKTGFKAVRLEATDYATRAWPGGCGDKKLGANYAPCVLPQLQAA SRGYQQNLWLFGPNNNITEVGTMNAFFVFKDSKTGKKELVTAPLDGTILEGVTRDSIL NLAKERLEPSEWTISERYFTIGEVTERSKNGELLEAFGSGTAAIVSPIKEIGWKGEQI NIPLLPGEQTGPLAKEVAQWINGIQYGETEHGNWSRVVTDLN YJR149W MYFLNQLIFQDVSVMSVDKREDMSRSFQKCLNLRYPIIQAPMAG VTTIEMAAKACIAGAIASLPLSHLDFRKVNDIEKLKLMVSQFRDQVADESLEGNLNLN FFCHDIVDKPTDLQTANWAKLYRKSMNVPIDMNEIKFDNGNVSFKAFEKENALQDFFQ YLSDGFRPKIISFHFGHPSKSTIEYLQKIGILIFVTATSVREVRLLARLGINGIVCQG YEAGGHRGNFLVNDPKDDENLSTVQLVKRTVDELAEMKNKGLIHATPFVIAAGGIMDS KDISYMLSQQADAVQVGTAFLGCSESNASKNFSSPFTRETTTKMVNIISGKPARTIST PFIEKVIANFQGEELPPYGYMYSAFKQVRKKYPELANFILAGQGFQNVQSGITTDKKI ETMGARLKIDGK YJR150C MSRISILAVAAALVASATAASVTTTLSPYDERVNLIELAVYVSD IGAHLSEYYAFQALHKTETYPPEIAKAVFAGGDFTTMLTGISGDEVTRMITGVPWYST RLMGAISEALANEGIATAVPASTTEASSTSTSEASSAATESSSSSESSAETSSNAAST QATVSSESSSAASTIASSAESSVASSVASSVASSASFANTTAPVSSTSSISVTPVVQN GTDSTVTKTQASTVETTITSCSNNVCSTVTKPVSSKAQSTATSVTSSASRVIDVTTNG ANKFNNGVFGAAAIAGAAALLL YJR151C MVNISIVAGIVALATSAAAITATTTLSPYDERVNLIELAVYVSD IRAHIFQYYSFRNHHKTETYPSEIAAAVFDYGDFTTRLTGISGDEVTRMITGVPWYST RLKPAISSALSKDGIYTAIPTSTSTTTTKSSTSTTPTTTITSTTSTTSTTPTTSTTST TPTTSTTSTTPTTSTTSTTPTTSTTSTTPTTSTTSTTPTTSTTSTTPTTSTTSTTPTT STTSTTPTTSTTPTTSTTSTTSQTSTKSTTPTTSSTSTTPTTSTTPTTSTTSTAPTTS TTSTTSTTSTISTAPTTSTTSSTFSTSSASASSVISTTATTSTTFASLTTPATSTAST DHTTSSVSTTNAFTTSATTTTTSDTYISSSSPSQVTSSAEPTTVSEVTSSVEPTRSSQ VTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTV SEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPI RSSQVTSSAEPTTVSEVTSSVEPIRSSQVTTTEPVSSFGSTFSEITSSAEPLSFSKAT TSAESISSNQITISSELIVSSVITSSSEIPSSIEVLTSSGISSSVEPTSLVGPSSDES ISSTESLSATSTFTSAVVSSSKAADFFTRSTVSAKSDVSGNSSTQSTTFFATPSTPLA VSSTVVTSSTDSVSPNIPFSEISSSPESSTAITSTSTSFIAERTSSLYLSSSNMSSFT LSTFTVSQSIVSSFSMEPTSSVASFASSSPLLVSSRSNCSDARSSNTISSGLFSTIEN VRNATSTFTNLSTDEIVITSCKSSCTNEDSVLTKTQVSTVETTITSCSGGICTTLMSP VTTINAKANTLTTTETSTVETTITTCPGGVCSTLTVPVTTITSEATTTATISCEDNEE DITSTETELLTLETTITSCSGGICTTLMSPVTTINAKANTLTTTETSTVETTITTCSG GVCSTLTVPVTTITSEATTTATISCEDNEEDVASTKTELLTMETTITSCSGGICTTLM SPVSSFNSKATTSNNAESTIPKAIKVSCSAGACTTLTTVDAGISMFTRTGLSITQTTV TNCSGGTCTMLTAPIATATSKVISPIPKASSATSIAHSSASYTVSINTNGAYNFDKDN IFGTAIVAVVALLLL YJR151W-A MLSLIFYLRFPSYIRG YJR152W MSADASTNSNASLDEKNLNITSEAEIKNEDVTAEPVLSTVLSPN GKIVYISDKVDEAMKLAEEAKEIEVTPEEDRKLRWKIDYCMFPLMCILYAVQFMDKIS TSSAAVMGLRTDLKMHGDQYSWVTSAFYFGYLFMNLGPVQFIFQRTSHMSKMLAVFIV IWGMLLALHAAPTVKYPSFIVLRVLLGCAESVVTPCFTIITAQYWKTEEQFTRVSIWF GMNGLGSILINAIAYGVYIHQDSYAIKGWRTLFVITGVITIFIGILIFLWIPDDPSKA RFLSKREKLMVVQRIRSNQQGFGNHEIKKYQIIEALKDVRTWLYFLFTVSSNIPNGGI SSFMSILLNSDFGYSSKETLLMGLPTGAVELVGCPLFGILAVYAANKKIPFWKYKLSW AIFAAVLALIASCMLGFATNSKKARLAGAYLWYISPVSFICVLSNISANSSGYSKKWT VSSINLVAYAAANLAGPQTFIAKQAPKYHGAKVAMVVCYAVMIVLLSILLIVNLRENK RRDKIAAERGFPEETENLEFSDLTDFENPNFRYTL YJR153W MISANSLLISTLCAFAIATPLSKRDSCTLTGSSLSSLSTVKKCS SIVIKDLTVPAGQTLDLTGLSSGTTVTFEGTTTFQYKEWSGPLISISGSKISVVGASG HTIDGQGAKWWDGLGDSGKVKPKFVKLALTGTSKVTGLNIKNAPHQVFSINKCSDLTI SDITIDIRDGDSAGGHNTDGFDVGSSSNVLIQGCTVYNQDDCIAVNSGSTIKFMNNYC YNGHGISVGSVGGRSDNTVNGFWAENNHVINSDNGLRIKTVEGATGTVTNVNFISNKI SGIKSYGIVIEGDYLNSKTTGTATGGVPISNLVMKDITGSVNSTAKRVKILVKNATNW QWSGVSITGGSSYSGCSGIPSGSGASC YJR154W MNTDSHNLSEPYNIGGQKYINMKKKEDLGVCQPGLTQKAFTVED KFDYKAIIEKMEVYGLCVVKNFIETSRCDEILKEIEPHFYRYESWQGSPFPKETTVAT RSVLHSSTVLKDVVCDRMFCDISKHFLNEENYFAAGKVINKCTSDIQLNSGIVYKVGA GASDQGYHREDIVHHTTHQACERFQYGTETMVGLGVAFTDMNKENGSTRMIVGSHLWG PHDSCGNFDKRMEFHVNVAKGDAVLFLGSLYHAASANRTSQDRVAGYFFMTKSYLKPE ENLHLGTDLRVFKGLPLEALQLLGLGISEPFCGHIDYKSPGHLISSSLFENDIEKGYY GETIRVNYGSTQ YJR155W MASRKLRDQIVIATKFTTDYKGYDVGKGKSANFCGNHKRSLHVS VRDSLRKLQTDWIDILYVHWWDYMSSIEEVMDSLHILVQQGKVLYLGVSDTPAWVVSA ANYYATSHGKTPFSIYQGKWNVLNRDFERDIIPMARHFGMALAPWDVMGGGRFQSKKA VEERKKKGEGLRTFFGTSEQTDMEVKISEALLKVAEEHGTESVTAIAIAYVRSKAKHV FPLVGGRKIEHLKQNIEALSIKLTPEQIKYLESIVPFDVGFPTNFIGDDPAVTKKPSF LTEMSAKISFED YJR156C MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDIAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEDKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLKAIKKATDYVLADPVKAWKEYIDFKPQLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRQEGTFK RLALPA YJR158W MASEQSSPEINADNLNSSAADVHVQPPGEKEWSDGFYDKEVING NTPDAPKRGFLGYLIIYLLCYPVSFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTG EYYLSNVRMGLLVAMFSVGCSIGGVAFARLADTLGRRLAIVIVVLVYMVGAIIQISSN HKWYQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCS VYGTRKYSNTAQWRIPVGLCFLWALIIIVGMLLVPESPRYLIECERHEEACVSIAKID KVSPEDPWVLKQADEINAGVLAQRELGEASWKELFSVKTKVLQRLITGILVQTFLQLT GENYFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAS MMACMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAE SFPSKVKSKAMSISTAFNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFF LPETIGLSLEETQLLYEEGIKPWKSASWVPPSRRGASSRETEAKKKSWKEVLKFPKSF N YJR159W MSQNSNPAVVLEKVGDIAIEQRPIPTIKDPHYVKLAIKATGICG SDIHYYRSGGIGKYILKAPMVLGHESSGQVVEVGDAVTRVKVGDRVAIEPGVPSRYSD ETKEGRYNLCPHMAFAATPPIDGTLVKYYLSPEDFLVKLPEGVSYEEGACVEPLSVGV HSNKLAGVRFGTKVVVFGAGPVGLLTGAVARAFGATDVIFVDVFDNKLQRAKDFGATN TFNSSQFSTDKAQDLADGVQKLLGGNHADVVFECSGADVCIDAAVKTTKVGGTMVQVG MGKNYTNFPIAEVSGKEMKLIGCFRYSFGDYRDAVNLVATGKVNVKPLITHKFKFEDA AKAYDYNIAHGGEVVKTIIFGPE YJR160C MKNLSFLINRRKENTSDSNVYPGKAKSHEPSWIEMDDQTKKDGL DIVHVEFSPDTRAPSDSNKVITEIFDATEDAKEADESERGMPLATALNTYPKAAAWSL LVSTTLIMEGYDTAILGAFYALPIFQRKFGSQNDKTGEWEISASWQIGLTLCYMAGEI VGLQLTGPSVDLVGNRYTLIIALFFLAAFTFILYFCNSLGMIAVGQALCGMPWGCFQC LTVSYASEICPLALRYYLTTYSNLCWLFGQLFAAGIMKNSQKKYADSELGYKLPFALQ WILPVPLALGIFFAPESPWWLVKKGRFDEARRSLRRTLSGKGPEKEILVTLEVDKIKV TIDKEKRLTSKEGSYSDCFEDKINRRRTRITCLCWAGQATCGSILIGYSTYFYEKAGV STEMSFTFSIIQYCLGICATFLSWWASKYFGRYDLYAFGLAFQTIVFFIIGGLGCSST HGSKMGSGSLLMAVAFFYNLGIAPVVFCLVSEMPSSRLRTKTIILARNTYNVVSIICS VLILYQLNSKKWNWGAKSGFFWGVLCFCTLIWAVVDLPETAGKTFVEINELFKLGVSA RKFKSTKVDPFVVKTPPKDVSHNDPKGDIEASIAEE YJR161C MKENELKNEKSVDVLSFKQLESQKIVLPQDLFRSSFTWFCYEIY KSLAFPIWMLLWLPLSVWWKLSNNCIYPLIVSLLVLFLGPIFVLVICGLSRKRSLSKQ LIQFCKEVTENTPSSDPHDWEVVAANLNSYLYENKAWNTRYFFFNAMGCQEAFRTTLL EPFSLKKDEAAKVKSFKDSVPYIEEALGVYFREVEKQWKLFNTEKSWSPVGLEDVQLP KDIHRSKLTWFLKRIFTIYSLPLWLAFLNCICVSQHFCLAFRILCPGLFFLMMVWLFQ NMRTTALLVKMEHKMQFLLTIINEQESGANGWDEIARKMNRYLFEKKAWKNEEFFFEG IDCEWFFSHFFYRLLSAKKSMWLLPLNVELWPYIKEAQLSRNEESLMKK YKL224C MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYLFQAAHPSETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYS TRLRPAISSALSKDGIYTIAN YKL222C MKNTELSQKKKLDRQSRRKPAKSCHFCRVRKLKCDRVRPFCGSC SSRNRKQCEYKENTSAMEDQLRKKYRRCSKLEMARRIEELESQLTKQSQPNIHEGQNP LSNMRYLSSKHNRHILYGPTSYRAILATQTDTFAKYREEIWQVLKLSRNNWKREHHYS TLSEISSIETAPPHSGSPSVIEYLCESLPNYEVLCEYLTDFFASDFYDSYQIVHKEKV LRDLQDCFVKGPRSHKTGQHTIISLNLDSKKNYYKVGVMTAIMCLASHPKEVPEAIEV FHKVLTSFVSAKVFYTERVQFLFLRYLYINVAGLDGGDQSHCIFIHGLTIDTAIHMGL NEDLRRLYLSKNHPIEEIPYLERLWLWILFTDVKISLSTGIPVRINDDFVNKVRLENY SSSGDILLYKTTLRLRNIMKQIHAREKPPDIPLIIEDLKKFTIKMFKPLDFYLNASNL NGNEFTELQLWHATLHMIGSLSNLYTLTHQDFDARIFNFSVLAPLNSLHLCFNVLETY FELDNSKLSSKSLCLSKKWPHLNNALFLIYVNAFRALIQIYTIFLQYMENKDIQLFIQ RNSSALTYSICPGDFEGPHNKCISLKIAFKEMENIFDHIHQEKLKPLTQIWQNSYYFS IIISMEKIGRRAFNKGMKNIDEGPETENDATENSLTTILNDLEGPLEDFSENFIDDIL GSPSAFFDTAISGWSNFEDFFSR YKL221W MSEERHEDHHRDVENKLNLNGKDDINGNTSISIEVPDGGYGWFI LLAFILYNFSTWGANSGYAIYLAHYLENNTFAGGSKLDYASIGGLAFSCGLFFAPVIT WLYHIFSIQFIIGLGILFQGAALLLAAFSVTLWEIYLTQGVLIGFGLAFIFIPSVTLI PLWFRNKRSLASGIGTAGSGLGGIVFNLGMQSILQKRGVKWALIAQCIICTSLSTIAL MLTRTTHQGLRQHKRSYKFELLDYDVLSNFAVWLLFGFVSFAMLGYVVLLYSLSDFTV SLGYTSKQGSYVSCMVSVGSLLGRPIVGHIADKYGSLTVGMILHLVMAILCWAMWIPC KNLATAIAFGLLVGSIMGTIWPTIASIVTRIVGLQKLPGTFGSTWIFMAAFALVAPII GLELRSTDTNGNDYYRTAIFVGFAYFGVSLCQWLLRGFIIARDEIAVREAYSADQNEL HLNVKLSHMSKCLFRYKQLPRRV YKL220C MHWTSILSAILLFCLSGARASPAKTVIRNKVPLLVTNACTRIFQ KVTWEYTSKSKRSSPVCSYEPAFQSMLYCIYETLDEKGYSNKTLEKTFSTIKKNCASY SDALQNMTNSEFYDVLNNGTRHMTPYVKGSANLTYPVEMDTQLRKAYYHALHGFYANL DVGNIYGGIICAYFVAIMAFAGVLHCMNYTPFKTVLLKQKLVGYVRGYLTLPTIGSKH ASDFSYFRIFTGYLPTRLEGIIILGYLVLHTVFLAYGYEYDPENIIFKSRRVQVARYV ADRSGVLAFAHFPLIVLFAGRNNFLEYISGVKYTSFIMFHKWLGRMMFLDAMIHGSAY TSYTVANKTWATSKNRLYWQFGVAALCLAGTMVFFSFAVFRKYFYEAFLFLHIVLGAM FFYACWEHVVSLSGIEWIYTAIAIWIVDRIIRIIKASYFGFPKASLQLIGDDLIRLTV KKPARPWRAKPGQYVFVSFLHPLYFWQSHPFTVLDSVSKNGELVIILKEKKGVTRLVK KYVCRNGGKTSMRLAIEGPYGSSSPVNNYNNVLLLTGGTGLPGPIAHAIKLGKTSAAA GKQSVKLVIAVRGFDVLEAYKPELMCLENLNVQLHIYNTMEVPSLTPSDSLDISQQDE KADEKGTVVATTLEKSANPLGFDGVVFHCGRPNVKELLHEAAELSGSLSVVCCGPPIF VDKVRNETAKIVLDKSAKAIEYFEEYQCW YKL219W MQIVRSCNSNNKPLIPSSNWHIAIRMRGDGVKDRSIDVLSLKHF ESQKVVLPQDLFMDNFTWMFYEFFKCFTFRTWLLLLLLMWLPGFLSQIKSINRIFPFK LCILVSCLVGIFLPNIYSFSHKSVLTNQLTQFSKEIVEHAPGTDTHDWETVAANLNSY FYENKAWNTEYFFFNAAECQKAFRKVLLEPFSVKKDESSKIKSFGDSVPYIEEALQVY STEFDKKWKLFNTEKVWSPDNLEHVQLPKKTYRYKFTWVLKRIFNLWLFPAFILFLAC IYVSWDKGHLFRILCCGGGFLLMVRVFQNMRPFSMHMEDKMQFLSTIINEQESGANGW DEIAKKMNRYLFEKKVWTSEEFFFDGIDCEWFFNHFFYRLLSTKKPMFDRPLNVELWP YIKEAQLTRKQAPPV YKL218C MIVPTYGDVLDASNRIKEYVNKTPVLTSRMLNDRLGAQIYFKGE NFQRVGAFKFRGAMNAVSKLSDEKRSKGVIAFSSGNHAQAIALSAKLLNVPATIVMPE DAPALKVAATAGYGAHIIRYNRYTEDREQIGRQLAAEHGFALIPPYDHPDVIAGQGTS AKELLEEVGQLDALFVPLGGGGLLSGSALAARSLSPGCKIFGVEPEAGNDGQQSFRSG SIVHINTPKTIADGAQTQHLGEYTFAIIRENVDDILTVSDQELVKCMHFLAERMKVVV EPTACLGFAGALLKKEELVGKKVGIILSGGNVDMKRYATLISGKEDGPTI YKL217W MSSSITDEKISGEQQQPAGRKLYYNTSTFAEPPLVDGEGNPINY EPEVYNPDHEKLYHNPSLPAQSIQDTRDDELLERVYSQDQGVEYEEDEEDKPNLSAAS IKSYALTRFTSLLHIHEFSWENVNPIPELRKMTWQNWNYFFMGYFAWLSAAWAFFCVS VSVAPLAELYDRPTKDITWGLGLVLFVRSAGAVIFGLWTDKSSRKWPYITCLFLFVIA QLCTPWCDTYEKFLGVRWITGIAMGGIYGCASATAIEDAPVKARSFLSGLFFSAYAMG FIFAIIFYRAFGYFRDDGWKILFWFSIFLPILLIFWRLLWPETKYFTKVLKARKLILS DAVKANGGEPLPKANFKQKMVSMKRTVQKYWLLFAYLVVLLVGPNYLTHASQDLLPTM LRAQLGLSKDAVTVIVVVTNIGAICGGMIFGQFMEVTGRRLGLLIACTMGGCFTYPAF MLRSEKAILGAGFMLYFCVFGVWGILPIHLAELAPADARALVAGLSYQLGNLASAAAS TIETQLADRYPLERDASGAVIKEDYAKVMAILTGSVFIFTFACVFVGHEKFHRDLSSP VMKKYINQVEEYEADGLSISDIVEQKTECASVKMIDSNVSKTYEEHIETV YKL216W MTASLTTKFLNNTYENPFMNASGVHCMTTQELDELANSKAGAFI TKSATTLEREGNPEPRYISVPLGSINSMGLPNEGIDYYLSYVLNRQKNYPDAPAIFFS VAGMSIDENLNLLRKIQDSEFNGITELNLSCPNVPGKPQVAYDFDLTKETLEKVFAFF KKPLGVKLPPYFDFAHFDIMAKILNEFPLAYVNSINSIGNGLFIDVEKESVVVKPKNG FGGIGGEYVKPTALANVRAFYTRLRPEIKVIGTGGIKSGKDAFEHLLCGASMLQIGTE LQKEGVKIFERIEKELKDIMEAKGYTSIDQFRGKLNSI YKL215C MQKGNIRIAIDKGGTFTDCVGNIGTGKQEHDTVIKLLSVDPKNY PDAPLEGIRRLLEVLEHKTIPRGIPLDISNVRSLRMGTTLATNCALERNGERCAFITT KGFKDSLLIGDQTRPDIFNLNIKKVVPLYDTVVEIDERVTLEDFSEDPYFTKSSPNEQ EGILEGNSGEMVRVIKKPDESSVRSILKVLYASGIKSIAIAFLHSYTFPDHERIVGNI AREIGFSHVSLSSEVSPMIKFLPRAHSSVADAYLTPVIKKYLNSISAGLSHAEDTHIQ FMQSDGGLVDGGKFSGLKSILSGPAGGVIGYSSTCYDKNNNIPLIGFDMGGTSTDVSR YGDGRLEHVFETVTAGIIIQSPQLDIHTVAAGGSSILSWKNGLFRVGPDSAAADPGPA AYRKGGPLTITDANLFLGRLVPEFFPKIFGPNEDESLDLETTTLKFRELTDVINKDLN SNLTMEEVAYGFIKVANECMARPVRAITEAKGHVVSQHRLVSFGGAGGQHAIAVADSL GIDTVLIHRYSSILSAYGIFLADVIEENQEPCSFILGEPETILKVKKRFLELSKNSIK NLLSQSFSREDIVLERYLNLRYEGTETSLMILQKYDDQWNFREWFSEAHKKEFGFSFD DKRIIIDDIRIRAIGKSGVRKEKTVDEQLIEISHFKKADVSKDASFTQKAYFDNKWVD TAVFKIDDLPAGTIIEGPAILADGTQTNIILPNSQATILNSHIFIKINQKAAKTLSKS GYELDIDPILLSIFSHRFMDIALQMGTQLRKTSVSTNVKERLDFSCALFDSKGNLVAN APHVPVHLGSMSTCISAQAKLWEGKLKPGDVLITNHPDIGGTHLPDITVITPSFSSTG ELIFYVASRAHHADIGGILPGSVPPNSKELYEEGTAIYSELVVKEGIFQEELIYKLFV EDPGKYPGCSGSRRFSDNISDLKAQVAANTKGIQLIGSLTKEYDLATILKYMAAIQTN ASESIKKMLAKMVEHFGTTKFSGEDRLDDGSLIKLQVIIRPEKEEYIFNFDGTSPQVY GNLNAPEAITNSAILYCLRCLVGEDIPLNQGCLKPLTIKIPAGSLLSPRSGAAVVGGN VLTSQRVTDVILKTFNVMADSQGDCNNFTFGTGGNSGNKTDKQIKGFGYYETICGGSG AGADSWRGSGWNGSDAVHTNMTNTRMTDTEVFERRYPVLLKEFSIRRGSGGKGKYTGG NGVVRDVQFRKAVTASILSERRVIGPHGIKGGQDGSRGENLWVRHSTGALINVGGKNT IYAQPGDRFIIKTPGGGGFGQYKD YKL214C MDKAFDEIIGNSHTDSSSNHKVTRYRRRDLRNELGPRLGFAPSD AASRSKDRLYREREEPPLPKRIRISKIPLDVSDYTLDDMIKEFGSPIFSKIFDNKEDR TCIYEFEDPEVLEKIVERYNGHELHNAKIEVEIYQPQRKHSRMNAHNRRKQTAQEHGR GRPGSHYRQKPNRVSKKNKGREKNNTPTSVEALDAELDAYMKG YKL213C MGYQLSATLKGHDQDVRDVVAVDDSKVASVSRDGTVRLWSKDDQ WLGTVVYTGQGFLNSVCYDSEKELLLFGGKDTMINGVPLFATSGEDPLYTLIGHQGNV CSLSFQDGVVISGSWDKTAKVWKEGSLVYNLQAHNASVWDAKVVSFSENKFLTASADK TIKLWQNDKVIKTFSGIHNDVVRHLAVVDDGHFISCSNDGLIKLVDMHTGDVLRTYEG HESFVYCIKLLPNGDIVSCGEDRTVRIWSKENGSLKQVITLPAISIWSVDCMSNGDII VGSSDNLVRIFSQEKSRWASEDEINELSTQVEKSTISSKTIEFDESKLSPYEILQSPG RKEGQIVVVKSPQGTIEAHQFSNSSWKKVGDVVGAGATGNDKKIEFEGKTYDYVFDVD IEDGKPPLKLPINVSDNPYTAADNFLARYELPMSYRDQVVQFILKNTNGISLDQPNDN ASSSAVSPSKTSVMKVLPVKQYLIMENYNPDTIFNGIVKINSNEKTFDDEILAQIGGA LHDIDESWELLLSFANTIRSNWEIKTPAYDIVRLIVKKLPYSSDIKDYIEEGLGNKNI TLTMLTVRILVNCFNNENWGVKLLESNQVYKSIFETIDTEFSQASAKQSQNLAIAVST LIFNYSALVTKGNSDLELLPIVADAINTKYGPLEEYQECEEAAYRLTVAYGNLATVEP TLRQFANSVTWLANIKRSYGNVPRFKDIFDDLS YKL212W MTGPIVYVQNADGIFFKLAEGKGTNDAVIHLANQDQGVRVLGAE EFPVQGEVVKIASLMGFIKLKLNRYAIIANTVEETGRFNGHVFYRVLQHSIVSTKFNS RIDSEEAEYIKLLELHLKNSTFYFSYTYDLTNSLQRNEKVGPAASWKTADERFFWNHY LTEDLRNFAHQDPRIDSFIQPVIYGYAKTVDAVLNATPIVLGLITRRSIFRAGTRYFR RGVDKDGNVGNFNETEQILLAENPESEKIHVFSFLQTRGSVPIYWAEINNLKYKPNLV LGENSLDATKKHFDQQKELYGDNYLVNLVNQKGHELPVKEGYESVVHALNDPKIHYVY FDFHHECRKMQWHRVKLLIDHLEKLGLSNEDFFHKVIDSNGNTVEIVNEQHSVVRTNC MDCLDRTNVVQSVLAQWVLQKEFESADVVATGSTWEDNAPLLTSYQNLWADNADAVSV AYSGTGALKTDFTRTGKRTRLGAFNDFLNSASRYYQNNWTDGPRQDSYDLFLGGFRPH TASIKSPFPDRRPVYIQLIPMIICAALTVLGATIFFPKDRFTSSKNLLYFAGASIVLA LSTKFMFKNGIQFVNWPKLVDVGFLVVHQTHDKEQQFKGLKYAQSPKFSKPDPLKRD YKL211C MSVHAATNPINKHVVLIDNYDSFTWNVYEYLCQEGAKVSVYRND AITVPEIAALNPDTLLISPGPGHPKTDSGISRDCIRYFTGKIPVFGICMGQQCMFDVF GGEVAYAGEIVHGKTSPISHDNCGIFKNVPQGIAVTRYHSLAGTESSLPSCLKVTAST ENGIIMGVRHKKYTVEGVQFHPESILTEEGHLMIRNILNVSGGTWEENKSSPSNSILD RIYARRKIDVNEQSKIPGFTFQDLQSNYDLGLAPPLQDFYTVLSSSHKRAVVLAEVKR ASPSKGPICLKAVAAEQALKYAEAGASAISVLTEPHWFHGSLQDLVNVRKILDLKFPP KERPCVLRKEFIFSKYQILEARLAGADTVLLIVKMLSQPLLKELYSYSKDLNMEPLVE VNSKEELQRALEIGAKVVGVNNRDLHSFNVDLNTTSNLVESIPKDVLLIALSGITTRD DAEKYKKEGVHGFLVGEALMKSTDVKKFIHELCE YKL210W MSSNNSGLSAAGEIDESLYSRQLYVLGKEAMLKMQTSNVLILGL KGLGVEIAKNVVLAGVKSMTVFDPEPVQLADLSTQFFLTEKDIGQKRGDVTRAKLAEL NAYVPVNVLDSLDDVTQLSQFQVVVATDTVSLEDKVKINEFCHSSGIRFISSETRGLF GNTFVDLGDEFTVLDPTGEEPRTGMVSDIEPDGTVTMLDDNRHGLEDGNFVRFSEVEG LDKLNDGTLFKVEVLGPFAFRIGSVKEYGEYKKGGIFTEVKVPRKISFKSLKQQLSNP EFVFSDFAKFDRAAQLHLGFQALHQFAVRHNGELPRTMNDEDANELIKLVTDLSVQQP EVLGEGVDVNEDLIKELSYQARGDIPGVVAFFGGLVAQEVLKACSGKFTPLKQFMYFD SLESLPDPKNFPRNEKTTQPVNSRYDNQIAVFGLDFQKKIANSKVFLVGSGAIGCEML KNWALLGLGSGSDGYIVVTDNDSIEKSNLNRQFLFRPKDVGKNKSEVAAEAVCAMNPD LKGKINAKIDKVGPETEEIFNDSFWESLDFVTNALDNVDARTYVDRRCVFYRKPLLES GTLGTKGNTQVIIPRLTESYSSSRDPPEKSIPLCTLRSFPNKIDHTIAWAKSLFQGYF TDSAENVNMYLTQPNFVEQTLKQSGDVKGVLESISDSLSSKPHNFEDCIKWARLEFEK KFNHDIKQLLFNFPKDAKTSNGEPFWSGAKRAPTPLEFDIYNNDHFHFVVAGASLRAY NYGIKSDDSNSKPNVDEYKSVIDHMIIPEFTPNANLKIQVNDDDPDPNANAANGSDEI DQLVSSLPDPSTLAGFKLEPVDFEKDDDTNHHIEFITACSNCRAQNYFIETADRQKTK FIAGRIIPAIATTTSLVTGLVNLELYKLIDNKTDIEQYKNGFVNLALPFFGFSEPIAS PKGEYNNKKYDKIWDRFDIKGDIKLSDLIEHFEKDEGLEITMLSYGVSLLYASFFPPK KLKERLNLPITQLVKLVTKKDIPAHVSTMILEICADDKEGEDVEVPFITIHL YKL209C MNFLSFKTTKHYHIFRYVNIRNDYRLLMIMIIGTVATGLVPAIT SILTGRVFDLLSVFVANGSHQGLYSQLVQRSMAVMALGAASVPVMWLSLTSWMHIGER QGFRIRSQILEAYLEEKPMEWYDNNEKLLGDFTQINRCVEELRSSSAEASAITFQNLV AICALLGTSFYYSWSLTLIILCSSPIITFFAVVFSRMIHVYSEKENSETSKAAQLLTW SMNAAQLVRLYCTQRLERKKFKEIILNCNTFFIKSCFFVAANAGILRFLTLTMFVQGF WFGSAMIKKGKLNINDVITCFHSCIMLGSTLNNTLHQIVVLQKGGVAMEKIMTLLKDG SKRNPLNKTVAHQFPLDYATSDLTFANVSFSYPSRPSEAVLKNVSLNFSAGQFTFIVG KSGSGKSTLSNLLLRFYDGYNGSISINGHNIQTIDQKLLIENITVVEQRCTLFNDTLR KNILLGSTDSVRNADCSTNENRHLIKDACQMALLDRFILDLPDGLETLIGTGGVTLSG GQQQRVAIARAFIRDTPILFLDEAVSALDIVHRNLLMKAIRHWRKGKTTIILTHELSQ IESDDYLYLMKEGEVVESGTQSELLADPTTTFSTWYHLQNDYSDAKTIVDTETEEKSI HTVESFNSQLETPKLGSCLSNLGYDETDQLSFYEAIYQKRSNVRTRRVKVEEENIGYA LKQQKNTESSTGPQLLSIIQIIKRMIKSIRYKKILILGLLCSLIAGATNPVFSYTFSF LLEGIVPSTDGKTGSSHYLAKWSLLVLGVAAADGIFNFAKGFLLDCCSEYWVMDLRNE VMEKLTRKNMDWFSGENNKASEISALVLNDLRDLRSLVSEFLSAMTSFVTVSTIGLIW ALVSGWKLSLVCISMFPLIIIFSAIYGGILQKCETDYKTSVAQLENCLYQIVTNIKTI KCLQAEFHFQLTYHDLKIKMQQIASKRAIATGFGISMTNMIVMCIQAIIYYYGLKLVM IHEYTSKEMFTTFTLLLFTIMSCTSLVSQIPDISRGQRAASWIYRILDEKHNTLEVEN NNARTVGIAGHTYHGKEKKPIVSIQNLTFAYPSAPTAFVYKNMNFDMFCGQTLGIIGE SGTGKSTLVLLLTKLYNCEVGKIKIDGTDVNDWNLTSLRKEISVVEQKPLLFNGTIRD NLTYGLQDEILEIEMYDALKYVGIHDFVISSPQGLDTRIDTTLLSGGQAQRLCIARAL LRKSKILILDECTSALDSVSSSIINEIVKKGPPALLTMVITHSEQMMRSCNSIAVLKD GKVVERGNFDTLYNNRGELFQIVSNQSS YKL208W MVSYGSHSSEVSKVLKTPKFVLRYGNVSSKQRFALKRKINYKLR ESKYQEYLNEYNTFVLYDWENSGAGSLVDSSYNLPSLWKEFITEGISKGAINDKLPTV FMKRKLTNSALGHCLGLDFLTDPSESEHEYRCMFQTVQDIPSLSQLILFNSMPNVPVR LKLHTIGININFGCKRSLISNGGDQDTEMSEAVSYIQPLLEESSRMYRNLNYWKLLKI ARNNKKDEPLDQSTRIKSQVKLLLSQLATNRITSPSVTDHGGHNWLIFTRRRL YKL207W MLLDDQLKYWVLLPISIVMVLTGVLKQYIMTLITGSSANEAQPR VKLTEWQYLQWAQLLIGNGGNLSSDAFAAKKEFLVKDLTEERHLAKAKQQDGSQAGEV PNPFNDPSMSNAMMNMAKGNMASFIPQTIIMWWVNHFFAGFILMQLPFPLTAKFKEML QTGIICQDLDVRWVSSISWYFISVLGLNPVYNLIGLNDQDMGIQAGIGGPQGPQGPPQ SQVDKAMHAMANDLTIIQHETCLDNVEQRVLKQYM YKL206C MSCLVLPLVSVGNIPQLSIDWLLNSQANEWEYLEALDSKYLVEF VGPLDRPEDGSDSLYKDADMKYSSALEVFYNKKRGLFAIQQRTPLVSVNYLNNFIVEI ILPFLSKYNISEICIWDSLYAMEDENGVIVRPQEVYSLGEFYFDDEAELLSNLHLNDQ ESMVNNWLHFTPTSFQDKISVDQPIFKILFQILNASQRPKALRSIKYCSCLANEGDNS LDSQQFLQWIISQKVIKNAPPIVKFVRPISWQGAYGMADARDKFVDLYN YKL205W MLERIQQLVNAVNDPRSDVATKRQAIELLNGIKSSENALEIFIS LVINENSNDLLKFYGLSTLIELMTEGVNANPNGLNLVKFEITKWLKFQVLGNKQTKLP DFLMNKISEVLTTLFMLMYSDCNGNQWNSFFDDLMSLFQVDSAISNTSPSTDGNILLG LEFFNKLCLMINSEIADQSFIRSKESQLKNNNIKDWMRDNDIMKLSNVWFQCLKLDEQ IVSQCPGLINSTLDCIGSFISWIDINLIIDANNYYLQLIYKFLNLKETKISCYNCILA IISKKMKPMDKLAFLNMINLTNELTYYHQAISMNPQIITFDNLEVWESLTKLITSFGI EFTIIIEQVNDDQKLDTLYKQSVISNVDSILLEKIIPILLEFMNNEFDSITAKTFPFW SNYLAFLKKYKASSPNFVPLHKDFLDNFQQICFKRMKFSDDEVTQDDFEEFNETVRFK LKNFQEIIVVIDPSLFLNNISQEISANLMNCKNESWQIFELTIYQIFNLSECTKNNYF GLNKNEIMTSQPSLTLVRFLNELLMMKDFLLAIDNEQIQILFMELIVKNYNFIFSTSA NTANATDDDEKYLLILNIFMSSFAMFNKRENVRLRSWYLFTRFLKLTRINLKKILFAN KNLVNEITNKISPLLHIKVTSINAQGTDDNDTIFDNQLYIFEGIGFIITLNNSSQELT AATANTPIDYDILDQILTPLFTQLEGCITQGASPVVILECHHILMAIGTLARGLHIGL VPENQVNNMVVNKKLINDSLIHKFSNIAEVILVTFSFFNKFENIRDASRFTFARLIPI LSNKILPFINKLIELILSSTDLKSWEMIDFLGFLSQLIHMFHTDTDCYQLFNQLLTPL INKVHSIIEEIDEQHDQQSSSNKPIDTAVTATSVNKNIVVTDSYRDKILLKKAYCTFL QSFTNNSVTSILLSDINRAILPVILNDLVTYTPQEIQETSMMKVSLNVLCNFIKCFGN GTCLDNDDINKDPNLKIDGLNEYFIMKCVPIIFEIPFNPIYKFNIKEGNFKTMAYDLA RLLRELFIVSSNPTTNENECVKYLTQIYLPQIQLPQELTIQLVNMLTTMGQKQFEKWF VDNFISVLKQGQ YKL204W MELNDPSIISSSQFSGELSDSDTAAATHKSQQAISNLFQKLAKK GREEKPIGSVESSTDSSNISVATSGNNKESNKKKNKKTAMLNFSSLTDPITNYKPMDL QYKTYAYSMNELYHLKPSLASASYEEDPLISELVRSLPKRKFWRLRMGPPDQKHANNH HFNGNNGGGSWKAGYKNGKNDERRMSRTKNMQGGKRRSQQDDEEKKIDQEMLEMDKNL QLGGDVGHSIADFEDWKAKMKELELKKLSKSKGISNSTAIAPRESASHETPTDLRPVI PRGPSSITDFLNLKRQDKKEESSQQTPGIPVGQPSLSKTSIEQVNELETNSDLGKSSS SRFSSFFNKSATSLPSLDNNNQVPSSNVSVVNNDGNSTPHQSGSRLMSFFKESRSSTP NAESQLLSASDKDNGKMQTLPQFQQQPQQMQPMAFTQHPPNNNAFFNGLLNKGKSETS TPPPPPPGLIAHQGPQFPVMGVPPNFPQRMMPPPPGLVQFQKDSKDVNKKEDRQLRQN KNPNGTRNSKGKQEETATPDLPQQQYMPPPPPPGFFPMHPNFPNGPMPPLPQGFPIPP NGMLPVTGQQPQPPYPNMMLQGNFPPNFQQGFGSNSPMPIPSIINANGKNVTNQLPPG LNSKKNIK YKL203C MNKYINKYTTPPNLLSLRQRAEGKHRTRKKLTHKSHSHDDEMST TSNTDSNHNGPNDSGRVITGSAGHIGKISFVDSELDTTFSTLNLIFDKLKSDVPQERA SGANELSTTLTSLAREVSAEQFQRFSNSLNNKIFELIHGFTSSEKIGGILAVDTLISF YLSTEELPNQTSRLANYLRVLIPSSDIEVMRLAANTLGRLTVPGGTLTSDFVEFEVRT CIDWLTLTADNNSSSSKLEYRRHAALLIIKALADNSPYLLYPYVNSILDNIWVPLRDA KLIIRLDAAVALGKCLTIIQDRDPALGKQWFQRLFQGCTHGLSLNTNDSVHATLLVFR ELLSLKAPYLRDKYDDIYKSTMKYKEYKFDVIRREVYAILPLLAAFDPAIFTKKYLDR IMVHYLRYLKNIDMNAANNSDKPFILVSIGDIAFEVGSSISPYMTLILDNIREGLRTK FKVRKQFEKDLFYCIGKLACALGPAFAKHLNKDLLNLMLNCPMSDHMQETLMILNEKI PSLESTVNSRILNLLSISLSGEKFIQSNQYDFNNQFSIEKARKSRNQSFMKKTGESND DITDAQILIQCFKMLQLIHHQYSLTEFVRLITISYIEHEDSSVRKLAALTSCDLFIKD DICKQTSVHALHSVSEVLSKLLMIAITDPVAEIRLEILQHLGSNFDPQLAQPDNLRLL FMALNDEIFGIQLEAIKIIGRLSSVNPAYVVPSLRKTLLELLTQLKFSNMPKKKEESA TLLCTLINSSDEVAKPYIDPILDVILPKCQDASSAVASTALKVLGELSVVGGKEMTRY LKELMPLIINTFQDQSNSFKRDAALTTLGQLAASSGYVVGPLLDYPELLGILINILKT ENNPHIRRGTVRLIGILGALDPYKHREIEVTSNSKSSVEQNAPSIDIALLMQGVSPSN DEYYPTVVIHNLMKILNDPSLSIHHTAAIQAIMHIFQNLGLRCVSFLDQIIPGIILVM RSCPPSQLDFYFQQLGSLISIVKQHIRPHVEKIYGVIREFFPIIKLQITIISVIESIS KALEGEFKRFVPETLTFFLDILENDQSNKRIVPIRILKSLVTFGPNLEDYSHLIMPIV VRMTEYSAGSLKKISIITLGRLAKNINLSEMSSRIVQALVRILNNGDRELTKATMNTL SLLLLQLGTDFVVFVPVINKALLRNRIQHSVYDQLVNKLLNNECLPTNIIFDKENEVP ERKNYEDEMQVTKLPVNQNILKNAWYCSQQKTKEDWQEWIRRLSIQLLKESPSACLRS CSSLVSVYYPLARELFNASFSSCWVELQTSYQEDLIQALCKALSSSENPPEIYQMLLN LVEFMEHDDKPLPIPIHTLGKYAQKCHAFAKALHYKEVEFLEEPKNSTIEALISINNQ LHQTDSAIGILKHAQQHNELQLKETWYEKLQRWEDALAAYNEKEAAGEDSVEVMMGKL RSLYALGEWEELSKLASEKWGTAKPEVKKAMAPLAAGAAWGLEQWDEIAQYTSVMKSQ SPDKEFYDAILCLHRNNFKKAEVHIFNARDLLVTELSALVNESYNRAYNVVVRAQIIA ELEEIIKYKKLPQNSDKRLTMRETWNTRLLGCQKNIDVWQRILRVRSLVIKPKEDAQV RIKFANLCRKSGRMALAKKVLNTLLEETDDPDHPNTAKASPPVVYAQLKYLWATGLQD EALKQLINFTSRMAHDLGLDPNNMIAQSVPQQSKRVPRHVEDYTKLLARCFLKQGEWR VCLQPKWRLSNPDSILGSYLLATHFDNTWYKAWHNWALANFEVISMLTSVSKKKQEGS DASSVTDINEFDNGMIGVNTFDAKEVHYSSNLIHRHVIPAIKGFFHSISLSESSSLQD ALRLLTLWFTFGGIPEATQAMHEGFNLIQIGTWLEVLPQLISRIHQPNQIVSRSLLSL LSDLGKAHPQALVYPLMVAIKSESLSRQKAALSIIEKMRIHSPVLVDQAELVSHELIR MAVLWHEQWYEGLDDASRQFFGEHNTEKMFAALEPLYEMLKRGPETLREISFQNSFGR DLNDAYEWLMNYKKSKDVSNLNQAWDIYYNVFRKIGKQLPQLQTLELQHVSPKLLSAH DLELAVPGTRASGGKPIVKISKFEPVFSVISSKQRPRKFCIKGSDGKDYKYVLKGHED IRQDSLVMQLFGLVNTLLQNDAECFRRHLDIQQYPAIPLSPKSGLLGWVPNSDTFHVL IREHREAKKIPLNIEHWVMLQMAPDYDNLTLLQKVEVFTYALNNTEGQDLYKVLWLKS RSSETWLERRTTYTRSLAVMSMTGYILGLGDRHPSNLMLDRITGKVIHIDFGDCFEAA ILREKFPEKVPFRLTRMLTYAMEVSGIEGSFRITCENVMKVLRDNKGSLMAILEAFAF DPLINWGFDLPTKKIEEETGIQLPVMNANELLSNGAITEEEVQRVENEHKNAIRNARA MLVLKRITDKLTGNDIRRFNDLDVPEQVDKLIQQATSVENLCQHYIGWCPFW YKL201C MLQRISSKLHRRFLSGLLRVKHYPLRRILLPLILLQIIIITFIW SNSPQRNGLGRDADYLLPNYNELDSDDDSWYSILTSSFKNDRKIQFAKTLYENLKFGT NPKWVNEYTLQNDLLSVKMGPRKGSKLESVDELKFYDFDPRLTWSVVLNHLQNNDADQ PEKLPFSWYDWTTFHELNKLISIDKTVLPCNFLFQSAFDKESLEAIETELGEPLFLYE RPKYAQKLWYKAARNQDRIKDSKELKKHCSKLFTPDGHGSPKGLRFNTQFQIKELYDK VRPEVYQLQARNYILTTQSHPLSISIIESDNSTYQVPLQTEKSKNLVQSGLLQEYIND NINSTNKRKKNKQDVEFNHNRLFQEFVNNDQVNSLYKLEIEETDKFTFDKDLVYLSPS DFKFDASKKIEELEEQKKLYPDKFSAHNENYLNSLKNSVKTSPALQRKFFYEAGAVKQ YKGMGFHRDKRFFNVDTLINDKQEYQARLNSMIRTFQKFTKANGIISWLSHGTLYGYL YNGMAFPWDNDFDLQMPIKHLQLLSQYFNQSLILEDPRQGNGRYFLDVSDSLTVRING NGKNNIDARFIDVDTGLYIDITGLASTSAPSRDYLNSYIEERLQEEHLDINNIPESNG ETATLPDKVDDGLVNMATLNITELRDYITSDENKNHKRVPTDTDLKDLLKKELEELPK SKTIENKLNPKQRYFLNEKLKLYNCRNNHFNSFEELSPLINTVFHGVPALIPHRHTYC LHNEYHVPDRYAFDAYKNTAYLPEFRFWFDYDGLKKCSNINSWYPNIPSINSWNPNLL KEISSTKFESKLFDSNKVSEYSFKNLSMDDVRLIYKNIPKAGFIEVFTNLYNSFNVTA YRQKELEIQYCQNLTFIEKKKLLHQLRINVAPKLSSPAKDPFLFGYEKAMWKDLSKSM NQTTLDQVTKIVHEEYVGKIIDLSESLKYRNFSLFNITFDETGTTLDDNTEDYTPANT VEVNPVDFKSNLNFSSNSFLDLNSYGLDLFAPTLSDVNRKGIQMFDKDPIIVYEDYAY AKLLEERKRREKKKKEEEEKKKKEEEEKKKKEEEEKKKKEEEEKKKKEEEEKKKKEEE EKKKQEEEEKKKKEEEEKKKQEEGEKMKNEDEENKKNEDEEKKKNEEEEKKKQEEKNK KNEDEEKKKQEEEEKKKNEEEEKKKQEEGHSN YKL198C MTVSHNHSTKISQQPISSVSAFKFFGKKLLSSSHGNKLKKKASL PPDFHSTSTNDSESSSPKLPNSLKTSRRANSFAHTTNSKRSLSSASTKILPPAGSSTS ISRGNRHSSTSRNLSNSKFSSERLVYNPYGVSTPSTSLSSVSTSMKKDPDLGFYLHDG DSKIRMLPIPIVDPNEYLPDEMKEASIQLSDNFVFDDENKTIGWGGSCEVRKIRSKYR KKDVFALKKLNMIYNETPEKFYKRCSKEFIIAKQLSHHVHITNTFLLVKVPTTVYTTR GWGFVMELGLRDLFAMIQKSGWRSVALAEKFCIFKQVACGVKFCHDQGIAHRDLKPEN VLLSPDGVCKLTDFGISDWYHTDPHDLSSPVKKCAGMIGSPPYAPPEVMFYDSKKHYD TELQQPYDPRALDCYGLGIILMTLVNNVIPFLESCSFDTGFRDYCDAYENFIRLHDRA FRNRGNYRPGPGMEYHLARNFKNGHASRVAWRLADPEAATRYTIDDLFEDPWFQGIET CVDANDKYVCKKPIIKTTTYENPRGFHIATDVAATTPTSNPFLKNRVPIRSMVDIAAH PSPTATVLASSPPPPPPATHVPAEALFTLRETPPPQLATLTLSEEPPATPAPSAPSAP SARVRGHSPHRVVHHHLNIVNSLVHSSSAASSQVPAST YKL197C MTTTKRLKFENLRIQFSNAIVGNFLRLPHSIINVLESTNYAIQE FGIAVHSHNSDIPIVHLGWDGHDSGSSENVVLINPVLATVYDLNQKSPLVDLYIQRYD HTHLATEVYVTPETSDDWEIIDANAMRFQNGEILHQTRIVTPGETLICYLEGIVTKFK IDRVEPSMKSARITDGSLVVVAPKVNKTRLVKAEYGHSNKTILKNGAIQLLKKVILRS TVCKMDFPKDNLFVVYISDGAQLPSQKGYASIVKCSLRQSKKSDSDNKSVGIPSKKIG VFIKCDSQIPENHIALSSHLWDAFFTHPMNGAKIKLEFLQMNQANIISGRNATVNIKY FGKDVPTKSGDQYSKLLGGSLLTNNLILPTEQIIIEIKKGESEQQLCNLNEISNESVQ WKVTQMGKEEVKDIIERHLPKHYHVKETGEVSRTSKDEDDFITVNSIKKEMVNYLTSP IIATPAIILDGKQGIGKTRLLKELINEVEKDHHIFVKYADCETLHETSNLDKTQKLIM EWCSFCYWYGPSLIVLDNVEALFGKPQANDGDPSNNGQWDNASKLLNFFINQVTKIFN KDNKRIRVLFSGKQKTQINPLLFDKHFVSETWSLRAPDKHARAKLLEYFFSKNQIMKL NRDLQFSDLSLETEGFSPLDLEIFTEKIFYDLQLERDCDNVVTRELFSKSLSAFTPSA LRGVKLTKETNIKWGDIGALANAKDVLLETLEWPTKYEPIFVNCPLRLRSGILLYGYP GCGKTLLASAVAQQCGLNFISVKGPEILNKFIGASEQNIRELFERAQSVKPCILFFDE FDSIAPKRGHDSTGVTDRVVNQLLTQMDGAEGLDGVYILAATSRPDLIDSALLRPGRL DKSVICNIPTESERLDILQAIVNSKDKDTGQKKFALEKNADLKLIAEKTAGFSGADLQ GLCYNAYLKSVHRWLSAADQSEVVPGNDNIEYFSINEHGRREENRLRLKTLLQQDVVH ETKTSTSAASELTAVVTINDLLEACQETKPSISTSELVKLRGIYDRFQKDRNGEMPNG ENSIDIGSRLSLM YKL196C MRIYYIGVFRSGGEKALELSEVKDLSQFGFFERSSVGQFMTFFA ETVASRTGAGQRQSIEEGNYIGHVYARSEGICGVLITDKEYPVRPAYTLLNKILDEYL VAHPKEEWADVTETNDALKMKQLDTYISKYQDPSQADAIMKVQQELDETKIVLHKTIE NVLQRGEKLDNLVDKSESLTASSKMFYKQAKKSNSCCIIM YKL195W MLRNLVVRNACRNRPSIQVARGLCRHQTRRLMASSPQFGRNSNQ EKTAGFIMGILSMAGALYFIAPNRKPLFASRKVESDKTAEEELSSGGEQSPENEDDNN SKSDENGDDNDSKNDETEAGPQLGGDKIGASKVAEDGELVVLAEEDNKSSEDKDTDES KVSTKDDEQSNEDNATANNQKDENISSENSEENTSDKTLDNNAGSSEKKDPEHSDDEK SQQGQSDDKTTTEDNNGEEESSKKTVSDSENSAKQSESSDEEKEELRKQEEKQMGPTE EEVQHEGAYNPDTGEINWDCPCLGGMAHGPCGEEFKSAFSCFVYSEAEPKGIDCVEKF QHMQDCFRKYPEHYAEQLKETSDDEEPQDKVKVNTIESAPNVSSAKENAAKKAEQSDV KKEPLNEESKP YKL194C MKIQLVRWHCSRNALWNRAFYSTRKATKNASSATPATMTSMVSQ RQDLFMTDPLSPGSMFFLPNGAKIFNKLIEFMKLQQKFKFGFNEVVTPLIYKKTLWEK SGHWENYADDMFKVETTDEEKEEYGLKPMNCPGHCLIFGKKDRSYNELPLRFSDFSPL HRNEASGALSGLTRLRKFHQDDGHIFCTPSQVKSEIFNSLKLIDIVYNKIFPFVKGGS GAESNYFINFSTRPDHFIGDLKVWNHAEQVLKEILEESGKPWKLNPGDGAFYGPKLDI MVTDHLRKTHQVATIQLDFQLPERFDLKFKDQDNSYKRPIMIHRATFGSIERFMALLI DSNEGRWPFWLNPYQAVIIPVNTKNVQQLDMCTALQKKLRNELEADDMEPVPLNDWHF NVDLDIRNEPVGYRIKSAILKNYSYLIIVGDEEVQLQKYNIRERDNRKSFEKLTMSQI WEKFIELEKNYK YKL193C MDKNSVNKDSEEKDERHKIEVVDDTNPDFITADSELTQDLPDDV EVIDLVHLKIKSLEDLNLYRFKNLKQLCLRQNLIESISEVEVLPHDKIVDLDFYDNKI KHISSNVNKLTKLTSLDLSFNKIKHIKNLENLTDLENLYFVQNSISKIENLSTLKSLK NLELGGNKVHSIEPDSFEGLSNLEEIWLGKNSIPRLINLHPLKNLKILSIQSNKLKKI ENLEELTNLEELYLSHNFITKIEGLEKNLKLTTLDVTSNKITSLENLNHLSNLTDIWA SFNKIDQSFESLGENLSALSRLETIYLEGNPIQLENKTSYRRKLTMNLPPSLQKIDAT YIRG YKL192C MFRSVCRISSRVAPSAYRTIMGRSVMSNTILAQRFYSANLSKDQ VSQRVIDVIKAFDKNSPNIANKQISSDTQFHKDLGLDSLDTVELLVAIEEEFDIEIPD KVADELRSVGETVDYIASNPDAN YKL191W MEVAPALSTTQSDVAFQKVETHEIDRSSYLGPCYNSDELMQLIS AYYNVEPLVGYLEQHPEYQNVTLQFPDDLIKDSSLIVRLLQSKFPHGKIKFWVLADTA YSACCVDEVAAEHVHAEVVVHFGDACLNAIQNLPVVYSFGTPFLDLALVVENFQRAFP DLSSKICLMANAPFSKHLSQLYNILKGDLHYTNIIYSQVNTSAVEEKFVTILDTFHVP EDVDQVGVFEKNSVLFGQHDKADNISPEDYHLFHLTTPQDPRLLYLSTVFQSVHIFDP ALPGMVTGPFPSLMRRYKYMHVARTAGCIGILVNTLSLRNTRETINELVKLIKTREKK HYLFVVGKPNVAKLANFEDIDIWCILGCSQSGIIVDQFNEFYKPIITPYELNLALSEE VTWTGKWVVDFRDAIDEIEQNLGGQDTISASTTSDEPEFDVVRGRYTSTSRPLRALTH LELEAADDDDSKQLTTRHTASGAVIKGTVSTSASALQNRSWKGLGSDFDSTEVDNTGA DIEEGISGVARGYGFDREDAMKKENK YKL190W MGAAPSKIVDGLLEDTNFDRDEIERLRKRFMKLDRDSSGSIDKN EFMSIPGVSSNPLAGRIMEVFDADNSGDVDFQEFITGLSIFSGRGSKDEKLRFAFKIY DIDKDGFISNGELFIVLKIMVGSNLDDEQLQQIVDRTIVENDSDGDGRLSFEEFKNAI ETTEVAKSLTLQYDV YKL189W MFKKYKNQDLDMAFWWKKNPKTPSDYARLIIEQLNKFSSPSLTQ DNKRKVQEECTKYLIGTKHFIVGDTDPHPTPEAIDELYTAMHRADVFYELLLHFVDLE FEARRECMLIFSICLGYSKDNKFVTVDYLVSQPKTISLMLRTAEVALQQKGCQDIFLT VGNMIIECIKYEQLCRIILKDPQLWKFFEFAKLGNFEISTESLQILSAAFTAHPKLVS KEFFSNEINIIRFIKCINKLMAHGSYVTKRQSTKLLASLIVIRSNNALMNIYINSPEN LKLIMTLMTDKSKNLQLEAFNVFKVMVANPRKSKPVFDILVKNRDKLLTYFKTFGLDS QDSTFLDEREFIVQEIDSLPRIISSTTEVSNNNASSSNVASITSPSSVMNNQSSILTH STSPDSR YKL188C MISTASAFYQKHRVNLLRSSYIILLLATLYNSNSSSSNNKTDKK DSESTVLENKKIEEGKETAVDREEDESSKEELTIVSKHSTDSEDGAIIIDKESKTNHK GGERKGKVDFLFKLLLHDKKCLILFITQAILLNIRTLLSLRVATLDGQLVSTLVRAQY ANFTKILLGKWMILGIPASFINSLISYTTKLCAVTINRKVSDFLLSKYLSNHHTFYSV ASAESVSEIQDNLTKDIYTFSMNSSLLLNQLLKPMLDLILCSFKLLTSNTSVMGEGTL ALGLIVYASNSLLKLIQPNFTRLTMASASLESWFRSLHSNLHSSNEEIALLRGQKREL ENVDYSFYRLVLFLNREIKARAIYDVATAFVIKYTWGAAGLVLCSIPIFFKNKPSEDT LQLKEPGNDMTADFITNRRLLVTASSSIGRFVELKRNIQQLRGIRLRLNKFNDLLDAN KGDDEKEPRDERCIVEYDDSRIKFENIPLITPANQVLVPELSFDLKHGNHLLIIGPNG CGKSSLFRILGGLWPIRATPNKNHQSKLIMPRRTVDRDCAIFYLPQRPYMGNRSTFRE QIIYPDSIEQFKERYHNDYDLGDADLIKILQLLDLEDLVTENMSLLLAQRTSKNDSQQ LSTEDNQSPCAIKVRDAFSIVRNWSEELTIGVQQRLAMARMYYHKPKFAVLDECTSAV APEMEQRMYENAQNFGISLISVCHRTSLWHFHNYLLKFDGKGGYQFGPFNPKERLCNE EKLLELNAILDQQVPLWERKLKDLTIAKESNIIRKSETNLNLFEKIEDPKTSKSNALF NANKGQRITSPTGQETSKRLPLFSQPSSSASSNLLRNNKSLNKKVKTKKEEGKER YKL187C MRIEKHRTPLSKGIIWTILSVCLLFMFTTLILVIVATAGSTANY KPLTNIYIGEADIKHINVSKVIPQIGPILTILGSALTAPNSSLDDIFGAMKNIADTPA LTPLLTLLSNADNTTVTIESLTELAPLAISGNPASSTRQLTEINGLLKYSDNATETLD GLSRLVSASLSSASSNSSSDSTTLVLDLLKDSDNPQNSTDALLTLNNLTMSEKAQLLP VFRLFAFSTNQTATMTALATLMNTTISSSLAQTLLTQLQNTISNGGSLNNTFSTLQPL VPQASAPAFDAVELLLNQTTSTNQTLSTLSDLLEQNLTQSSSAKKAFAALTQLMENSD NSTMVVTSVQSLAAVTNTTQSTQQLIGLDDVISSSSNTNETLSILSELQSGLSGNSSS VQYIPYLFSLLGASTDPKTTFSSLVTLTSWAQENPQTFLPILDILADAKSVQPISAEE LNAMTPNILEYLKIPIYYRLSIFTLCHANLENKILDCNSPHAVQNLDFRSIIYDALVT SDFQPYLNALNISANDLYLEGKLLHREHQYVPAVRSVLALNLLAIIFSFFTMIFIILL YFNRYMFKQPLWLIALALHVCVGVATVLAAIIISVMIAIIKSGTADDKYGVVFKAGPA YTGLIWTAFALSFIATGLIIYTWWRNRRSGRYMSGSVTNRKGEIYTYGDGSAISADRF GDHNLGDDDDADFEKQVNRNEITAIDNSSSANNTDVTGSTSNRTELSHPDVTPKDSNG PVNNNAHLVA YKL186C MNTNSNTMVMNDANQAQITATFTKKILAHLDDPDSNKLAQFVQL FNPNNCRIIFNATPFAQATVFLQMWQNQVVQTQHALTGVDYHAIPGSGTLICNVNCKV RFDESGRDKMGQDATVPIQPNNTGNRNRPNDMNKPRPLWGPYFGISLQLIIDDRIFRN DFNGVISGFNYNMVYKPEDSLLKI YKL185W MSSLYIKTPLHALSAGPDSHANSSYYDNLLLPSFSNLSSNISRN NITTDNNINSASPRKYSFHSLNVSPILSPISLANEILGKKSNTAPASPHHMDYNPISS LTPGNSPEFNKASLSQISFTNPLNYGSGLGFSSNSQPRLPLLDRLSSVSLSKRPERPQ QSLPSLRHLQLLPSPLLQENAARFPDTSKRTSNWKTDLTHWCKDTNYQDYVKIREEVA HFKPLSIPNLTNNQNNDSFNYGKELESTRSSKFHSPSKESFDRTKLIPSILEAKDQFK DLSNNAWSITPPVTPPMSPPTNRTMERTTLRGVEASFFEGKSSNNDSIFNPIISEKLV QEVKHQRQLRGNSFPMPNASHKKTNSFKALQIKKLLANRDILSNNSKSNVRKPSKNKI SKQASNVFGNTARQLVMKLDNASYSSVSASSSPSPSTPTKSGKMRSRSSSPVRPKAYT PSPRSPNYHRFALDSPPQSPRRSSNSSITKKGSRRSSGSSPTRHTTRVCVSCHSSDSP CWRPSWSPRKQDQLCNSCGLRYKKTHTRCLNDLCRKIPTKGEINIMKSNGIDKEFVPE RNCEIEGYRCLFCNYITETVEN YKL184W MSSTQVGNALSSSTTTLVDLSNSTVTQKKQYYKDGETLHNLLLE LKNNQDLELLPHEQAHPKIFQALKARIGRINNETCDPGEENSFFICDLGEVKRLFNNW VKELPRIKPFYAVKCNPDTKVLSLLAELGVNFDCASKVEIDRVLSMNISPDRIVYANP CKVASFIRYAASKNVMKSTFDNVEELHKIKKFHPESQLLLRIATDDSTAQCRLSTKYG CEMENVDVLLKAIKELGLNLAGVSFHVGSGASDFTSLYKAVRDARTVFDKAANEYGLP PLKILDVGGGFQFESFKESTAVLRLALEEFFPVGCGVDIIAEPGRYFVATAFTLASHV IAKRKLSENEAMIYTNDGVYGNMNCILFDHQEPHPRTLYHNLEFHYDDFESTTAVLDS INKTRSEYPYKVSIWGPTCDGLDCIAKEYYMKHDVIVGDWFYFPALGAYTSSAATQFN GFEQTADIVYIDSELD YKL183C-A MYSKILLYRSNVLFMNFFSVFVCTIGTLFLVFADVYVLASAFFQ SKKEKETKFKHLHYQKRSCFFLANIH YKL183W MMKKKPKCQIARTKPSVENVIPYNQFKKTQPRFNGNFSALNNEE YIILFGGGRDLILGSLTPCSSSHLSNQANPQDTSEYGTDLFILNSCIIIWFNGLGYGL EIPYSSVLYHASRRLPDGREGLQLEILLTLERDEVLDMLYQSLAPQACEFDGEEAHAF TVRSVELTIRPKYSIYDRHYNNEIETLFTFENFGVNRGDDLVNNCNEALAVCMDLHGE DVQDQDQEQYQDPSMAFEGAQDLNATYSGLGDTLHGPPVYQNDGLADDLDGDLVMDNV VSRGGPEASMSMEFYANQNLAGRKNSRDNE YKL182W MDAYSTRPLTLSHGSLEHVLLVPTASFFIASQLQEQFNKILPEP TEGFAADDEPTTPAELVGKFLGYVSSLVEPSKVGQFDQVLNLCLTEFENCYLEGNDIH ALAAKLLQENDTTLVKTKELIKNYITARIMAKRPFDKKSNSALFRAVGEGNAQLVAIF GGQGNTDDYFEELRDLYQTYHVLVGDLIKFSAETLSELIRTTLDAEKVFTQGLNILEW LENPSNTPDKDYLLSIPISCPLIGVIQLAHYVVTAKLLGFTPGELRSYLKGATGHSQG LVTAVAIAETDSWESFFVSVRKAITVLFFIGVRCYEAYPNTSLPPSILEDSLENNEGV PSPMLSISNLTQEQVQDYVNKTNSHLPAGKQVEISLVNGAKNLVVSGPPQSLYGLNLT LRKAKAPSGLDQSRIPFSERKLKFSNRFLPVASPFHSHLLVPASDLINKDLVKNNVSF NAKDIQIPVYDTFDGSDLRVLSGSISERIVDCIIRLPVKWETTTQFKATHILDFGPGG ASGLGVLTHRNKDGTGVRVIVAGTLDINPDDDYGFKQEIFDVTSNGLKKNPNWLEEYH PKLIKNKSGKIFVETKFSKLIGRPPLLVPGMTPCTVSPDFVAATTNAGYTIELAGGGY FSAAGMTAAIDSVVSQIEKGSTFGINLIYVNPFMLQWGIPLIKELRSKGYPIQFLTIG AGVPSLEVASEYIETLGLKYLGLKPGSIDAISQVINIAKAHPNFPIALQWTGGRGGGH HSFEDAHTPMLQMYSKIRRHPNIMLIFGSGFGSADDTYPYLTGEWSTKFDYPPMPFDG FLFGSRVMIAKEVKTSPDAKKCIAACTGVPDDKWEQTYKKPTGGIVTVRSEMGEPIHK IATRGVMLWKEFDETIFNLPKNKLVPTLEAKRDYIISRLNADFQKPWFATVNGQARDL ATMTYEEVAKRLVELMFIRSTNSWFDVTWRTFTGDFLRRVEERFTKSKTLSLIQSYSL LDKPDEAIEKVFNAYPAAREQFLNAQDIDHFLSMCQNPMQKPVPFVPVLDRRFEIFFK KDSLWQSEHLEAVVDQDVQRTCILHGPVAAQFTKVIDEPIKSIMDGIHDGHIKKLLHQ YYGDDESKIPAVEYFGGESPVDVQSQVDSSSVSEDSAVFKATSSTDEESWFKALAGSE INWRHASFLCSFITQDKMFVSNPIRKVFKPSQGMVVEISNGNTSSKTVVTLSEPVQGE LKPTVILKLLKENIIQMEMIENRTMDGKPVSLPLLYNFNPDNGFAPISEVMEDRNQRI KEMYWKLWIDEPFNLDFDPRDVIKGKDFEITAKEVYDFTHAVGNNCEDFVSRPDRTML APMDFAIVVGWRAIIKAIFPNTVDGDLLKLVHLSNGYKMIPGAKPLQVGDVVSTTAVI ESVVNQPTGKIVDVVGTLSRNGKPVMEVTSSFFYRGNYTDFENTFQKTVEPVYQMHIK TSKDIAVLRSKEWFQLDDEDFDLLNKTLTFETETEVTFKNANIFSSVKCFGPIKVELP TKETVEIGIVDYEAGASHGNPVVDFLKRNGSTLEQKVNLENPIPIAVLDSYTPSTNEP YARVSGDLNPIHVSRHFASYANLPGTITHGMFSSASVRALIENWAADSVSSRVRGYTC QFVDMVLPNTALKTSIQHVGMINGRKLIKFETRNEDDVVVLTGEAEIEQPVTTFVFTG QGSQEQGMGMDLYKTSKAAQDVWNRADNHFKDTYGFSILDIVINNPVNLTIHFGGEKG KRIRENYSAMIFETIVDGKLKTEKIFKEINEHSTSYTFRSEKGLLSATQFTQPALTLM EKAAFEDLKSKGLIPADATFAGHSLGEYAALASLADVMSIESLVEVVFYRGMTMQVAV PRDELGRSNYGMIAINPGRVAASFSQEALQYVVERVGKRTGWLVEIVNYNVENQQYVA AGDLRALDTVTNVLNFIKLQKIDIIELQKSLSLEEVEGHLFEIIDEASKKSAVKPRPL KLERGFACIPLVGISVPFHSTYLMNGVKPFKSFLKKNIIKENVKVARLAGKYIPNLTA KPFQVTKEYFQDVYDLTGSEPIKEIIDNWEKYEQS YKL181W MRKCKIFVGNSHPELGNMVCQRLGIEPAPCTLKKFANGETSVQI GVSVRDEDVYVIQSGSPSINDDIMELLILVSACRGGSARKITAVIPQFPYSKQCKMKR HRGAITARMLANLLVMAGADHVVSMDLHASQMQGFFTKPVDNLYGGPSLAKWIRENVE DYEDAVVVSKNPGGTKRVTALADSLKINFAMIHTDRRRSKDLYSQNKDLQQLKLRKQS MLRKNRPIIRQGDHPNEEENIILSNGIQTARIRNGHVIGDDEADDDEDAILESDSELH SIDGLDSHGLGGTYDAVDSEDEEEIPVLYREQLITLVGNVRGRSAIILDDMIDRPGSF ISAAEHLVQNCGAKKVYVVATHGIFTGDCLEELEKSDAIDTIVVTNTYPISGERIAGS KKLVTIDVSPIFAECIRRDHYGESISVLFDSLAAL YKL180W MARYGATSTNPAKSASARGSYLRVSFKNTRETAQAINGWELTKA QKYLEQVLDHQRAIPFRRFNSSIGRTAQGKEFGVTKARWPAKSVKFVQGLLQNAAANA EAKGLDATKLYVSHIQVNQAPKQRRRTYRAHGRINKYESSPSHIELVVTEKEEAVAKA AEKKVVRLTSRQRGRIAAQKRIAA YKL179C MDTSVYSHALDIWAKADLTNLQRELDADVIEIKDKETLSLNSRK SLATETKKFKKLEPEEKLNNVNKIIKQYQREIDNLTQRSKFSEKVLFDVYEKLSEAPD PQPLLQSSLEKLGKIDDSKELKEKISYLEDKLAKYADYETLKSRLLDLEQSSAKTLAK RLTAKTQEINSTWEEKGRNWKEREADLLKQLTNVQEQNKALEAKISKNIDIEGNGNED GDQENNQKEVSTRIAEYNLVTQELETTQARIYQLEKRNEELSGALAKATSEAEKETEL HAKELKLNQLESENALLSASYEQERKSTSHAINELKEQLNSVVAESESYKSELETVRR KLNNYSDYNKIKEELSALKKIEFGVNEDDSDNDIRSEDKNDNTFESSLLSANKKLQAT LAEYRSKSTAQEEERNELKKSVDQLKQQIATLKEANEKLETDLEKVENVSPHFNETAS MMSGVTRQMNNRTSHKMSPTSSIIGIPEDGELSGNQSTILPIVTKQRDRFRSRNMDLE KQLRQGNSEKGKLKLEISKLKGDNTKLYERIRYLQSYNNNNAPVNQSTERIDVESQYS RVYDESLHPMANFRQNELNHYKNKKLSALEKLFSSFAKVILQNKMTRMVFLFYCIGLH GLVFMMSMYVINISGYMTPEVGIVQSAKSSSNLNGGLGGAEKVAAGVGSVHGINR YKL178C MSYKSAIIGLCLLAVILLAPPLAWHSHTKNIPAIILITWLLTMN LTCIVDAAIWSDDDFLTRWDGKGWCDIVIKLQVGANIGISCAVTNIIYNLHTILKADS VLPDLSSWTKIVKDLVISLFTPVMVMGFSYLLQVFRYGIARYNGCQNLLSPTWITTVL YTMWMLIWSFVGAVYATLVLFVFYKKRKDVRDILHCTNSGLNLTRFARLLIFCFIIIL VMFPFSVYTFVQDLQQVEGHYTFKNTHSSTIWNTIIKFDPGRPIYNIWLYVLMSYLVF LIFGLGSDALHMYSKFLRSIKLGFVLDMWKRFIDKNKEKRVGILLNKLSSRKESRNPF STDSENYISTCTENYSPCVGTPISQAHFYVDYRIPDDPRKSQNKSKKYLFADKETDDI LDEIDLKESRHIPYVTQGQSFDDEISLGGFSKVTLDYSEKLHNSASSNFEGESLCYSP ASKEENSSSNEHSSENTAGP YKL176C MLGNLLRNKTSSSGFEKSSEHSDFSSVVPNVPVYCKAASTGTTK TAAGALLDTAVNVEKPSEMLSTTSPPILDHISDDLKLKLFGSRDIPYSRPIDTLQNNG GLGTDKITSINEKTYAFRILIIEEAGQMACRNNYRDIFDYTTSKISNSMEQIRPSELK EYIFGSPVRSSDLTQCDKIRTIPNSDLVLITRIFYYTHQYNRIAISLCIPRILLPVVA ESWSSISSWLTQTQKMLIGFLTKNRIMQENTGNYSNNSVIKLSNIDIRTHYPKEIEIM VQTLQKRVIPGLRSMSEIPRLFLYPETFKEFVHVWFKSIFNWIEIKDGPKLGFLPLLM AMIISDYRHTIRELKTSKIVILSGNMVVANKLLFILSALLEPKYKGQITIRRENIRSD SSAVSRNKSNNNFVDKPETELSTLTSTDNLLSRTENNSNHNYNNSNVSSNSIGSPNFH SLRKGWQIPNRRNSNTSVSVSSSESLAEVIQPSSFKSGSSSLHYLSSSISSQPGSYGS WFNKRPTISQFFQPSPSLKHNESWERLQTTAGNMQRTSSSSSLQQATSRLSLTTPQQS PSISEYDEYPWMGTPGSPNVGDVSHAPPLVKNISYKFPLKNVELKRDCQRISQDDLLD EAFERICQPSLADLNSTYEIFPGNSSYADILTTDSDIDDGLMNKPLELLPKYTMYLTH FNNFFQLQACPAGQESESRITNSMKIDLLKADYTRSLLVSLRSRDIRDVALKREFTGN NNNNSNQNIYDENFVGKRKYVLKQKTRKIFSCGKIGKLSTSLENCVNFVENSIKSAMM LYDDNGIDSELRDSEALRIFSSLVHYCNAG YKL175W MEKIPRWLLFSLISSVLCILGALCVPLLSVAFDSKRNSQSKLVN YGLSLSAGSMITTSLYMLLPRIEKSNRFKVFPGLLLGICLSFFLNYLVHAFASESLVH CADSGDHATGSHIHSKSHSHSHSHSHADSHSNFSNDHDLENAPSEHGYATSSSSVSEN DPLITKDSDRPQMKKKMSLIDLLTRRKSEGECCDLNKCTPLLQSEQPEYIACVPPVIK SSQSERNVPHGCEGSEDNGQSDDKDHRGLVCVENNIGYDLENLSLYRKNFLSSRHHHS SESPENYGSNQLSHSFSSPLGNDVTENPAALADTQYHPENGSLYPHHHHLETPFSKLL SIGMQTCLVLALHKFPEGFIIFYTNRSDSSKSLGFSIFLSLTIHNFVEGFAMTLPFYT VFESKWVAILITAVLGGGSQPLGALIGYFIFKGSTPRDHEPNMDFLLSVTAGFLLVIG LQMFQTGIGFSDGHHHHQGEGDEEMKQSHSSGTTCLKWCCTGVLLILASALFT YKL174C MPEYTLLADNIRENIVHFDPNGLFDNLHTIVHEDDSQENEEAEH FNYDQVLDKSLLSRGSIVGLGLGLMSPVLGMCTSMAIGLINGGPLTIMLGFLISGVCI WFSSLSLGEIVSKFPMELHVGSAMLAPEKLKLVCSWYTGWLMLIGNWTMSTSITFAGA QLTISLILMTNSNLISEAHLIFYTVIVFYLVVTVVGLVNLKFARFIETINKVCVYWII YAIIFIDILLLVFHKGKFRSLKYALFHFDNNLSGYKSAFLSFIIGFQQSNFTLQGFSM LPALADEVKVPEKDIPRGMSNAVLLSAFSGVIFLIPIMLILPDNDLLFTNHKVLPIVN IFTKSTDSVVLSFFLVLLILGNLLFSGIGSITTSSRAVYSFSRDQAIPYYDKWTYVEP DSQSKVPKNSVVLSMIISYFLGLLALISTAAFNAFIGAAVLCLCSATFIPLVLVLFTR RRAIRSAPVKIRYKFGWFINIVSIVWLLLSMVSVCLPTQVPVTFKTMNYALMVYVFCI LVITGLYFKWGKYNFRLPLADDIKAPIPSDAEETVFELEDSNVEHTLNSGTTVKESVE NNSEEGFIKVHPKSSTENPFEENEENVITDYGDEHHTAEQEFDLADDRRYDI YKL173W MEGDDLFDEFGNLIGVDPFDSDEEESVLDEQEQYQTNTFEGSGN NNEIESRQLTSLGSKKELGISLEHPYGKEVEVLMETKNTQSPQTPLVEPVTERTKLQE HTIFTQLKKNIPKTRYNRDYMLSMANIPERIINVGVIGPLHSGKTSLMDLLVIDSHKR IPDMSKNVELGWKPLRYLDNLKQEIDRGLSIKLNGSTLLCTDLESKSRMINFLDAPGH VNFMDETAVALAASDLVLIVIDVVEGVTFVVEQLIKQSIKNNVAMCFVINKLDRLILD LKLPPMDAYLKLNHIIANINSFTKGNVFSPIDNNIIFASTKLGFTFTIKEFVSYYYAH SIPSSKIDDFTTRLWGSVYYHKGNFRTKPFENVEKYPTFVEFILIPLYKIFSYALSME KDKLKNLLRSNFRVNLSQEALQYDPQPFLKHVLQLIFRQQTGLVDAITRCYQPFELFD NKTAHLSIPGKSTPEGTLWAHVLKTVDYGGAEWSLVRIYSGLLKRGDTVRILDTSQSE SRQKRQLHDISKTETSNEDEDEDDETPSCEVEEIGLLGGRYVYPVHEAHKGQIVLIKG ISSAYIKSATLYSVKSKEDMKQLKFFKPLDYITEAVFKIVLQPLLPRELPKLLDALNK ISKYYPGVIIKVEESGEHVILGNGELYMDCLLYDLRASYAKIEIKISDPLTVFSESCS NESFASIPVSNSISRLGEENLPGLSISVAAEPMDSKMIQDLSRNTLGKGQNCLDIDGI MDNPRKLSKILRTEYGWDSLASRNVWSFYNGNVLINDTLPDEISPELLSKYKEQIIQG FYWAVKEGPLAEEPIYGVQYKLLSISVPSDVNIDVMKSQIIPLMKKACYVGLLTAIPI LLEPIYEVDITVHAPLLPIVEELMKKRRGSRIYKTIKVAGTPLLEVRGQVPVIESAGF ETDLRLSTNGLGMCQLYFWHKIWRKVPGDVLDKDAFIPKLKPAPINSLSRDFVMKTRR RKGISTGGFMSNDGPTLEKYISAELYAQLRENGLVP YKL172W MAKGFKLKELLSHQKEIEKAEKLENDLKKKKSQELKKEEPTIVT ASNLKKLEKKEKKADVKKEVAADTEEYQSQALSKKEKRKLKKELKKMQEQDATEAQKH MSGDEDESGDDREEEEEEEEEEEGRLDLEKLAKSDSESEDDSESENDSEEDEDVVAKE ESEEKEEQEEEQDVPLSDVEFDSDADVVPHHKLTVNNTKAMKHALERVQLPWKKHSFQ EHQSVTSETNTDEHIKDIYDDTERELAFYKQSLDAVLVARDELKRLKVPFKRPLDYFA EMVKSDEHMDKIKGKLIEEASDKKAREEARRQRQLKKFGKQVQNATLQKRQLEKRETL EKIKSLKNKRKHNEIDHSEFNVGVEEEVEGKRFDRGRPNGKRAAKNAKYGQGGMKRFK RKNDATSSADVSGFSSRKMKGKTNRPGKSRRARRF YKL171W MFTSQRQLRQNGSPMSSSRSSQHSSGTASPISDSPASNRSYGRD LRGLMGIDIPANEPAFNRANSSDTIYFRPKKIYKMEHEHPSRSTLVQLQTRSQPDDVA SSQVNPEGGTDDLELGDPCGNQSLYTIGAEYVPDLDFTKLVNEWQKSTEDLYEFRSSA TPQVQIKDSGKGNYELWSSPDAILTQNKLRRDSFSQENSDSLSPEDSILSRNLHSKVK PIPLPRNSQQIFTPLSNLEAERRSSYTTSSNNNSITQNNKFSFAKLKYSLPTQSSAVP ASFDSNASSLNFLPTTTLSTLSELQISPNDMMDLIQKLPRNFLNLPYTQRKKVIIEHA PSHDYKAMMSLVKKFMLTSSRSNFSLAGFANNASVSQATANDDNINSRNTPNNSNDTY VNTRPLQRSRHGSIASQFLSSFSPSMTSIAKMNSNPLSGSAGGSARPDDKGMEILGHR LGKIIGFGAWGIIRECFDIETGVGRVIKIVKFKGHQNIKKHVLREVAIWRTLKHNRIL PLLDWKLDDNYAMYCLTERINDGTLYDLVISWDEFKRSKIPFAERCRLTIFLSLQLLS ALKYMHSKTIVHGDIKLENCLLQKEGKKSDWKVFLCDFGMSCHFDEKHVYRNDTFDEN LSSGNSHRKRKSIEQTNLIKYPTTNFLPDDRTNDFDASENLKYQFENRKHQPFTPKGM VSSSSHSLKHLNQPSSSSSSNLFHKPASQPQPQHRSPFHGRHKTTDFSNLEPEPSKYI GSLPYASPELLRYSDARRSKSVEMHIYDSPDSSQSEISAASSSSSNLSSLSSSTKASA VTNSGVTTSSPSGSSTDFPCIVSPLGPASDIWALGVMLYTMLVGKLPFNHEFEPRLRS LIKVGEFDRFSLAQVCKFDRKKNEGTIGQGLYDTVIGCLTIDLDKRWKLKRIEEVLQN EMNLSEAIHDNNGS YKL170W MIFLKSVIKVIDNSGAQLAECIKVIRKGSPKSPAMVGDRIVCVI QKAKPLTQNITGTANTNRVKKGDICHAIVVRSKQRNMCRKDGSTVAFGDTACVLINKN TGEPLGTRIMANDGCVDRTLKDKGYNKICSLASRVI YKL168C MVMQEEKKRQQPVTRRVRSFSESFKNLFRPPRSRDSSPINVTRI PYRSSSTSPKRSSEPPRRSTVSAQILDPKNSPIRQRSYTLKCCTPGLSHPFRQTGSGA SNSPTRHRSISGEEQEIVNSLPEYKRSASHTFHGIRRPRSRSSSVSSCDSSNGTTSSS DSQWAMDSLLDDSDNDLTPYRGSNKDILKSKDRAPYNYIDDYNKKALRRATSYPNPLP SKQFYNERLYTRRSHPDEESLESLPRFAGADVQCIIEQNGFKVYEDGSHEHNIKLSGV IAKLEKGNSLPAHRQGSLSRPRLGITLSGLFKHHKNECDIENALSLLPNVEKSQTNHE KRTGQSPNDSNRSSPTQGREDYLKIVNPDASLGSDELKLINSLSSRIHKSLQNYLQEK NLKPAECIGEQAPTFQDNYGHPVGLVGAGAYGEVKLCARLRNEKDSPPFETYHDSKYI YYAVKELKPKPDSDLEKFCTKITSEFIIGHSLSHYHKNGKKPAPNILNVFDILEDSSS FIEVMEFCPAGDLYGMLVGKSKLKGRLHPLEADCFMKQLLHGVKFMHDHGIAHCDLKP ENILFYPHGLLKICDFGTSSVFQTAWERRVHAQKGIIGSEPYVAPEEFVDGEYYDPRL IDCWSCGVVYITMILGHYLWKVASREKDMSYDEFYKEMQRKNQFRVFEELKHVNSELA TNRKIALYRIFQWEPRKRISVGKLLDMQWMKSTNCCLIYDST YKL167C MSKVAQQLKFLNKISATTRLPQILVDPKKYSGLRLTFQTKNHNG HMGARVFWHNYLPTLQFYNPRMKFDVIRIKNEDKQKSVPCKLEILSHEGSVVETIDMR NKMHEDIMKDLLDKIEHVPLPENEIIRVGPQESII YKL166C MYVDPMNNNEIRKLSITAKTETTPDNVGQDIPVNAHSVHEECSS NTPVEINGRNSGKLKEEASAGICLVKKPMLQYRDTSGKYSLSDFQILRTLGTGSFGRV HLIRSNHNGRFYALKTLKKHTIVKLKQVEHTNDERRMLSIVSHPFIIRMWGTFQDSQQ VFMVMDYIEGGELFSLLRKSQRFPNPVAKFYAAEVCLALEYLHSKDIIYRDLKPENIL LDKNGHIKITDFGFAKYVPDVTYTLCGTPDYIAPEVVSTKPYNKSVDWWSFGVLIYEM LAGYTPFYNSNTMKTYENILNAELKFPPFFHPDAQDLLKKLITRDLSERLGNLQNGSE DVKNHPWFNEVIWEKLLARYIETPYEPPIQQGQGDTSQFDRYPEEEFNYGIQGEDPYM DLMKEF YKL165C MWNKTRTTLLAVGVLFHLFYLWSIFDIYFISPLVHGMSPYQSTP TPPAKRLFLIVGDGLRADTTFDKVTHPVSGKTEFLAPFIRSLVMNNATYGISHTRMPT ESRPGHVAMIAGFYEDVSAVTKGWKSNPVNFDSFFNQSTHTYSFGSPDILPMFKDGAS DPNKVDTWMYDHTFEDFTQSSIELDAFVFRHLDQLFHNSTLNSTLDYEIRQDGNVFFL HLLGCDTAGHSYRPYSAEYYDNVKYIDDQIPILIDKVNKFFADDKTAFIFTADHGMSA FGSHGDGHPNNTRTPLVAWGAGLNKPVHNPFPVSDNYTENWELSSIKRNDVKQADIAS LMSYLIGVNYPKNSVGELPIAYIDGKESDKLAALYNNARSILEQYLVKQDEVIDSQFF YKEYFKFVEKSHSHYLEEIETLIQRISEGENYLEQEAITLTEELMQITLEGLHYLTTY NWRFIRTIVTFGFVGWIFFSFIIFLKSFILENVIDDQKASPLSHAVFGSIGILLNWIL FYQHSPFNFYMYLLFPLYFWSYIFTNRSVLRSGIKEFFKGTSPWKRVLITISIISVYE GIVYGFFHRWTFTLITNILAFYPFICGVRELSVNILWIITSVLLSTFTLFDAVKIEDL NQIHLAGLLIILSAFYALYKIHSRINSYTRAIFAIQISLVAAMLAVTHRSVISLQLRQ GLPRESQVAGWIIFFVSLFVMPILHYRKPNNDYKVRLLIIYLTFAPSFIILTISFESL FYFLFTSYMVQWIEIENKIKEMKTQKDENWLQVLRVSVIGFFLLQVAFFGTGNVASIS SFSLESVCRLLPIFDPFLMGALLMLKLIIPYGLLSTCLGILNLKLNFKDYTISSLIIS MSDILSLNFFYLLRTEGSWLDIGITISNYCLAILSSLFMLILEVLGHVLLKNVIIQDK TKKTQ YKL164C MQYKKSLVASALVATSLAAYAPKDPWSTLTPSATYKGGITDYSS TFGIAVEPIATTASSKAKRAAAISQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTKA AAVSQIGDGQIQATTKTTSAKTTAAAVSQIGDGQIQATTKTKAAAVSQIGDGQIQATT KTTAAAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTNTTVAPVSQITDGQIQATTL TSATIIPSPAPAPITNGTDPVTAETCKSSGTLEMNLKGGILTDGKGRIGSIVANRQFQ FDGPPPQAGAIYAAGWSITPEGNLAIGDQDTFYQCLSGNFYNLYDEHIGTQCNAVHLQ AIDLLNC YKL163W MQYKKPLVVSALAATSLAAYAPKDPWSTLTPSATYKGGITDYSS SFGIAIEAVATSASSVASSKAKRAASQIGDGQVQAATTTAAVSKKSTAAAVSQITDGQ VQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAA KSTAAAASQISDGQVQATTSTKAAASQITDGQIQASKTTSGASQVSDGQVQATAEVKD ANDPVDVVSCNNNSTLSMSLSKGILTDRKGRIGSIVANRQFQFDGPPPQAGAIYAAGW SITPEGNLALGDQDTFYQCLSGDFYNLYDKHIGSQCHEVYLQAIDLIDC YKL162C MMKRLHPLRIQVHLKSDYPLFTFEQLLSTNGIRRGQTARISLKD YIEWQNFPNIMKRENFFTQRKPVTTTAKEEPFSFDNILDCEPQFSKCLAKWLLVNYKL NDYPYYDLNIVNIYTDLPQAIQICKNLMSYLKSTLSDNMFQKIKYFMVPLYKCDKIPS KLLDGIPGSVSLVQDYPVSPYFLQKKFHIEDPIQILMLNDVIKYTTHDLVRYSSDDKG WQQCFVDINKNGQKSKSFDSAIDYSCELALEQMFNDRSHVSPGKELYIPTKLIEILMT IKNNIPEHRLFIVDTPQRSSPTIISLLKSLISPRPTGSSQIVQPYSDSIFSDKRSGRI CFMTDFLQLQNIYNGINSSSSSCEVEDVADFVEKWISPSERSTLSSQNGNRPQLEDIK NSSLAVLHST YKL161C MATDTERCIFRAFGQDFILNKHFHLTGKIGRGSHSLICSSTYTE SNEETHVAIRKIPNAFGNKLSCKRTLRELKLLRHLRGHPNIVWLFDTDIVFYPNGALN GVYLYEELMECDLSQIIRSEQRLEDAHFQSFIYQILCALKYIHSANVLHCDLKPKNLL VNSDCQLKICNFGLSCSYSENHKVNDGFIKGYITSIWYKAPEILLNYQECTKAVDIWS TGCILAELLGRKPMFEGKDYVDHLNHILQILGTPPEETLQEIASQKVYNYIFQFGNIP GRSFESILPGANPEALELLKKMLEFDPKKRITVEDALEHPYLSMWHDIDEEFSCQKTF RFEFEHIESMAELGNEVIKEVFDFRKVVRKHPISGDSPSSSLSLEDAIPQEVVQVHPS RKVLPSYSPEFSYVSQLPSLTTTQPYQNLMGISSNSFQGVN YKL160W MGKRKKSTRKPTKRLVQKLDTKFNCLFCNHEKSVSCTLDKKNSI GTLSCKICGQSFQTRINSLSQPVDVYSDWFDAVEEVNSGRGSDTDDGDEGSDSDYESD SEQDAKTQNDGEIDSDEEEVDSDEERIGQVKRGRGALVDSDDE YKL159C MGNIITDTIIITSDKCDIVDNDNVERIQVWLSKNILRKFQINEN EPLQLIILKRFKRILLICPSHDISQHVMDASRALEMENFNFSYSLQDGQRNLTKQYLK VPESEKMFLISPPASPPPEFDFSKCEDAPQRHIQSHIQQDQQQRLEASQLLPNNPDKN NNGTFTLLKSKVGAITIDRCPTNDGNGQMQLADHVKTAFPPKSIFDTDDDD YKL157W MPIVRWLLLKSAVRGSSLIGKAHPCLRSIAAHPRYLSNVYSPPA GVSRSLRINVMWKQSKLTPPRFVKIMNRRPLFTETSHACAKCQKTSQLLNKTPNREIL PDNVVPLHYDLTVEPDFKTFKFEGSVKIELKINNPAIDTVTLNTVDTDIHSAKIGDVT SSEIISEEEQQVTTFAFPKGTMSSFKGNAFLDIKFTGILNDNMAGFYRAKYEDKLTGE TKYMATTQMEPTDARRAFPCFDEPNLKASFAITLVSDPSLTHLSNMDVKNEYVKDGKK VTLFNTTPKMSTYLVAFIVAELKYVESKNFRIPVRVYATPGNEKHGQFAADLTAKTLA FFEKTFGIQYPLPKMDNVAVHEFSAGAMENWGLVTYRVVDLLLDKDNSTLDRIQRVAE VVQHELAHQWFGNLVTMDWWEGLWLNEGFATWMSWYSCNEFQPEWKVWEQYVTDTLQH ALSLDSLRSSHPIEVPVKKADEINQIFDAISYSKGASLLRMISKWLGEETFIKGVSQY LNKFKYGNAKTEDLWDALADASGKDVRSVMNIWTKKVGFPVISVSEDGNGKITFRQNR YLSTADVKPDEDKTIYPVFLALKTKNGVDSSVVLSERSKTIELEDPTFFKVNSEQSGI YITSYTDERWAKLGQQADLLSVEDRVGLVADVKTLSASGYTSTTNFLNLVSKWNNEKS FVVWDQIINSISSMKSTWLFEPKETQDALDNFTKQLISGMTHHLGWEFKSSDSFSTQR LKVTMFGAACAARDADVEKAALKMFTDYCSGNKEAIPALIKPIVFNTVARVGGAENYE KVYKIYLDPISNDEKLAALRSLGRFKEPKLLERTLGYLFDGTVLNQDIYIPMQGMRAH QEGVEALWNWVKKNWDELVKRLPPGLSMLGSVVTLGTSGFTSMQKIDEIKKFFATKST KGFDQSLAQSLDTITSKAQWVNRDRDVVNKYLKENGYY YKL156W MVLVQDLLHPTAASEARKHKLKTLVQGPRSYFLDVKCPGCLNIT TVFSHAQTAVTCESCSTILCTPTGGKAKLSEGTSFRRK YKL155C MMKRCFSILPQNVRFSSKFTSLNLPKLDLADFIDSNKRGINVLP SYRDETASTTQATNSKELRLLSKTLQGQSYRDQLELNPDVSKAINNNIMAVHIPNNLR RVATNYYKEIQEPNSLHRPCRTKMEVDAHIASIFLQNYGSIFQSLKELQKRVGPDNFK PQRILDVGYGPATGIVALNDILGPNYRPDLKDAVILGNAEMQERAKIILSRQLNEVVD TVEENVSTEKEQETDRRNKNFQEDEHIGEVMTKKINIMTNLRSSIPASKEYDLIILTH QLLHDGNQFPIQVDENIEHYLNILAPGGHIVIIERGNPMGFEIIARARQITLRPENFP DEFGKIPRPWSRGVTVRGKKDAELGNISSNYFLKVIAPCPHQRKCPLQVGNPNFYTHK EGKDLKFCNFQKSIKRPKFSIELKKGKLLATSWDGSQGNASRLKGTGRRNGRDYEILN YSYLIFERSHKDENTLKEIKKLRNENVNGKYDIGSLGDDTQNSWPRIINDPVKRKGHV MMDLCAPSGELEKWTVSRSFSKQIYHDARKSKKGDLWASAAKTQIKGLGDLNVKKFHK LEKERIKQLKKEERQKARKAMESYNELEDSLQFDDHQFSNFEVMKKLSTFHGNDFLQH VNRK YKL154W MLSNTLIIACLLVIGTTIALIAVQKASSKTGIKQKSYQPSIIIA GPQNSGKTSLLTLLTTDSVRPTVVSQEPLSAADYDGSGVTLVDFPGHVKLRYKLSDYL KTRAKFVKGLIFMVDSTVDPKKLTTTAEFLVDILSITESSCENGIDILIACNKSELFT ARPPSKIKDALESEIQKVIERRKKSLNEVERKINEEDYAENTLDVLQSTDGFKFANLE ASVVAFEGSINKRKISQWREWIDEKL YKL152C MPKLVLVRHGQSEWNEKNLFTGWVDVKLSAKGQQEAARAGELLK EKKVYPDVLYTSKLSRAIQTANIALEKADRLWIPVNRSWRLNERHYGDLQGKDKAETL KKFGEEKFNTYRRSFDVPPPPIDASSPFSQKGDERYKYVDPNVLPETESLALVIDRLL PYWQDVIAKDLLSGKTVMIAAHGNSLRGLVKHLEGISDADIAKLNIPTGIPLVFELDE NLKPSKPSYYLDPEAAAAGAAAVANQGKK YKL151C MLAELSHRELIKLAQKRCIPPLLPKFHKGQSGGRVCIIGGCEDY TGAPYFSANATALMGCDLTHVICEYNAGTVIKSYTPNLMVHPYLRMSNTKLDVDMDEQ RKKINSLLDRIHVVVIGPGLGRDPLMLKSIKDIIRYILEKHEGKIPLVIDADGLFLVT QDSEVKEMLKSYPKGRVILTPNVVEFKRLCDAIGKKGDSHSEMGSLIAQELNCIVVEK GQSDKIFSPDSEKDMLTNSEEGSNKRVGGQGDTLTGAISCMLAFSRAMYDFKICEQEE KGESSNDKPLKNWVDYAMLSCYAGCTITRECSRLGFKAKGRAMQTTDLNDRVGEVFAK LFG YKL150W MFSRLSRSHSKALPIALGTVAIAAATAFYFANRNQHSFVFNESN KVFKGDDKWIDLPISKIEEESHDTRRFTFKLPTEDSEMGLVLASALFAKFVTPKGSNV VRPYTPVSDLSQKGHFQLVVKHYEGGKMTSHLFGLKPNDTVSFKGPIMKWKWQPNQFK SITLLGAGTGINPLYQLAHHIVENPNDKTKVNLLYGNKTPQDILLRKELDALKEKYPD KFNVTYFVDDKQDDQDFDGEISFISKDFIQEHVPGPKESTHLFVCGPPPFMNAYSGEK KSPKDQGELIGILNNLGYSKDQVFKF YKL149C MTKLRIAVQGCCHGQLNQIYKEVSRIHAKTPIDLLIILGDFQSI RDGQDFKSIAIPPKYQRLGDFISYYNNEIEAPVPTIFIGGNHESMRHLMLLPHGGYVA KNIFYMGYSNVIWFKGIRIGSLSGIWKEWDFNKQRPDWNDLENNNWKANIRNLYHVRI SDIAPLFMIKHRIDIMLSHDWPNGVVYHGDTKHLLKLKPFFEQDIKEGKLGSPVTWQL LRDLRPQWWLSAHLHVRFMASIKHNKRSHEPPNKSTSKTKKNNNEIDLDLSSDEDERS GIMNCQEENEYDSKYGETRFLALDKCLPRRRWLEILEIEPDTSHASWKDENHRMFWDP EFINNLVICQKNKNLLSNKPFNSVNWIELSQSNREEGRDIDWENYAIPAYTLDIQKDE VRQTKAFISKFMT YKL148C MLSLKKSALSKLTLLRNTRTFTSSALVRQTQGSVNGSASRSADG KYHIIDHEYDCVVIGAGGAGLRAAFGLAEAGYKTACISKLFPTRSHTVAAQGGINAAL GNMHKDNWKWHMYDTVKGSDWLGDQDSIHYMTREAPKSIIELEHYGVPFSRTENGKIY QRAFGGQTKEYGKGAQAYRTCAVADRTGHALLHTLYGQALRHDTHFFIEYFALDLLTH NGEVVGVIAYNQEDGTIHRFRAHKTIIATGGYGRAYFSCTSAHTCTGDGNAMVSRAGF PLQDLEFVQFHPSGIYGSGCLITEGARGEGGFLVNSEGERFMERYAPTAKDLACRDVV SRAITMEIREGRGVGKKKDHMYLQLSHLPPEVLKERLPGISETAAIFAGVDVTKEPIP IIPTVHYNMGGIPTKWNGEALTIDEETGEDKVIPGLMACGEAACVSVHGANRLGANSL LDLVVFGRAVAHTVADTLQPGLPHKPLPSDLGKESIANLDKLRNANGSRSTAEIRMNM KQTMQKDVSVFRTQSSLDEGVRNITAVEKTFDDVKTTDRSMIWNSDLVETLELQNLLT CASQTAVSAANRKESRGAHAREDYPNRDDEHWMKHTLSWQKDVAAPVTLKYRRVIDHT LDEKECPSVPPTVRAY YKL146W MNGKEVSSGSGRTQSNNNKKNNNGGSTGISHASGSPLTDGNGGN SNGNSRSRSRSRKSSGTTGGLLKKPPLLVNNEAVHASVPDASHTSCNNGTLEVSINNP EPHVVDAVARHLIRNPSNSLQLQGGDITRDLYKWTNDHPSSPSQYQYPSQPALSTSIP SQAPSFSNRKRSMSFSAASIASSSHLNNNSEANGNPLAAIGLAPAPMTHEEIRAPGGF RRSFIIQKRRKHNVDAPIPNFFTRNFIEFLTLYGHFAGEDLSEEEEEEEETEEEPEEE ALETESTQLVSREHGRHPHKSSTVKAVLLLLKSFVGTGVLFLPKAFHNGGWGFSALCL LSCALISYGCFVSLITTKDKVGVDGYGDMGRILYGPKMKFAILSSIALSQIGFSAAYT VFTATNLQVFSENFFHLKPGSISLATYIFAQVLIFVPLSLTRNIAKLSGTALIADLFI LLGLVYVYVYSIYYIAVNGVASDTMLMFNKADWSLFIGTAIFTFEGIGLLIPIQESMK HPKHFRPSLSAVMCIVAVIFISCGLLCYAAFGSDVKTVVLLNFPQDTSYTLTVQLLYA LAILLSTPLQLFPAIRILENWTFPSNASGKYNPKVKWLKNYFRCAIVVLTSILAWVGA NDLDKFVSLVGSFACIPLIYIYPPLLHYKASILSGTSRARLLLDLIVIVFGVAVMAYT SWQTIKMWSQ YKL145W MPPKEDWEKYKAPLEDDDKKPDDDKIVPLTEGDIQVLKSYGAAP YAAKLKQTENDLKDIEARIKEKAGVKESDTGLAPSHLWDIMGDRQRLGEEHPLQVARC TKIIKGNGESDETTTDNNNSGNSNSNSNQQSTDADEDDEDAKYVINLKQIAKFVVGLG ERVSPTDIEEGMRVGVDRSKYNIELPLPPRIDPSVTMMTVEEKPDVTYSDVGGCKDQI EKLREVVELPLLSPERFATLGIDPPKGILLYGPPGTGKTLCARAVANRTDATFIRVIG SELVQKYVGEGARMVRELFEMARTKKACIIFFDEIDAVGGARFDDGAGGDNEVQRTML ELITQLDGFDPRGNIKVMFATNRPNTLDPALLRPGRIDRKVEFSLPDLEGRANIFRIH SKSMSVERGIRWELISRLCPNSTGAELRSVCTEAGMFAIRARRKVATEKDFLKAVDKV ISGYKKFSSTSRYMQYN YKL144C MFILSKIADLVRIPPDQFHRDTISAITHQLNNKFANKIIPNVGL CITIYDLLTVEEGQLKPGDGSSYINVTFRAVVFKPFLGEIVTGWISKCTAEGIKVSLL GIFDDIFIPQNMLFEGCYYTPEESAWIWPMDEETKLYFDVNEKIRFRIEREVFVDVKP KSPKERELEERAQLENEIEGKNEETPQNEKPPAYALLGSCQTDGMGLVSWWE YKL143W MSKKFSSKNSQRYVVVHRPHDDPSFYDTDASAHVLVPVSNPNKT SPEADLRKKDVSSTKPKGRRAHVGEAALYGINFDDSEYDYTQHLKPIGLDPENSIFIA SKGNEQKVEKKNIEDLFIEPKYRRDEIEKDDALPVFQRGMAKPEYLLHQQDTTDEIRG FKPDMNPALREVLEALEDEAYVVNDDVVVEDISKKTQLQGDNYGEEEKEDDIFAQLLG SGEAKDEDEFEDEFDEWDIDNVENFEDENYVKEMAQFDNIENLEDLENIDYQADVRRF QKDNSILEKHNSDDEFSNAGLDSVNPSEEEDVLGELPSIQDKSKTGKKKRKSRQKKGA MSDVSGFSMSSSAIARTETMTVLDDQYDQIINGYENYEEELEEDEEQNYQPFDMSAER SDFESMLDDFLDNYELESGGRKLAKKDKEIERLKEAADEVSKGKLSQRRNRERQEKKK LEKVTNTLSSLKF YKL142W MSNEIELLQKQVSELQDLVKKQSLIISKTGERVLELQLDKQKHD VTDFDSKFSKSISKKSGSATQFDATDFATNEDLVELVKELQGELNFIEERSIRRLVNS LKKDDDDVIAPLPNADGDIPAISDGVFPKSLKEFKDIPDLKLVRLAKFYERLPPTLKE QEDFENFLEGKVEAFHINETTDEEISKELEKFSKDELDDAFNDVARYLGLSLRRGTEI W YKL141W MSAMMVKLGLNKSALLLKPSAFSRAAALSSSRRLLFNTARTNFL STSPLKNVASEMNTKAAIAEEQILNKQRAKRPISPHLTIYQPQLTWYLSSLHRISLVL MGLGFYLFTILFGVSGLLGLGLTTEKVSNWYHQKFSKITEWSIKGSFAYLFAIHYGGA IRHLIWDTAKELTLKGVYRTGYALIGFTAVLGTYLLTL YKL140W MYFPFLGRLSITDYIIVVLVYIESIISSVLKLIPQPMINLFEWL INFSTSSDDNTIEEKLRSAPTIHEMCAIFDISVEDHLVRTEDNYILTLHRIPPISKNR FNNKVVYLHHGLLMCSDVWCCNIERHKNLPFVLHDLGYDVWMGNNRGNKYSTAHLNKP PKSNKFWDFSIDEFAFFDIPNSIEFILDITKVDKVICIGFSQGSAQMFAAFSLSEKLN RKVSHFIAIAPAMTPKGLHNRIVDTLAKSSPGFMYLFFGRKIVLPSAVIWQRTLHPTL FNLCIDIANKILFNWKSFNILPRQKIASYAKLYSTTSVKSIVHWFQILRSQKFQMFEE SDNMLNSLTRPYQIANFPTRTNIKIPILLIYGGIDSLVDIDVMKKNLPFNSVFDVKVD NYEHLDLIWGKDADTLVIAKVLRFIEFFNPGNVSVKTNQLLPSASLVEELPSTTWKTT HPTHGLSYRTHSADRSPLSVQADEADEVHNADNSRFLRRVFSTSAIDEDNENEHQDDT EDQIHKEQQRRLSAYLESSKDLRQLDANSSTTALDALNKE YKL139W MSYNNGNTYSKSYSRNNKRPLFGKRSPNPQSLARPPPPKRIRTD SGYQSNMDNISSHRVNSNDQPGHTKSRGNNNLSRYNDTSFQTSSRYQGSRYNNNNTSY ENRPKSIKRDETKAEFLSHLPKGPKSVEKSRYNNSSNTSNDIKNGYHASKYYNHKGQE GRSVIAKKVPVSVLTQQRSTSVYLRIMQVGEGTYGKVYKAKNTNTEKLVALKKLRLQG EREGFPITSIREIKLLQSFDHPNVSTIKEIMVESQKTVYMIFEYADNDLSGLLLNKEV QISHSQCKHLFKQLLLGMEYLHDNKILHRDVKGSNILIDNQGNLKITDFGLARKMNSR ADYTNRVITLWYRPPELLLGTTNYGTEVDMWGCGCLLVELFNKTAIFQGSNELEQIES IFKIMGTPTINSWPTLYDMPWFFMIMPQQTTKYVNNFSEKFKSVLPSSKCLQLAINLL CYDQTKRFSATEALQSDYFKEEPKPEPLVLDGLVSCHEYEVKLARKQKRPNILSTNTN NKGNGNSNNNNNNNNDDDDK YKL138C-A MNANKQRQYNQLAHELRELQTNLQETTKQLDIMSKQCNENLVGQ LGKVHGSWLIGSYIYYMEQMLGKTQ YKL138C MFGPFKLTSPVAGGLLWKIPWRMSTHQKTRQRERLRNVDQVIKQ LTLGLHVQRCQDKGLTYQEAMESKKKYKPRSKSLRLLNKPSVFPKENQMSSKDKYWTF DKKAVGYRKGIHKVPKWTKISIRKAPKFF YKL137W MEQNKDPQMISKHSSRLPIWVLSPREEQQARKNLKTETYKKCAN FVQAMADCAKANGMKVFPTCDKQRDEMKSCLLFYQTDEKYLDGERDKIVLEKINKLEK LCQKQSSTK YKL135C MPPLDKRIKKFLKDSIRIAPKISGKGELSELRTGLVSQYPQTRK DAIKKTIQQMTLGKDVSSLFPDVLKNIATIDVEQKKLVYLYVMNYAETHPELCILAVN TFITDAQDPNPLIRCMAIRTMSMIRVDKILEYIETPLRRTLHDDNAYVRKTAVICVAK LFQLNKDLCVELGVVEDLVNALDDSNPLVIANATAALIEIHNMDMDAVDLSSLIQSHV SQFLLALNECTEWARIIILGTLSEYSAKDSLEAQDIIDRVTAHLQHVNPAVVLATIKV IVRNLPQIEYSSNSLIMKRLSSAFVSLMSTPPEMQYVALKNIRIILEKYPELLTKELR IFYVKFNDPLYVKLEKIDILVRLVDPSNLKQCTLLLTELKEYAMEYEPEFVSRAIQAL SQLGIKYAQESFVSKVLDILLELLERQDTIKDDCCISLCDLLRHCPGNDKMAKQVCAV FNTWSNPEVLLQSDIAKCNYVWLLGQHPNNFSDLESKINIFIENFVQEEALTQMSLLM TIVRLHATLTGSMLQSVLELATQQTHELDVRDMAMMYWRCLSMPNNESLVNDLCQNKL PMISNTLEKFSPEVLEKLLMELGTISSIYFKPDSNRRKGKKYVQNIVKGKHIEELESM AKNEISSKANDDVLLDFDERDDVTNTNAGMLNTLTTLGDLDDLFDFGPSEDATQINTN DTKAVQGLKELKLGGDSNGISSGGKNNPDVSGGNIVSQDLLDLF YKL134C MLRTIILKAGSNASIPSPSRQNKLLRFFATAGAVSRTSPGSIKK IFDDNSYWRNINGQDANNSKISQYLFKKNKTGLFKNPYLTSPDGLRKFSQVSLQQAQE LLDKMRNDFSESGKLTYIMNLDRLSDTLCRVIDLCEFIRSTHPDDAFVRAAQDCHEQM FEFMNVLNTDVSLCNILKSVLNNPEVSSKLSAEELKVGKILLDDFEKSGIYMNPDVRE KFIQLSQEISLVGQEFINHTDYPGSNSVKIPCKDLDNSKVSTFLLKQLNKDVKGQNYK VPTFGYAAYALLKSCENEMVRKKLWTALHSCSDKQVKRLSHLIKLRAILANLMHKTSY AEYQLEGKMAKNPKDVQDFILTLMNNTIEKTANELKFIAELKAKDLKKPLTTNTDEIL KLVRPWDRDYYTGKYFQLNPSNSPNAKEISYYFTLGNVIQGLSDLFQQIYGIRLEPAI TDEGETWSPDVRRLNVISEEEGIIGIIYCDLFERNGKTSNPAHFTVCCSRQIYPSETD FSTIQVGENPDGTYFQLPVISLVCNFSPILIASKKSLCFLQLSEVETLFHEMGHAMHS MLGRTHMQNISGTRCATDFVELPSILMEHFAKDIRILTKIGKHYGTGETIQADMLQRF MKSTNFLQNCETYSQAKMAMLDQSFHDEKIISDIDNFDVVENYQALERRLKVLVDDQS NWCGRFGHLFGYGATYYSYLFDRTIASKIWYALFEDDPYSRKNGDKFKKHLLKWGGLK DPWKCIADVLECPMLEKGGSDAMEFIAQSHKS YKL133C MWKYLHRSVKNEGTVERLTNLNLFTNHRFKFYSTLKEQSFWRIP FKRRSKLQKWVLSTGIVSFIAFNIWWVYWPHHTFPKPVAKILRKGLHSEIKKEGANYQ KSLEYYLEALEECKAENVDLLSDEYTGIEIKIGEMYEKLHMYNDATALYGDMLKKFYN ELSKTTDKSTKRKFFLLKRDLQILVRFNEINKDSETNATLLIMHLLLAQREFLENSPE FKNVLSKSELLNNQQLDWKNFKGLPFIGKSKPDYQMHLNSKRKQELKIKEPESEQCVF MKELLTARDLYTRYCLNRSNLSGALNSKITTLEWMLLADSPLDDILLAQAELGSIFYL NSEKFEGSLYAIDNEPYKKSEPLELIRSRLQENQNSCLQYSADCYKSIISFANENQYP KVAMESEMDQRILKALSLAHYGIGVINLHKGRLRASKKELKKAIRISEMIRFNELIEE AQRELKKVDGTPI YKL132C MDDISGRQTLPRINRLLEHVGNPQDSLSILHIAGTNGKETVSKF LTSILQHPGQQRQRVLIGRYTTSSLLNAKEEDISINNEAISLIEYSRIEKELIEADSS LKLQCNNLELLTSVALVYFAKKNCQWCIIETGLAGKQDPGSIIAGQSRVCCAITNVGI SDEAFLCKFLSQITESSTNKAIFLLDGSNDEFVRNTITKRCHDVGCPLEITDPSLRDY NVHTDTWGTLEVRLPYSEEEYQIFNLRVAIAVLDFLSKEKKVCISKDQLSQGLISVDW PRSLHRLDYCYESTSGKKIALLLDNANNAKAARNLACHLRTTYGDTPLTFVIAITTGK KVSPLLDPLIRPQDYVIVTRFGSVVGMPWIQSLEPVNLLAFIKNRYTRNVNMQPDLQS VWTFLETSGLKTIVPVIVCGSLYICKELLRLHNCHLPV YKL130C MSKDKDIKVTPGTCELVEQILALLSRYLSSYIHVLNKFISHLRR VATLRFERTTLIKFVKKLRFYNDCVLSYNASEFINEGKNELDPEADSFDKVILPIASM FVKCVETFDLLNYYLTQSLQKEILSKTLNEDLTLTAESILAIDDTYNHFVKFSQWMIE SLRIGSNLLDLEVVQFAIKCADEDGTNIGETDNIFLQEILPVNSEEEFQTLSAAWHSI LDGKLSALDEEFDVVATKWHDKFGKLKN YKL129C MAVIKKGARRKDVKEPKKRSAKIKKATFDANKKKEVGISDLTLL SKISDESINENLKKRFKNGIIYTYIGHVLISVNPFRDLGIYTNAVLESYKGKNRLEVP PHVFAIAESMYYNLKSYNENQCVIISGESGAGKTEAAKRIMQYIAAASNSHSESIGKI KDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFNSQFEPCAGNITNYLLEKQRVV GQIKNERNFHIFYQFTKGASDTYKQMFGVQMPEQYIYTAAAGCTTADTIDDVKDYEGT LEAMRTIGLVQEEQDQIFRMLAAILWIGNISFIENEEGNAQVGDTSVTDFVAYLLQVD ASLLVKCLVERIMQTSHGMKRGSVYHVPLNPVQATAVRDALAKAIYNNLFDWIVDRVN VSLQAFPGADKSIGILDIYGFEIFEHNSFEQICINYVNEKLQQIFIQLTLKAEQETYE REKIKWTPIKYFDNKVVCDLIEAKNPPGILAAMNDSIATAHADSNAADQAFAQRLNLF NSNPYFELRANKFVIKHYAGDVTYDINGITDKNKDQLQKDLIELIGTTTNTFLSTIFP DDVDKDSKRRPPTAGDKIIKSANELVETLSKAEPSYIRTIKPNQTKSPNDYDDHQVLH QVKYLGLQENVRIRRAGFAYRQTFEKFVERFYLLSPDCSYAGDYTWDGDTLEAVKLIL RDAMIPEKEFQLGVTSVFIKTPESLFALEDMRDKYWYNMAARIQRAWRRFLQRRIDAA IKIQRTIREKKGGNKYVKLRDYGTKLLAGKKERRSMSLLGYRAFMGDYLSCNESKTKG SYIRRQVGIKDKVVFSIKGECLHSKFGRSAQRLKKVFILTKKTFYIIGQTREQNAMKY TQDYKIDVGKIKQVSLTNLQDDWMGVILVNSTQSDPLINTPFKTELMTRLKKLNEKIM IKVGPTIEYHKQPNKLHTVRSKISDSAPKYGDIYKSSTIYVRRGHPANSKSNKKPKNP GGLSGKPIKSKKSKHKSTHKHTHSHRSHRDAAKKQPLPSQKPVNPLSLAATAAQAAYN PKPDKTVPIKSSAIPAAKVSSKHSSKPSSKEKVAVKKASSSHKSSSAKQNQVSMPPSK GVEKNKEPLKETTATATANIPIPPPPPPMGQPKDPKFEAAYDFPGSGSSSELPLKKGD IVFISRDEPSGWSLAKLLDGSKEGWVPTAYMTPYKDTRNTVPVAATGAVNDVTNQKSS QIDNTISSAQEGVQFGSATVGPTSDNQSNPVGTFSDGLASALAARANKMRAESADDDD NDDGDDDDDW YKL128C MSLRAVPGYFAAYPSEGFQGLDSTKYDHLELINHKNWKELYHAI PRNTKNRHYKLLILARHGQGYHNAAILRYGMEKWDAYWSLLSGDEHGEWLDSKLTPLG KDQVRRTGSNVLLPMAKQLGMLPHVFFSSPMRRCLETFIESWTPVLAETQELPAGTKI STRIIEGLRETLGSHTCDKRVAHSMAVDEYQDFSTESGHTVHWQYVPDYPEDDELWLP DHRETCAEMDKRTLNGLFELFNQLSSEEKFISLTCHSGVIQSVLRNLQHPPIYNLDTG KVVAVVVEVPVNTADRGRL YKL127W MSLLIDSVPTVAYKDQKPGTSGLRKKTKVFMDEPHYTENFIQAT MQSIPNGSEGTTLVVGGDGRFYNDVIMNKIAAVGAANGVRKLVIGQGGLLSTPAASHI IRTYEEKCTGGGIILTASHNPGGPENDLGIKYNLPNGGPAPESVTNAIWEASKKLTHY KIIKNFPKLNLNKLGKNQKYGPLLVDIIDPAKAYVQFLKEIFDFDLIKSFLAKQRKDK GWKLLFDSLNGITGPYGKAIFVDEFGLPAEEVLQNWHPLPDFGGLHPDPNLTYARTLV DRVDREKIAFGAASDGDGDRNMIYGYGPAFVSPGDSVAIIAEYAPEIPYFAKQGIYGL ARSFPTSSAIDRVAAKKGLRCYEVPTGWKFFCALFDAKKLSICGEESFGTGSNHIREK DGLWAIIAWLNILAIYHRRNPEKEASIKTIQDEFWNEYGRTFFTRYDYEHIECEQAEK VVALLSEFVSRPNVCGSHFPADESLTVIDCGDFSYRDLDGSISENQGLFVKFSNGTKF VLRLSGTGSSGATIRLYVEKYTDKKENYGQTADVFLKPVINSIVKFLRFKEILGTDEP TVRT YKL126W MYSWKSKFKFGKSKEEKEAKHSGFFHSSKKEEQQNNQATAGEHD ASITRSSLDRKGTINPSNSSVVPVRVSYDASSSTSTVRDSNGGNSENTNSSQNLDETA NIGSTGTPNDATSSSGMMTIKVYNGDDFILPFPITSSEQILNKLLASGVPPPHKEISK EVDALIAQLSRVQIKNQGPADEDLISSESAAKFIPSTIMLPGSSTLNPLLYFTIEFDN TVATIEAEYGTIAKPGFNKISTFDVTRKLPYLKIDVFARIPSILLPSKTWQQEMGLQD EKLQTIFDKINSNQDIHLDSFHLPINLSFDSAASIRLYNHHWITLDNGLGKINISIDY KPSRNKPLSIDDFDLLKVIGKGSFGKVMQVRKKDTQKVYALKAIRKSYIVSKSEVTHT LAERTVLARVDCPFIVPLKFSFQSPEKLYFVLAFINGGELFYHLQKEGRFDLSRARFY TAELLCALDNLHKLDVVYRDLKPENILLDYQGHIALCDFGLCKLNMKDDDKTDTFCGT PEYLAPELLLGLGYTKAVDWWTLGVLLYEMLTGLPPYYDEDVPKMYKKILQEPLVFPD GFDRDAKDLLIGLLSRDPTRRLGYNGADEIRNHPFFSQLSWKRLLMKGYIPPYKPAVS NSMDTSNFDEEFTREKPIDSVVDEYLSESVQKQFGGWTYVGNEQLGSSMVQGRSIR YKL125W MMAFENTSKRPPQDFVAPIDQKKRKVQFSDSTGLVTLQPEEIKD EVFSAAMYSRFVKSALDDLDKNDSTQIGIIANQVALPSKNPERINDKNLNILLDILSS NINRIESSRGTFLIQSIINFEKWWELPPHTLSKYIYFIKILCSSIPKWWQDVSMILVS CFILPIKQTVCHHDMLKYFLRMIPSSMGFIDTYLAKFFPNKNDTRRKLVNYTSNLLKL RGYCSELGFQIWSLLIEKIISIDVELQNELDELDDDVDDDDLEEVDLEDDDDLDDDSG DDDDENCGNSNEELRSGAADGSQSDSEDMDIIEGMDGTEEYNVELTQGIKELSTKLDS ILTLVSTHVEEQVTPESLESGEGVGVFNTLTTLFKTHVLPTYYTRSIQYIMFHVSQQQ LELMDSFLVTLIDISFAVNEAAEKKIKSLQYLGSYIARAKKLSRTQIIFVASYLTSWL NRYVIEREEEVDQRGGMERFKHFYAAFQALCYIFCFRHNIFRDTDGNWECELDKFFQR MVISKFNPLKFCNENVMLMFARIAQQESVAYCFSIIENNNNERLRGIIGKADSDKKEN SAQANTTSSSWSLATRQQFIDLQSYFPYDPLFLKNYKILMKEYYIEWSEASGEYESDG SDD YKL124W MYVTFNEALDSSFGNLESPNHDFKVGDPNMVPTPPMDSDSAAIS LAFLISLSITFAILMLILVVIAAYVTFCGDDESEYDEENALGTRTSGTLHSLFGKKHS GILLDSSFASPGGFDDEIVLQERELEELPKMSAYEVELYIRAKEFQMMSPPMVKDFGT YLDSDDQQFIKDRGIQSYFLLPSINDNIDEYGNFLPSFIVQDKLDIQFSKFNKSSSTV MNYPLPHNRKDAVYFEVKIFRHIQKSNSIFSIGLTTVPYPYFRVPGMAKYSIAYESTG KLRINNPFTASTLLPKLEEGDTVGFGYRYKTGTIFITHNGKKLMDVTQNIGIDLFIGI GAFNAAYTRTYTRDGLLEDPDNVSFREALSEGKDIEVAKDLQRVHDPHDESDEMTSDE VELHVNLGQVGFVFIEANVKKYAFGSVYGQIGIPPAYNGTEIKKDTILQKGEELPPRY ADTDNFFGSMKVKEGSSSRITAQTSKPLWSVGTYERISSNFDRENNVYHDSLETDDNN TDNNVNNNDENAGCNENSPLLEDDGNKRPENSNTPREVSDGAINKNPRNKSTKKRQRN RGKSSKKKNRSRK YKL122C MSVKPIDNYITNSVRLFEVNPSQTLFSISYKPPTQKTDTKVSFR THNSHLSLNYKFTTNKSKDVSRLLSALGPRGVSITPGKIEKIAQSKKKNNKIKESSKK IKGKSIQDIVGLATLIVNTDVEKSDPAAKKTATEPKQKANAVQNNNGNSAASKKKKNK NKGKKKR YKL121W MFKSKTSTLSYDETPNSNEGDRNATPVNPKEKSQTKHLNIPGDR SRHSSIADSKRSSSRYDGGYSADIIPAQLRFIDNIDYGTRLRKTLHRNSVVSNGYNKL SENDRWYFDLFDRKYFENYLEEPTYIKIFKKKEGLEQFDRMFLAQELKIPDVYKSTTY QGEPAVANSELFKNSICCCTFSHDGKYMVIGCKDGSLHLWKVINSPVKRSEMGRSEKS VSASRANSLKIQRHLASISSHNGSISSNDLKPSDQFEGPSKQLHLYAPVFYSDVFRVF MEHALDILDANWSKNGFLITASMDKTAKLWHPERKYSLKTFVHPDFVTSAIFFPNDDR FIITGCLDHRCRLWSILDNEVSYAFDCKDLITSLTLSPPGGEYTIIGTFNGYIYVLLT HGLKFVSSFHVSDKSTQGTTKNSFHPSSEYGKVQHGPRITGLQCFFSKVDKNLRLIVT TNDSKIQIFDLNEKKPLELFKGFQSGSSRHRGQFLMMKNEPVVFTGSDDHWFYTWKMQ SFNLSAEMNCTAPHRKKRLSGSMSLKGLLRIVSNKSTNDECLTETSNQSSSHTFTNSS KNVLQTQTVGSQAIKNNHYISFHAHNSPVTCASIAPDVAIKNLSLSNDLIFELTSQYF KEMGQNYSESKETCDNKPNHPVTETGGFSSNLSNVVNNVGTILITTDSQGLIRVFRTD ILPEIRKKIIEKFHEYNLFHLEAAGKINNHNNDSILENRMDERSSTEDNEFSTTPPSN THNSRPSHDFCELHPNNSPVISGMPSRASAIFKNSIFNKSNGSFISLKSRSESTSSTV FGPHDIPRVSTTYPKLKCDVCNGSNFECASKNPIAGGDSGFTCADCGTILNNFR YKL120W MSSDNSKQDKQIEKTAAQKISKFGSFVAGGLAACIAVTVTNPIE LIKIRMQLQGEMSASAAKVYKNPIQGMAVIFKNEGIKGLQKGLNAAYIYQIGLNGSRL GFYEPIRSSLNQLFFPDQEPHKVQSVGVNVFSGAASGIIGAVIGSPLFLVKTRLQSYS EFIKIGEQTHYTGVWNGLVTIFKTEGVKGLFRGIDAAILRTGAGSSVQLPIYNTAKNI LVKNDLMKDGPALHLTASTISGLGVAVVMNPWDVILTRIYNQKGDLYKGPIDCLVKTV RIEGVTALYKGFAAQVFRIAPHTIMCLTFMEQTMKLVYSIESRVLGHN YKL119C MFEIKLNDRITEFLRKFKNSAKSNEGIDEDIDLFLKRHAIPMQS LLFYVKEYRKDSDLQCSIKELLKPLEFEFKPKAVRGLHYSEDFKKKLEFLKYQEQELE YQSMVKRSKSVFSLQEDDELTPSQINKQIKEQVTTVFNVLVSVISVVVAIWYWTGSST NFPVHVRLLLCLFFGILVLVADVVVYNSYLKKLEEAKVKEKTKVEKKKVLSKITL YKL117W MSDKVINPQVAWAQRSSTTDPERNYVLITVSIADCDAPELTIKP SYIELKAQSKPHVGDENVHHYQLHIDLYKEIIPEKTMHKVANGQHYFLKLYKKDLESE YWPRLTKEKVKYPYIKTDFDKWVDEDEQDEVEAEGNDAAQGMDFSQMMGGAGGAGGAG GMDFSQMMGGAGGAGSPDMAQLQQLLAQSGGNLDMGDFKENDEEDEEEEIEPEVKA YKL116C MDEYSSIYSQPKTPRLKQEGFPDSIGDQHEKALIDENGEEDKKM ASTEGTTGDSRSTPLTVSIPTFENVQALPTPMTYTPLSPGNLSMSPIDQSSLNIPKRR SHARLLDDMLSVTQPNQRVVSELIAPANLSPQRVVSLPTVTEEALVNDSVDSDNYTKE PYFPESSSSTEKCDDDIFQGFLLDHWDRPLLWKKVRPIGSGNFSTVLLYELMDQSNPK LKQVAVKRLKYPEELSNVEQINTSLRYKETLSRLENSLTRELQVLKSLNHPCIVKLLG INNPIFVTSKKPLCDLIIKTPRALPPCDMIMSYCPAGDLLAAVMARNGRLEAWLIQRI FTEVVLAVKYLHENSIIHRDLKLENILLKYSFDDINSFRDSPIYCKQNFIELADFGLC KKIENNEMCTARCGSEDYVSPEILMGVPYDGHLSDTWALGVILYSLFEDRLPFDPPPN ASARQRSRATSHRIARFDWRWYRLSDYKTNVGKQIVENTLTRKNQRWSINEIYESPFV KTIADTLSFS YKL114C MPSTPSFVRSAVSKYKFGAHMSGAGGISNSVTNAFNTGCNSFAM FLKSPRKWVSPQYTQEEIDKFKKNCATYNYNPLTDVLPHGQYFINLANPDREKAEKSY ESFMDDLNRCEQLGIGLYNLHPGSTLKGDHQLQLKQLASYLNKAIKETKFVKIVLENM AGTGNLVGSSLVDLKEVIGMIEDKSRIGVCIDTCHTFAAGYDISTTETFNNFWKEFND VIGFKYLSAVHLNDSKAPLGANRDLHERLGQGYLGIDVFRMIAHSEYLQGIPIVLETP YENDEGYGNEIKLMEWLESKSESELLEDKEYKEKNDTLQKLGAKSRKEQLDKFEVKQK KRAGGTKRKKATAEPSDNDILSQMTKKRKTKKE YKL113C MGIKGLNAIISEHVPSAIRKSDIKSFFGRKVAIDASMSLYQFLI AVRQQDGGQLTNEAGETTSHLMGMFYRTLRMIDNGIKPCYVFDGKPPDLKSHELTKRS SRRVETEKKLAEATTELEKMKQERRLVKVSKEHNEEAQKLLGLMGIPYIIAPTEAEAQ CAELAKKGKVYAAASEDMDTLCYRTPFLLRHLTFSEAKKEPIHEIDTELVLRGLDLTI EQFVDLCIMLGCDYCESIRGVGPVTALKLIKTHGSIEKIVEFIESGESNNTKWKIPED WPYKQARMLFLDPEVIDGNEINLKWSPPKEKELIEYLCDDKKFSEERVKSGISRLKKG LKSGIQGRLDGFFQVVPKTKEQLAAAAKRAQENKKLNKNKNKVTKGRR YKL112W MDKLVVNYYEYKHPIINKDLAIGAHGGKKFPTLGAWYDVINEYE FQTRCPIILKNSHRNKHFTFACHLKNCPFKVLLSYAGNAASSETSSPSANNNTNPPGT PDHIHHHSNNMNNEDNDNNNGSNNKVSNDSKLDFVTDDLEYHLANTHPDDTNDKVESR SNEVNGNNDDDADANNIFKQQGVTIKNDTEDDSINKASIDRGLDDESGPTHGNDSGNH RHNEEDDVHTQMTKNYSDVVNDEDINVAIANAVANVDSQSNNKHDGKDDDATNNNDGQ DNNTNNDHNNNSNINNNNVGSHGISSHSPSSIRDTSMNLDVFNSATDDIPGPFVVTKI EPYHSHPLEDNLSLGKFILTKIPKILQNDLKFDQILESSYNNSNHTVSKFKVSHYVEE SGLLDILMQRYGLTAEDFEKRLLSQIARRITTYKARFVLKKKKMGEYNDLQPSSSSNN NNNNDGELSGTNLRSNSIDYAKHQEISSAGTSSNTTKNVNNNKNDSNDDNNGNNNNDA SNLMESVLDKTSSHRYQPKKMPSVNKWSKPDQITHSDVSMVGLDESNDGGNENVHPTL AEVDAQEARETAQLAIDKINSYKRSIDDKNGDGHNNSSRNVVDENLINDMDSEDAHKS KRQHLSDITLEERNEDDKLPHEVAEQLRLLSSHLKEVENLHQNNDDDVDDVMVDVDVE SQYNKNTTHHNNHHSQPHHDEEDVAGLIGKADDEEDLSDENIQPELRGQ YKL110C MPLVLFTGYPCSGKTTLAKHLVQLLQSKIDATPSLSKYSITYHS DESLGIKHSDYITSQDERKLRSEIISAVKRDLSKNKIVIVDSLNYIKGFRYQLHCEVK NLSTTFCVIQTLCPPETIFEWNKTSNPNPWEPELLNQLIQRYEEPNSSNRWDSPLFAI LTPQDNITDYIDDICKVVFQTSKSAKNSGHNDPLSKGLQKPNSATVLKPASQSNFIQV LDIETSKIIKTIMNHIKSLTSIGGVSNGTRVIVSEGITDINDDGCFFVDLPIGNVVTL AQLQRLKRQFINFNKLRDIDQDRIGPLFADYLNKNLN YKL109W MTAKTFLLQASASRPRSNHFKNEHNNIPLAPVPIAPNTNHHNNS SLEFENDGSKKKKKSSLVVRTSKHWVLPPRPRPGRRSSSHNTLPANNTNNILNVGPNS RNSSNNNNNNNIISNRKQASKEKRKIPRHIQTIDEKLINDSNYLAFLKFDDLENEKFH SSASSISSPSYSSPSFSSYRNRKKSEFMDDESCTDVETIAAHNSLLTKNHHIDSSSNV HAPPTKKSKLNDFDLLSLSSTSSSATPVPQLTKDLNMNLNFHKIPHKASFPDSPADFS PADSVSLIRNHSLPTNLQVKDKIEDLNEIKFFNDFEKLEFFNKYAKVNTNNDVNENND LWNSYLQSMDDTTGKNSGNYQQVDNDDNMSLLNLPILEETVSSGQDDKVEPDEEDIWN YLPSSSSQQEDSSRALKKNTNSEKANIQAKNDETYLFLQDQDESADSHHHDELGSEIT LADNKFSYLPPTLEELMEEQDCNNGRSFKNFMFSNDTGIDGSAGTDDDYTKVLKSKKI STSKSNANLYDLNDNNNDATATNELDQSSFIDDLDEDVDFLKVQVF YKL108W MYSFELDKLKIELKTWEHDFIDKNKREPTRDDIKSLRTVRQMYK QYSTLKKKQSLQRQKVDTQESVELPAHKKDHDEVVEIGPTPQVYGKAISIFDMNLSPI KPIYMTFTNNIDVNNDNSKTISNESSPRKTILLKSSPADRTLVAEPISSVKRQLNFQM LNASSTRTPTSSPCKNRNGKLVEIKKCSPTINPPLESGKPSGYYGPNSPLKLDEENIH LNISLNSSTKRRLQIAYPSLQKTPSKDQADISTSFSPSPLIRRPLTKSLIELAREHTE IVKEFGVLQEEDIEEEEEGEEGENGYDEKNHEDDFGLEDELIRPKVVKDIFQEDDDND DSQAREDTFIRKRPKRRKVIRRLRDNDPETETAGFERDVHKELVKLKRRKVAEFLGST SQISDTEFEHDPEASSGVVSSEQKPTAKRKGRKKYNLVSNNFRRLKLPKKNRFSNGRW GRR YKL107W MFWKKDPTVSWERKNINDIDFSRFNVAIIGGTGGLGRAISRELA QRNARVTVVGQTFRDEDLKDKINFVKADLSLVSECKRISHSDEIPYEELTHLIFTTGI FASRQRQATSEGLEKDMAVSYLSRYIIFHDVAKRLGISRTKKDDLPKVFIAGFPGNGQ VGDPDDLNSDEKKYSAYATHMNTVAANESLVIDAKDRYTNIDTFGLNPGLIKTNIRNN LLGSDTYLSRITEWIISWTCQSAETYAKTICTLIASPAIESRSGTMFSNKGDAILPSP GLTKDVVEKFMENSELLVEKALRNQSPFTSSNE YKL106C-A MPFPSILHLTIGRYASYDSNNHMRRRAKLMEAIFRIRTI YKL106W MLRTRLTNCSLWRPYYTSSLSRVPRAPPDKVLGLSEHFKKVKNV NKIDLTVGIYKDGWGKVTTFPSVAKAQKLIESHLELNKNLSYLPITGSKEFQENVMKF LFKESCPQFGPFYLAHDRISFVQTLSGTGALAVAAKFLALFISRDIWIPDPSWANHKN IFQNNGFENIYRYSYYKDGQIDIDGWIEQLKTFAYNNQQENNKNPPCIILHACCHNPT GLDPTKEQWEKIIDTIYELKMVPIVDMAYQGLESGNLLKDAYLLRLCLNVNKYPNWSN GIFLCQSFAKNMGLYGERVGSLSVITPATANNGKFNPLQQKNSLQQNIDSQLKKIVRG MYSSPPGYGSRVVNVVLSDFKLKQQWFKDVDFMVQRLHHVRQEMFDRLGWPDLVNFAQ QHGMFYYTRFSPKQVEILRNNYFVYLTGDGRLSLSGVNDSNVDYLCESLEAVSKMDKL A YKL105C MIQESSPDALAAAAAIGNALSYNGRTVDKSKIPQYNQSFTSRTT SIAGINRYTMLSNSRTNSRMLLMNGNVRQYSKRTSSLPNQGHKNTSNNSAGRRQHRAH EDAETTFREFGGKQSSKVLNISSSTGQNSKSRTTSLGNSGSTIRTIKKYIPGPRGLMA VEVPVEVEPPRYSLSNRSNQRGGRAYSLPTRNNKTSLMHRNKTTKKAGSQEKKSESGG KSKNDYHGKVLSKMHTTSLKQRHNNVPLIPTTMNEETEQELQEDLHDPLEFKPMIISD DENSFIEPSVLDRSIPKKDKSGLSGREKKEEIETLLKEVHSLEEKISNIEIAKLNEEE REQSLILELRKVKLDEERRMELLKRELNIVKENADLEAQELKLIESKRKQHFHKGQEV ASEVKSITIRQPTLSEPKPAYVPPEDVEKEPSTLSNQTQNIENAENIDSVDAEGNLVD PILLGSLNNSNFHMNSDNEVRCIADSNSLTGSELSDYNYIEGSATDLRATAKTSVESE IGGNQVGLKIPQDDDSEKQEERTKGKKSGLVDTNCFLVQKEDQEEALSDNEPESSEKF PSTSGIENVKLEDETGSVMDKNNGPNNDKDDDDDKDNDNDDDDDKDDDVNDDDKDENV DDDENVDDDDDDDDDDDDEYHDSYDVIMRDPVQIEQDISDVPSLKHPSEYSTETEDNK KKEQNSENAEVSQSGTNMAKYLRGANPYLTNTSSDTFSLDSENVNSKSSTDTTRVAPD LLKSSLQPQLRSDLKQSAVPSSTSSSIYSIETSPNIDSSTGKTASNTKTNSHGPPTSI SKQKYDQSSSHQIPVMSPKRLDDKRKITNRSCLRTLRGSSNEATLSHNIVYPASDSSS SPPYHSKKPSNPPSSGNLASHEASKCFPKAPQASTTSRRLPDHVPLYIDKNNSALYPK EPPARKSSFEKERPAKDNLGFRSMSLREPLITKNATATAAENLDVEEKKEKGGHVSRK SWTFGLPSPLKRRTSHSTHTTNETEIVNPMTNFKNKTNENDMPILANKKSCNNDDSSP YTASSMNTNDVSEAGTEGHRFSLFGNKSQLSNRNISGGTATLESSNPDLPTALPLSVP VTIIDKNGEIHKLHNDDAAIKDKSHDRHGHSKFGRKLKKIFGRK YKL104C MCGIFGYCNYLVERSRGEIIDTLVDGLQRLEYRGYDSTGIAIDG DEADSTFIYKQIGKVSALKEEITKQNPNRDVTFVSHCGIAHTRWATHGRPEQVNCHPQ RSDPEDQFVVVHNGIITNFRELKTLLINKGYKFESDTDTECIAKLYLHLYNTNLQNGH DLDFHELTKLVLLELEGSYGLLCKSCHYPNEVIATRKGSPLLIGVKSEKKLKVDFVDV EFPEENAGQPEIPLKSNNKSFGLGPKKAREFEAGSQNANLLPIAANEFNLRHSQSRAF LSEDGSPTPVEFFVSSDAASVVKHTKKVLFLEDDDLAHIYDGELHIHRSRREVGASMT RSIQTLEMELAQIMKGPYDHFMQKEIYEQPESTFNTMRGRIDYENNKVILGGLKAWLP VVRRARRLIMIACGTSYHSCLATRAIFEELSDIPVSVELASDFLDRKCPVFRDDVCVF VSQSGETADTMLALNYCLERGALTVGIVNSVGSSISRVTHCGVHINAGPEIGVASTKA YTSQYIALVMFALSLSDDRVSKIDRRIEIIQGLKLIPGQIKQVLKLEPRIKKLCATEL KDQKSLLLLGRGYQFAAALEGALKIKEISYMHSEGVLAGELKHGVLALVDENLPIIAF GTRDSLFPKVVSSIEQVTARKGHPIIICNENDEVWAQKSKSIDLQTLEVPQTVDCLQG LINIIPLQLMSYWLAVNKGIDVDFPRNLAKSVTVE YKL103C MEEQREILEQLKKTLQMLTVEPSKNNQIANEEKEKKENENSWCI LEHNYEDIAQEFIDFIYKNPTTYHVVSFFAELLDKHNFKYLSEKSNWQDSIGEDGGKF YTIRNGTNLSAFILGKNWRAEKGVGVIGSHVDALTVKLKPVSFKDTAEGYGRIAVAPY GGTLNELWLDRDLGIGGRLLYKKKGTNEIKSALVDSTPLPVCRIPSLAPHFGKPAEGP FDKEDQTIPVIGFPTPDEEGNEPPTDDEKKSPLFGKHCIHLLRYVAKLAGVEVSELIQ MDLDLFDVQKGTIGGIGKHFLFAPRLDDRLCSFAAMIALICYAKDVNTEESDLFSTVT LYDNEEIGSLTRQGAKGGLLESVVERSSSAFTKKPVDLHTVWANSIILSADVNHLYNP NFPEVYLKNHFPVPNVGITLSLDPNGHMATDVVGTALVEELARRNGDKVQYFQIKNNS RSGGTIGPSLASQTGARTIDLGIAQLSMHSIRAATGSKDVGLGVKFFNGFFKHWRSVY DEFGEL YKL102C MCALFAEVSSTAAASCSFGVLLCSGSNCGRLVGSERRKVLYVFN VNVCKKMSSYYFLRHDNIVIPYLLRLLVSDKEASNKNPLLPFLMDKERSHHFVRNMN YKL101W MTGHVSKTSHVPKGRPSSLAKKAAKRAMAKVNSNPKRASGHLER VVQSVNDATKRLSQPDSTVSVATKSSKRKSRDTVGPWKLGKTLGKGSSGRVRLAKNME TGQLAAIKIVPKKKAFVHCSNNGTVPNSYSSSMVTSNVSSPSIASREHSNHSQTNPYG IEREIVIMKLISHTNVMALFEVWENKSELYLVLEYVDGGELFDYLVSKGKLPEREAIH YFKQIVEGVSYCHSFNICHRDLKPENLLLDKKNRRIKIADFGMAALELPNKLLKTSCG SPHYASPEIVMGRPYHGGPSDVWSCGIVLFALLTGHLPFNDDNIKKLLLKVQSGKYQM PSNLSSEARDLISKILVIDPEKRITTQEILKHPLIKKYDDLPVNKVLRKMRKDNMARG KSNSDLHLLNNVSPSIVTLHSKGEIDESILRSLQILWHGVSRELITAKLLQKPMSEEK LFYSLLLQYKQRHSISLSSSSENKKSATESSVNEPRIEYASKTANNTGLRSENNDVKT LHSLEIHSEDTSTVNQNNAITGVNTEINAPVLAQKSQFSINTLSQPESDKAEAEAVTL PPAIPIFNASSSRIFRNSYTSISSRSRRSLRLSNSRLSLSASTSRETVHDNEMPLPQL PKSPSRYSLSRRAIHASPSTKSIHKSLSRKNIAATVAARRTLQNSASKRSLYSLQSIS KRSLNLNDLLVFDDPLPSKKPASENVNKSEPHSLESDSDFEILCDQILFGNALDRILE EEEDNEKERDTQRQRQNDTKSSADTFTISGVSTNKENEGPEYPTKIEKNQFNMSYKPS ENMSGLSSFPIFEKENTLSSSYLEEQKPKRAALSDITNSFNKMNKQEGMRIEKKIQRE QLQKKNDRPSPLKPIQHQELRVNSLPNDQGKPSLSLDPRRNISQPVNSKVESLLQGLK FKKEPASHWTHERGSLFMSEHVEDEKPVKASDVSIESSYVPLTTVATSSRDPSVLAES STIQKPMLSLPSSFLNTSMTFKNLSQILADDGDDKHLSVPQNQSRSVAMSHPLRKQSA KISLTPRSNLNANLSVKRNQGSPGSYLSNDLDGISDMTFAMEIPTNTFTAQAIQLMNN DTDNNKINTSPKASSFTKEKVIKSAAYISKEKEPDNSDTNYIPDYTIPNTYDEKAINI FEDAPSDEGSLNTSSSESDSRASVHRKAVSIDTMATTNVLTPATNVRVSLYWNNNSSG IPRETTEEILSKLRLSPENPSNTHMQKRFSSTRGSRDSNALGISQSLQSMFKDLEEDQ DGHTSQADILESSMSYSKRRPSEESVNPKQRVTMLFDEEEEESKKVGGGKIKEEHTKL DNKISEESSQLVLPVVEKKENANNTENNYSKIPKPSTIKVTKDTAMESNTQTHTKKPI LKSVQNVEVEEAPSSDKKNWFVKLFQNFSSHNNATKASKNHVTNISFDDAHMLTLNEF NKNSIDYQLKNLDHKFGRKVVEYDCKFVKGNFKFKIKITSTPNASTVITVKKRSKHSN TSSNKAFEKFNDDVERVIRNAGRS YKL100C MDKYLNSFVDHLSEWSSRAFRNNSSSANQSASNKELEQVFEQIN AIVENHNNKLTTAFDKISYRVAHKITHLVESHSLVFNYATLVLIASALVVIGSFTSIS SIPFTALPPTREHSLFDPTDFDVDHDCHVIYRENDEDKKKKKKSKRFFDMMDEKHAII LPLTSGCTLLALYFVIKKLHLNWLKYVVKILNFNITLLNIPAGTFVYSYFLNSLFRNL SHLASWNPLVVLPRYRVTIADDNEDLNKIGGFVTNLNYKDGLTNSVVHKKTLDEIEKD HWMKHFYRRELVEPKDIKSKRQISNMYLNSALIVSFVLSIVSTVYFYLSPNDWLISNA VSMNMAIWSIAQLKLKNLKSGALILIALFFYDICFVFGTDVMVTVATNLDIPVKLSLP VKFNTAQNNFNFSILGLGDIALPGMFIAMCYKYDIWKWHLDHDDTEFHFLNWSYVGKY FITAMVSYVASLVSAMVSLSIFNTAQPALLYIVPSLLISTILVACWNKDFKQFWNFQY DTIEVDKSLKKAIEKKENSITYSTFILSEYYNDADKYALLGDDVNENFDDDEEFVQEE DLSDSSEEELSEEDLLDDESS YKL099C MAKLVHDVQKKQHRERSQLTSRSRYGFLEKHKDYVKRAQDFHRK QSTLKVLREKAKERNPDEYYHAMHSRKTDAKGLLISSRHGDEEDESLSMDQVKLLKTQ DSNYVRTLRQIELKKLEKGAKQLMFKSSGNHTIFVDSREKMNEFTPEKFFNTTSEMVN RSENRLTKDQLAQDISNNRNASSIMPKESLDKKKLKKFKQVKQHLQRETQLKQVQQRM DAQRELLKKGSKKKIVDSSGKISFKWKKQRKR YKL098W MGDHNLPDFQTCLKFSVTAKKSFLCMYRDSVSKEKLASSMPSTC DIQLKRAINDAYPGGGIKVTVLNSTTASLDSLATTHVKEFEIVIIPDINSLLQPDQAK LVKIMRDCTVAIEKAQSTRIFIGVVHWNNPVQPSGAAKDGDEAGKPAPKTRIFLPTSF RMGAWLKHKFWFACAPPYLDFESSTESSINTRANNSIGMAEEEKQEPESKRSIILNEE ANLNDVFVGSTVRRYILDIMVHLRTHRLTYNAKAGGVYTNSLDDVVLLSRLIGLHSGK MFVSPSHVKEASRWYFPMHLELVQRSSMDSSLLYGSDPNLVDEMLEKLAKIKCEEVNE FENPLFLESLVVKNVLSKVVPPV YKL097C MFLFTFTILESEKLIIWPVPAVVLGVMAPKRAYSTPFGPWPGPA ECLWNCPSELRQFSSCCLPLPKLRPPRPTFASLWRVVAAIAALFQVPWRRKTGVGKAI FCIGCCCLAYQWRRTRYFAYKIMTGTCFMTDLAP YKL096C-B MKLFILDYEKKRTKIGKGMARRELKMMNKKPDLYTIIVSYFSIF SLFFF YKL096W-A MQFSTVASVAFVALANFVAAESAAAISQITDGQIQATTTATTEA TTTAAPSSTVETVSPSSTETISQQTENGAAKAAVGMGAGALAAAAMLL YKL096W MKFSTALSVALFALAKMVIADSEEFGLVSIRSGSDLQYLSVYSD NGTLKLGSGSGSFEATITDDGKLKFDDDKYAVVNEDGSFKEGSESDAATGFSIKDGHL NYKSSSGFYAIKDGSSYIFSSKQSDDATGVAIRPTSKSGSVAADFSPSDSSSSSSASA SSASASSSTKHSSSIESVETSTTVETSSASSPTASVISQITDGQIQAPNTVYEQTENA GAKAAVGMGAGALAVAAAYLL YKL095W MSERKAINKYYPPDYNPLEAEKLSRKMAKKLKTMNKSHASIRLM TPFSMRCLECNEYIPKSRKFNGKKELLKEKYLDSIKIYRLTISCPRCANSIAFRTDPG NSDYVMEVGGVRNYVPQKPNDDLNAKTAVESIDETLQRLVREKEMEQNEKMGIKEQAD DKMDLLEKRLAKIQQEQEDDEELENLRKKNLEMSQRAEMINRSKHAQQEKAVTTDDLD NLVDQVFDNHRQRTNKPGNNNDEKRTPLFNPTSTKGKIQKKSSVRTNPLGIVIKRGKS LK YKL094W MAPYPYKVQTTVPELQYENFDGAKFGYMFWPVQNGTNEVRGRVL LIHGFGEYTKIQFRLMDHLSLNGYESFTFDQRGAGVTSPGRSKGVTDEYHVFNDLEHF VEKNLSECKAKGIPLFMWGHSMGGGICLNYACQGKHKNEISGYIGSGPLIILHPHTMY NKPTQIIAPLLAKFLPRVRIDTGLDLKGITSDKAYRAFLGSDPMSVPLYGSFRQIHDF MQRGAKLYKNENNYIQKNFAKDKPVIIMHGQDDTINDPKGSEKFIQDCPSADKELKLY PGARHSIFSLETDKVFNTVFNDMKQWLDKHTTTEAKP YKL093W MRMEKTTDKPLSAGDMNDEYSRGPIDDIDCLNFFERAVQDPCCE ACDTEDADEELRAKLSSFNFQPDSSPCNAKCQQTLNPLCKIDEALPAESELAPSRNGS VSEANSDTNSIASTVHDPVDSKYGGMPSLRKAKTTSYFTSSSSNNTTMRNPLKKCNTN INGLLVNGRSSSSSRQSIPELFSGACTKKKNNVLLKSETPNSEFSSNSLQHCNSRSFS LPRSRSRSSAIAIPTHLYGLEKYVSPGLDTLTADPEESIERFSNNRPREISSCCPNDT GDTSSSLSHSNTSSSLNFPLGTNTNQFHQPRQPVQQQQSSKPNFGAGRKKSFIEMSLA SSFAG YKL092C MSSNNEPAQSRTSYFKLNEFLSNVKHYKNTFKGEIQWCNNLSLN DWKTHYLQITSTGALTHSIDELTADSTNIQPIIKHLQQCRIEIIKDKHSSFKDINANC NFIIQVNTSGKDNKVYLRVKSWSDFKKLLTCLIWWSSMKTNGIFNKFQVSRPLEFKSK KMAKPESLLVYKLNVFGPIVKNIVLPPATNILESPDIINNDDNSVGWFSAMGVLKSNG MLDLLLQSDGSLIYSLNISQLLRSEIRILDSSVLQSENSLFLGELPLLRSQLGLEKFR IENIASAATNSSDISQEIIVEFPLRIDLEDCFIALQSFARSEYLSITGSDKSNDMKIS NSFKISILEANFQSINLNDKNNTPWSIFTDITAWGHTWARTSMVSNSSNPFWREEFQF NELLRLTNSYLEIKQLFHDLNNKKRLRLIGKIKITQEIINDTRYNKETRLPIMDVDNK NFQIGTICIKISSNLNFILPSTNFVKLEKLLMNANLSMVSNLIYKSSSSMENDNKLTQ TSIIFLDIFQSLSRIEEWFHVLIDKELAKIDGTVSRINQKNLDSKHVFNSLFRGNSIL TKSIEQYFFRVGNEYLSKALSAILKEIIESNKSCELDPARVKEKDEVKKRKIIADNYK RLYSWVTKIWKRLYATSNDLPIEIRNVLKIFRQKLEIICIDDTLQIILNGISGLLFLR FFCPVILNPKLFKYVSQNLNETARRNLTLISKVLLNLSTLTQFANKEPWLMKMNNFID KRHNDLLDYIDKMTQKKLDFNSKILNLSSTISRPKLAIEQTMLDDLPQIPYLLDKNLR ETEFVNLIVNFSQEDMTKMEKYNHMDNGGKGELIEEEGLLSGSSLNLSVDKKDLDSPI EVKPEIGELEFEKITENNTEIFGDDLMNLLKSDDVGSRSRDLDNGANSGIKFNSIIPK AEEEKHAMKELEQESCLLYNRINHIRKRLSGYECASSTLFEDKKYSISLSHKIFYEEI KEGKEIVLKLLNKPTNENSSARLQKFFTKGVSSKSNNTVGDSYCKFLTIDVSDENPKS SNKTSVHGTSSENGAKDDYLTLPNSQGKGNLGNRFSPTKLSRIMRKPPNADVPKEQNS RKLTRWFKKKKETGGS YKL091C MTTSILDTYPQICSPNALPGTPGNLTKEQEEALLQFRSILLEKN YKERLDDSTLLRFLRARKFDINASVEMFVETERWREEYGANTIIEDYENNKEAEDKER IKLAKMYPQYYHHVDKDGRPLYFEELGGINLKKMYKITTEKQMLRNLVKEYELFATYR VPACSRRAGYLIETSCTVLDLKGISLSNAYHVLSYIKDVADISQNYYPERMGKFYIIH SPFGFSTMFKMVKPFLDPVTVSKIFILGSSYKKELLKQIPIENLPVKYGGTSVLHNPN DKFYYSDIGPWRDPRYIGPEGEIPNIFGKFTVTS YKL090W MSMDNNDDHESKLSILMDMFPAISKSKLQVHLLENNNDLDLTIG LLLKENDDKSTVDNELHQLYDMFPQLDCSVIKDQFVINEKSVESTISDLLNYETLQKL KDNQANSPDSVKRNEKKNNWESTNDHIESIIKFTDAPKNIAQEYLAENGFDTVKAIIK IILDYYDKRDFKKDVDTFKVKRSPNTTVRGGRVQSSTGLAHVLKKGKESANVAQESLK RPRSYKHSLDSPQMVELNELVADNRDLKAINHEFLQKCLQFYDGDVVKVLNISSLLIE DDKNITKTWNFDEGFTLTSRDNCKQHLPKFSTPQISRRNEVGNTYKLPLHDKETPEGA VPVINNLFQTYRLDFHGFLPSEAVSTLKLALNKWWSKEVAERELNSHNINSYGSKVQF VSPLIVVTGRGIHSIGGISKVRLQVKSFLEKNHYIFWEESSYFRIEGKKKK YKL089W MDYMKLGLKSRKTGIDVKQDIPKDEYSMENIDDFFKDDETSLIS MRRKSRRKSSLFLPSTLNGDTKNVLPPFLQSYKSQDDEVVQSPSGKGDGSRRSSLLSH QSNFLSPANDFEPIEEEPEQEENDIRGNDFATPITQKLSKPTYKRKYSTRYSLDTSES PSVRLTPDRITNKNVYSDVPDLVADEDDDDRVNTSLNTSDNALLEDELEDDGFIPESE EDGDYIESDSSLDSGSDSASDSDGDNTYQEVEEEAEVNTNDNEDDYIRRQASDVVRTD SIIDRNGLRKSTRVKVAPLQYWRNEKIVYKRKSNKPVLDIDKIVTYDESEDEEEILAA QRRKKQKKKPTPTRPYNYVPTGRPRGRPKKDPNAKENLIPEDPNEDIIERIESGGIEN GEWLKHGILEANVKISDTKEETKDEIIAFAPNLSQTEQVKDTKDENFALEIMFDKHKE YFASGILKLPAISGQKKLSNSFRTYITFHVIQGIVEVTVCKNKFLSVKGSTFQIPAFN EYAIANRGNDEAKMFFVQVTVSEDANDDNDKELDSTFDTFG YKL088W MTDEKVNSDQNMNGKQGVNLISSLPTTQVPVSILTNKERRKSIH DESNFERSDSHEDQSKSNSNRRNIYKNDYSTNLRDFSFANLKQNSERNKDGHEIQINT SMPANTNGQQKRFSPSLPSAVSFTVPEVERLPYHRYSISNKPGKQQQQQEQLQQNQQQ EEQQKAQLQEQNQRAKQQEEVKQIQEQVQKKQTERQQLIDEKERIANAIFKENTTNDG TDIRKHSVSSGTSNSEDEVDSPSMEKNSIVHMPGDFIYFNPKSNASKPITAKAAPLSA NNSTHKNKEVITAPTGPRVPFTEFFQKEDDKKFHILIGATGSVATIKVPLIIDKLFKI YGPEKISIQLIVTKPAEHFLKGLKMSTHVKIWREEDAWVFDAVNKNDTSLSLNLILHH ELRKWADIFLIAPLSANTLAKLANGICNNLLTSVMRDWSPLTPVLIAPAMNTFMYINP MTKKHLTSLVQDYPFIQVLKPVEKVLICGDIGMGGMREWTDIVEIVRRRINEIRKARD EETGDKEQEQEEQEGADNEDDDDEDDEEDEEDEEEEEALNETASDESNDEEDEEDEED VKTEV YKL087C MMSSDQQGKCPVDEETKKLWLREHGNEAHPGATAPGNQLECSAN PQDNDKTPEYHTTVDLSQSREVSTIPRTNSDRNWIYPSEKQFYEAMMKKNWDPNSDDM KVVVPLHNSINERVWNYIKSWEDKQGGEACGGIKLTNFKGDSKKLTPRAWFRSRILHL AKPFDRHDWQIDRCGKTVDYVIDFYSTDLNDANSQQQPLIYLDVRPKLNSFEGFRLRF WKSLGF YKL086W MSLQSNSVKPTEIPLSEIRRPLAPVLDPQKIDAMVATMKGIPTA SKTCSLEQAEAAASAGELPPVDVLGVRVKGQTLYYAFGGCHRLQAYDRRARETQNAAF PVRCRVLPATPRQIRMYLGSSLDIE YKL085W MLSRVAKRAFSSTVANPYKVTVLGAGGGIGQPLSLLLKLNHKVT DLRLYDLKGAKGVATDLSHIPTNSVVKGFTPEEPDGLNNALKDTDMVLIPAGVPRKPG MTRDDLFAINASIVRDLAAATAESAPNAAILVISNPVNSTVPIVAQVLKNKGVYNPKK LFGVTTLDSIRAARFISEVENTDPTQERVNVIGGHSGITIIPLISQTNHKLMSDDKRH ELIHRIQFGGDEVVKAKNGAGSATLSMAHAGAKFANAVLSGFKGERDVIEPSFVDSPL FKSEGIEFFASPVTLGPDGIEKIHPIGELSSEEEEMLQKCKETLKKNIEKGVNFVASK YKL084W MIETAIYGKTVDDQSRCVHWHLPKDVIAIRFKCCDKYYACFECH QELSSHPLEKYDLLDDANKHLIICGVCRHEMTFAEYYDYNSNLICPNCRSPFNPGCKL HYHLYFQNPPPAMC YKL082C MSNSLEERLRANSSAFDGLLALIPAKYYYDEKSQEQWKAKKKTK EQSKNDKLKKLDPEQRDDETSSTLEVMKKKEKDAKPVVLPGEKFKHMKMQKQKEATSK VEGDSDLNVEVNDPMIIAPDEDEEEEEDIKVIFDDEGNEIPLESKKDTTEPDRSVEKK SITEEEKLQRKKNLEALRSKLQAKISDMKSKRKAPGSREAILAQRKRKEELKKRKRLE SEQEQDQDEIASDSDMEDIDSDLENNSKKRFKKGKKDSEINADGVMFQNIIFDDGARA TSDLQRLRKAGRTKGPAKNDVKSHLKLLEAKKNKMEAKDELEQIKQKEKEKWQKAMLQ AEGIKIRDDEKLLRKAIKRKEAQKRKSAIEWSERKRVVEDTISERQKRREENLRIRKD NKGKKRNKQEKMKRKYVGSAVPKKRAGFEGRLKTGKKKGGPK YKL081W MSQGTLYINRSPRNYASEALISYFKLDVKIVDLEQSSEFASLFP LKQAPAFLGPKGLKLTEALAIQFYLANQVADEKERARLLGSDVIEKSQILRWASLANS DVMSNIARPFLSFKGLIPYNKKDVDACFVKIDNLAAVFDARLRDYTFVATENISLGDL HAAGSWAFGLATILGPEWRAKHPHLMRWFNTVAASPIVKTPFAEVKLAEKALTYTPPK KQKAEKPKAEKSKAEKKKDEAKPADDAAPAKKPKHPLEALGKSTFVLDDWKRKYSNDD TRPVALPWFWEHYNPEEYSIWKVGYKYNDELTLTFMSNNLVGGFFNRLSASTKYMFGC LVVYGENNNNGIVGAVMVRGQDFAPAFDVAPDWESYEYTKLDPTKEEDKEFVNNMWAW DKPVVVNGEDKEIVDGKVLK YKL080W MATALYTANDFILISLPQNAQPVTAPGSKTDSWFNETLIGGRAF VSDFKIPEFKIGSLDTLIVESEELSKVDNQIGASIGKIIEILQGLNETSTNAYRTLPI NNMPVPEYLENFQWQTRKFKLDKSIKDLITLISNESSQLDADVRATYANYNSAKTNLA AAERKKTGDLSVRSLHDIVKPEDFVLNSEHLTTVLVAVPKSLKSDFEKSYETLSKNVV PASASVIAEDAEYVLFNVHLFKKNVQEFTTAAREKKFIPREFNYSEELIDQLKKEHDS AASLEQSLRVQLVRLAKTAYVDVFINWFHIKALRVYVESVLRYGLPPHFNIKIIAVPP KNLSKCKSELIDAFGFLGGNAFMKDKKGKINKQDTSLHQYASLVDTEYEPFVMYIINL YKL079W MHWNIISKEQSSSSVSLPTLDSSEPCHIEVILRAIPEKGLQNNE STFKIDPYENTVLFRTNNPLHETTKETHSTFQFDKVFDANATQEDVQKFLVHPIINDV LNGYNGTVITYGPSFSGKSYSLIGSKESEGILPNICKTLFDTLEKNEETKGDSFSVSV LAFEIYMEKTYDLLVPLPERKPLKLHRSSSKMDLEIKDICPAHVGSYEDLRSYIQAVQ NVGNRMACGDKTERSRSHLVFQLHVEQRNRKDDILKNSSLYLVDLHGAEKFDKRTEST LSQDALKKLNQSIEALKNTVRSLSMKERDSAYSAKGSHSSAYRESQLTEVLKDSLGGN RKTKVILTCFLSNVPTTLSTLEFGDSIRQINNKVTDNTTGLNLKKKMDLFIQDMKIKD DNYVAQINILKAEIDSLKSLHNKSLPEDDEKKMLENTKKENIKLKLQLDSITQLLSSS TNEDPNNRIDEEVSEILTKRCEQIAQLELSFDRQMNSNSKLQQELEYKKSKEEALESM NVRLLEQIQLQEREIQELLTTNAILKGELETHTKLTETRSERIKSLESSVKELSLNKS AIPSPRRGSMSSSSGNTMLHIEEGSEISNSPWSANTSSKPLVWGARKVSSSSIATTGS QESFVARPFKKGLNLHSIKVTSSTPKSPSSGS YKL078W MAANSNSRVASNHTSKKQKVRRNIHPFTNNTRIKRASKIVKFND SGEGDHVSDQRSNKENVLTYKSLKSRASDLLKMRETLPVYQHKREIMSYIESNPVTVL IGETGSGKSTQIPQFVLEKLYDTKKHGSIAVTQPRRVAAINLATRVAQEHGCKLGEQV GYSVRFDNTTTTRTRLKYLTDGMLLRELMMNSDLREYSVIVIDEAHERTVLTDLILGF LKSLIQGPRPDLRIIVMSATLQAEKFSEFFNNAPILFVEGRKFDVKQYYLKAPTDDIV DAVIRCCIQINQGEELGDILCFLPGQEEIDKAVTIMEKIAKYVSDEAPVPLIVPYPLY AALPAVQQSLVFAPIKGFKRKVVFSTNIAETSVTISGVKFVVDSGLRKVKVWRHQLGL ATLLTVPISQASAMQRSGRAGRESEGKSFRLYCESDYVKLPKQSEPEIARSDVTSPVL MLKRYGVDDLLNWTWFENPGKEAIVMGLQELYELGALDTRGKITKRGQQMALLPLQPH LSSVLIKASEVGCLSQVIDIVSCLSVENLLLNPSPEERDEVNERRLSLCNAGKRYGDL IMLKELFDIYFYELGKSQDASSERNDWCKGLCISIRGFKNVIRVRDQLRVYCKRLFSS ISEEDEESKKIGEDGELISKILKCFLTGFIKNTAIGMPDRSYRTVSTGEPISIHPSSM LFMNKSCPGIMYTEYVFTTKGYARNVSRIELSWLQEVVTNAAAVAKQKVSDSK YKL077W MRFHDSILIFFSLASLYQHVHGARQVVRPKEKMTTSEEVKPWLR TVYGSQKELVTPTVIAGVTFSEKPEETPNPLKPWVSLEHDGRPKTIKPEINKGRTKKG RPDYSTYFKTVSSHTYSYEELKAHNMGPNEVFVEEEYIDEDDTYVSLNPIVRCTPNLY FNKGLAKDIRSEPFCTPYENSRWKVDKTYFVTWYTRFFTDENSGKVADKVRVHLSYVK ENPVEKGNYKRDIPATFFSSEWIDNDNGLMPVEVRDEWLQDQFDRRIVVSVQPIYISD EDFDPLQYGILLYITKGSKVFKPTKEQLALDDAGITNDQWYYVALSIPTVVVVFFVFM YFFLYVNGKNRDFTDVTRKALNKKRRVLGKFSEMKKFKNMKNHKYTELPSYKKTSKQN YKL075C MAKDLLPKQAANEPSLKDCTCKRCLKLGASKEKKIRRKKKGEEK RERHYGNRRKLTFNFLKHTNMENTNYDVITSVGYLNEKYGLKKSHYIEKFIKCIHRKI NIDVSKITDAYVNSLNPWVKVKLFLLLVTLSEKGGPEYWLDKTDGEKNSEASSTDNSL ENSTKGADSAGSTALRDEMVKSHKNLFPTLTEQIIQHNINQDFTESTYDEDYVFSSIW ANFMEGLINHYLEKVIVPYSEMKVCQQLYKPMMKIISLYNEYNELMVKSEKNGFLPSL QDSENVQGDKGEKESKDDAVSQERLERAQKLLWQAREDIPKTISKELTLLSEMYSTLS ADEQDYELDEFVCCAEEYIELEYLPALVDVLFANCGTNNFWKIMLVLEPFFYYIEDVG GDDDEDEDNVDNSEGDEESLLSRNVEGDDNVVERHFKPDPRVITLEKICEVAARQKWI YKL074C MADEKRLEDLRSKIMESIGKSEKDVVPIENKRFNTDNAVIDTHF KRQKSDGELPKAPKSRNVSHSNNRGPSSIITMSTNRTTYEQTRAGPHRQSYRDASGRS YNRENRYSSHNTGPQWNNNPYNRQRDERRGRNERFDRRGRNGNGNYDRFNYQRKNEGS KFNGDRDKRQLQTNKYDMNYNSQNVMYPGSSFDSPAYYNMASSKANSRLVISGLSQSS DPSIVARLKDLLENFISGLQKTESNAEDFKISNFYIGEGRPDHIIVEFSSQICSTMVL ACRSFFNAKLGTFDLKWRRPNDYVQQLDHLVDFCRGTVIALENLENIGEGEDYRMKEL FSSLNVTNGTAKPLFYKCSSNTNNTGKESEFTKCILLSFEVVTQDILDKLKPYKWFKP NDGKISQVTSWITFQSLPNLVTQSVRVESRVLLLLNCLDPLDLKDETFITEIKETLKY SIAGADTIKICQPGVDYRLNFENLASGAGNIYIKFKTLEAAKHAMEELPGTQFNDRTV LCTYIDEDDFDMMEATQLS YKL073W MRNVLRLLFLTAFVAIGSLAAVLGVDYGQQNIKAIVVSPQAPLE LVLTPEAKRKEISGLSIKRLPGYGKDDPNGIERIYGSAVGSLATRFPQNTLLHLKPLL GKSLEDETTVTLYSKQHPGLEMVSTNRSTIAFLVDNVEYPLEELVAMNVQEIANRANS LLKDRDARTEDFVNKMSFTIPDFFDQHQRKALLDASSITTGIEETYLVSEGMSVAVNF VLKQRQFPPGEQQHYIVYDMGSGSIKASMFSILQPEDTTQPVTIEFEGYGYNPHLGGA KFTMDIGSLIENKFLETHPAIRTDELHANPKALAKINQAAEKAKLILSANSEASINIE SLINDIDFRTSITRQEFEEFIADSLLDIVKPINDAVTKQFGGYGTNLPEINGVILAGG SSRIPIVQDQLIKLVSEEKVLRNVNADESAVNGVVMRGIKLSNSFKTKPLNVVDRSVN TYSFKLSNESELYDVFTRGSAYPNKTSILTNTTDSIPNNFTIDLFENGKLFETITVNS GAIKNSYSSDKCSSGVAYNITFDLSSDRLFSIQEVNCICQSENDIGNSKQIKNKGSRL AFTSEDVEIKRLSPSERSRLHEHIKLLDKQDKERFQFQENLNVLESNLYDARNLLMDD EVMQNGPKSQVEELSEMVKVYLDWLEDASFDTDPEDIVSRIREIGILKKKIELYMDSA KEPLNSQQFKGMLEEGHKLLQAIETHKNTVEEFLSQFETEFADTIDNVREEFKKIKQP AYVSKALSTWEETLTSFKNSISEIEKFLAKNLFGEDLREHLFEIKLQFDMYRTKLEEK LRLIKSGDESRLNEIKKLHLRNFRLQKRKEEKLKRKLEQEKSRNNNETESTVINSADD KTTIVNDKTTESNPSSEEDILHDEL YKL072W MQLEATHQKENHLSPLASFIFPDFRALFNIGFNLYSNINYKEVD INGFEIYIVEQWAAQRKISTLITSYTGNLQDTISAVEVALPEDPEEWPCCLKKYHEEL LKFSSPKKTAKGTLFVTNLSSFKSTLNLLHVECGNLKKIWKNFKTNYDLKRLHCGGRS AQLLKKTPSASIAKFAQLYKFPNSAFSHEITSDFQQPSLQNDNSSISSIENIPVNHCP VVELTTLVQISLSYFALFEYKKERDGLLCNGTKQSLEKWWEIYGKRYHGIDKPKNETI LGPTTVASLLSLVLTCYFKLMVEDCMSAKDPFDEEEFYSGLYAFQKKYGLSKNNKQTS LDELTIDKLFEVSSKTSNKDIFKFKKVVKSTVQDMTGKGNFMHLSNEILTTDLDTLVK NIHGGSLGKLWKGRSASRKETCMVWERKTFLSFKFERGDPSLQLENNELFYGTSVPSE QLTTSNKEDSDTQPTKRNSIYDIANGSKSSLSISSMFCNYDETRYKSTNNLNRAYRGE YFRRNSIPFCNDGIHDTKKISADLNKIDGLYRCNSYSEVQNAIELWSLPFDSSVIRLA RDLLKIQSLMSVQRQLDEIRDGYLGKNSQRSYQNDLMFRQSLNKLQEMCERCKRGSNE FHWEYGNMQNKQQILESEKKDMKSLSSKLKYNVRILDRRVRDVEASVDHFDRKLEDVR KKLLEQNNSKDISMALESPCDKFEFDSFMDSIVQSQQTKYEGLCFKILDKKSLRKLKK EFWKWSTWTFDTFLYKNRPNKEKDTL YKL071W MNTSSRITYFIIGGSRGIGFNLVKILSASTGNTVITSIRGSPSL PKNKQVEDLAKIRKNIHIVQLDLTKDESIGNIADEIKKTPFFLGIDIFIACSAVSDSY YKVLETPKSVWLNHYSTNALGPILALQKVYPLLLLKKTRKIFFISSVAGSINAFVPLS VSAYGQSKAALNYAVKTLSFELKPEGFTVVAFHPGMVSTDMGQYGLDHFKEKNIDISG VNIITPEESASALIDVFRKILPEDNGKFFNYDGSEGVF YKL070W MYIPKHFESMELSRYKLSKKPPLGTLFSSKASRQGFFGWRTSSN KDDPDFGMCASHIPFVFVEFDNGEHKLIAHLARKNKHVEMLERVQKCLVVFQSVDSYI SPAWFPMKKKTHKFVPTWDFAAVHVYGTPRIIRDDKDWLINMLSTLTDQEEEKRPEGE NVRSKVERF YKL069W MGSSTGFHHADHVNYSSNLNKEEILEQLLLSYEGLSDGQVNWVC NLSNASSLIWHAYKSLAVDINWAGFYVTQASEENTLILGPFQGKVACQMIQFGKGVCG TAASTKETQIVPDVNKYPGHIACDGETKSEIVVPIISNDGKTLGVIDIDCLDYEGFDH VDKEFLEKLAKLINKSCVFK YKL068W-A MQANHSVSYLYESSTSKRSNGLFSQTQKQGSFQKALSQTQEEIE DEDLMVDLNTGSLTPVKLKYWTQMSAMTEKFGKL YKL068W MFGNNRPMFGGSNLSFGSNTSSFGGQQSQQPNSLFGNSNNNNNS TSNNAQSGFGGFTSAAGSNSNSLFGNNNTQNNGAFGQSMGATQNSPFGSLNSSNASNG NTFGGSSSMGSFGGNTNNAFNNNSNSTNSPFGFNKPNTGGTLFGSQNNNSAGTSSLFG GQSTSTTGTFGNTGSSFGTGLNGNGSNIFGAGNNSQSNTTGSLFGNQQSSAFGTNNQQ GSLFGQQSQNTNNAFGNQNQLGGSSFGSKPVGSGSLFGQSNNTLGNTTNNRNGLFGQM NSSNQGSSNSGLFGQNSMNSSTQGVFGQNNNQMQINGNNNNSLFGKANTFSNSASGGL FGQNNQQQGSGLFGQNSQTSGSSGLFGQNNQKQPNTFTQSNTGIGLFGQNNNQQQQST GLFGAKPAGTTGSLFGGNSSTQPNSLFGTTNVPTSNTQSQQGNSLFGATKLTNMPFGG NPTANQSGSGNSLFGTKPASTTGSLFGNNTASTTVPSTNGLFGNNANNSTSTTNTGLF GAKPDSQSKPALGGGLFGNSNSNSSTIGQNKPVFGGTTQNTGLFGATGTNSSAVGSTG KLFGQNNNTLNVGTQNVPPVNNTTQNALLGTTAVPSLQQAPVTNEQLFSKISIPNSIT NPVKATTSKVNADMKRNSSLTSAYRLAPKPLFAPSSNGDAKFQKWGKTLERSDRGSST SNSITDPESSYLNSNDLLFDPDRRYLKHLVIKNNKNLNVINHNDDEASKVKLVTFTTE SASKDDQASSSIAASKLTEKAHSPQTDLKDDHDESTPDPQSKSPNGSTSIPMIENEKI SSKVPGLLSNDVTFFKNNYYISPSIETLGNKSLIELRKINNLVIGHRNYGKVEFLEPV DLLNTPLDTLCGDLVTFGPKSCSIYENCSIKPEKGEGINVRCRVTLYSCFPIDKETRK PIKNITHPLLKRSIAKLKENPVYKFESYDPVTGTYSYTIDHPVLT YKL067W MSSQTERTFIAVKPDGVQRGLVSQILSRFEKKGYKLVAIKLVKA DDKLLEQHYAEHVGKPFFPKMVSFMKSGPILATVWEGKDVVRQGRTILGATNPLGSAP GTIRGDFGIDLGRNVCHGSDSVDSAEREINLWFKKEELVDWESNQAKWIYE YKL065W-A MRSNILKLLQRTSRRYVSSKDFEPVIGSNPKKQTSRLMVGSVGV MIPVLLYLFYKNDSKHSEIKKIYQNEKKI YKL065C MSLYFTTLFLLLTVEMVMLFIFVLPLPFRIRRGIFSTYNQLTAK QQIKTIIFITGCLVGLLFIDSWKRSQIRVSLYHNDNSGSIGSSAVTPIQALASRAYNQ RNMYISGFILYFSICIPTVMSIVKRLVKYQGLINEQEKQKLNKPSSNSKKDSNEADST KLQEELRKKQISLEGLQKQVKNLEKYFDEKNQPGNVAAAEASKKGN YKL064W MSTDNSQKDEGVPLLSPYSSSPQLRKKKRNQKRRKDKFVGHLKS DSRRPTQLLHDNLQHNHGQITDFDQIDSWGMLHESDSTSNDIIKSEDPSLKGAFIDHR PSMSQPREGPQSVSSTVQPQPIMKFSTPSYKKPAGLRPSDQNRSLVSDLSPSELESWL KRRKSVHKSFVDENSPTDRRQSNANNDVVIDVDALMNHVNNNASTGVNDNSKRRKKKR GSDDSSNKNSKSTSSDSNDEEDEYNSRPSSSLSSNNSSLDDVCLVLDDEGSEVPKAWP DCTVLEEFSKEETERLRSQAIQDAEAFHFQYDEDEEDGTSNEDGILFSKPIVTNIDVP ELGNRRVNETENLKNGRLRPKRIAPWHLIQRPMVLGSNSTKDSKSRIQSGLQDNLLVG RNIQYPPHIISNNPEHFRFTYFRVDLDSTVHSPTISGLLQPGQKFQDLFVASIYSQDN SAGHIKTHPNSPTPGIKAETVSQLQGLTAKNPSTLSSMSVANIEDVPPFWLDVSNPTE EEMKILSKAFGIHPLTTEDIFLGEVREKVELFRDYYLICFRSFDIVAEKHVRRRRKEK QESATLDHESISRRKSQAYGATMSNESNANNNNSTSNASRSKWLPSILRARRRSSANR TTNTSSSSYKRRVKSEKKKMEENEKFKRKSGDRHKPREGELEPLNVYIIVFRTGVLTF HFAPTPHPINVRRRARLLKDYLNVTSDWIAYALIDDITDAFAPMIELIEDEVYEIEDA ILKMHQSDDSSDSDSSDSDSDSGASDEDAFPFDVYSKKTSYSSAKSSVSSRSMSTSEA SFNANLIGWKRKGDMLRRIGECRKRVMSILRLLGSKADVIKGFAKRYNEQWEASPQSE IAMYLGDIQDHIVTMVSSLNHYEKLLSRSHSNYLAQINIDMTKVNNDMNDVLGKITIL GTIVLPMNVITGLWGMNVIVPGQYRDSLTWFIGIVLFMCMLACSAYMYTKRRFGF YKL063C MQGDIRRKKDLLPRYKTGSKYNSRRRGGYLTTPMKKIIVYIILL CGVYFVIKVAYSDLNKETEIKLESHSSDVSASASDHTNIAAGGAADATNNKQPQQAKV PKEKFNNEVAKQQEVKNLENDLKPQIDSEKQKQINKDKKEQKQQLQKEKQDLAKENLA NNEILDN YKL062W MLVFGPNSSFVRHANKKQEDSSIMNEPNGLMDPVLSTTNVSATS SNDNSANNSISSPEYTFGQFSMDSPHRTDATNTPILTATTNTTANNSLMNLKDTASLA TNWKWKNSNNAQFVNDGEKQSSNANGKKNGGDKIYSSVATPQALNDELKNLEQLEKVF SPMNPINDSHFNENIELSPHQHATSPKTNLLEAEPSIYSNLFLDARLPNNANSTTGLN DNDYNLDDTNNDNTNSMQSILEDFVSSEEALKFMPDAGRDARRYSEVVTSSFPSMTDS RNSISHSIEFWNLNHKNSSNSKPTQQIIPEGTATTERRGSTISPTTTINNSNPNFKLL DHDVSQALSGYSMDFSKDSGITKPKSISSSLNRISHSSSTTRQQRASLPLIHDIESFA NDSVMANPLSDSASFLSEENEDDAFGALNYNSLDATTMSAFDNNVDPFNILKSSPAQD QQFIKPSMMLSDNASAAAKLATSGVDNITPTPAFQRRSYDISMNSSFKILPTSQAHHA AQHHQQQPTKQATVSPNTRRRKSSSVTLSPTISHNNNNGKVPVQPRKRKSITTIDPNN YDKNKPFKCKDCEKAFRRSEHLKRHIRSVHSTERPFACMFCEKKFSRSDNLSQHLKTH KKHGDF YKL061W MGEQNKLYYDVEKLVNSLQESFDLDCAQSVSLFTSKSRSNEAWL EELENKFKLKDDVELDDVENLRAEIDMKLNMLEDKVSYYERLYKELEEFQNEIKIKTV VNNRRQSRTPK YKL060C MGVEQILKRKTGVIVGEDVHNLFTYAKEHKFAIPAINVTSSSTA VAALEAARDSKSPIILQTSNGGAAYFAGKGISNEGQNASIKGAIAAAHYIRSIAPAYG IPVVLHSDHCAKKLLPWFDGMLEADEAYFKEHGEPLFSSHMLDLSEETDEENISTCVK YFKRMAAMDQWLEMEIGITGGEEDGVNNENADKEDLYTKPEQVYNVYKALHPISPNFS IAAAFGNCHGLYAGDIALRPEILAEHQKYTREQVGCKEEKPLFLVFHGGSGSTVQEFH TGIDNGVVKVNLDTDCQYAYLTGIRDYVLNKKDYIMSPVGNPEGPEKPNKKFFDPRVW VREGEKTMGAKITKSLETFRTTNTL YKL059C MSSTIFYRFKSQRNTSRILFDGTGLTVFDLKREIIQENKLGDGT DFQLKIYNPDTEEEYDDDAFVIPRSTSVIVKRSPAIKSFSVHSRLKGNVGAAALGNAT RYVTGRPRVLQKRQHTATTTANVSGTTEEERIASMFATQENQWEQTQEEMSAATPVFF KSQTNKNSAQENEGPPPPGYMCYRCGGRDHWIKNCPTNSDPNFEGKRIRRTTGIPKKF LKSIEIDPETMTPEEMAQRKIMITDEGKFVVQVEDKQSWEDYQRKRENRQIDGDETIW RKGHFKDLPDDLKCPLTGGLLRQPVKTSKCCNIDFSKEALENALVESDFVCPNCETRD ILLDSLVPDQDKEKEVETFLKKQEELHGSSKDGNQPETKKMKLMDPTGTAGLNNNTSL PTSVNNGGTPVPPVPLPFGIPPFPMFPMPFMPPTATITNPHQADASPKK YKL058W MAVPGYYELYRRSTIGNSLVDALDTLISDGRIEASLAMRVLETF DKVVAETLKDNTQSKLTVKGNLDTYGFCDDVWTFIVKNCQVTVEDSHRDASQNGSGDS QSVISVDKLRIVACNSKKSE YKL057C MACLSRIDANLLQYYEKPEPNNTVDLYVSNNSNNNGLKEGDKSI STPVPQPYGSEYSNCLLLSNSEYICYHFSSRSTLLTFYPLSDAYHGKTINIHLPNASM NQRYTLTIQEVEQQLLVNVILKDGSFLTLQLPLSFLFSSANTLNGEWFHLQNPYDFTV RVPHFLFYVSPQFSVVFLEDGGLLGLKKVDGVHYEPLLFNDNSYLKSLTRFFSRSSKS DYDSVISCKLFHERYLIVLTQNCHLKIWDLTSFTLIQDYDMVSQSDSDPSHFRKVEAV GEYLSLYNNTLVTLLPLENGLFQMGTLLVDSSGILTYTFQNNIPTNLSASAIWSIVDL VLTRPLELNVEASYLNLIVLWKSGTASKLQILNVNDESFKNYEWIESVNKSLVDLQSE HDLDIVTKTGDVERGFCNLKSRYGTQIFERAQQILSENKIIMAHNEDEEYLANLETIL RDVKTAFNEASSITLYGDEIILVNCFQPYNHSLYKLNTTVENWFYNMHSETDGSELFK YLRTLNGFASTLSNDVLRSISKKFLDIITGELPDSMTTVEKFTDIFKNCLENQFEITN LKILFDELNSFDIPVVLNDLINNQMKPGIFWKKDFISAIKFDGFTSIISLESLHQLLS IHYRITLQVLLTFVLFDLDTEIFGQHISTLLDLHYKQFLLLNLYRQDKCLLAEVLLKD SSEFSFGVKFFNYGQLIAYIDSLNSNVYNASITENSFFMTFFRSYIIENTSHKNIRFF LENVECPFYLRHNEVQEFMFAMTLFSCGNFDQSYEIFQLHDYPEAINDKLPTFLEDLK SENYHGDSIWKDLLCTFTVPYRHSAFYYQLSLLFDRNNSQEFALKCISKSAEYSLKEI QIEELQDFKEKQHIHYLNLLIHFRMFEEVLDVLRLGHECLSDTVRTNFLQLLLQEDIY SRDFFSTLLRLCNAHSDNGELYLRTVDIKIVDSILSQNLRSGDWECFKKLYCFRMLNK SERAAAEVLYQYILMQADLDVIRKRKCYLMVINVLSSFDSAYDQWILNGSKVVTLTDL RDELRGL YKL056C MIIYKDIFSNDELLSDAYDAKLVDDVIYEADCAMVNVGGDNIDI GANPSAEGGDDDVEEGAEMVNNVVHSFRLQQTAFDKKSFLTYIKGYMKAVKAKLQETN PEEVPKFEKGAQTYVKKVIGSFKDWEFFTGESMDPDAMVVMLNYREDGTTPFVAIWKH GIVEEKI YKL055C MHYLPVAIVTGATRGIGKAICQKLFQKGLSCIILGSTKESIERT AIDRGQLQSGLSYQRQCAIAIDFKKWPHWLDYESYDGIEYFKDRPPLKQKYSTLFDPC NKWSNNERRYYVNLLINCAGLTQESLSVRTTASQIQDIMNVNFMSPVTMTNICIKYMM KSQRRWPELSGQSARPTIVNISSILHSGKMKVPGTSVYSASKAALSRFTEVLAAEMEP RNIRCFTISPGLVKGTDMIQNLPVEAKEMLERTIGASGTSAPAEIAEEVWSLYSRTAL ET YKL054C MSTQFRKSNHNSHSSKKLNPALKSKIDTLTELFPDWTSDDLIDI VQEYDDLETIIDKITSGAVTRWDEVKKPAKKEKYEKKEQQHSYVPQQHLPNPEDDITY KSSNNSNSFTSTKHNSSNNYTQARNKKKVQTPRAHTTGKHVNLDKGKHVPSKPVSNTT SWAAAVSVDTKHDVPQDSNDNNNEELEAQGQQAQEKNQEKEQEEQQQQEGHNNKEEHK QIEQPSLSSKKTTSRTSASQPKKMSWAAIATPKPKAVKKTESPLENVAELKKEISDIK KDDQKSEASEEKVNEQETSAQEQEEETAEPSEENEDRVPEVDGEEVQEEAEKKEQVKE EEQTAEELEQEQDNVAAPEEEVTVVEEKVEISAVISEPPEDQANTVPQPQQQSQQPQQ PQQPQQPQQPQQPQQQQQPQQPQQPQQQLQQQQQQQQQPVQAQAQAQEEQLSQNYYTQ QQQQQYAQQQHQLQQQYLSQQQQYAQQQQQHPQPQSQQPQSQQSPQSQKQGNNVAAQQ YYMYQNQFPGYSYPGMFDSQGYAYGQQYQQLAQNNAQTSGNANQYNFQQGYGQAGANT AAANLTSAAAAAAASPATAHAQPQQQQPYGGSFMPYYAHFYQQSFPYGQPQYGVAGQY PYQLPKNNYNYYQTQNGQEQQSPNQGVAQHSEDSQQKQSQQQQQQQPQGQPQPEVQMQ NGQPVNPQQQMQFQQYYQFQQQQQQAAAAAAAAAQQGVPYGYNGYDYNSKNSRGFY YKL053C-A MGNIMSASFAPECTDLKTKYDSCFNEWYSEKFLKGKSVENECSK QWYAYTTCVNAALVKQGIKPALDEAREEAPFENGGKLKEVDK YKL052C MDSASKEETLEKLDQEITVNLQKIDSNLSFCFHKITQDIIPHVA TYSEICERIMDSTEWLGTMFQETGLVNLQANAAAPVGNAPVKSLVSNNVGIFPTSAEE ASRQSQTDNGPNEADSAVHVNRDVHSMFNNDSIDDFHTANITSTGQILKLPDSSDEDT GSEAVPSREQTDLTGEGHGGADDEQDESTIQRQSRKRKISLLLQQQYGSSSSMVPSPI VPNKMRKQLAHEEHINNDGDNDDENSNNIESSPLKQGHHHPKGQADDNNEGPDEEEST KEVPKPGTIIHFSTNR YKL051W MIQFKSPGNWLFIVPWIAFIPWYGMLIAMLICWASQGHPIYWFM HSEQFPVYISDIGATNLRPLFISCAGWQGLGYVITVALEFFQRSGYLPFQLKKKDPSI SDSTSYAEKLHSGKYLMPPYYTKDERNLIFAAFVLGSIGELALLFSSIFSTALYHRVH IAMVSVFVVFMFLSTCCLIAEYFLMGRHYASVHPLASPHFNPQSSEKSFNQDYNTVDE LPWYKWKGHVWNKFTISATLKVIWLTLAVVWAICFGAINDRSKSACFEWLLAFWFGII FMILSADFYLGGRYRQSRYFNHVESFSGYYKYDKALGLYHSEDVLPSDDNAGVIATET ASSNIYNNSSSNESIQVVV YKL050C MSLISALQTTDVESVQTSPEQITERKAVRVSTLQESLHSSEMHR AAPETPRSISNSVHKLKTIYSTYQQSGQPLSKEAIFRAKQKYGILNTPANYKTLGLGD SKSESVDLAARLASKRTKVSPDDCVETAIEQKARGEAFKVTFSKIPLTPPEDVPITVN LGLKGRRDFLTRLAAQKALAFSPSLDNSMKGTSDSSSVKKKRFSGAPIGNEFDANLVN PQHPAGFKSLDLSKVLDGAERRAISRVNDRLYPQKVNFKNGLQSSDQSGVSKANKEVF KKGTLEKLEHSAEQFLESHAGNERQRLSDQQYMCAKGAADAVKDLDPKTLEDPDFAAR EAQKKLYIKQVASPVVLNEAQKLANRKLQDIDSRDTYMLLFGNQAYNKLAVNIALQHY SVKQEEKKKIYLGGGLWMTPEEVNAVAKKLISPVVNEIDERASRQRDVDKDIERRSRV LDQEYEDGNSMERAKEQNDGQLLLAMASKQQQEKEAKKAEEGQRYDQFVQKMNIKLQQ KEKELENARENRENLRNELQERLSKNLSGENDELNDWNDACERDLKNSSIEHYYAVRS HFDNLGNSERGYDELLEERSKIQVEIERLVASIAEHKTAIHGFGETADAGGAIPAVQK QKIPTRKDLLDATVNDPLVISAEMAKEEAEMATEECMLKELQVDEMIIIRNIMLRECE KKLEEEKETAKRSRRGTEESKNNSNFSRDVIMSTPDNNEKVTPIGKSASPKDVVKSRF LSTYNTGKDIDSSASARSITGVSGVLDDGPKTPTSNKENELIDDEVKSYKVHQAVDGT GEDSIANKRDKSSRPAANSGGSITIEQFLFNKNADKQGLSKTESVTMKREPVVDQMDS KKGHDFTHCNDNGRRSFSGFSQGSIENDYSNEVTDDQDDQEGSEIRVRDSNDSNTSPK ESFFKEVI YKL049C MSSKQQWVSSAIQSDSSGRSLSNVNRLAGDQQSINDRALSLLQR TRATKNLFPRREERRRYESSKSDLDIETDYEDQAGNLEIETENEEEAEMETEVPAPVR THSYALDRYVRQKRREKQRKQSLKRVEKKYTPSELALYEIRKYQRSTDLLISKIPFAR LVKEVTDEFTTKDQDLRWQSMAIMALQEASEAYLVGLLEHTNLLALHAKRITIMKKDM QLARRIRGQFI YKL048C MSPRQLIPTLIPEWAPLSQQSCIREDELDSPPITPTSQTSSFGS SFSQQKPTYSTIIGENIHTILDEIRPYVKKITVSDQDKKTINQYTLGVSAGSGQFGYV RKAYSSTLGKVVAVKIIPKKPWNAQQYSVNQVMRQIQLWKSKGKITTNMSGNEAMRLM NIEKCRWEIFAASRLRNNVHIVRLIECLDSPFSESIWIVTNWCSLGELQWKRDDDEDI LPQWKKIVISNCSVSTFAKKILEDMTKGLEYLHSQGCIHRDIKPSNILLDEEEKVAKL SDFGSCIFTPQSLPFSDANFEDCFQRELNKIVGTPAFIAPELCHLGNSKRDFVTDGFK LDIWSLGVTLYCLLYNELPFFGENEFETYHKIIEVSLSSKINGNTLNDLVIKRLLEKD VTLRISIQDLVKVLSRDQPIDSRNHSQISSSSVNPVRNEGPVRRFFGRLLTKKGKKKT SGKGKDKVLVSATSKVTPSIHIDEEPDKECFSTTVLRSSPDSSDYCSSLGEEAIQVTD FLDTFCRSNESLPNLTVNNDKQNSDMKTDRSESSSHSSLKIPTPIKAMIRLKSSPKEN GNRTHINCSQDKPSSPLMDRTVGKRTVNNSGARKLAHSSNILNFKAYINSEDSDIRET VEDVKTYLNFADNGQI YKL047W MNSGGEEPTIKPNVFNITQLLNSNGEKPGIACIFLSKFDMKKGN IIIWSKSINGAAIDLSNIEFKSLPAGIHEQTDDVVNFVVPKELDVCQTAKTTTYDYGI AYFKQNSFDIIENDNRIDRSKVQMFSLGVIIDVQNASSDSKKHFYKEIYHAYAANRYS SYLESLLGQWIRQRDLDKFDIFEKFFDENNQGHMAENSVEVFEHSPKERRHLVEYLPY WTRKLGPLIFPLWKASLLQSRILILVPQGESFELCNSLAYCVFLISMLPKNLIGNHVS DEYIKPIFTVSTSDIPFLESFKKGNGYVATTSEEILLYKPEIYDIVVKLTSSSTIEES PEKEVEILTASGEQNKATPLDLEVYEKLILGELQEDASTNATCRHHEVTEPISWLQFL IDGFFLLTTAGYLVAPYHLANNFKIPRHVSGPEPNNSEIQIAENLVRYFHRRTSNLYN DLKDVIQKSENIDSEQPITIAASFLTKLNLDCFSKQDHQFVKDIALKWFQRSIDISNL PECLGNLC YKL046C MLVNKVIGLLGVLFATRFTNAVELDLDNYESLQNATSLIAYGLM DYYTGNQYGKTVGMFSDPYYWWEAGGAWGCMLDYWFFMDNDTYNDEIIAAMIHQAGDD NDYIPLNQSTTEGNDDQAFWGIAAMTAAERNFTNPPENEPQWLYLAQAVFNTMALRWD ADSCGGGLRWQIFVWNSGYDYKNTVSNGALFHIAARLARYTGNQTYVDWAEKVYEWMV GVNLISNGTYKYVYDGVSIDDNCTKVTSYQWTYNQGLLLAGSAYLYNFTGSDLWHTRT KEFLNASQVFFHDGIVYEAACQGPNSCNTDQRSFKAYFARFLGVTAQLVPETRNQIMS WLNTSAIAAAKSCSGGTDGHTCGLNWFNGTWDGMYGLGEQMSALEVMVNTRALDKPAP YTAENGGSSVGDGAAGTQAQPTNLAPLNITKGSKAGAGIITAVIGISIVACALWLVF YKL045W MFRQSKRRIASRKNFSSYDDIVKSELDVGNTNAANQIILSSSSS EEEKKLYARLYESKLSFYDLPPQGEITLEQFEIWAIDRLKILLEIESCLSRNKSIKEI ETIIKPQFQKLLPFNTESLEDRKKDYYSHFILRLCFCRSKELREKFVRAETFLFKIRF NMLTSTDQTKFVQSLDLPLLQFISNEEKAELSHQLYQTVSASLQFQLNLNEEHQRKQY FQQEKFIKLPFENVIELVGNRLVFLKDGYAYLPQFQQLNLLSNEFASKLNQELIKTYQ YLPRLNEDDRLLPILNHLSSGYTIADFNQQKANQFSENVDDEINAQSVWSEEISSNYP LCIKNLMEGLKKNHHLRYYGRQQLSLFLKGIGLSADEALKFWSEAFTRNGNMTMEKFN KEYRYSFRHNYGLEGNRINYKPWDCHTILSKPRPGRGDYHGCPFRDWSHERLSAELRS MKLTQAQIISVLDSCQKGEYTIACTKVFEMTHNSASADLEIGEQTHIAHPNLYFERSR QLQKKQQKLEKEKLFNNGNH YKL044W MGYVIMTFSSARMSERRARIIYIWMHLSAYKINFPFVQFPTFFS LFRLQKKAAILIKNPSPFFLFFLFPYRKNSTARTIHQINQAVALVLLCVSHHLTYLPS VPSL YKL043W MYHVPEMRLHYPLVNTQSNAAITPTRSYDNTLPSFNELSHQSTI NLPFVQRETPNAYANVAQLATSPTQAKSGYYCRYYAVPFPTYPQQPQSPYQQAVLPYA TIPNSNFQPSSFPVMAVMPPEVQFDGSFLNTLHPHTELPPIIQNTNDTSVARPNNLKS IAAASPTVTATTRTPGVSSTSVLKPRVITTMWEDENTICYQVEANGISVVRRADNNMI NGTKLLNVTKMTRGRRDGILRSEKVREVVKIGSMHLKGVWIPFERAYILAQREQILDH LYPLFVKDIESIVDARKPSNKASLTPKSSPAPIKQEPSDNKHEIATEIKPKSIDALSN GASTQGAGELPHLKINHIDTEAQTSRAKNELS YKL042W MNGSPTPKRYSSKSSRLYDDYYNIPYQYSNPTPMNRDYNDVGSR INADKLVPEEYKRNTEFINKAVQQNKELNFKLREKQNEIFELKKIAETLRSKLEKYVD ITKKLEDQNLNLQIKISDLEKKLSDANSTFKEMRFPKVKDPMVDDDPVSENYDQINVP KHRAPDATGNPRTTNKVSNTSDQDSRLKAIERTLSVLTNYVMRSEDGNNDRMSPLPSP LNTILPINNRLNFQEPKRYNPTVKVNPSDDDIMMYESAELKRVEEEIEELKRKILVRK KHDLRKLSLNNQLQELQSMMDGDDNIKLDNVSKHNHATHRHSSQSSRDYSPSSDACLE CSNDLYEKNRVKPENNMSETFATPTPNNR YKL041W MDYIKKAIWGPDPKEQQRRIRSVLRKNGRNIEKSLRELTVLQNK TQQLIKKSAKKNDVRTVRLYAKELYQINKQYDRMYTSRAQLDSVRMKIDEAIRMNTLS NQMADSAGLMREVNSLVRLPQLRNTMIELEKELMKSGIISEMVDDTMESVGDVGEEMD EAVDEEVNKIVEQYTNEKFKNVDQVPTVELAANEEEQEIPDEKVDEEADRMVNEMRER LRALQN YKL040C MFKSVAKLGKSPIFYLNSQRLIHIKTLTTPNENALKFLSTDGEM LQTRGSKSIVIKNTDENLINHSKLAQQIFLQCPGVESLMIGDDFLTINKDRMVHWNSI KPEIIDLLTKQLAYGEDVISKEFHAVQEEEGEGGYKINMPKFELTEEDEEVSELIEEL IDTRIRPAILEDGGDIDYRGWDPKTGTVYLRLQGACTSCSSSEVTLKYGIESMLKHYV DEVKEVIQIMDPEQEIALKEFDKLEKKLESSKNTSHEK YKL039W MRVYQFCRPFQLFTCFLCYLLVFVKANKEKISQKNYQVCAGMYS KEDWKGKIDPFISFNLKKISGLSDESDPGLVVAIYDFQDFEHLGVQLPDEEMYYICDD YAIDIGICEEENRDEFIVQDVVYDPYTSTNRSLANPIMTFSQNEVGLHDTRYPIKETG FYCVTAFRSSTSTKFNAVVNFRNAYGQLAGTEINKLPLYGLLAVAYVVAMALYSFAFW KHKHELLPLQKYLLAFFVFLTAETIFVWAYYDLKNEKGDTAGIKVYMVFLSILTAGKV TFSFFLLLIIALGYGIVYPKLNKTLMRRCQMYGALTYAICIGFLIQSYLTDMEAPSPL ILITLIPMALALIIFYYMIIRSMTKTVIYLKEQRQIVKLNMYKKLLYIIYASFLSVLA GSIVSSFIYVGMNTIDMIEKNWRSRFFVTDFWPTLVYFIVFVTIAFLWRPTDTSYMLA ASQQLPTDPENVADFDLGDLQSFDDQDDASIITGERGIDEDDLNLNFTDDEEGHDNVN NHSQGHGPVSPSPTK YKL038W MNELNTVSTNSSDSTKNGGTSNSPDDMDSAAAASHAIKKRTKAS RACDQCRKKKIKCDYKDEKGVCSNCQRNGDRCSFDRVPLKRGPSKGYTRSTSHPRTNE IQDHNNSRSYNTFDNSNNTLNNNTGNSGDNGINSNTVPSTPSRSNSVLLPPLTQYIPQ AGGIPPSFQNPAIQSTMPAGNIGQQQFWKVPYHEFQHQRKGSIDSLQSDISVRTLNPN EQLSYNTVQQSPITNKHTNDSGNANGSVTGSGSASGSGGYWSFIRTSGLLAPTDDHNG EQTRRSSSIPSLLRNTSNSLLLGGQPQLPPPQQQSQPQAHQQKLQQGQNLYSYSQFSQ QQPYNPSISSFGQFAANGFHSRQGSVASEAMSPSAPAMFTSTSTNPVNVAQQTQRPQG QQVPQFSSELDGNKRRQSAPVSVTLSTDRLNGNENNNGEINNNNGSNNSGSSKDTSQH SQESVTTPAALEASSPGSTPQRSTKKRRKSYVSKKTKPKRDSSISITSKDSAHPMTTS STIAYGQISDVDLIDTYYEFIHVGFPIIPLNKTTLTSDLLLVNTQPISNIHEVNSYVI LWFRNSLELLVRVALKQKPGGKFFDNIVGVALSPSNDNNKAGFTTATARDDAEKTRRD SHNEVQDTLEVQSVFIAALNECFQKIVDIHPKFRENNDQISPKIKVIYLSTFILLNYI LAFVGYDNSFVLGMSVTIFNEFKLYKLLLFPEPDINDVKPPVDEEVSTGNGNTKTSEF EIGSESAGHMNPSNSPNSMDENISHYSVLFKRLYVLLSVFDSLQSCAFGGPKLLNISI QGSTERFFSNDLGSKWCLEQSQLRLKSVLQSLKLGELMSELTRNRISMNGNRKPGFDI TNSSSLLSEYVETQPLSVAQLFCKLLIGKHNFINCLLSLYDSEAGVYSDLTLDLSSKI ADSLCSLISIILQVLTLILRLNPTNSIDFNYRPPNPPANNPTVQEGPSAMGSSPVAGN LSAAPPSEGNPDFYKKLLGLKQDTGTILSDLCRGIISPFAIAILHEVYNITELVKQMP TSLISIMMTATTTQNTQDTKKSQDLVMKLSNSMNEVVQITSVLTMIKPFKIFEHELNK PIMSLTGGLSSTTRNDVMWPKSGQGLRESSVMKTLLDERRTSGTQPTTAPVAAEEPRL ENVALENFVSIGWKLLDDSELGWY YKL037W MQTMGGEHLLLSQLKGSFFLLLLAYFFRGRSPYYARCYRRLAVT PGAITIAIAIATDSIPALAKSKVLVSVCSHTDPCTASCNLIPFPRPFSNSLTRFLFCL GSARFCISFPCFGLSI YKL035W MSTKKHTKTHSTYAFESNTNSVAASQMRNALNKLADSSKLDDAA RAKFENELDSFFTLFRRYLVEKSSRTTLEWDKIKSPNPDEVVKYEIISQQPENVSNLS KLAVLKLNGGLGTSMGCVGPKSVIEVREGNTFLDLSVRQIEYLNRQYDSDVPLLLMNS FNTDKDTEHLIKKYSANRIRIRSFNQSRFPRVYKDSLLPVPTEYDSPLDAWYPPGHGD LFESLHVSGELDALIAQGREILFVSNGDNLGATVDLKILNHMIETGAEYIMELTDKTR ADVKGGTLISYDGQVRLLEVAQVPKEHIDEFKNIRKFTNFNTNNLWINLKAVKRLIES SNLEMEIIPNQKTITRDGHEINVLQLETACGAAIRHFDGAHGVVVPRSRFLPVKTCSD LLLVKSDLFRLEHGSLKLDPSRFGPNPLIKLGSHFKKVSGFNARIPHIPKIVELDHLT ITGNVFLGKDVTLRGTVIIVCSDGHKIDIPNGSILENVVVTGNLQILEH YKL034W MEIDGNTLVFIIVILFLFFSSPGGDGVSSQYEFNQLQRLKQQFR TEHNTFVNMTYTDSFRNITGLKLSYQDMLNNPLQNATYPLPGKDYDRWFPNQNYMVLP NDVIEAINTEVWNTSNDDASNLFPPNITSTLLGKIDLVSNNKYEKIRMPVPRFYEPAT DFSEDIPPEGETYWSEWPSYGELHNVSFQHGEIAIQISHMSNLQDNNNYLRRNFINKK NDRWKLLNLQIDFSDKAEKEKHSIYSKAVYDIQRGRILSISQSSKFHSLFALPHYMSF QNDYNEKIFNDVKELVDEFWNFTDYTDVMTMKDVQDAYNNANFKCEYLIFLQLEPWNQ YTRDQIKLIDDELNWPLGRPANLSSLPPINVVSGLLYSPDCGVRLGLHNVKGTRYELK IMSIRKHLLFGIALFAAQIYLLLTQMHHTNTPSMVNKISFYCFSMINLVDGSLATLYF VAASVVPELYLPLVISAFSCFILASIFEIRYLISIYASQVNEQNVGIINLLRGNTGTY DENRPRPAFIPDEGSIGGSLYGRFFFMLIIFTFLILSSTSWPRQLRMVFEYILIFILN SYWIPQIFRNAVKGIPSRRERARSSIGGNRSQNKMPLLWSFVIGTTIIRSLPVVYVFT YSSNVFRHHKDVHFVVFLSLWLLFQISILYSQDVLGSRWFLPKHTIPDGYSYFKPLSN EYISEHGGGTAEHTVDCAICMSDVPIYIEEIPETHKVDQHSYMVTPCNHVFHTSCLEN WMNYKLQCPVCRSPLPPL YKL033W-A MTHPVAVKACLFDMDGLLINTEDIYTETLNETLAEFGKGPLTWD VKIKLQGLPGPEAGKRVIEHYKLPITLDEYDERNVALQSLKWGTCEFLPGALNLLKYL KLKNIPIALCTSSNKTKFRGKTSHLEEGFDLFDTIVTGDDPRIAKGRGKPFPDIWQLG LKELNEKFHTDIKPDECIVFEDGIPGVKSAKAFGAHVIWVPHPEAHAVLGDTEALLAG KGELLSSLEKLEMSKYGL YKL033W MNSDTNAFKDIRISCVELSRIAFLPTESFDPNSLTLLACLKKVE EKLSAYEDDSLSPKFADYVFVPIASLLKQPALGESQTEYVLLIIFHLLRTCWSSNGKF SEQLGQQLFPLITFLVSSDKDNQKLITRSDEFKYAGCLVLHQFFKSVRSQRYHKEFFS NSKPNLLPALGHSVTILLKILEQSPQNNELQFKALASLEVLFQDIISDGEMLSFILPG NVSVFAKILTKPGRQIHYKVCVRTLEVLAKLLVLVYDDFSLDIKVNKLTDIRELSDTK LKHEINQSFMFNGPIVLLRTDGKTHRDTSWLTATSGQINIALEAFIPKLLKRNNESID EALATFVSILLTRCENSLNNCEKVLVSTLVHLERDPMSKLPSHLVKLKEVVNEDLHKL SDIIRFENADRLSSLSFAITILEKNNERDTMINEVVRCLFESLNESIEPPSLINHKER IIEQSSQLTTTVNFENLESTNALIALPRLSEDMSLKLKKFTYHMGSLLLERHILNDVV TELISEQVDSPRTQKIVALWLSTNFIKAMEKQPKEEEVYLQFESDANYSSSMVEEVCL IVLEFCNELSQDISMEIEGKGIKKSDEFAVCTVLFSIETICAVMREEFQPELIDYIYT VVDALASPSEAIRYVSQSCALRIADTLYHGSIPNMILSNVDYLVESISSRLNSGMTER VSQILMVICQLAGYETIENFKDVIETIFKLLDYYHGYSDLCLQFFQLFKIIILEMKKK YINDDEMILKIANQHISQSTFSPWGMTDFQQVLNILDKETQVKDDITDENDVDFLKDD NEPSNFQEYFDSKLREPDSDDDEEEREEEVEGSSKEYTDQWTSPIPSDSYKILLQILG YGERLLTHPSKRLRVQILIVMRLIFPLLSTQHNLLIREVASTWDSIIQCVLCSDYSIV QPACSCVEQMIKYSGDFVAKRFIELWQKLCQDSFILKELRIDPTVHNHEKKSISKHVK FPPVTENALVSMVHMVLEGVKITEYLISEAVLEQIIYCCIQVVPVEKISSMSLIVGDI VWKIRNIN YKL032C MNTGISPKQDDASNSNLLNIGQDHSLQYQGLEHNDSQYRDASHQ TPHQYLNQFQAQPQQQQQQQQQQQQQQQQAPYQGHFQQSPQQQQQNVYYPLPPQSLTQ PTSQSQQQQQQQQQQQYANSNSNSNNNVNVNALPQDFGYMQQTGSGQNYPTINQQQFS EFYNSFLSHLTQKQTNPSVTGTGASSNNNSNNNNVSSGNNSTSSNPAQLAASQLNPAT AAAAAANNAAGPASYLSQLPQVQRYYPNNMNALSSLLDPSSAGNAAGNANTATHPGLL PPNLQPQLTHHQQQMQQQLQLQQQQQLQQQQQLQQQHQLQQQQQLQQQHHHLQQQQQQ QQHPVVKKLSSTQSRIERRKQLKKQGPKRPSSAYFLFSMSIRNELLQQFPEAKVPELS KLASARWKELTDDQKKPFYEEFRTNWEKYRVVRDAYEKTLPPKRPSGPFIQFTQEIRP TVVKENPDKGLIEITKIIGERWRELDPAKKAEYTETYKKRLKEWESCYPDENDPNGNP TGHSHKAMNMNLNMDTKIMENQDSIEHITANAIDSVTGSNSNSTNPNTPVSPPISLQQ QPLQQQQQQQQQQQHMLLADPTTNGSIIKNE YKL029C MLRTRLSVSVAARSQLTRSLTASRTAPLRRWPIQQSRLYSSNTR SHKATTTRENTFQKPYSDEEVTKTPVGSRARKIFEAPHPHATRLTVEGAIECPLESFQ LLNSPLFNKGSAFTQEEREAFNLEALLPPQVNTLDEQLERSYKQLCYLKTPLAKNDFM TSLRVQNKVLYFALIRRHIKELVPIIYTPTEGDAIAAYSHRFRKPEGVFLDITEPDSI ECRLATYGGDKDVDYIVVSDSEGILGIGDQGIGGVRIAISKLALMTLCGGIHPGRVLP VCLDVGTNNKKLARDELYMGNKFSRIRGKQYDDFLEKFIKAVKKVYPSAVLHFEDFGV KNARRLLEKYRYELPSFNDDIQGTGAVVMASLIAALKHTNRDLKDTRVLIYGAGSAGL GIADQIVNHMVTHGVDKEEARKKIFLMDRRGLILQSYEANSTPAQHVYAKSDAEWAGI NTRSLHDVVENVKPTCLVGCSTQAGAFTQDVVEEMHKHNPRPIIFPLSNPTRLHEAVP ADLMKWTNNNALVATGSPFPPVDGYRISENNNCYSFPGIGLGAVLSRATTITDKMISA AVDQLAELSPLREGDSRPGLLPGLDTITNTSARLATAVILQALEEGTARIEQEQVPGG APGETVKVPRDFDECLQWVKAQMWEPVYRPMIKVQHDPSVHTNQL YKL028W MDRPIDDIVKNLLKFVVRGFYGGSFVLVLDAILFHSVLAEDDLK QLLSINKTELGPLIARLRSDRLISIHKQREYPPNSKSVERVYYYVKYPHAIDAIKWKV HQVVQRLKDDLDKNSEPNGYMCPICLTKYTQLEAVQLLNFDRTEFLCSLCDEPLVEDD SGKKNKEKQDKLNRLMDQIQPIIDSLKKIDDSRIEENTFEIALARLIPPQNQSHAAYT YNPKKGSTMFRPGDSAPLPNLMGTALGNDSSRRAGANSQATLHINITTASDEVAQREL QERQAEEKRKQNAVPEWHKQSTIGKTALGRLDNEEEFDPVVTASAMDSINPDNEPAQE TSYQNNRTLTEQEMEERENEKTLNDYYAALAKKQAKLNKEEEEEEEEEEDEEEEEEEE MEDVMDDNDETARENALEDEFEDVTDTAGTAKTESNTSNDVKQESINDKTEDAVNATA TASGPSANAKPNDGDDDDDDDDDEMDIEFEDV YKL027W MVEKDTWKLITATALFTVAVTTITDYAWTSWQAQKQVIAQQKNK NKGGQTKSDTDKYHQYDEQFIRQSLKNNVEFLGEDTIEKLSNQYVVVVGAGGVGSWVV NSLVRSGCRKIRVVDFDQVSLSSLNRHSCAILNDVGTPKVECLRRHMREIAPWCEIDP INELWTLQNGERLTLGNGTPDFIVDCIDNIDTKVDLLEFAYNHGIKVISSMGASAKSD PTKLNVGDLATTEEDPLARVVRRKLKKRGILSGIPVVFSAEKPDPKKAKLLPLPDEEY ERGKVDELSALKDFRVRILPVLGTMPSLFGLTITTWILSNISDKPLEPVEGKNRIKVY DGIYQSLAGQMSRVGIPSQRIPLALKDVSYLVEEVFKGKSPISGISTRLTLTKWDPSK PISLQNVVVLTKNEQKVHEDRVLKGKESLQDVYDAKVLKLVSQRFREEAYYSQFR YKL026C MQEFYSFSPIDENGNPFPFNSLRNKVVLIVNVASHCAFTPQYKE LEYLYEKYKSHGLVIVAFPCGQFGNQEFEKDKEINKFCQDKYGVTFPILHKIRCNGQK QDPVYKFLKNSVSGKSGIKMIKWNFEKFVVDRNGKVVKRFSCMTRPLELCPIIEELLN QPPEEQI YKL025C MDKINPDWAKDIPCRNITIYGYCKKEKEGCPFKHSDNTTATTIN DVPPPIDVGEATTPTMTSVPKFNAKVSASFTPMTVGSDSLTTVTNTTSAATNATGNIA MAATSATASTVNPMINPIVNSSLVNNNNNNSNISISIPTTASSSNYDPFNAPIFTPSS TSSIHTNANAHSFPFPSIANSGGININATDDNSNNMSMANNVPPPMQPPPIESSNLKY PRIYPPPHSLLQYHLYAPEQPSSLKSLLKPNERSADQLFIPNNIREDLTKKNLSILQV FPSSGKVIPSIVQDYFNLVPLNFNNNDFLNKTTLFKVFSNYDGKAYVLKRLPNIDKSM NPNKISKIYQIWSKINCTNLIKFRDIFQTTKFGDLSICLVFDYYPNSLSLYDYHFVNF PKFPITNNYLWIYLVQLTNVINSIHSQNLSIGNTLNWRKVLITGDPGRIKLSHCNFMD LLFNDDTDTVVSSGGSTIEGQQQLDYKYLGELLFNLSINIENSNNNTAPKEYRLEEIT PQSIDDMRQIDDKFKDVLKYLISDNGDSKKSIHDLTSHFYDKMFMVLESSQTYTEYME SVLSRELENGRLFRLVNKLNCIFGRIESRIDINWSESGTKFPIILFYDYVFHQVDSNG KPIMDLTHVLRCLNKLDAGIQEKLMLVTPDELNCIIISYKELKDLIESTFRSITQ YKL024C MTAATTSQPAFSPDQVSVIFVLGGPGAGKGTQCEKLVKDYSFVH LSAGDLLRAEQGRAGSQYGELIKNCIKEGQIVPQEITLALLRNAISDNVKANKHKFLI DGFPRKMDQAISFERDIVESKFILFFDCPEDIMLERLLERGKTSGRSDDNIESIKKRF NTFKETSMPVIEYFETKSKVVRVRCDRSVEDVYKDVQDAIRDSL YKL023C-A MNPRYRFILRFYSSKKPTFHNTAPSKTNVNVPRANKSQSKGKHK GKLLVLVGTLALVTSVISVNYQKNEPVEFLE YKL023W MNKEELLGFLLDDSIDSQKRCVTDQQAYSNWLKNDNDERTAHEE SSSQSTIAALNKKKQTEAAQEDIEELLNGLEGIIGGADPRNLKSKSKRKTKKGGSKPR EENVNTEKHIVMLEVEDFSDMSTHEDVNGASPSPNLDRSKKNEKRRKNAKELSYDELK DKLEVTTRKSRLECKDLKKKVHGLERRNLELEQRLEELKIENQTLIEINNKLLKNTNE DEINKSQRNKEKDRKRRERRTARRKDERKQEKKQEKKQDNKTSQSFPSSTDMNGQPIE F YKL022C MKFCLYCCHCYIVICGKATHYYKSSKATSNLKSSNRVLMRNPMS PSEQHSQHNSTLAASPFVSNVSAARTQQSLPTDAQNDRLQQPWNRTNTATSPYQSLAN SPLIQKLQANIMTPHQPSANSNSNSNSITGNVVNDNNLLASMSKNSMFGSTIPSTLRK VSLQREYKDSVDGVVRDEDNDEDVHNNGDAAANANNDRESKLGHNGPLTTTTLTTTTT ATQLDVSELSAIERLRLWRFDALMQHMYRTAEYIADKVYNISNDPDDAFWLGQVYYNN NQYVRAVELITRNNLDGVNILCRYLLGLSFVKLQRFDDALDVIGEYNPFSEDPSTTAA NTMSNNGNNSNTSQPVTDGGIKMESSLCFLRGKIYFAQNNFNKARDAFREAILVDIKN FEAFEMLLSKNLLTPQEEWDLFDSLDFKEFGEDKEIMKNLYKINLSKYINTEDITKSN EILAKDYKLADNVDVVRSKVDICYTQCKFNECLELCETVLENDEFNTNILPAYIGCLY ELSNKNKLFLLSHRLAETFPKSAITWFSVATYYMSLDRISEAQKYYSKSSILDPSFAA AWLGFAHTYALEGEQDQALTAYSTASRFFPGMHLPKLFLGMQFMAMNSLNLAESYFVL AYDICPNDPLVLNEMGVMYFKKNEFVKAKKYLKKALEVVKDLDPSSRTTISIQLNLGH TYRKLNENEIAIKCFRCVLEKNDKNSEIHCSLGYLYLKTKKLQKAIDHLHKSLYLKPN NSSATALLKNALELNVTLSLDASHPLIDKSNLMSQASKDKASLNKKRSSLTYDPVNMA KRLRTQKEIFDQNNKALRKGGHDSKTGSNNADDDFDADMELE YKL021C MLLNTTYTVFIFFLLRIIKFKIKAENFLEHEKLKTHGTSSRKDP KGQVKNSCRKMSAIGDKNQFRIIVGSYEHNILCLSLDIPNQKENDAAKTPHFMPIFHF QAHSLSIKCLAVSRRYLVSGSNDEHIRIYDLQKRKELGTLLSHQGSITALQFSHPASS SEDAAVSKGSKNSKWLLSASEDHKIMVWRVKDWETVGTLKGHTARVNDVDIHPTNRIA ISVSDDHSIRLWNLMTLRNAAVLKLRKYNTNGTCVRWLGAKGDYFAVGLRDRVLIYET GSAKVFKEIVFQRKTLMHIETHILPFDNKEYLSVGISDGNVHFYPCEELFEKVEENEK QEDDDDKEDISPAFSLLGHTNRIKDFKFYTNEFGTYLVTIGSDGKIVVWDMSTKEQVA VYDCGERLNCLTLCDESIEKYNTMKKRDAETADIGDQSEVESDTEELKKIMFGEKKKL NKKKRKQLKKSKVSVELE YKL020C MMSGTGNVSSMLHSYSANIQHNDGSPDLDLLESELLDIALLNSG SSLQDPGLLSLNQEKMITAGTTTPGKEDEGELRDDIASLQGLLDRHVQFGRKLPLRTP YANPLDFININPQSLPLSLEIIGLPKVSRVETQMKLSFRIRNAHARKNFFIHLPSDCI AKDKFFTSSDDPTNLTIPNRDINERTLFLDAFLLCASNNNSNNFKQTYVCNRCINREK RRASRRKSGLNDNSIWQNNENKRAIIFNSKQLFIISNNGLSGNSNCINFDLPTRIVCY CRHHKATNGFVVLFLLRDHNGDILAKTITDPIMIMDKKNASNTTTPTSTSNAQVSPMT NDTRSFSSPQSDLNFPSEFPLPSNSKNFVISTNCMLDSNCNNNNNDNDNKNNIKTNTA MMNNNRHFPSPNSSSEDSNHSFSDIHFSNNNDNNLHRSLDSWSSTGFNSSSNPALTTL TSDFSAASARHTGKRQRSVNEPFMSTPNTFSRLPQKFIDSSKDISNHNSVPVALNNKP SIQRVIPAQGSINGGIEVTLLGSKFKQGLIIKFGENIALSSQCWNESTMVTYLPPSSK PGPVLVTIVDPSETSMRNNSNSSVSTSNSTNDILHLNKYTGEKAIFTYVDDTDRQLIE LALQIVGLKMNGKLEDARNIAKRIVGSDSSPSNNNAGLHSQNSSLNSYTNMMRNINDE QLITEVIKSFKRNNNLSTVNLSMCDVRGRTLLHLAAFNNWYSLVSLLIKYGSHLNDQD LFGFTPLHMACINGDLRIIRLLLECNVNIMKKTRNGFIAKQFFLMNYTVNKTRYSNYE TSLFDDILTRLTKNTTGSSDTQPFERNVSQSSFNSSLFDDDDADHDYVQERKYLLADS AALAPEQSNCNDNTSFSILDSDSGYDISDCESSSDEIALEFFNTHKIKDFSSKPNEIP KTTKTSIEPDGSLWNRMLTRLNDELPKYEDLFPKKPKNWELGSKSVEIGPDNSAQMTV DDSQTSSEDDELEALQVGFNTIFSKKQNFQNDKMLLFFWIPLTLVLLLCFTLSNLGKD DDMFHNLSKIVQEYLRIGLAKVLLGNERMKTSFKMQLSKFQNNNILNDMRVN YKL019W MEEYDYSDVKPLPIETDLQDELCRIMYTEDYKRLMGLARALISL NELSPRALQLTAEIIDVAPAFYTIWNYRFNIVRHMMSESEDTVLYLNKELDWLDEVTL NNPKNYQIWSYRQSLLKLHPSPSFKRELPILKLMIDDDSKNYHVWSYRKWCCLFFSDF QHELAYASDLIETDIYNNSAWTHRMFYWVNAKDVISKVELADELQFIMDKIQLVPQNI SPWTYLRGFQELFHDRLQWDSKVVDFATTFIGDVLSLPIGSPEDLPEIESSYALEFLA YHWGADPCTRDNAVKAYSLLAIKYDPIRKNLWHHKINNLN YKL018C-A MLGMIRWVVEGTLVAMLLSAIRRETGMIFFYNQYQLGGWIHRYL SWGEMCYTRTLKMVKRSKFFRKQLNEDGFGRINDSGPKRRGRDQSQYSSRFVELD YKL018W MTTVSINKPNLLKFKHVKSFQPQEKDCGPVTSLNFDDNGQFLLT SSSNDTMQLYSATNCKFLDTIASKKYGCHSAIFTHAQNECIYSSTMKNFDIKYLNLET NQYLRYFSGHGALVNDLKMNPVNDTFLSSSYDESVRLWDLKISKPQVIIPSLVPNCIA YDPSGLVFALGNPENFEIGLYNLKKIQEGPFLIIKINDATFSQWNKLEFSNNGKYLLV GSSIGKHLIFDAFTGQQLFELIGTRAFPMREFLDSGSACFTPDGEFVLGTDYDGRIAI WNHSDSISNKVLRPQGFIPCVSHETCPRSIAFNPKYSMFVTADETVDFYVYDE YKL017C MNKELASKFLSSIKHEREQDIQTTSRLLTTLSIQQLVQNGLAIN NIHLENIRSGLIGKLYMELGPNLAVNDKIQRGDIKVGDIVLVRPAKTKVNTKTKPKVK KVSEDSNGEQAECSGVVYKMSDTQITIALEESQDVIATTFYSYSKLYILKTTNVVTYN RMESTMRKLSEISSPIQDKIIQYLVNERPFIPNTNSFQNIKSFLNPNLNDSQKTAINF AINNDLTIIHGPPGTGKTFTLIELIQQLLIKNPEERILICGPSNISVDTILERLTPLV PNNLLLRIGHPARLLDSNKRHSLDILSKKNTIVKDISQEIDKLIQENKKLKNYKQRKE NWNEIKLLRKDLKKREFKTIKDLIIQSRIVVTTLHGSSSRELCSLYRDDPNFQLFDTL IIDEVSQAMEPQCWIPLIAHQNQFHKLVLAGDNKQLPPTIKTEDDKNVIHNLETTLFD RIIKIFPKRDMVKFLNVQYRMNQKIMEFPSHSMYNGKLLADATVANRLLIDLPTVDAT PSEDDDDTKIPLIWYDTQGDEFQETADEATILGSKYNEGEIAIVKEHIENLRSFNVPE NSIGVISPYNAQVSHLKKLIHDELKLTDIEISTVDGFQGREKDVIILSLVRSNEKFEV GFLKEERRLNVAMTRPRRQLVVVGNIEVLQRCGNKYLKSWSEWCEENADVRYPNIDDY L YKL016C MSLAKSAANKLDWAKVISSLRITGSTATQLSSFKKRNDEARRQL LELQSQPTEVDFSHYRSVLKNTSVIDKIESYVKQYKPVKIDASKQLQVIESFEKHAMT NAKETESLVSKELKDLQSTLDNIQSARPFDELTVDDLTKIKPEIDAKVEEMVKKGKWD VPGYKDRFGNLNVM YKL015W MVTDQGSRHSIQSKQPAYVNKQPQKRQQRSSVACLSCRKRHIKC PGGNPCQKCVTSNAICEYLEPSKKIVVSTKYLQQLQKDLNDKTEENNRLKALLLERPV SVRGKDNSDDDERHINNAPSSDTLEVSSAPAAPIFDLMSNSNTASDNDNDDDNSNRIT NNRSYDHSLEKYYKKAISIFKQPANANGENGNGANGHEDDDEDDEEISTNFAQRSGRL IESHNGFHYFVGSSSMTLFGLEIQSLVTKYISVKNFRPLPINTKNKILNSNLNPAISS FINSNNYLFSSYNFLNPISTIVNLNSINDNLSPLMFKIILKSDTDGSSGQEEVIQFQL PSYNYTKLLIDCFINYNDGCFYFFNEGLVKCGINKLYLENKWLYYDNTKKALDNENDP ILQAVWFCKILLILAVGEMYLGSINNEMLKNYSNQPKLPGSKFFQMGSKIFNCLFSSE RLENVTKKGGIEVLLLYAFFLQVADYTLASYFYFGQALRTCLILGLHVDSQSDTLSRY EIEHHRRLWWTVYMFERMLSSKAGLPLSFTDYTISTALPADIDDETIEEKNSHYVFRK AELISNCVTIVKINAQILSKLYQRQPETNIIITLKVVIKQLLEWRNNLSDSLQVDFTQ KDEDFKISRLSTNMFTEYFQGINLAVRPLLFHFASIQLKRFKTSNTFVNLQNYSATIS SLLTCSLHASVNTIRSLWSLLQNSMLAMFSYMDREYLFTSSCTLLLFNTAFGIHEQTL YHLDHSLEIFTQMRNLGNIPAGLRRAQLLTLMANLDFHGIMNDLITKYNDILKFDSMN CENDNIVEDSNEPKRETEKCKPHKDGDRIDPSIIDCDKSNTNTNMIKNESISNIVSIL PEGAKPTLTDYSNGNNDVNDINVNNSEPSTFFDIITASLENSYQTTLTEKGSQVMEKN MDQLDSVHNLNDDDLQQLLEDLGNIDHSDEKLWKEITDQAMWLGNTMDPTAAAGSEID FTDYLGP YKL014C MSNHSEAYGSRDQRREKYTQGKEFEDGTLETLESIISAVEDETL SKDYQPLIVFFQRGFGAQLVQTWSYYAQVNNHGKFSKTTSLLTKTLRVLSSDTSTVTI GSGLIRLILTDYTKVLYRGLNNMRAQLTNPILRLLKQIVNFNNGQHIEELVSYFDFSL PILPRLLVPSKSELANGNSSADSSKHDSLRFTFIKFWLTLISNASPFVRKELLTENFK IMSNLFKFMNKADSDKLSEHILSVFINDILKEKSFKRTTKTKILNELAASKIHHFYYS SNKNLVKKANEFFLTFGASRDFSVAFPDNCVWFKNSVADGASHGAPITVNQVEFQIHN KLLFNTLRLFKPWEDTLQLGTLIKILENVPELVAPYSIFLTTNGNYDPKMTSYWFGIT LLINKIINLKIPQFMEKVDSNIPPATSLVIENILPSLLTKSSLVKSLQFETPIIRQLA CQSIVLALKKLEKVSTFYDQKGWRNEKTILLNEFHTRIPDLPIFVSTLSNSLASNKDN RILPLSISIIFNYYSKMFPNLFSINLPSSNIYTDIMQKSKISGIEFAILDNYLQFQEF NSTQTRWWNPSSGGNSLFTLLLKLASSKNASNVITTRISNLLDELTRTNVIFNISLIS PVMALVNSLQGLSLQVSEIDNMEQVWKWFDETISRVVKTPYKYVDMAKEYNYISPFIM CLSEQWKYVDKSGNPEFLIKWLILFLRNMIFIGEDHIGIDKLVKNVFPEVSDHDVNIY LKLDSFEENIKKTNSSNSLISSMKSSSFFQYISALPSKNLMNISRLPVNKLDAAGILF RVQLLVEDDSVVYDNWFEATACELTGKIASYMVTDTEFPIIKVLERYINFALPKLAIE KRNALLMKKSRFMCNLIGAVCFETGHQLVEFREIIQKVVFSGENVEEYANYNELYQKE DVNAFLTSVSEYLSTSALTSLLMCSTKLESTRNILQKLFNEGKTIKISLVKNILNKAA NEDPASIKEVNISLAKFFEENKVCVDASSDPMGKLSLSETTSLINSFVSSDLNYLVLK AFYRWEHFSFPSFIPSIWRIKDSPLLSIVTTAALFKHMQDKDFSAFAHETISKYGNEI AKSTYTTSKSEIFDEILNMITTYIDFYDETKRNEILKCVLSQSDHKYHAATVRYIAAH NNFTYPGVETWLHKTLLYLTKYLSERKVISNSFFELLRAMAELLKLEEVPNKLNVKII NSQLEAILGSEWIKQIKVLEYVIVLIFCVSKKSIQSQRMVQLLLSNDSYSSIMIKDND EDSSYRKFLSTMILFSLFSIDPVVNSTPIVQEKLLTFYSGTISSNDKLILKILETIES HTATSWTNMIFSWEFIKDEEEEILEAIGDTRLITKEREGLILTLQKNMIKKSIDRYVL ERPQVPELYTDSNTNNYDATTRCDLVKKYYDDTERSGVDMYDPLFLLLLIIHNKELVK MVKDDEGNVTYRYEFENFLDCKIFQFIICSLSDCHTVANISYEHLSNLASSLEKKTAQ MNLEKQITSKDNERKESDSDLIKYNSIYQVLIKRILYQRQQNQDPINPLIWFSISRIV DLLGSPTAPLHEKAYRWVLSNSTIRSWDIPMVSDVMMSYNKRQQDDNKKEIDMEIYYG ELSWVLTTICKGIKTDEDYKMLEKKGVFEWLLNLINMPYLKERLRELIYFIFYKVQRV ADDGGLNLISRNGIVSFFEVLNNNIKSRLPQDDILNNIGTLRNENRGTLNTTLRLAQE QNGIEKLLLGYNELVKSQKRLILWTEGDSDNVVKRLRK YKL013C MSQSLRPYLTAVRYSLEAALTLSNFSSQEVERHNRPEVEVPNTS AELLLQPMHISRNENEQVLIEPSVNSVRMSLMVKQADEIEQILVHKFTRFLEQRAEAF YILRRVPIPGYSISFLITNKHTESMKTGKLVDFIIEFMEDVDKEISEIKLFLNARARF VAEAYLDEFVY YKL012W MSIWKEAKDASGRIYYYNTLTKKSTWEKPKELISQEELLLRENG WKAAKTADGKVYYYNPTTRETSWTIPAFEKKVEPIAEQKHDTVSHAQVNGNRIALTAG EKQEPGRTINEEESQYANNSKLLNVRRRTKEEAEKEFITMLKENQVDSTWSFSRIISE LGTRDPRYWMVDDDPLWKKEMFEKYLSNRSADQLLKEHNETSKFKEAFQKMLQNNSHI KYYTRWPTAKRLIADEPIYKHSVVNEKTKRQTFQDYIDTLIDTQKESKKKLKTQALKE LREYLNGIITTSSSETFITWQQLLNHYVFDKSKRYMANRHFKVLTHEDVLNEYLKIVN TIENDLQNKLNELRLRNYTRDRIARDNFKSLLREVPIKIKANTRWSDIYPHIKSDPRF LHMLGRNGSSCLDLFLDFVDEQRMYIFAQRSIAQQTLIDQNFEWNDADSDEITKQNIE KVLENDRKFDKVDKEDISLIVDGLIKQRNEKIQQKLQNERRILEQKKHYFWLLLQRTY TKTGKPKPSTWDLASKELGESLEYKALGDEDNIRRQIFEDFKPESSAPTAESATANLT LTASKKRHLTPAVELDY YKL011C MSTAQKAKILQLIDSCCQNAKSTQLKSLSFVIGAVNGTTKEAKR TYIQEQCEFLEKLRQQKIREGRINILSMDAGVSNFAFSKMQLLNNDPLPKVLDWQKIN LEEKFFQNLKKLSLNPAETSELVFNLTEYLFESMPIPDMFTIERQRTRTMSSRHILDP ILKVNILEQILFSNLENKMKYTNKIPNTSKLRYMVCSSDPHRMTSYWCIPREETPTSS KKLKSNKHSKDSRIKLVKKILSTSILEGNSTSSTKLVEFIGVWNNRIRNALTKKKSFK LCDILEIQDNSGVRKDDDLADSFLHCLSWMEWLKNYESITELLNSKTLVKTQFGQVFE FCENKVQKLKFLQNTYNND YKL010C MSENNSHNLDEHESHSENSDYMMDTQVEDDYDEDGHVQGEYSYY PDEDEDEHMLSSVGSFEADDGEDDDNDYHHEDDSGLLYGYHRTQNGSDEDRNEEEDGL ERSHDNNEFGSNPLHLPDILETFAQRLEQRRQTSEGLGQHPVGRTLPEILSMIGGRME RSAESSARNERISKLIENTGNASEDPYIAMESLKELSENILMMNQMVVDRIIPMETLI GNIAAILSDKILREELELQMQACRCMYNLFEVCPESISIAVDEHVIPILQGKLVEISY IDLAEQVLETVEYISRVHGRDILKTGQLSIYVQFFDFLTIHAQRKAIAIVSNACSSIR TDDFKTIVEVLPTLKPIFSNATDQPILTRLVNAMYGICGALHGVDKFETLFSLDLIER IVQLVSIQDTPLENKLKCLDILTVLAMSSDVLSRELREKTDIVDMATRSFQHYSKSPN AGLHETLIYVPNSLLISISRFIVVLFPPEDERILSADKYTGNSDRGVISNQEKFDSLV QCLIPILVEIYTNAADFDVRRYVLIALLRVVSCINNSTAKAINDQLIKLIGSILAQKE TASNANGTYSSEAGTLLVGGLSLLDLICKKFSELFFPSIKREGIFDLVKDLSVDFNNI DLKEDGNENISLSDEEGDLHSSIEECDEGDEEYDYEFTDMEIPDSVKPKKISIHIFRT LSLAYIKNKGVNLVNRVLSQMNVEQEAITEELHQIEGVVSILENPSTPDKTEEDWKGI WSVLKKCIFHEDFDVSGFEFTSTGLASSITKRITSSTVSHFILAKSFLEVFEDCIDRF LEILQSALTRLENFSIVDCGLHDGGGVSSLAKEIKIKLVYDGDASKDNIGTDLSSTIV SVHCIASFTSLNEFLRHRMVRMRFLNSLIPNLTSSSTEADREEEENCLDHMRKKNFDF FYDNEKVDMESTVFGVIFNTFVRRNRDLKTLWDDTHTIKFCKSLEGNNRESEAAEEAN EGKKLRDFYKKREFAQVDTGSSADILTLLDFLHSCGVKSDSFINSKLSAKLARQLDEP LVVASGALPDWSLFLTRRFPFLFPFDTRMLFLQCTSFGYGRLIQLWKNKSKGSKDLRN DEALQQLGRITRRKLRISRKTIFATGLKILSKYGSSPDVLEIEYQEEAGTGLGPTLEF YSVVSKYFARKSLNMWRCNSYSYRSEMDVDTTDDYITTLLFPEPLNPFSNNEKVIELF GYLGTFVARSLLDNRILDFRFSKVFFELLHRMSTPNVTTVPSDVETCLLMIELVDPLL AKSLKYIVANKDDNMTLESLSLTFTVPGNDDIELIPGGCNKSLNSSNVEEYIHGVIDQ ILGKGIEKQLKAFIEGFSKVFSYERMLILFPDELVDIFGRVEEDWSMATLYTNLNAEH GYTMDSSIIHDFISIISAFGKHERRLFLQFLTGSPKLPIGGFKSLNPKFTVVLKHAED GLTADEYLPSVMTCANYLKLPKYTSKDIMRSRLCQAIEEGAGAFLLS YKL009W MPRSKRSKLVTLAQTDKKGRENKERIFDEVREALDTYRYVWVLH LDDVRTPVLQEIRTSWAGSKLIMGKRKVLQKALGEKREEEYKENLYQLSKLCSGVTGL LFTDEDVNTVKEYFKSYVRSDYSRPNTKAPLTFTIPEGIVYSRGGQIPAEEDVPMIHS LEPTMRNKFEIPTKIKAGKITIDSPYLVCTEGEKLDVRQALILKQFGIAASEFKVKVS AYYDNDSSTVESTNINME YKL008C MSTIKPSPSNNNLKVRSRPRRKSSIGKIDLGDTVPSLGTMFETK ESKTAAKRRMQRLSEATKNDSDLVKKIWFSFREISYRHAWIAPLMILIAVYSAYFTSG NTTKTNVLHRFVAVSYQIGDTNAYGKGINDLCFVFYYMIFFTFLREFLMDVVIRPFAI RLHVTSKHRIKRIMEQMYAIFYTGVSGPFGIYCMYHSDLWFFNTKAMYRTYPDFTNPF LFKVFYLGQAAFWAQQACILVLQLEKPRKDHNELTFHHIVTLLLIWSSYVFHFTKMGL PIYITMDVSDFLLSFSKTLNYLDSGLAFFSFAIFVVAWIYLRHYINLKILWSVLTQFR TEGNYVLNFATQQYKCWISLPIVFVLIGALQLVNLYWLFLIFRVLYRILWRGILKDDR SDSESDEESDESSTTPTDSTPTKKDI YKL007W MSSSKFEEVINKIINDSPPGELREVYDDLIKITSENSKNTILDA IENYNVQNCIPIEVNGNSVIISKYNKEGAKFFDPVNSVIFSVNHLERKGLDIEPYEFT HAKIEKGQLKELHDKLHEYLLQSFPGDVSFAVYPVPEEISKISIIIVSTKYNPNNFWN GHWRSSYIYDLETRELSGQISTQVHYYEDGNVSFQSGKDINQSNVDDVVCTIRDIETN FENDLDLSFFDLNEKQFKALRRRLPVTRSKINWGSAIGSYRLGKNAAEGK YKL006C-A MSNSRYSQTESNNDRKLEGLANKLATFRNINQEIGDRAVSDSSV INQMTDSLGSMFTDIKNSSSRLTRSLKAGNSIWRMVGLALLIFFILYTLFKLF YKL006W MSTDSIVKASNWRLVEVGRVVLIKKGQSAGKLAAIVEIIDQKKV LIDGPKAGVPRQAINLGQVVLTPLTFALPRGARTATVSKKWAAAAVCEKWAASSWAKK IAQRERRAALTDFERFQVMVLRKQKRYTVKKALAKA YKL005C MSVRTSSRSNKGQNKYIEYLLQEETEAPKKKRTKKKVDSAIEKN KKSDSSQEPRKDTENVRTDEVDEADEGYVRCLCGANNENYDAAEYSHGDMVQCDGCDT WQHIKCMTDGKDTIDGLMSEDSKYYCELCDPSLYAHLETSKEAEVSEDEDYHDDVYKP VNDHDDNDADVFLDEESPRKRKRSPDSAKGIHIKSKQVKKSNGSKKRNKSIDAAKSDT AENEMPTRKDFESEKEHKLRYNAEKMFSTLFSKFIVPETIEAKLYELPDGKDVISISQ EFAHNLEEELYKACLNVEFGTLDKIYTEKVRSLYSNLKDKKNLELKAHVVEGKLPLNK LVNMNASELANPDLQEFKEKRDKIILENFIVEVPDKPMYVKTHKGDELIEDIAEPQED ILYSKDSIRLHNIDSIDSDKSKIEQTHAISKEPSPSTIINEESLNCAFLYPGLGLEFT GYLNYIGASQKLRRDIFKEAIGDGKLYVEGRLPTTTAAPYLKEISCSRAILVYQLFPS NDSESKTTFADVVDSLENKGRIAGIKPKTRYEKDFYIVPSKGGEIPEILKDILGSHND ERSERFSRMKSDERTLFAFVVVKQEFIH YKL004W MANPFSRWFLSERPPNCHVADLETSLDPHQTLLKVQKYKPALSD WVHYIFLGSIMLFVFITNPAPWIFKILFYCFLGTLFIIPATSQFFFNALPILTWVALY FTSSYFPDDRRPPITVKVLPAVETILYGDNLSDILATSTNSFLDILAWLPYGLFHFGA PFVVAAILFVFGPPTVLQGYAFAFGYMNLFGVIMQNVFPAAPPWYKILYGLQSANYDM HGSPGGLARIDKLLGINMYTTAFSNSSVIFGAFPSLHSGCATMEALFFCYCFPKLKPL FIAYVCWLWWSTMYLTHHYFVDLMAGSVLSYVIFQYTKYTHLPIVDTSLFCRWSYTSI EKYDISKSDPLAADSNDIESVPLSNLELDFDLNMTDEPSVSPSLFDGSTSVSRSSATS ITSLGVKRA YKL003C MLYELIGLVRITNSNAPKLEAKELSSTIGKLIIQNRGVVRDIVP MGIRYLPKIMKKDQEKHFRAYHFLMLFDSSAAVQSEILRTLKKDPRVIRSSIVKVDLD KQLDRASSLHRSLGKKSILELVNEDYQSI YKL002W MSLFEWVFGKNVTPQERLKKNQRALERTQRELEREKRKLELQDK KLVSEIKKSAKNGQVAAAKVQAKDLVRTRNYIQKFDNMKAQLQAISLRIQAVRSSDQM TRSMSEATGLLAGMNRTMNLPQLQRISMEFEKQSDLMGQRQEFMDEAIDNVMGDEVDE DEEADEIVNKVLDEIGVDLNSQLQSTPQNLVSNAPIAETAMGIPEPIGAGSEFHGNPD DDLQARLNTLKKQT YKL001C MATNITWHPNLTYDERKALRKQDGCTIWLTGLSASGKSTIACAL EQLLLQKNLSAYRLDGDNIRFGLNKDLGFSEKDRNENIRRISEVSKLFADSCAISITS FISPYRVDRDRARELHKEAGLKFIEIFVDVPLEVAEQRDPKGLYKKAREGVIKEFTGI SAPYEAPKAPELHLRTDQKTVEECATIIYEYLISEKIIRKHL YKR001C MDEHLISTINKLQDALAPLGGGSQSPIDLPQITVVGSQSSGKSS VLENIVGRDFLPRGTGIVTRRPLVLQLINRRPKKSEHAKVNQTANELIDLNINDDDKK KDESGKHQNEGQSEDNKEEWGEFLHLPGKKFYNFDEIRKEIVKETDKVTGANSGISSV PINLRIYSPHVLTLTLVDLPGLTKVPVGDQPPDIERQIKDMLLKYISKPNAIILSVNA ANTDLANSDGLKLAREVDPEGTRTIGVLTKVDLMDQGTDVIDILAGRVIPLRYGYIPV INRGQKDIEHKKTIREALENERKFFENHPSYSSKAHYCGTPYLAKKLNSILLHHIRQT LPEIKAKIEATLKKYQNELINLGPETMDSASSVVLSMITDFSNEYAGILDGEAKELSS QELSGGARISYVFHETFKNGVDSLDPFDQIKDSDIRTIMYNSSGSAPSLFVGTEAFEV LVKQQIRRFEEPSLRLVTLVFDELVRMLKQIISQPKYSRYPALREAISNQFIQFLKDA TIPTNEFVVDIIKAEQTYINTAHPDLLKGSQAMVMVEEKLHPRQVAVDPKTGKPLPTQ PSSSKAPVMEEKSGFFGGFFSTKNKKKLAALESPPPVLKATGQMTERETMETEVIKLL ISSYFSIVKRTIADIIPKALMLKLIVKSKTDIQKVLLEKLYGKQDIEELTKENDITIQ RRKECKKMVEILRNASQIVSSV YKR002W MSSQKVFGITGPVSTVGATAAENKLNDSLIQELKKEGSFETEQE TANRVQVLKILQELAQRFVYEVSKKKNMSDGMARDAGGKIFTYGSYRLGVHGPGSDID TLVVVPKHVTREDFFTVFDSLLRERKELDEIAPVPDAFVPIIKIKFSGISIDLICARL DQPQVPLSLTLSDKNLLRNLDEKDLRALNGTRVTDEILELVPKPNVFRIALRAIKLWA QRRAVYANIFGFPGGVAWAMLVARICQLYPNACSAVILNRFFIILSEWNWPQPVILKP IEDGPLQVRVWNPKIYAQDRSHRMPVITPAYPSMCATHNITESTKKVILQEFVRGVQI TNDIFSNKKSWANLFEKNDFFFRYKFYLEITAYTRGSDEQHLKWSGLVESKVRLLVMK LEVLAGIKIAHPFTKPFESSYCCPTEDDYEMIQDKYGSHKTETALNALKLVTDENKEE ESIKDAPKAYLSTMYIGLDFNIENKKEKVDIHIPCTEFVNLCRSFNEDYGDHKVFNLA LRFVKGYDLPDEVFDENEKRPSKKSKRKNLDARHETVKRSKSDAASGDNINGTTAAVD VN YKR003W MGSKKLTVGSDSHRLSKSSFSSNKSSHSATKDQPIDTDDIDEDD ESGHNIILNIISQLRPGCDLTRITLPTFILEKKSMLERVTNQLQFPEFLLQAHSEKDP LKRFLYVMKWYLAGWHIAPKAVKKPLNPVLGEYFTAYWDLPNKQQAYYISEQTSHHPP ECAYFYMIPESSIRVDGVVIPKSRFLGNSSAAMMDGSTVLQFLDIKDGNGKPEKYVLT QPNVYVRGILFGKMRIELGDHMIIKSPNFQADIEFKTKGYVFGTYDAIEGTVKDYDGN AYYEISGKWNDVMYLKDLKQPRSSPKVFLDTHKESPLRPKVRPLSEQGEYESRKLWKK VTDALAVRNHPVATEEKFQIEDHQRQLAKKRIEDGVEFHPKLFRRSKPGEDLDYCIYK NIPVDEDPEKQIRSILQIAPILPGQQFTDKFFIPAFEKIKSQKKMIENEKQNPAKQ YKR004C MQSSLPLCREFFEKITAYLDYHDFRLTITANQPSITLPYYVDEK AHSIELIIFKTTFLSLFQEAHTYFNKTFSDQSGISNENIYYMTVGFLLTTPENKTVYN VHEDLLKRYFQDNSVLVIPDLLVKEVRLIQRLLCSSNNRINKSSSLWILYRKLFVLSL DANTLVLPDILFVFHSSGSQHFSNYYCWNTARWFYDNLPYNKRIELFNLTKRFCFQNV KDCSSWSALAYMVCQQEEKKTDNIRDFQRLTSSFNVPFKINKVDLNFQVQPADAFTQE LVKWIDRTYAADWPPYLCLLQITKFNITLRIEMDSVLLTWRNEILNFEENSGHIKMIN NTPIVPEKFSNDLLTSVNFAHFGYKKLFLNKFLDKNKKEQSDS YKR005C MLECLSALLVLFAGGGGSVLAAVQSKTVADPNLCPGYNSQLISP FLSSCKRNLSECVSRYFDEQYAFCRSCVTVNNETMEDLDNCKCLQCALSSLNNSCFHD YCTSKDEYDKLQIVVEQFQLTNGVLDDGEILKPRGNKFSSRKLSYFVGQNNTLFRNPL QFEKNQLISALLTSLTNNQKTISSVDMFEVVDANNEVQYLRERTISGKTLSPATGYEE ENDGDCSVKDKKWEGKIEYHENKKVSSENCSKDTDDKSGSKKERNTKAPLFHTATEIH MTRWSSWRPKKIFTRYLVNEYQSPKIITTVNRFYRTKTDTETGTTLITSTKAKRRWFP RTKIVTSTATSTFLSITTTTTTNAIATKSLVAVLNPDGLNKKAGINFGLFSANGELAS PDEGGTPTVVRRDKISDPGAANEQATLFSTTFSQVPHLPELDSGEFISAASQLDKRIF IFTAITVSITTLMMLGFSYRSRVSFRDHSIDDSDDDNDWSDDEVEFDEEYFYSLPVSI PEKGISLDKMAQQLGVE YKR006C MSSLLKLHCIRPLPQRSVWLSGYKQKARCIHSSAANGDFMSWFK RKKQEEHQEPVKDTKQLIKDIEEGTNEASSQSSSNNKNRLELIPENFIGEGSRRCKRQ KELKLAVSSAPFNQWLSRDKITSDNQLDDMILQATEKTLGKVDQDVQFSDLVAKFQFT KFLQSKSGYLIPDYELTTLSTPLQFKRYIKEKILPSANDPKLAYKEAEPNAIHPFSDN YASPNIYVVNDVTSKEQKSKYDTIMKEIQKLEDDATRKALETARSA YKR007W MGAVLSCCRNHSGEENEALLREQQAGYGSQGNANDEYDAEQMRL KEHEHEQKLLAREQELRDIVANTNDKLIDISMINNSGIVIQGTDLQEALDKRQQEEGG DSREDERSAGDDNLSGHSVPSSGSAQATTHQTAPRTNTFTLLTSPDSAKISKEQLKKL HSNILNEIFSQSQVNKPGPLTVPF YKR008W MVVKKRKLATEAGGSDERPKYLPGKHPKNQEKTPHVDYNAPLNP KSELFLDDWHIPKFNRFISFTLDVLIDKYKDIFKDFIKLPSRKFHPQYYYKIQQPMSI NEIKSRDYEYEDGPSNFLLDVELLTKNCQAYNEYDSLIVKNSMQVVMLIEFEVLKAKN LKRNYLINSEVKAKLLHYLNKLVDATEKKINQALLGASSPKNLDDKVKLSEPFMELVD KDELPEYYEIVHSPMALSIVKQNLEIGQYSKIYDFIIDMLLVFQNAHIFNDPSALIYK DATTLTNYFNYLIQKEFFPELQDLNERGEINLEFDKFEFENYLAIGGGGPAAAGALAI SALDNDIEPESNREDLIDQADYDFNHFEGLGNGYNRSLLTEDYLLNPNNFKKLIAKPE TVQSEVKNERSTTSDIEKTNSLESEHLKIPKYNVIKSMQKEMQSLSEQHTMEYKPYKL IQQIYIFSSKNLYSQATKPLLGSRPSCNQNWVEYIFNGNELSQNENAFSFMLQPMQTF LTLQSHLTSSLKDTETLLTINKEPVKSRTSNVNSNLSQPQQQENDVIGNDTKQDIENL TIGGGNNNDIVGNDNDKRNNITEIFDIRLSEGLNHLMFRCEDKISHETEFMNFWINVL P YKR009C MPGNLSFKDRVVVITGAGGGLGKVYALAYASRGAKVVVNDLGGT LGGSGHNSKAADLVVDEIKKAGGIAVANYDSVNENGEKIIETAIKEFGRVDVLINNAG ILRDVSFAKMTEREFASVVDVHLTGGYKLSRAAWPYMRSQKFGRIINTASPAGLFGNF GQANYSAAKMGLVGLAETLAKEGAKYNINVNSIAPLARSRMTENVLPPHILKQLGPEK IVPLVLYLTHESTKVSNSIFELAAGFFGQLRWERSSGQIFNPDPKTYTPEAILNKWKE ITDYRDKPFNKTQHPYQLSDYNDLITKAKKLPPNEQGSVKIKSLCNKVVVVTGAGGGL GKSHAIWFARYGAKVVVNDIKDPFSVVEEINKLYGEGTAIPDSHDVVTEAPLIIQTAI SKFQRVDILVNNAGILRDKSFLKMKDEEWFAVLKVHLFSTFSLSKAVWPIFTKQKSGF IINTTSTSGIYGNFGQANYAAAKAAILGFSKTIALEGAKRGIIVNVIAPHAETAMTKT IFSEKELSNHFDASQVSPLVVLLASEELQKYSGRRVIGQLFEVGGGWCGQTRWQRSSG YVSIKETIEPEEIKENWNHITDFSRNTINPSSTEESSMATLQAVQKAHSSKELDDGLF KYTTKDCILYNLGLGCTSKELKYTYENDPDFQVLPTFAVIPFMQATATLAMDNLVDNF NYAMLLHGEQYFKLCTPTMPSNGTLKTLAKPLQVLDKNGKAALVVGGFETYDIKTKKL IAYNEGSFFIRGAHVPPEKEVRDGKRAKFAVQNFEVPHGKVPDFEAEISTNKDQAALY RLSGDFNPLHIDPTLAKAVKFPTPILHGLCTLGISAKALFEHYGPYEELKVRFTNVVF PGDTLKVKAWKQGSVVVFQTIDTTRNVIVLDNAAVKLSQAKSKL YKR010C MIKMWRLQIVLVPPSAQDIITFLEARLNTPQSVSPMVQYNEDII THNNSINNCSDPSPTSPSSQNSIQSNRSSDFINYLPNCKKFLHFTDGDNTLLQLSNEI LTKFDRLYPNFKESIEIVSLQDRHGCDLDSEFIIKDVFENDGVVLVILKDELDWSRNQ HISLLQLARQRRRQDNKPSTKSIVTEKRKKISKEDLSSISNKDTMHLIAKSSLKNNFI NKSRVSTPLMNEILPLASKYDALNKEKCPMPLTSTVVASNVHKDVKDHARAKEGVVTQ GSDNNKENIPSSTQQQKNDGAKRAESKDLDLLRNSSEDADYEPADENSPQISFDSIDT DFQLSTTSHTNSDMHIQYSNPSSGAHSPRKSSLEIKVQNKKGDDLPLNDKDIGENCRR IEAFSDEEDFNETDNDRADSFINNSKKASMGFRDINSDLDSVSFNSDIENAVQSTQST KNVVSPPFFPEKELNNRLHQSQGKEALFRLVEKEFPDKSLGAASSTSHAKDVKIQETI RKLNRFKPTGETKVQKRNSITEPYYGKFGIMKKDKPKSITSKGVSLETKHFDDPNTII SGEKFAKFGKIKVKRKTDDVGSKVIEFKRKRNMGNRSLKDIFANAGKPPNAASTIKVV KLMRDPVDNSKDKVEATSNSTAQEQEQVSPKLPVMNSTPGKRKNGQAIPSSLERTPQL KKVKVTRSHSSPSSSSSMSLESSLDSSSSDDSDDDSDSRNVQVKKINFKTSHGPAGNS NGKPMLDVDDNEINTKKYQTPKYVESDEDDQ YKR011C MSKLETVYLYAGEEQPRVKLTCIKEGLTLTQVIKFVHSIQELYG IELQTSETITENLKIDCAPAYLKPNCIPHFYILEYEEISDTFFIWKSDGRWQLNKLSA LLYVDNDANVVKNTSWKEVFQNDQRFKNYDKRAWLQNCLEKMNRDLSKLNVEQFWSQY DKICQSIAKQKKKQEQFNMEVFDNFKNIVSIAIIKTKVLSNKRLLTTTLKNYHNSMKK KYNIQEQNLKENSLASCSNNEPSASLESESRHFSPVNSLSPSSLSTDDEAVSTDYIYK GPESKPNVNFMHSSATNDLIKSNFESYFKLMAEDYETFDLRAWSRQRPRKFQLVEKKK ITKNPPNSHHPHKNGKISF YKR013W MKFSKVSLLAASASVALSAPVAVTVTQHVHQAATVVVQGIVRVE NGQTLTTFITKGTQTASASPVATTSAPIVVANAQVDSIATSVIQESAVVAESATFEES STETSEAFSTATATIQAVQTSASATQDDVTTTLTSSTQPTSTTTPTTTTTSPTTTTSP TTTASPTTTASPTTATTTQSTASSTQSSSSDFSTSMVNEHNTKRALHKDTGSLTWSDT LATYAQNYADSYDCSGNLVHSGGPYGENLALGYGTTGSVDAWYNEITSYDYSNPGFSE SAGHFTQVVWKGTSEVGCGLKSCGGEWGDYIICSYKAAGNVIGEFADNVMPLA YKR014C MLQFKLVLLGDSSVGKSSIVHRFVKDTFDELRESTIGAAFLSQS ITIHPNDGNETKDVVIKFEIWDTAGQERYKSLAPMYYRNANAALVVYDITQEDSLQKA RNWVDELKNKVGDDDLVIYLLGNKVDLCQETPSTETSPDSNEGGDEEQKVRAISTEEA KQYAQEQGLLFREVSAKTGEGVKEIFQDIGEKLYDLKKDEILSKQNRQIGGGNNGQVD INLQRPSTNDPTSCCS YKR015C MFLDYSGYEALTEINSSFGKYVLLLQQFEGCRSLKDRLQMLKDL GREFMIFENLNVEDFRESKNMIHRFYTMVISLRQIMEIGPLVRRSPAVLVVEFDCPVE DCLDELDPLHPLNRAFIFIHKQWTYYHQYYIVEKVKKVILDMAPVKEDDWSILHKVVY SEGFVERRYKKKKYLGDIYIPQPLMKSNKITTISNFSQLTKISNVRVYRFNATAACDP QNLNKKNLSIKELKDKDLPNLIWTLEPEKFYVDMRPYKEHEERKKRREEEAKVRMQGE EQENIMIERKKMDSIEVEASSGLKNIIKTPLANRVVNTFNNCAAVVIGYVTEVDKVKD DNEEKNNDRPKIANDGIAQKSRSESEDNAGTPMENAYFQPEPQDNDQNNISWNTTMKD IDPIYMSERCARVWRKEQQMLGLEKAQTFEKKYCKDQMVMDENQVEEPGKHSERHTKN QVVRPRTKIASSASKNDNSNNKNSKSCKNCHKEEAHGLVREYLKIKLNISPHGERNQR TKDKRKCIMKYNASSNNINKMPGESEVLGSTILTDIHFKDVVTVKFRDFKAKFRKVKI NA YKR016W MMLRTTASRKIVLRRGLASINTGTTVASKKASHKFRNTLWTIAL SATAFYAGGIIYSQKNDKFGDFFSNNVPFAEDLLETYEHYHDRPTLFLEDSWDGLKAK SNDLLSGLTGSSQTRRSNRENIEVKKILSLEPLNIETENSDPQLKEIIGSLNDLINSL NDSNLSIPESEFNSIKKSNQNMLTNLSQLNETLKEALSNYMIQRTSEVITELNTQYEN SKREFEKNLQKNLLQEVDEFKENLTKQKDKELEEKLKANEELLQAKHANEVGLLSITQ VKEFNKIIKDKIEKERNGRLAHLEEINSEVNDLSKSIDRSSKILSKNEALVQLTFQVD EIKSRINNNNLPDVNIDKELSRLKLLSNLLSTFNKKSCCDDGDCCSCKKGNKNEGKEG KISCKCKPKTNPPSLLSVALDELESTCSGKKILSNEQIYNRWNLLADDFKTASLLPPN SGILGQLTAKVFSLFLFTKTGNPSNATDFDSVYARVGDNLRVSNLNDAVEEVVSLKGW PHKVCESWIEDARRKLEVQRLVEILDCEIRTL YKR017C MSSGTENDQFYSFDESDSSSIELYESHNTSEFTIHGLVFPKLIS VTSQDSEFDINEDEDGVDTIYEGMLDAPLTKNNKRILCEGSVPNLSYECLTTKGIFER MLQRVDHLQPIFAIPSADILILLQHYDWNEERLLEVWTEKMDELLVELGLSTTANIKK DNDYNSHFREVEFKNDFTCIICCDKKDTETFALECGHEYCINCYRHYIKDKLHEGNII TCMDCSLALKNEDIDKVMGHPSSSKLMDSSIKSFVQKHNRNYKWCPFADCKSIVHLRD TSSLPEYTRLHYSPFVKCNSFHRFCFNCGFEVHSPADCKITTAWVKKARKESEILNWV LSHTKECPKCSVNIEKNGGCNHMVCSSCKYEFCWICEGPWAPHGKNFFQCTMYKNNED NKSKNPQDANKTLKKYTFYYRLFNEHEVSAKLDWNLGQTLGTKVHALQERIGISWIDG QFLSESLKVLNEGRTVLKWSFAVAYYSDASHNLTKIFVDNQMLLANAVESLSELLQIK TPEVIMKRRPEFYNKAGYVENRTTALMECGRELLCKGICKAAE YKR018C MFKVFGFGAKEEIPELSQEEKTKAILKQAHDFEQALRAMDYVLD DNADEGLALLDESDAKEASDQTINALARGVIEFLEATLGFEAEEMKKASATLAKAEAL SLKSRERAQKIGLKSSSLYPPGTVYAVTYTESCLLHALLMIFSESMMEAAKAILKLRK SYYMLQEILETIKAANKAKKLKITSGSEDKESTPATFITGGDAFNSVDIPYELTPEEQ KDKDLLQFAEQIHSMRTERLSGAHIGNSPAINRLRGELGLQAMEDLPEEEITDHKVLS DDIDLSQATIDEFVHSGVNLCFGILQVVISLLPPAIGAVLSVVGFRGSREEGLRLVWK ATKQRNVHGCIGLLALMFYYDGPFQFTDDDFDIPAAVKDSSNSEDSEDEEMDGPTLLH PGKILEDALLQSRALFPNSALWLLNEARMLSGKGRLEEAVALMDSIDVSKIRMRQVKS LMIFDRAITLIHLHQYDRAAEDILSLLDISDWSHAFYTYFAGCCYLENWRMCEMGLMK SDKKDEYQKKAEELIFTSVNLLGKKTFKSKNLPLDRFILRKVEQFKAKKEELGVENPL DGIATSPVHEIAYFYNGYNRMSEEHLELTKKMLTEYRNPAIEALDSDQELIKDLLVSL TLRRLGHIQEGCDILDEKVLPKFFSIQNGKVKYIKKTEDPWAYPTALYERALFTWKLE GMDGLPESKEWLLRAQGYADDYELSTRVGMKIKAAIDRVDHSL YKR019C MRLSFGKQRYHGGTTVTLTEQGASDSLRAAQAIFQNHSNEVSSP CPPVTVSRNPQTRLSEPSLQKSGRKQEQKKARIRTKQVPKIKTTAPNDVELSKKHRSS PAGKDNVSSTAQMAAALAHSQSKLSSDNNSSHSSALDTLKVLETPNLNGLLGIHSRSS SRNGSNESLTPGQRTPDNRSQENLLTSFSSGRRLSSSSMEPATNKDSNKALPKRRPSP PLQSSLVGSGQLHENENLSSISIDSRHSLNPDTSDVISNRSQTSLSQTINQLSLCESE PSIASSNTTTTTSNQGSGLPNLVPNYSSDMRKKKLVNKFKRKVFGSKPKHLSSQYEMD ASSEELGQHEQQPSMRFKTTLRKTSVSTNAENDHASSLHEGNLRYKYNPSNDTYDVYD DTDSDSESDQNQDALTKPRKRDRIKRKIRNSANKTAHHRPIHRTRDRKFNEDKPWKSH TDITFVTDNERKRYESMWVSNRHRHLNLLSWWPSITGDSGAINTLPEDGLILGIIVRD IWKRSNLPNSLLAEIYTKVDTRKDGTLDRKSFIVGMWLVDQCLYGRKLPNVVEQCVWD SVDRYASTTVVPVSTLKAMAKQKRKQMKEEIKNIKKENRVVLVDHNSSS YKR020W MAEQISHKKSLRVSSLNKDRRLLLREFYNLENEPNKGRQEARIG EKASEAHSGEEQVTDVNIDTEANTEKPVKDDELSATEEDLKEGSEDAEEEIKNLPFKR LVQIHNKLLGKETETNNSIKNTIYENYYDLIKVNDLLKEITNANEDQINKLKQTVESL IKEL YKR021W MLQFNTENDTVAPVFPMEQDINAAPDAVPLVQTTTLQVFVKLAE PIVFLKGFETNGLSEIAPSILRGSLIVRVLKPNKLKSISITFKGISRTEWPEGIPPKR EEFSDVETVVNHTWPFYQADDGMNSFTLEHHSSNNSSNRPSMSDEDYLLEKSGASVYI PPTAEPPKDNSNLSLDAYERNSLSSDNLSNKPVSSDVSHDDSKLLAIQKTPLPSSSRR GSVPANFHGNSLSPHTFISDLFTKTFSNSGATPSPEQEDNYLTPSKDSKEVFIFRPGD YIYTFEQPISQSYPESIKANFGSVEYKLSIDIERFGAFKSTIHTQLPIKVVRLPSDGS VEETEAIAISKDWKDLLHYDVVIFSKEIVLNAFLPIDFHFAPLDKVTLHRIRIYLTES MEYTCNSNGNHEKARRLEPTKKFLLAEHNGPKLPHIPAGSNPLKAKNRGNILLDEKSG DLVNKDFQFEVFVPSKFTNSIRLHPDTNYDKIKAHHWIKICLRLSKKYGDNRKHFEIS IDSPIHILNQLCSHANTLLPSYESHFQYCDEDGNFAPAADQQNYASHHDSNIFFPKEV LSSPVLSPNVQKMNIRIPSDLPVVRNRAESVKKSKSDNTSKKNDQSSNVFASKQLVAN IYKPNQIPRELTSPQALPLSPITSPILNYQPLSNSPPPDFDFDLAKRGAADSHAIPVD PPSYFDVLKADGIELPYYDTSSSKIPELKLNKSRETLASIEEDSFNGWSQIDDLSDED DNDGDIASGFNFKLSTSAPSENVNSHTPILQSLNMSLDGRKKNRASLHATSVLPSTIR QNNQHFNDINQMLGSSDEDAFPKSQSLNFNKKLPILKINDNVIQSNSNSNNRVDNPED TVDSSVDITAFYDPRMSSDSKFDWEVSKNHVDPAAYSVNVASENRVLDDFKKAFREKR K YKR022C MAIKKRNKIRLPSGSPEEVGIDGSAHKPMQQIKPLVSNDSEDDD NDICVLQPIKFKKVPKRDITFDGEQAIKEDNSHYEDLYHSKKNTNASTRNKDDLLILN MEDLMEGNHHLLSDSSEAGSSSEGEHISSIPTRGEIAKLKAQKSLSRRKISESDVTTE RDYVKLLDSEDKREIMETIRLNGGLKRNNEKEITNFSDDEMQGFQDEMLALTDNQIAI QKDSKRKIIEKAINEVPYRTNEEWETQLLSKGNINKSNEKIITPLPVLFPDDDESGNS IERINEMVSKICLQRKKVEMRLQALEKTKIDLEKSKASLINKLIGN YKR023W MTRKQAIDYAIKQVPQILPLEESDVKALCEQVLSTSSDDPEQIA SKFLEFLGHEDLSFEFVMKFNELLNQNDKKEEKKTKNVHLEHTAPTSWKNESKQPTNN YINKKGDEKPKKLKDEKKSSTTRPTVQPSNQSTQSNPIKEKKEHRSKGKLQSLQEIDE AIKMLELRDSGSSKNCNCQGTRHPVFDIAPNCLHCGKVVCVIEGLNKGKCGHCHEQLI SDNERTQMVEILNQEKNELNGSSSSLSNASNGANVPKKKTKTYKITSGMGKNLFAEQD KLFDFIERKRERERKRNEVLKLQEEKEESEAKERQASEHDHKAEENPELLAAQERLDR LLYFQDTSAERTKIIDNASDFDMNQEVGLWGSARERALALKKQQRNLRKWEKVEKERN GRREKYVVSMNIGSNGKVTMTEVPKDTENVIAGSDDDISDISDEEDISDLKHIHALKS EINTTKSLENLHLQSKAWDYERDKKQFDRPTYVKKNSDTAQQNRKTEEKAHDMQAYDL KSRVQVDQNADASVEQNILAVL YKR024C MSDEDSMLLNFTTNEDTAGSSYKQAAKVTGGRWKDRRRMKMKLE GKTVSRKRKANTTGDEGIIPGRGENSIKKLHKESSYSSEEQEKYKGRNAHNTQGRTLP ADSQFVSSLFTSNREITTAVNTNIHDENVAINPSNAPLKGDQFASLGVSSLLVSHLEQ KMRIKKPTSIQKQAIPQIIGNAGKNDFFIHAQTGSGKTLSYLLPIISTILNMDTHVDR TSGAFALVIAPTRELASQIYHVCSTLVSCCHYLVPCLLIGGERKKSEKARLRKGCNFI IGTPGRVLDHLQNTKVIKEQLSQSLRYIVLDEGDKLMELGFDETISEIIKIVHDIPIN SEKFPKLPHKLVHMLCSATLTDGVNRLRNVALKDYKLISNGTKKDSDIVTVAPDQLLQ RITIVPPKLRLVTLAATLNNITKDFIASGQQSKTLRTIVFVSCSDSVEFHYDAFSGSD GHHKNLTGDSVRLLTKGNTMFPCFSDSRDPDVVIYKLHGSLSQQMRTSTLQHFARDNE ATKGKHLIMFCTDVASRGLDLPHVGSVIELDPPFAVEDHLHRVGRTARAGEKGESLLF LLPGEEEKYMDYIQPYHPMGWELLKFDKEILMPAFKDVNVNRNDKFIRKDEKSSKNKD VGDKEYEWDTNATTWHLNIERRVVGDSAFKNLAVKGFISHVRAYATHISQEKKFFNVK FLHLGHLAKSFGLRERPKAMGLQSSKDGNSEKKPTKENSKNKMFRMARMAEKQIASEF NY YKR025W MSIDNKLFVTEEDEEDRTQDRADVEDESNDIDMIADENGTNSAI ANEQEEKSEEVKAEDDTGEEEEDDPVIEEFPLKISGEEESLHVFQYANRPRLVGRKPA EHPFISAARYKPKSHLWEIDIPLDEQAFYNKDKAESEWNGVNVQTLKGVGVENNGQYA AFVKDMQVYLVPIERVAQLKPFFKYIDDANVTRKQEDARRNPNPSSQRAQVVTMSVKS VNDPSQNRLTGSLLAHKVADEEANIELTWAEGTFEQFKDTIVKEAEDKTLVALEKQED YIDNLV YKR026C MSEFNITETYLRFLEEDTEMTMPIAAIEALVTLLRIKTPETAAE MINTIKSSTEELIKSIPNSVSLRAGCDIFMRFVLRNLHLYGDWENCKQHLIENGQLFV SRAKKSRNKIAEIGVDFIADDDIILVHGYSRAVFSLLNHAANKFIRFRCVVTESRPSK QGNQLYTLLEQKGIPVTLIVDSAVGAVIDKVDKVFVGAEGVAESGGIINLVGTYSVGV LAHNARKPFYVVTESHKFVRMFPLSSDDLPMAGPPLDFTRRTDDLEDALRGPTIDYTA QEYITALITDLGVLTPSAVSEELIKMWYD YKR027W MSFLWGSTKSKKGKNKKAAGSLPSGVVPQQRVKPTRKNVPIDYP RTLEKVHGESLIFRTSLLSELVSTGKSGIGPPDLIHCTELDKFHDEKIGEFFYITGID ASSVSMPIAFLKLIKWNDGKKLKSASLKNDDITTYCTFNIFQKLDIRLRYESEDVYQV NIVDCLNGNNEIPLSDLIWEETFVSCCIRSVIINSDFERKIPGLVELPFVFENRCASD YKRVIDSLCKFLPRFLECGWDSTKSVYATILNNYLTESLLVFLSITPEFITDYAIQVL DNLMTNDPSNSRYYAIVIISIMERSNDRDVEMIKRIHEILDLLLPVLYGLPSDEPYIS DLINCITDVLSIQARFLLNNNDYELSLSISTLATNLSSDNFESWYLLSKGYIFSQQYD KALLSINSMPCLAEYDIVKQAQINAFKFYMNYYKAPLCHSREHCTMTSHELNHLMNIM HYENELELKTIIFGRTVMPNESKYGCIEEIWNKSCLELGPICGPQSDNLINFVSQQEV NTVGDMLLLKRSKETRQESWFIKQVRLLLMELVARIGWNALLQLRSDVFVMESKFKMI ESSDKLSTELRQKRLCQRWFDAMFLDVYEDLSISTSSQENKATAKYSGLEWELLGLTL LRVSDLPDAVACLRTSILARFDPISCHHLLNFYLTMDFNDEFMRRFDVDIILDLLVKL ISFRIRFYDRFQIFSLQVLRKLEGQLGSEIIKNKIINSPYGQAGITSVIDYMLECLSK NRNEACLAYERPLPDLPSTIKPLAD YKR028W MSGSFWKFGQDYSIESPVSKILNSAFIKINKDQDDDVPTGTCEE NIADDEDNSSHDYAASEDNVVNENEEKEEENTLPTTESEYENYRPNLDVLDDLLDDDE LYTELMCSNFKLLIFLKYPDVLSKLIEYVTNEKILDEETDSAKKPEIIEGVNDHPILI ERDRKDKKEDAEEGGDSEETTNDSDHDSGDERSVDSEETSITLPPESEEQVETRRARI AAEILSADVWPISAAIMQNKDLLGRLWSILDHPAPLPIPASTYFMKINERLLDMDITG MLEFILSRDSLVARFLTHVDNPSLMDFLLKVISTDKPDSPTGVIKILKSQELIPKLLD HLNPEYGISTQSAAGDFIKAFVTLSTNSSNELASGIGPNELTRQLVSEEMIEKLIKIM LKGGTSLSNGVGIIIELIRKNNSDYDFIQLVYTTLESHPPTDRDPIHLIHLVKLFAKH MPDFADMLDKTKLPLMEMPFGNIEPLGFERFKICELIAELLHCSNMTLLNEPNGEMIA QERDIERAKELETSTEKENITAIVDNKSSYYDKDCVEKDITENLGALQINNQGSEEDE LNDTGVSSVKLDVKSDAKVVEGLENDASGVELYDETLSDTESVRECLREKPLVGDRLK IALEDTKILISILDMFTEFPWNNFLHNVIFDIAQQIFNGPLKTGYNRFLLKDYLVDAY LTKKIVDADKACQDYEKKTGLRYGYMGHLTLVAEEISKFKEYIDEMKLTFCNTAVSDR LEEPFWKEYSETILADTREKYNTVLGDFGNDQESDDDVIRNSDSEDIIGDTEGNENYG NGENDELLSNGHDSGNMDLYYNFNNNENEENEEDYAEYSDVDNKNYYNNVETNDDDYD SDDGKSKSAESEFTDKISEHRDGNSLYNEDNDENGSDKWTSGTSLFPPDHFPSRSQPS DPKLQDQNIFHHQFDFEGVGDDDDYMDPNDDGQSYARPGNPLYTTPKTPPRPKTILFN SLSALDNNGEDEEVALGTSVDDRMDNEISSDEEDSEDEDEENDMGNEEGYSLYRSRSK EAF YKR029C MSVPNSKEQSLLDDASTLLLFSKGKKRAEEASKIGSKTDTIEHD ESHEREKKGAIEMAAAALATASTVSLPLKKATEQSAAEAATSTAAKEETENQPQKQPQ WPVPDSYIVDPDAGIITCICDLNDDDGFTIQCDHCNRWQHAICYGIKDIGMAPDDYLC NSCDPREVDINLARKIQQERINVKTVEPSSSNNSASNKNNGRDRASSTTISDVGDSFS TDQDNTNHRDKRRKRNPSNNSIDSKNESASVNSSDGLTSMPKKKEHFLSAKDAYGAIY LPLKDNVFKSDLIEPFLNKHMDDNWVIQYPHKTFKSVSIEVKPYADIAYSRTYPGFTK LGVYLKKDCIKGDFIQEILGELDFYKNYLTDPRNHYRIWGTAKRRVIFHSHWPIYIDA RLSGNSTRYLRRSCQPNVELVTIKLQDTDNRNDKSSGRKSSRIKFVLRALRDISEDEE LYIKWQWDSKHPILKLIKGMTIDSLDDLERYGLINSVETILSNGECGCGNNSKDCYLL KVKRYAQSLYKSVKSRGKMNNRYKLNEILNQYNCKKRREPPILHRLEEKAQNTIERAP ILLNNFYRQKFLNRNNGPKIPQKNTIDSTNNPDDIAKPFKFALFAQHSSNISVPKKNE TSEKPLIITKSTDYDESHITNIEELPIPVLLPINKTSRQTANDVEESQSKNEHKLSRT PSLSNFNKELSKEAQHSQAKTKEIMTEASVNSRRESTPESIMHLSDFSSSQLHSKKKL SFADYRKKLLK YKR030W MSYLPTYSNDLPAGPQGQRRRNNGNENDARQGYGQQSVPMVIRR LFKTPKNLDLETASWEMFHLIFHPRKAYRSIYYQRQTKNQWARDDPSFFIFQIALISL SSIIWSIYNSGFNNDSDMGALSIIGHFFKSLVMMVILDFFIFGFIMATIFYLLLNRSH FKFKSSQNSVVEWAYCFDVHCNSFLIILLCLYFIQFLLLPIINLQNWISLLIGNSLYC FAIGHYFILTFYGYNQLPFLKNLNFILLPTLGLSIIYLISLFGIDLSKKLSFYNY YKR031C MSNVSTASGTHFAPPQADRSVTEEVDRVNSRPDELENQEVLRQL PENGNLTSSLQREKRRTPNGKEAERKHALPKSFVDRNLSDVSPNHSLDHIMHSNEHDP RRGSDEENMHRLYNNLHSSNNNVHSKRNSKREEERAPQRRSSSVAYTQQQFNGWKKEF GHAFKKISAIGRLKSSVNSPTPAGSGHRHNQHQHQQVNEEDLYTQRLASDLLDSLLAG CPASLFASTQFLRDEHGKRRAPLLLAKLDVRVSPLKNDNNILDITNSNHNHRGNNNNN TGENSDRRPSIPRSSSIISISSNVAEFMYSRNENSLFRIHLEYGIDEDRLKWSIIRSY KDIKSLHHKLKIVAFQQLTISKLYSDNNRYHSLQLPHFPHYKEMVKERNVMEKKAENK PSSAASAPHTSENNNNDNGSNITSLETLSSSEISEFNIDNVKMKHLQDLIDEPDDFSQ PIHLRLERYLRLLNIALCLRPHANRLFEFYELSPLGNLLSRESGFQGKQGYLVIRSTA KAQGWRVSHFGKHAFKDMIDRHTTKWFLVRNSYLTYVSDLSSTTPLDVFLIDWKFKVR FSGNKNNILDNENEINWIIHDPNLEINDELEEFGIENDANNILDKNGKSKTHQKKSNI SSKLLLLTLENSERKLKIICKSESSLKQWMSSIIKMSTSTPWSKPNRFGSFAPVRTNS FCKFLVDGRDYFWSLSEALLMAKDVIYIHDWWLSPELYLRRPVKGNQGFRIDRMLKSC AEKGIKIFIVIYRNVGNIVGTDSLWTKHSMLNLHPNIHIIRSPNQWLQNTYFWAHHEK FVVIDETFAFIGGTDLCYGRYDTFEHVLRDDAESLLDQNFPGKDYSNARIADFHDLDK PFESMYDRKVIPRMPWHDVQMMTLGEPARDLARHFVQRWNYLLRAKRPSRLTPLLTPP SDLTAEELKSLPMFEILREKSTCETQILRSAGNWSLGLKETECSIQNAYLKLIEQSEH FIYIENQFFITSTVWNGTCVLNKIGDALVDRIVKANQEKKPWKAFILIPLMPGFDSPV DTAEASSLRLIMQFQYQSISRGEHSTFSKLKKLNIDPAQYIQFFSLRKWSTFAPNERL ITEQLYVHAKILIADDRRCIIGSANINERSQLGNRDSEVAILIRDTDLIKTKMNGDDY YAGKFPWELRQRLMREHLGCDVDLVEFVEKKFERFEKFAAKNYEKLHTLSKEGDSGNN WSDREMIDSAMIELGYREIFGCKFSPQWKSGHGNSVDDGSTQCGINEKEVGREDENVY EKFFNSVDYGKSSRKRTPLPKHNFASLGLTFNHRAGIENVGIRDHKVLSTDPRLRKND EHKKEVDGYGPDCWKKESNKKFKADATEQLKEWALNSLASKVLDDKEMIKSEIPEGFS NYLPNEKDLEMYLTDKTVTNRNKWSMLKRICYLQYLSHKLDERKTQRLKKIKDMRRHL SSSTESTRNGSNSLPLNEKSNEGESTNVDQDIEGDEYHRLHEDILKNQELDDGSLDDL LSQIIPKITNFNSGEIDDAKKEELLKLNFIDPYSFEDPLISSFSEGLWFTIALRNTLL YKLVFHCQPDNAVQNWKEYGEFTELEQEFQINQEKLIDLEAENINSTTTNVVDKDREK EKMRKAAELRMKLSGSLLYGFNQKVFDKHTAQRILERIHGHLVIFPTEWLAKEVESRN WIFNSDRLSPMEIYN YKR032W MAYSSLCLCLLFNPKGCSNLHPFVVDNEFPPYHLSLVLIKQQRM LDRNRSWAYLAALWRHPWTVISKCCLVYIYIQRQLEFGYSYCFFDFLLVILSETVVIL YY YKR034W MVLSDSLKLPSPTLSAAAGVDDCDGEDHPTCQNCFTVKTPLWRR DEHGTVLCNACGLFLKLHGEPRPISLKTDTIKSRNRKKLNNNNVNTNANTHSNDPNKI FKRKKRLLTTGGGSLPTNNPKVSILEKFMVSGSIKPLLKPKETVPNTKECSTQRGKFS LDPCEPSGKNYLYQINGSDIYTSNIELTRLPNLSTLLEPSPFSDSAVPEIELTWKLHN EEEVIKLKTKISELELVTDLYKKHIFQLNEKCKQLEVELHSRASVQSHPQH YKR035W-A MSRNSAAGLENTLFQLKFTSKQLQKQANKASKEEKQETNKLKRA LNENEDISRIYASNAIRKKNERLQLLKLASRVDSVASRVQTAVTMRQVSASMGQVCKG MDKALQNMNLQQITMIMDKFEQQFEDLDTSVNVYEDMGVNSDAMLVDNDKVDELMSKV ADENGMELKQSAKLDNVPEIKAKEVNVDDEKEDKLAQRLRALRG YKR036C MGSGDTRGESSLVAKPIEIILNKLPHAILAQQQFQKYITSPIYR YLSKLLLFREVAWPESTKDTQKGQVGIFSFQNNYADSATTFRILAHLDEQRYPLPNGA AEKNLPSLFEGFKATVSIIQQRLLLDNVDGATNSDKEKYVQLPDINTGFVNKTYSRID LTHLLEDVETNVENLSINKTLEMDELTRLDSMINELESRKLKILERVKHIDSKSTNLE NDVTLIKDRINFIEEYNLEADREQSLRKQMEEERSSEASSFTQNEEAISSLCDVESKD TRLKDFYKMPHEKSHDKNRQIISETYSRNTTAFRMTIPHGEHGNSITALDFDTPWGTL CSSSYQDRIVKVWDLNHGIQVGELPGHLATVNCMQIDKKNYNMLITGSKDATLKLWDL NLSREIYLDHSPLKEKTEEIVTPCIHNFELHKDEITALSFDSEALVSGSRDKKIFHWD LTTGKCIQQLDLIFTPTHSDIKMPARSLNNGACLLGTEAPMIGALQCYNSALATGTKD GIVRLWDLRVGKPVRLLEGHTDGITSLKFDSEKLVTGSMDNSVRIWDLRTSSILDVIA YDLPVSSLDFDGKLITVGANEGGVNVFNMERDEHWMTPEPPHSLDGDELSRRIAIVKY KDGFLINGHNDGDINVWTL YKR037C MGESLDRCIDDINRAVDSMSTLYFKPPGIFHNAILQGASNKASI RKDITRLIKDCNHDEAYLLFKVNPEKQSVSRRDGKEGVFDYVIKRDTDMKRNRRLGRP GEKPIIHVPKEVYLNKDRLDLNNKRRRTATTSGGGLNGFIFDTDLIGSSVISNSSSGT FKALSAVFKDDPQIQRLLYALENGSVLMEEESNNQRRKTIFVEDFPTDLILKVMAEVT DLWPLTEFKQDYDQLYHNYEQLSSKLRFIKKEVLLQDDRLKTMSQYHPSSSHDVAKII RKEKDEIRRLEMEIANLQE YKR038C MVNLNTIPPKNGRDYYIALGLEGSANKLGVGIVKHPLLPKHANS DLSYDCEAEMLSNIRDTYVTPPGEGFLPRDTARHHRNWCIRLIKQALAEADIKSPTLD IDVICFTKGPGMGAPLHSVVIAARTCSLLWDVPLVGVNHCIGHIEMGREITKAQNPVV LYVSGGNTQVIAYSEKRYRIFGETLDIAIGNCLDRFARTLKIPNEPSPGYNIEQLAKK APHKENLVELPYTVKGMDLSMSGILASIDLLAKDLFKGNKKNKILFDKTTGEQKVTVE DLCYSLQENLFAMLVEITERAMAHVNSNQVLIVGGVGCNVRLQEMMAQMCKDRANGQV HATDNRFCIDNGVMIAQAGLLEYRMGGIVKDFSETVVTQKFRTDEVYAAWRD YKR039W MSNTSSYEKNNPDNLKHNGITIDSEFLTQEPITIPSNGSAVSID ETGSGSKWQDFKDSFKRVKPIEVDPNLSEAEKVAIITAQTPLKHHLKNRHLQMIAIGG AIGTGLLVGSGTALRTGGPASLLIGWGSTGTMIYAMVMALGELAVIFPISGGFTTYAT RFIDESFGYANNFNYMLQWLVVLPLEIVSASITVNFWGTDPKYRDGFVALFWLAIVII NMFGVKGYGEAEFVFSFIKVITVVGFIILGIILNCGGGPTGGYIGGKYWHDPGAFAGD TPGAKFKGVCSVFVTAAFSFAGSELVGLAASESVEPRKSVPKAAKQVFWRITLFYILS LLMIGLLVPYNDKSLIGASSVDAAASPFVIAIKTHGIKGLPSVVNVVILIAVLSVGNS AIYACSRTMVALAEQRFLPEIFSYVDRKGRPLVGIAVTSAFGLIAFVAASKKEGEVFN WLLALSGLSSLFTWGGICICHIRFRKALAAQGRGLDELSFKSPTGVWGSYWGLFMVII MFIAQFYVAVFPVGDSPSAEGFFEAYLSFPLVMVMYIGHKIYKRNWKLFIPAEKMDID TGRREVDLDLLKQEIAEEKAIMATKPRWYRIWNFWC YKR041W MSDDDYMNSDDDNDAEKRYVRPIFVRKRRREEDYVATSKDNIHH HPCDWSAKPSQRQNENEQKSTIRLVPVAMNTPKCQEKKKKRKGVGTTSHEATLFEYGE SIAGYKCVTTESERDRLKRSHESESSSESEVDVFAFDQAKGISSKVEAEERYARAVRQ YWRMTKDEPATLPLPGTPTLAAVSLDMIDDKSVEQFYTMSSALMDANRLDLIRRDRIR WHPDKHRYHKSKVTKLFQAINGLWEQEKTEKR YKR042W MKLSALLALSASTAVLAAPAVHHSDNHHHNDKRAVVTVTQYVNA DGAVVIPAATTATSAAADGKVESVAAATTTLSSTAAAATTSAAASSSSSSSSSSSSSS SVGSGDFEDGTISCSDFPSGQGAVSLDWLGLGGWASIMDMNGNTATSCQDGYYCSYAC SPGYAKTQWPSEQPSDGRSVGGLYCKNGKLYRSNTDTNSLCVEGQGSAQAVNKVSGSI AICGTDYPGSENMVVPTVVGAGSSQPINVIKEDSYYQWQGKKTSAQYYVNNAGVSVED GCIWGTEGSGVGNWAPVVLGAGYTDGITYLSIIPNPNNKEAPNFNIKIVATDGSTVNG ACSYENGVYSGSGSDGCTVSVTSGSANFVFY YKR043C MPSLTPRCIIVRHGQTEWSKSGQYTGLTDLPLTPYGEGQMLRTG ESVFRNNQFLNPDNITYIFTSPRLRARQTVDLVLKPLSDEQRAKIRVVVDDDLREWEY GDYEGMLTREIIELRKSRGLDKERPWNIWRDGCENGETTQQIGLRLSRAIARIQNLHR KHQSEGRASDIMVFAHGHALRYFAAIWFGLGVQKKCETIEEIQNVKSYDDDTVPYVKL ESYRHLVDNPCFLLDAGGIGVLSYAHHNIDEPALELAGPFVSPPEEESQHGDV YKR044W MSRDVRAEKLAISLLILSLFLIFQLVAEIYLNNGDQYHTETSPF TRGRSHVTRVPNHDASLSIPFLDKINQFWHVGGATQIRNIQSIKLTQDRDQDKHGLVL SNGIGDNTINDFEIVFTFRISHDPTTQLTGDGMCFAITPENGFLTQNLQSSYAKKQYM MNSQGVIADNTDLMGFPKNLPGLFIVLDTYRNQGHDHKEVPFMDVFINVAPESDWYDI NSDGELSTSLRLNSRGHIKLKKNALWNRVTKLRIIYLESISFLKIDVQYAKEGNYWIE LFQTTENLYLPKNMHTGQRYIGCSALNGQLTETVELLDVSTSEFHWNDMDASIEDTYD YAKEAELFLEQEFGEVLDREPDEFTKWKMIKAQPNIKTGSQSAEQKTSNNPHSRLFKV VLTIWHYSEILLLIMGIYLFSACIRVFQRRFKKIRSRRKRAGSHSVGLLPM YKR045C MSNSHHTSQGRRNKLSVWVKKIINTTTTTNASVSSSKPRRGTRA GPTRVKRAELDPDGTTISSSLRPLVDRNSLHSSESDDEGDRRVAWDEPPTGKVRQQQQ QQQQQQNDNASVIPLVSFCSSSVKSSTFSDIHSIQSTRPTIFSNRTFETNSSVLAIPP QSILDRSRTLPPSNASNTTTRRP YKR046C MSESSISSSKPSVELPQATWSHLQRYPALSKFIKYAESLPPVER LISFNLVVLGSVNQWVSESSSSPRLVKQVVAAGKEGAFKLDELVNLLVFKEGVDGLLY NWKSHSNTPGIWLVWFFVDYVANISNTLLREFLIKPLHLQGSTASKEIGSSGEENKVT DASSLPHVAELSSTTRGMSQEIQSKVKSNYIDPTKDLAKEKYDAIVKPTTDKLQSVYI DPTKTKLNETYQRFTTVYENNLSKSESVPKAIVSTGLDLGNATIEKLKASREDQTNSK PAAVSTN YKR048C MSDPIRTKPKSSMQIDNAPTPHNTPASVLNPSYLKNGNPVRAQA QEQDDKIGTINEEDILANQPLLLQSIQDRLGSLVGQDSGYVGGLPKNVKEKLLSLKTL QSELFEVEKEFQVEMFELENKFLQKYKPIWEQRSRIISGQEQPKPEQIAKGQEIVESL NETELLVDEEEKAQNDSEEEQVKGIPSFWLTALENLPIVCDTITDRDAEVLEYLQDIG LEYLTDGRPGFKLLFRFDSSANPFFTNDILCKTYFYQKELGYSGDFIYDHAEGCEISW KDNAHNVTVDLEMRKQRNKTTKQVRTIEKITPIESFFNFFDPPKIQNEDQDEELEEDL EERLALDYSIGEQLKDKLIPRAVDWFTGAALEFEFEEDEEEADEDEDEEEDDDHGLED DDGESAEEQDDFAGRPEQAPECKQS YKR049C MSFWKTLQRQPRTISLFTNDIASNIKSQKCLQLLKGDVSHRFDV EIANRFPTWDQLQYMRTSCPQGPVSLQRQIPKLDSVLKYKHTDPTFGMDLQKCVQRGL WNPKEALWVDWENKLVGNEPADIDKYIIQRK YKR050W MPTAKRTSSRASLALPFQLRLVHKKSWGHRLRDFISGFLKSCRP IAKYVFPNFIVVHYIYLITLSIIGSILLYPCKNTAFIDVLFLAAGASTQGGLATKSTN DFNLYQQIVVYVITLLSTPILIHGFLAFVRLYWFERYFDNIRDISKQNFKLRRTMTLQ QRELSGSSGNAARSRSFKDNLFRGKFVSREDPRQSASDVPMDSPDTSALSSISPLNVS SSKEESSDTQSSPPNFSSKRQPSDVDPRDIYKSIMMLQKQQEKSNANSTDSFSSETNG PAFIVQERHERRAPHCSLKRHSVLPSSQELNKLAQTKSFQKLLGLRRDEGDHDYFDGA PHKYMVTKKKKISRTQSCNIPTYTASPSPKTSGQVVENHRNLAKSAPSSFVDEEMSFS PQESLNLQFQAHPPKPKRREGDIGHPFTRTMSTNYLSWQPTFGRNSVFIGLTKQQKEE LGGVEYRALRLLCCILMVYYIGFNILAFVTIVPWACTRHHYSEIIRRNGVSPTWWGFF TAMSAFSNLGLSLTADSMVSFDTAPYPLIFMMFFIIIGNTGFPIMLRFIIWIMFKTSR DLSQFKESLGFLLDHPRRCFTLLFPSGPTWWLFTTLVVLNATDWILFIILDFNSAVVR QVAKGYRALMGLFQSVCTRTAGFNVVDLSKLHPSIQVSYMLMMYVSVLPLAISIRRTN VYEEQSLGLYDSGQDDENITHEDDIKETDHDGESEERDTVSTKSKPKKQSPKSFVGAH LRRQLSFDLWYLFLGLFIICICEGRKIEDVNKPDFNVFAILFEVVSAYGTVGLSLGYP NTNTSLSAQFTVLSKLVIIAMLIRGRNRGLPYTLDRAIMLPSDKLEQIDRLQDMKAKG KLLAKVGEDPMTTYVKKRSHKLKKIATKFWGKH YKR051W MENKLLCWWLYWPCVYSSIIATIISFYTITRHLLNYRKPYEQRL SIRILLLVPIFSVSCASGIIKPEAAQFYVDPIREFYEAFVIYTFFTFLTLLLGGERNI ITVLSLNHAPTRHPIPLIGKICKPIDLSDPFDFLFVKKGILQYVWFKPFYCFGTLICS AWKLPKFEIFLNVFYNISVTWSLYSLALFWKCLYPELTPYKPWLKFLCVKLIIFASYW QSIIIQGLVVTGKLGTGNQDRTSGYVYKNGLLCIEMVPFAILHAVAFPWNKYTAFSIP YGARMKFIYALKDFLGCGDLIWDFKQTLFAGPLYYNYRNFDPEAMDLLSTRQQSGATM ERLKHGLRFTDNGRNSYWVAYGSIDNNLVPESIEESWEDDIAGQRTFPEDPNYPVVHD YTMGHRYSRSMNDLRRDVQSRSSMAC YKR052C MNTSELSIAEEIDYEALPSHAPLHSQLLAGAFAGIMEHSLMFPI DALKTRVQAAGLNKAASTGMISQISKISTMEGSMALWKGVQSVILGAGPAHAVYFGTY EFCKARLISPEDMQTHQPMKTALSGTIATIAADALMNPFDTVKQRLQLDTNLRVWNVT KQIYQNEGFAAFYYSYPTTLAMNIPFAAFNFMIYESASKFFNPQNSYNPLIHCLCGGI SGATCAALTTPLDCIKTVLQVRGSETVSIEIMKDANTFGRASRAILEVHGWKGFWRGL KPRIVANIPATAISWTAYECAKHFLMKN YKR053C MTIIQTVTELGVTEDTIKVQMAPSGGKHLLADPGNHPAEHFESQ MSWLRFQTRQYLTRFTDNQSDFVHSLQKKHRTPFRDVYFKYTSLMGSHMFYVIVLPMP VWLGYRDLTRDMIYVLGYSIYLSGYLKDYWCLPRPKSPPVDRITLSEYTTKEYGAPSS HSANATAVSLLFFWRICLSDTLVWPTKLLLLSLVIFYYLTLVFGRVYCGMHGMLDLFS GAAVGAICFFIRIWVVHALRNFQIGEHLWFPLLSVAWGLFILFNHVRPIDECPCFEDS VAFIGVVSGLDCSDWLTERYGWNLVCSRYASCGSKVFLRPLVGVASVIVWKDVISKTA VYTLLIKLLRFHDDRSEKVHFHNETSEEEECLLYSGVSKVEIVGRFLIYAGIPTTVFL LCPVFFTWTNLR YKR054C MCKNEARLANELIEFVAATVTGIKNSPKENEQAFIDYLHCQYLE RFQFFLGLLDGREFDTLFVFLFEELDRTIVTIDIGEEAIYDANLANKKYSTLLIIKSR SVIVDAEPIATQISAIYLPGPVNAGNLASIITHGVSSVFGQLIKSDTKTYSVETIDKT RRKLDDISKQFQQLHTSIETPDLLAMVPSIIKLAVSKGATSHDYANYLPSNDLESMRF LNILQSIANKWFLVLKQTLAIDRDIKNGSFLDEVEFWSNFYEVLKSLIEQTQSQEFQV CLSVLTNAKRFHNLTNLLNEGSLSDKFKLADKYNQFLSSIPIDEVRQASNLEDLQELF PVLASSLKKFRYSGYPVQRFVVLMDKISQEVMDAILSNLSDLFQLEYGSFLGLYEKSA GMIEEWDDIVQDVNLLIREDLRKRAPQELLIQKLTFTSASVKATLDEILSTRKRFFSL AETIKSISPSTYHEEIQRLYHPFEQIHDISVNFRLKLEQAESEFSKNMLDLEKKLQNT LASFMDSDHCPTEKLSYLVKFKPLMELCPRIKVKVLENQQILLLEIKKDIRQLETGLE LLPKILHVEALNNIPPISARISYFLNVQSRIDNIVQYLEALFGSNWNDTLEGRSISTS IVQLRKETNPHDVFLHWLGNFPEKATANLLTTPILKLIRNNEDDYELKVNFDFALAAA YSELRSLTYMAFQVPSHIVRIARTYMYLYPRAINLVELIQTFFSLSKSLSYTFYTNIF LKRNVQTVWLLLQQILITPWESLQEESSEMSCSVHSLARLEKSIDGILSDYQILKNSE PQFAKEFSGLKSFDGTADDLHEVEEIISNIQAIFENLFTKGLTNVSDHISTFNNLIIS IILEKVRLNLKKMHFPKHVLKLSFNEGRITSSPSLAAMKRSLLKDIEALLNKVVLINF LHDPDHPLSTTLTFNSLVIKLKDDIQNCIEQVQNLHCKINSYVKEWQKMEFLWQITEE AFLEVVDNSTQRCFGILKGLLDSQSKFDLIISRNNFSKNLVLHTEDAQRHIRSKMDSW ILYVSKHLLTIYERDARKLHEDMNRDREAVEDMDINFTSLKNITVIIEAVNVNKRHLT ERDIQIKLLGSVMRALTKLKVRFPSHFVYIDQLDNDFSSLRQSLSYVEQELQKHRVVI AKSLEEGVENINNLSQSLNESWSVRKPISPTLTPPEALKILEFFNESITKLKKKMHSV AAAAKMLLIPVVLNDQLTHVVEEVKTYDLVWRSIKNLWEDVQRTFETPWCRVDVLLLQ SDLANFLRRADELPRAVKQFEMYKSLFSQVNMLTSVNKILVELKDGALKPRHWNMIFR DIGKRQIQKNLLDKLEFSLKDVMVLNLTLNEILLTKIIERAQKEFVIEKSLNRIKKFW KEAQYEVIEHSSGLKLVREWDVLEQACKEDLEELVSMKASNYYKIFEQDCLDLESKLT KLSEIQVNWVEVQFYWLDLYGILGENLDIQNFLPLETSKFKSLTSEYKMITTRAFQLD TTIEVIHIPNFDTTLKLTIDSLKMIKSSLSTFLERQRRQFPRFYFLGNDDLLKIIGSG KHHDQVSKFMKKMFGSIESIIFLEDFITGVRSVEGEVLNLNEKIELKDSIQAQEWLNI LDTEIKLSVFTQFRDCLGQLKDGTDIEVVVSKYIFQAILLSAQVMWTELVEKCLQTNQ FSKYWKEVDMKIKGLLDKLNKSSDNVKKKIEALLVEYLHFNNVIGQLKNCSTKEEARL LWAKVQKFYQKNDTLDDLNSVFISQSGYLLQYKFEYIGIPERLIYTPLLLIGFATLTD SLHQKYGGCFFGPAGTGKTETVKAFGQNLGRVVVVFNCDDSFDYQVLSRLLVGITQIG AWGCFDEFNRLDEKVLSAVSANIQQIQNGLQVGKSHITLLEEETPLSPHTAVFITLNP GYNGRSELPENLKKSFREFSMKSPQSGTIAEMILQIMGFEDSKSLASKIVHFLELLSS KCSSMNHYHFGLRTLKGVLRNCSPLISEFGEGEKTVVESLKRVILPSLGDTDELVFKD ELSKIFDSAGTPLNSKAIVQCLKDAGQRSGFSMSEEFLKKCMQFYYMQKTQQALILVG KAGCGKTATWKTVIDAMAIFDGHANVVYVIDTKVLTKESLYGSMLKATLEWRDGLFTS ILRRVNDDITGTFKNSRIWVVFDSDLDPEYVEAMNSVLDDNKILTLPNGERLPIPPNF RILFETDNLDHTTPATITRCGLLWFSTDVCSISSKIDHLLNKSYEALDNKLSMFELDK LKDLISDSFDMASLTNIFTCSNDLVHILGVRTFNKLETAVQLAVHLISSYRQWFQNLD DKSLKDVITLLIKRSLLYALAGDSTGESQRAFIQTINTYFGHDSQELSDYSTIVIAND KLSFSSFCSEIPSVSLEAHEVMRPDIVIPTIDTIKHEKIFYDLLNSKRGIILCGPPGS GKTMIMNNALRNSSLYDVVGINFSKDTTTEHILSALHRHTNYVTTSKGLTLLPKSDIK NLVLFCDEINLPKLDKYGSQNVVLFLRQLMEKQGFWKTPENKWVTIERIHIVGACNPP TDPGRIPMSERFTRHAAILYLGYPSGKSLSQIYEIYYKAIFKLVPEFRSYTEPFARAS VHLYNECKARYSTGLQSHYLFSPRELTRLVRGVYTAINTGPRQTLRSLIRLWAYEAWR IFADRLVGVKEKNSFEQLLYETVDKYLPNQDLGNISSTSLLFSGLLSLDFKEVNKTDL VNFIEERFKTFCDEELEVPMVIHESMVDHILRIDRALKQVQGHMMLIGASRTGKTILT RFVAWLNGLKIVQPKIHRHSNLSDFDMILKKAISDCSLKESRTCLIIDESNILETAFL ERMNTLLANADIPDLFQGEEYDKLLNNLRNKTRSLGLLLDTEQELYDWFVGEIAKNLH VVFTICDPTNNKSSAMISSPALFNRCIINWMGDWDTKTMSQVANNMVDVIPMEFTDFI VPEVNKELVFTEPIQTIRDAVVNILIHFDRNFYQKMKVGVNPRSPGYFIDGLRALVKL VTAKYQDLQENQRFVNVGLEKLNESVLKVNELNKTLSKKSTELTEKEKEARSTLDKML MEQNESERKQEATEEIKKILKVQEEDIRKRKEVVMKSIQDIEPTILEAQRGVKNIKKQ QLTEIRSMVNPPSGVKIVMEAVCAILGYQFSNWRDIQQFIRKDDFIHNIVHYDTTLHM KPQIRKYMEEEFLSDPNFTYETINRASKACGPLYQWVNAQINFSKVLENVDPLRQEMK RIEFESLKTKANLLAAEEMTQDLEASIEVSKRKYSLLIRDVEAIKTEMSNVQANLDRS ISLVKSLTFEKERWLNTTKQFSKTSQELIGNCIISSIYETYFGHLNERERADMLVILK RLLGKFAVKYDVNYRFIDYLVTLDEKMKWLECGLDKNDYFLENMSIVMNSQDAVPFLL DPSSHMITVISNYYGNKTVLLSFLEEGFVKRLENAIRFGSVVIIQDGEFFDPIISRLI SREFNHAGNRVTVEIGDHEVDVSGDFKLFIHSCDPSGDIPIFLRSRVRLVHFVTNKES IETRIFDITLTEENAEMQRKREDLIKLNTEYKLKLKNLEKRLLEELNNSQGNMLENDE LMVTLNNLKKEAMNIEKKLSESEEFFPQFDNLVEEYSIIGKHSVKIFSMLEKFGQFHW FYGISIGQFLSCFKRVFIKKSRETRAARTRVDEILWLLYQEVYCQFSTALDKKFKMIM AMTMFCLYKFDIESEQYKEAVLTMIGVLSESSDGVPKLTVDTNNDLRYLWDYVTTKSY ISALNWFKNEFFVDEWNIADVVANSENNYFTMASERDVDGTFKLIELAKASKESLKII PLGSIENLNYAQEEISKSKIEGGWILLQNIQMSLSWVKTYLHKHVEETKAAEEHEKFK MFMTCHLTGDKLPAPLLQRTDRFVYEDIPGILDTVKDLWGSQFFTGKISGVWSVYCTF LLSWFHALITARTRLVPHGFSKKYYFNDCDFQFASVYLENVLATNSTNNIPWAQVRDH IATIVYGGKIDEEKDLEVVAKLCAHVFCGSDNLQIVPGVRIPQPLLQQSEEEERARLT AILSNTIEPADSLSSWLQLPRESILNYERLQAKEVASSTEQLLQEM YKR055W MNTLLFKRKGGNCGNESNIVSQGSPSSSNLPESPGTLDEKNLPR LPTPFARSLSTIPSYEQMKRTNKLPDYHLKIVVVGDGAVGKTCLLISYVQGTFPTDYI PTIFENYVTNIEGPNGQIIELALWDTAGQEEYSRLRPLSYTNADVLMVCYSVGSKTSL KNVEDLWFPEVKHFCPSTPIMLVGLKSDLYEADNLSDLVEPSSAESLAKRLGAFAHIQ CSARLKENIDEVFETAIHTLLSDSLYAPREPTHTIKNPFKRNTTRSDIDSSTGDTSVS ISGTKRLRKNKCIIM YKR056W MYEQFEFSFFFFENSDNKVKYKAHLISSIKRWSIITCMRCFWTV QKSIFKARFFACRNFVKKHNYKLISTMTGSTEMVPPTMKHTVDNKRLSSPLTDSGNRR TKKPKLRKYKAKKVETTSPMGVLEFEVNDLLKSQNLSREQVLNDVTSILNDKSSTDGP IVLQYHREVKNVKVLEITSNGNGLALIDNPVETEKKQVVIIPFGLPGDVVNIKVFKTH PYYVESDLLDVVEKSPMRRDDLIRDKYFGKSSGSQLEFLTYDDQLELKRKTIMNAYKF FAPRLVAEKLLPPFDTTVASPLQFGYRTKITPHFDMPKRKQKELSVRPPLGFGQKGRP QWRKDTLDIGGHGSILDIDECVLATEVLNKGLTNERRKFEQEFKNYKKGATILLRENT TILDPSKPTLEQLTEEASRDENGDISYVEVEDKKNNVRLAKTCVTNPRQIVTEYVDGY TFNFSAGEFFQNNNSILPIVTKYVRDNLQAPAKGDDNKTKFLVDAYCGSGLFSICSSK GVDKVIGVEISADSVSFAEKNAKANGVENCRFIVGKAEKLFESIDTPSENTSVILDPP RKGCDELFLKQLAAYNPAKIIYISCNVHSQARDVEYFLKETENGSAHQIESIRGFDFF PQTHHVESVCIMKRI YKR057W MENDKGQLVELYVPRKCSATNRIIKADDHASVQINVAKVDEEGR AIPGEYVTYALSGYVRSRGESDDSLNRLAQNDGLLKNVWSYSR YKR058W MYKKLAIATLLYSADYLPGVFALGHQVNKLLEEAGKKGDIETCL IVTTSLFNGTLSELAKNILQSIYTKIVLVEPLNCQEESIQKNSENLALLERPELSFAL IKARLWELTQFEQVLYLDSDTLPLNKEFLKLFDIMSKQTTSQVGAIADIGWPDMFNSG VMMLIPDADTASVLQNYIFENTSIDGSDQGILNQFFNQNCCTDELVKDSFSREWVQLS FTYNVTIPNLGYQSSPAMNYFKPSIKLIHFIGKHKPWSLWSQKNFIKNEYHDQWNEVY EEFKEEHQLNNEVSKPKISDSDKTETPETITPVDAPPSNEPTTNQEIDTISTVEENVD NQNAEPVPNSDHSPAPNPVPLDFTKWLTTFINKDHLTNQPVNESREYSKENDNNIINS SSNRDQESPPNSTQELNSSYSVVSTQADSDEHQNAEEEDSTTDNASNSGEESHLDDIS TAASSNNNVSNQPDGKNFSNSKENNISVESSPSNPEQKRSTDNIQKPSVSTNDLPDDV EPHTSVDDNIQYLEKDKEGYEEFLPDVYESNAIDNEEEFFDDDARDATEGETKTSAVA DKQEDMKLTAEETNQPQQEMPNFKFDWEDSDYLSKVERCFPDDIFEYAVE YKR059W MSEGITDIEESQIQTNYDKVVYKFDDMELDENLLRGVFGYGFEE PSAIQQRAIMPIIEGHDVLAQAQSGTGKTGTFSIAALQRIDTSVKAPQALMLAPTREL ALQIQKVVMALAFHMDIKVHACIGGTSFVEDAEGLRDAQIVVGTPGRVFDNIQRRRFR TDKIKMFILDEADEMLSSGFKEQIYQIFTLLPPTTQVVLLSATMPNDVLEVTTKFMRN PVRILVKKDELTLEGIKQFYVNVEEEEYKYECLTDLYDSISVTQAVIFCNTRRKVEEL TTKLRNDKFTVSAIYSDLPQQERDTIMKEFRSGSSRILISTDLLARGIDVQQVSLVIN YDLPANKENYIHRIGRGGRFGRKGVAINFVTNEDVGAMRELEKFYSTQIEELPSDIAT LLN YKR060W MVESNDIIKSGLAEKALKALILQCEENPSLKNDKDIHIIINTGK KMGINRDNIPRIIPLTKYKLFKPRDLNILLITKDPSALYRETLTKDEHTSELFKEIIS VKNLRRRFKGSKLTQLYKDFDLVVADYRVHHLLPEVLGSRFYHGSKKLPYMIRMSKEV KLKRQQMVEKCDPIYVRAQLRSICKNTSYIPNNDNCLSVRVGYIQKHSIPEILQNIQD TINFLTDKSKRPQGGVIKGGIISIFVKTSNSTSLPIYQFSEARENQKNEDLSDIKL YKR061W MQICKVFLTQVKKLLFVSLLFCLIAQTCWLALVPYQRQLSLDSY FFRRSREVSSRYDFTRRRHMNQTLKLSSNTYNDEPLNKTKGIKNQRENATLLMLVRNW ELSGALRSMRSLEDRFNKNYQYDWTFLNDVPFDQEFIEATTAMASGRTQYALIPAEDW NRPSWINETLFEEALQLMEEKNILYGGSKSYRNMCRFNSGFFFRQKILDPYDFYFRVE PDVEYFCDFPYDPFKVMRQNNKKYGFVITMYEYEDTIPSLWEAVEEYLEETESADIDM ESNAFGFVSNFDFIGKSFGVIDSNSGYNLCHFWTNFEIGDLNFFRSEKYIRFFEYLDS KGGFYYERWGDAPVHSIAASLLLKKDEIIHFDELGYKHMPFGTCPSAYYLRLQQRCLC DSNHPDNIDLNVISCLRRWWKDGSGKYFLKHDS YKR062W MSKNRDPLLANLNAFKSKVKSAPVIAPAKVGQKKTNDTVITIDG NTRKRTASERAQENTLNSAKNPVLVDIKKEAGSNSSNAISLDDDDDDEDFGSSPSKKV RPGSIAAAALQANQTDISKSHDSSKLLWATEYIQKKGKPVLVNELLDYLSMKKDDKVI ELLKKLDRIEFDPKKGTFKYLSTYDVHSPSELLKLLRSQVTFKGISCKDLKDGWPQCD ETINQLEEDSKILVLRTKKDKTPRYVWYNSGGNLKCIDEEFVKMWENVQLPQFAELPR KLQDLGLKPASVDPATIKRQTKRVEVKKKRQRKGKITNTHMTGILKDYSHRV YKR063C MIPPRIVPWRDFAELEELKLWFYPKSKGTIEDKRQRAVQRVQSY RLKGSQYLPHVVDSTAQITCAVLLDEKEACLGVHQDSIPIRLSYVMALIRFVNGLLDP TQQSQFAIPLHTLAAKIGLPSWFVDLRHWGTHERDLPGLEMLRWAANEALSWLYDHYW NDEELEDDRDDDDDDDDTGYGYRRNDKLEKYMESLTKTLDKWKRLRNEFLEYKWVWEN ANDSLITSSNFSGDNLVNYDAEKRKSSHASSSETMIRENLRQWQELWKLSIYHNVVLE KFFNNYDPLLLKVLMLNLNNFDWKVIEWVARNYRTQQDDSNITTILKRKFNAWKELQK RLLDVIINNLNNKNFKNKWQNWEKLIDENASYLILYFCQSMLAKLETEKITGNSWRNK KRRKQIDSTVEIEAKLKENIDNLSLRFNEGEIKLYDFIPAEKDSVPLKKEVSPALKAD TNDILGDLASLKQRMSSFGTVGKKNKQEENRATPVKNWSRVQNWKPKPFGVL YKR064W MGYDSQVRTKKRHRITVVCTNCKKRKSKCDRTKPCGTCVRLGDV DSCVYLTDSSGQPESSPSLNDADPLRKQSTPAERISPGFIKKRRSSQTRQDEDHWQRV RELENQSSLYYLPIHEETPFFIDLIPNGFYLETKRSADNLFGLFTDRAIENRDPYLQA MVTFRSIAIKKMMDKLGSNGNNVKNGSLPKSFEALSTFDADDERHISDDVVGKGNNFR MHQTIHKSLFNKFAQYRENNAKKFSSETILAKDYLPPLKILESEVLALFEEKIYNMIP IFDMKVLRHEITIFYQNIVEKGNPISIKHYDHMVFCIILLIIKICRLSVQFSKLTPYI YPVLQEIDTSKFLALVKHYLFETKVLRKCNLLQLQCLILLRFLHWCAPEDGDGPETQY CQILMGTIISSCKEMGINWYCFSHPEKYSFKINRHTRPSYDIMKPSDYISVFRKIWSY VLFWDRKMCFISGEECQIGKTLQCHFKEEADTPTWYIRMLTLDNLMKKINDTLNDDPG KVDLNLLHRLINDLKRNFHILKSLSKNEKETMRHFDFEMEWIIDLFSLSLLHGEMIFY EYDCNITKFYKSFQDLWDMVIHISEKCYNYFFNSDALEVDSLTKFYTNRIVEIVANKV LVIVPAFILRGDRFKTIQYADKKKMIEFLYGVSSVYFNEFGFEYYRCFRKMFTAKIAY KILNRSCEKDAWRIILKFLLNELKLEDNGDSYIDYNDMRLNDICPIILEFQETVQKYD GYRPDILSIWNNEFYPIGKYNDDMTGFKFQMRIKEMQEFLDMEKYSDRFNIFSSFYDH ASSQLAKHTEVDTNISITNEQVAEIPQKELLQQPLAPALPVNDLIVSEFDVIEDIFDP VDFVSFF YKR065C MFTSAIRLSSQRLFASQPSVTAAALRSTATTLPLRSYSQPASLQ DSSILTWSDFFKLRKQQRRINVGSSLFTALLGCNVSWAYLSTMEIDPTQMLFGFDPLT VISAGIIASGALGYLLGPIVGSQVFKLSHNQQLAQFNNKNKEFLKHIINNRVDASSQS FSNPVPDYYGEKIGSLKEYKQWLRDCHAYAKKAKEFL YKR066C MTTAVRLLPSLGRTAHKRSLYLFSAAAAAAAAATFAYSQSQKRS SSSPGGGSNHGWNNWGKAAALASTTPLVHVASVEKGRSYEDFQKVYNAIALKLREDDE YDNYIGYGPVLVRLAWHTSGTWDKHDNTGGSYGGTYRFKKEFNDPSNAGLQNGFKFLE PIHKEFPWISSGDLFSLGGVTAVQEMQGPKIPWRCGRVDTPEDTTPDNGRLPDADKDA DYVRTFFQRLNMNDREVVALMGAHALGKTHLKNSGYEGPWGAANNVFTNEFYLNLLNE DWKLEKNDANNEQWDSKSGYMMLPTDYSLIQDPKYLSIVKEYANDQDKFFKDFSKAFE KLLENGITFPKDAPSPFIFKTLEEQGL YKR067W MSAPAADHNAAKPIPHVPQASRRYKNSYNGFVYNIHTWLYDVSV FLFNILFTIFFREIKVRGAYNVPEVGVPTILVCAPHANQFIDPALVMSQTRLLKTSAG KSRSRMPCFVTAESSFKKRFISFFGHAMGGIPVPRIQDNLKPVDENLEIYAPDLKNHP EIIKGRSKNPQTTPVNFTKRFSAKSLLGLPDYLSNAQIKEIPDDETIILSSPFRTSKS KVVELLTNGTNFKYAEKIDNTETFQSVFDHLHTKGCVGIFPEGGSHDRPSLLPIKAGV AIMALGAVAADPTMKVAVVPCGLHYFHRNKFRSRAVLEYGEPIVVDGKYGEMYKDSPR ETVSKLLKKITNSLFSVTENAPDYDTLMVIQAARRLYQPVKVRLPLPAIVEINRRLLF GYSKFKDDPRIIHLKKLVYDYNRKLDSVGLKDHQVMQLKTTKLEALRCFVTLIVRLIK FSVFAILSLPGSILFTPIFIICRVYSEKKAKEGLKKSLVKIKGTDLLATWKLIVALIL APILYVTYSILLIILARKQHYCRIWVPSNNAFIQFVYFYALLVFTTYSSLKTGEIGVD LFKSLRPLFVSIVYPGKKIEEIQTTRKNLSLELTAVCNDLGPLVFPDYDKLATEIFSK RDGYDVSSDAESSISRMSVQSRSRSSSIHSIGSLASNALSRVNSRGSLTDIPIFSDAK QGQWKSEGETSEDEDEFDEKNPAIVQTARSSDLNKENSRNTNISSKIASLVRQKREHE KKE YKR068C MVSTTQSRSLKAMGEEIWKNKTEKINTELFTLTYGSIVAQLCQD YERDFNKVNDHLYSMGYNIGCRLIEDFLARTALPRCENLVKTSEVLSKCAFKIFLNIT PNITNWSHNKDTFSLILDENPLADFVELPMDAMKSLWYSNILCGVLKGSLEMVQLDCD VWFVSDILRGDSQTEIKVKLNRILKDEIPIGED YKR069W MVRDLVTLPSSLPLITAGFATDQVHLLIGTGSTDSVSVCKNRIH SILNAGGNPIVVNPSSPSHTKQLQLEFGKFAKFEIVEREFRLSDLTTLGRVLVCKVVD RVFVDLPITQSRLCEEIFWQCQKLRIPINTFHKPEFSTFNMIPTWVDPKGSGLQISVT TNGNGYILANRIKRDIISHLPPNISEVVINMGYLKDRIINEDHKALLEEKYYQTDMSL PGFGYGLDEDGWESHKFNKLIREFEMTSREQRLKRTRWLSQIMEYYPMNKLSDIKLED FETSSSPNKKTKQETVTEGVVPPTDENIENGTKQLQLSEVKKEEGPKKLGKISLVGSG PGSVSMLTIGALQEIKSADIILADKLVPQAILDLIPPKTETFIAKKFPGNAERAQQEL LAKGLESLDNGLKVVRLKQGDPYIFGRGGEEFNFFKDHGYIPVVLPGISSSLACTVLA QIPATQRDIADQVLICTGTGRKGALPIIPEFVESRTTVFLMALHRANVLITGLLKHGW DGDVPAAIVERGSCPDQRVTRTLLKWVPEVVEEIGSRPPGVLVVGKAVNALVEKDLIN FDESRKFVIDEGFREFEVDVDSLFKLY YKR070W MIGKRFFQTTSKKIAFAFDIDGVLFRGKKPIAGASDALKLLNRN KIPYILLTNGGGFSERARTEFISSKLDVDVSPLQIIQSHTPYKSLVNKYSRILAVGTP SVRGVAEGYGFQDVVHQTDIVRYNRDIAPFSGLSDEQVMEYSRDIPDLTTKKFDAVLV FNDPHDWAADIQIISDAINSENGMLNTLRNEKSGKPSIPIYFSNQDLLWANPYKLNRF GQGAFRLLVRRLYLELNGEPLQDYTLGKPTKLTYDFAHHVLIDWEKRLSGKIGQSVKQ KLPLLGTKPSTSPFHAVFMVGDNPASDIIGAQNYGWNSCLVKTGVYNEGDDLKECKPT LIVNDVFDAVTKTLEKYA YKR071C MSQYKTGLLLIHPAVTTTPELVENTKAQAASKKVKFVDQFLINK LNDGSITLENAKYETVHYLTPEAQTDIKFPKKLISVLADSLKPNGSLIGLSDIYKVDA LINGFEIINEPDYCWIKMDSSKLNQTVSIPLKKKKTNNTKLQSGSKLPTFKKASSSTS NLPSFKKADHSRQPIVKETDSFKPPSFKMTTEPKVYRVVDDLIEDSDDDDFSSDSSKA QYFDQVDTSDDSIEEEELIDEDGSGKSMITMITCGKSKTKKKKACKDCTCGMKEQEEN EINDIRSQQDKVVKFTEDELTEIDFTIDGKKVGGCGSCSLGDAFRCSGCPYLGLPAFK PGQPINLDSISDDL YKR072C MTAVASTSGKQDADHNQSIECPRFSRGQKEILLDHEDAKGKDSI INSPVSGRQSISPTLSNATTTTTKSIMNATGTSGAVVSNTPEPGLKRVPAVTFSDLKQ QQKQDSLTQLKNDSERTKSPNSNPAPVSNSIPGNHAVIPNHTNTSRTTQLSGSPLVNE MKDYDPKKKDSALKIVDTMKPDKIMATSTPISRENNKVTAKAPTSITLRKEDAQDQAN NVSGQINVRSTPEETPVKQSVIPSIIPKRENSKNLDPRLPQDDGKLHVLFGATGSLSV FKIKPMIKKLEEIYGRDRISIQVILTQSATQFFEQRYTKKIIKSSEKLNKMSQYESTP ATPVTPTPGQCNMAQVVELPPHIQLWTDQDEWDAWKQRTDPVLHIELRRWADILVVAP LTANTLSKIALGLCDNLLTSVIRAWNPSYPILLAPSMVSSTFNSMMTKKQLQTIKEEM SWVTVFKPSEKVMDINGDIGLGGMMDWNEIVNKIVMKLGGYPKNNEEEDDDEDEEEDD DEEEDTEDKNENNNDDDDDDDDDDDDDDDDDDDDDDDDEDEDEAETPGIIDKHQ YKR073C MIVFDVSLMIIIIFSFAFNMSQSNILMLYNSPHVLVGSDARNFF SCKKWHRCFSGEVILEEKKSQSALGSRSLTCTCPLISAVQLHLERSFFSLAFFAVILL YIPG YKR074W MNSTKRLKMSTTFHDYDLEEPLTSNARPLKNSVITIRVIKSFPY RNVKNIVLHDYDLADKTAKDLFNDVLNKIQNEGSFRPFRNVKFDTLKIYTHAHGSKTV NLVINFDHDDDWTLDIENDKKKLFEYGIENETEISLFNKEDYLRFKENPEEKW YKR075C MTSLDDTIISYQNIMLLDNMTNYNKPAIDYFHHEFNDASLEISA SWTLLLKMRKHKLLRLPSCSSEDVLDYNMYLVRLHHCLWRRWSINHYGLQNSKSNPLS INWNKETDVTVLYGPDLTNIDSNENEISPVQNQIDQKQTKNLKSALKKNTECWVTEEV DEINASIESNDNALVKLEDISCPSSVDSHTSSIFDQHSTCTKISSIDEDSEDLMNEKK EQFPRKLKFNQAVMKREIDSKGTIRESLININDIQHSRHHRRHHRRHHHHHHQNSSHS DETIKEAHYEFSNYTFGTMEEDIFYRNQVVF YKR076W MSKQWASGTNGAFKRQVSSFRETISKQHPIYKPAKGRYWLYVSL ACPWAHRTLITRALKGLTSVIGCSVVHWHLDEKGWRFLDMEKQLEDSEDFLEHWHDVA GGIRTAKEDSSKSFAEIKNDSQRFMVDATNEPHYGYKRISDLYYKSDPQYSARFTVPV LWDLETQTIVNNESSEIIRILNSSAFDEFVDDDHKKTDLVPAQLKTQIDDFNSWVYDS INNGVYKTGFAEKAEVYESEVNNVFEHLDKVEKILSDKYSKLKAKYGEEDRQKILGEF FTVGDQLTEADIRLYTTVIRFDPVYVQHFKCNFTSIRAGYPFIHLWVRNLYWNYDAFR YTTDFDHIKLHYTRSHTRINPLGITPLGPKPDIRPL YKR077W MVYTTPQQQQRFSSTPQSSHTLIFSPIRAPSMQTPSSLDYQSPS IVVSSSSMKVHGRSSSFGKFSLSIGQNGKATILGPINVLPTDTSKMEKPVPKKKPVTS DRVEKTRILSLLKKMRNKSSTVNKKYSKVPLKSTTSLQPAATAPSPLVSNIIKPSPKK LASPRTPNANSNLNLNFTSFQIKTGFTPNLDGILLENFTSPNTTADSQGNSASNIINN NHGSANNTNQFLFNLPLQSSPRQFRSPARLIDPLPISDWNTSLLMSPPRTTNFESANN HFNSNFAQASMLRRPSLPHIDEVIPQDSNPANYSDRSDYLSVDQNANNHNGALSEQTY NNIMKSSMISLPIEKDDATMALRKLVSRE YKR078W MENDKASHASPSIGVNEFVVQGEISIDDSERSVKSVSVSISDDE DSKTDVQDNMATPSTRSKFQTDLAIDNRLLEKDPKYKKLFTEKRRRRRPESCINLMTK GKGTGQKDNINDQIFSLRILPGSDLNSLKDSLWIIKISTQPDVEKTIARAFSDFYWLY HQLQNNHWGKTIPPPTRSNILVEKDEFAINHLFMIRNNEKYDPIFNFKPEYIISLQLM AMIKHIFNDKVLRLDSNFIDFISWDDDLPESLQIVVDDSTFTGDKILMTSSQFRELKE FHKQSKKVESITNSHASLIPVTELTEIYISPTKLFSRKDYQRLFQPQSTDNTFNNNDP LIQEWIPKSKTLFTSLSFGSSAPTYQEASTEIQACHDWVSISKEQWKQLLYHVLQYIV DEAVKVNSVINEFTECLKQISLDEVIRANSELFLKFSKLNESFLKKFKGASRQDILKL IILFDENVRFCESFESILNQRLKLGKILSIIEVDLDKKKNFLDKLSPGNNNSNNEDLK IRTAEDEYRIVLKRYNRVKQSWEKIMEDILNERKEFEKREAAEVNSCLKSIRDLNMDE KKHYLQLWQDFVPDEHISQ YKR079C MFTFIPITHPTSDTKHPLLLVQSAHGEKYFFGKIGEGSQRSLTE NKIRISKLKDIFLTGELNWSDIGGLPGMILTIADQGKSNLVLHYGNDILNYIVSTWRY FVFRFGIDLNDHIMKDKEVYKDKIIAVKSFNVLKNGGEDRLGVFDSFQKGVLRSIVAK MFPKHAPTDRYDPSSDPHLNVELPDLDAKVEVSTNYEISFSPVRGKFKVEEAIKLGVP KGPLFAKLTKGQTITLDNGIVVTPEQVLENERHFAKVLILDIPDDLYLNAFVEKFKDY DCAELGMVYYFLGDEVTINDNLFAFIDIFEKNNYGKVNHMISHNKISPNTISFFGSAL TTLKLKALQVNNYNLPKTDRVFSKDFYDRFDTPLSRGTSMCKSQEEPLNTIIEKDNIH IFSQNKTVTFEPFRMNEEPMKCNINGEVADFSWQEIFEEHVKPLEFPLADVDTVINNQ LHVDNFNNSAEKKKHVEIITLGTGSALPSKYRNVVSTLVKVPFTDADGNTINRNIMLD AGENTLGTIHRMFSQLAVKSIFQDLKMIYLSHLHADHHLGIISVLNEWYKYNKDDETS YIYVVTPWQYHKFVNEWLVLENKEILKRIKYISCEHFINDSFVRMQTQSVPLAEFNEI LKENSNQESNRKLELDRDSSYRDVDLIRQMYEDLSIEYFQTCRAIHCDWAYSNSITFR MDENNEHNTFKVSYSGDTRPNIEKFSLEIGYNSDLLIHEATLENQLLEDAVKKKHCTI NEAIGVSNKMNARKLILTHFSQRYPKLPQLDNNIDVMAREFCFAFDSMIVDYEKIGEQ QRIFPLLNKAFVEEKEEEEDVDDVESVQDLEVKLKKHKKN YKR080W MSKPGRTILASKVAETFNTEIINNVEEYKKTHNGQGPLLVGFLA NNDPAAKMYATWTQKTSESMGFRYDLRVIEDKDFLEEAIIQANGDDSVNGIMVYFPVF GNAQDQYLQQVVCKEKDVEGLNHVYYQNLYHNVRYLDKENRLKSILPCTPLAIVKILE FLKIYNNLLPEGNRLYGKKCIVINRSEIVGRPLAALLANDGATVYSVDVNNIQKFTRG ESLKLNKHHVEDLGEYSEDLLKKCSLDSDVVITGVPSENYKFPTEYIKEGAVCINFAC TKNFSDDVKEKASLYVPMTGKVTIAMLLRNMLRLVRNVELSKEK YKR081C MIRTVKPKNARAKRALVKREAKLVENVKQALFIPGQSCNKNLHD IMVDLSALKKPDMKRFNRKNDIHPFEDMSPLEFFSEKNDCSLMVLMTSSKKRKNNMTF IRTFGYKIYDMIELMVADNFKLLSDFKKLTFTVGLKPMFTFQGAAFDTHPVYKQIKSL FLDFFRGESTDLQDVAGLQHVISMTIQGDFQDGEPLPNVLFRVYKLKSYKSDQGGKRL PRIELVEIGPRLDFKIGRIHTPSPDMVTEAHKKPKQLEMKTKKNVELDIMGDKLGRIH MGKQDLGKLQTRKMKGLKSKFDQGTEEGDGEVDEDYEDEASYSDDGQEYEEEFVSATD IEPSAKRQKK YKR082W MSEKKVHLRLRKELSVPIAVVENESLAQLSYEEESQASLMDISM EQQQLRLHSHFDNSKVFTENNRYIVKTLQTDYSSGFSNDDELNGYIDMQIGYGLVNDH KKVYIWNIHSTQKDTPYITVPFRSDDNDEIAVAPRCILTFPATMDESPLALNPNDQDE TGGLIIIKGSKAIYYEDINSINNLNFKLSEKFSHELELPINSSGGEKCDLMLNCEPAG IVLSTNMGRIFFITIRNSMGKPQLKLGKLLNKPFKLGIWSKIFNTNSSVVSLRNGPIL GKGTRLVYITTNKGIFQTWQLSATNSHPTKLIDVNIYEAILESLQDLYPFAHGTLKIW DSHPLQDESSQLFLSSIYDSSCNETYYILSTIIFDSSSNSFTIFSTYRLNTFMESITD TKFKPKIFIPQMENANDTNEVTSILVMFPNAVVITQVNSKLDSSYSMRRKWEDIVSLR NDIDIIGSGYDSKSLYVLTKQMGVLQFFVKENEETNSKPEVGFVKSHVDQAVYFSKIN ANPIDFNLPPEISLDQESIEHDLKLTSEEIFHSNGKYIPPMLNTLGQHLSVRKEFFQN FLTFVAKNFNYKISPELKLDLIEKFEILNCCIKFNSIIRQSDVLNDIWEKTLSNYNLT QNEHLTTKTVVINSPDVFPVIFKQFLNHVVFVLFPSQNQNFKLNVTNLINLCFYDGIL EEGEKTIRYELLELDPMEVDTSKLPWFINFDYLNCINQCFFDFTFACEEEGSLDSYKE GLLKIVKILYYQFNQFKIWINTQPVKSVNANDNFININNLYDDNHLDWNHVLCKVNLK EQCIQIAEFYKDLSGLVQTLQTLDQNDSTTVSLYETFFNEFPKEFSFTLFEYLIKHKK LNDLIFRFPQQHDVLIQFFQESAPKYGHVAWIQQILDGSYADAMNTLKNITVDDSKKG ESLSECELHLNVAKLSSLLVEKDNLDINTLRKIQYNLDTIDAEKNISNKLKKGEVQIC KRFKNGSIREVFNILVEELKSTTVVNLSDLVELYSMLDDEESLFIPLRLLSVDGNLLN FEVKKFLNALVWRRIVLLNASNEGDKLLQHIVKRVFDEELPKNNDFPLPSVDLLCDKS LLTPEYISETYGRFPIDQNAIREEIYEEISQVETLNSDNSLEIKLHSTIGSVAKEKNY TINYETNTVEY YKR083C MDSIDEQIAIKRKELQSLQKITSLTDGLKIQLTELNEQIKEMGM NADSVAQLMNNWDSIINNISQASLGLLQYAEGDYEIGPWKDSKKKESEQSNETGLEAQ ENDKNDEDNDEDEDLVPLPETMVRIRVDGNE YKR084C MAYSDYSDGADDMPDFHDEGEFDDYLNDDEYDLMNEVFPTLKAQ LQDYQGWDNLSLKLALFDNNFDLESTLAELKKTLKKKKTPKKPIAAANGSANVTQKLA NISISQQRPNDRLPDWLDEEESEGERNGEEANDEKTVQRYYKTTVPTKPKKPHDISAF VKSALPHLSFVVLGHVDAGKSTLMGRLLYDLNIVNQSQLRKLQRESETMGKSSFKFAW IMDQTNEERERGVTVSICTSHFSTHRANFTIVDAPGHRDFVPNAIMGISQADMAILCV DCSTNAFESGFDLDGQTKEHMLLASSLGIHNLIIAMNKMDNVDWSQQRFEEIKSKLLP YLVDIGFFEDNINWVPISGFSGEGVYKIEYTDEVRQWYNGPNLMSTLENAAFKISKEN EGINKDDPFLFSVLEIIPSKKTSNDLALVSGKLESGSIQPGESLTIYPSEQSCIVDKI QVGSQQGQSTNHEETDVAIKGDFVTLKLRKAYPEDIQNGDLAASVDYSSIHSAQCFVL ELTTFDMNRPLLPGTPFILFIGVKEQPARIKRLISFIDKGNTASKKKIRHLGSKQRAF VEIELIEVKRWIPLLTAHENDRLGRVVLRKDGRTIAAGKISEITQ YKR085C MIGRGVCCRSFHTAGSAWKQFGFPKTQVTTIYNKTKSASNYKGY LKHRDAPGMYYQPSESIATGSVNSETIPRSFMAASDPRRGLDMPVQSTKAKQCPNVLV GKSTVNGKTYHLGPQEIDEIRKLRLDNPQKYTRKFLAAKYGISPLFVSMVSKPSEQHV QIMESRLQEIQSRWKEKRRIAREDRKRRKLLWYQA YKR086W MGHSGREERIKDIFKELTSKELTPGLLLTLQKLAQKPNTNLEQF IASCKALTKLSSNNPIIFNELLELLKNKSEEDSTGPKKIAPSINKRKKFKIQLDLDDN EDELDSPVQKKPAPTRTLFKRIDKLKAKQLRQYSPTVKDPSPNSEQQTQNGHAETKDY EPTRSEVVEEDREWYDNDDDYGNLVPEPLSELPEEAKLLPVIRNIDNDDALRNTVQLY PIPLKQRMEWIPPFLSKFALENKVPTSIIIGSISETSSQVSALSMVNPFRNPDSEFSA NAKRGSKLVALRRINMEHIQQSRDNTTVLNTAMGEVLGLENNNKAKDKSNQKICDDTA LFTPSKDDIKHTKEQLPVFRCRSQLLSLIRENQVVVIIGETGSGKTTQLAQYLYEEGY ANDRGKSIVVTQPRRVAAISVAKRVAMEMQVPLGKEVGYSIRFEDVTDSECTKLKFVT DGILLRETLLDDTLDKYSCVIIDEAHERSLNTDILLGFFKILLARRRDLKLIITSATM NAKKFSAFFGNAPQFTIPGRTFPVQTIYTSNPVQDYVEAAVSQAVKIHLANDCSSGDI LIFMTGQEDIETTFDTLQEKFLQVYSKKFGTANFEEINDIEILPIYSALPADLQFKIF QDLHGTKRKIIIATNIAETSLTIKGIRYVIDCGYSKLKVYNPKIGLDSLVITPISKAN ADQRSGRAGRTAPGTAYRLYTEDTFKEDMYLQTIPEIQRTNLSNTLLLLKSLDVTDEL SKFPFIDKPPLQTFLSSLYELWFIGAIDTSGQLTPLGLQMAKFPLQPSLSKILLIAVR NGCSDEMLTIVSMLSVPQVFYRPKERQKEADIARNKFFIAKSDHLTLLNVFEQWRANN FSSHWCNKHFVQYKSLVRARDIRDQLLTILKSQKIPVISSGKDWDIIKKCICSGFAHQ AAKITGLRNYVHLKTGVSVQLHPTSALHGLGDLPPYVVYHELLMTSKEYICCVTSVDP FWLMEYGGLLYDIKRIKNDQEATTTGLFGEHYEHTLDKVEDDIDINIRRCKDMRDSVI QELKMTDNSNKEDKKQKTKKQNILNGKENSMKPFKRRKPFF YKR087C MLRNIIRFKGFGKGTSGGFLKPVSFRVQLTRCYRYDNGPSYRRF NNGEYSQKSSFKSILLDKSSRKYLALLFGGCSLFYYTHLDKAPVSDRSRFIWVSRPLE LTIGNYTYKSIWRQTQQEILPPQHPLSIKIENIFMKIVEAAYKDPSVDNSLLDGIKWE IHVVNDPTASPNAFVLPGGKVFIFSSILPICANDDGIATVLAHEFAHQLARHTAENLS KAPIYSLLGLVLYTVTGAHAINNILLDGFLRMPASRQMETEADYIGLMIMSRACFQPQ ESIKVWERMANFEKQMNRGGVVNMEFLSTHPASTRRIENMSKWLPKANEIYEQSDCSS MGNYYKSFFSM YKR088C MSQSYEAGNANMGQGEDDEFDGYFEDFDNDIMPNSNNGQRVGTN AGLSFNDEVNVNDDDFLDIYNMSPRERLMHNIRKNVQKLQFYFYSLRLWQQIIIVLLG IMLMIMGILLLVFHNAILHKVVVTSNDLREKMSTHFILMVLIFFVAFPPMIGYSLLST TTGLIYGVSFEGWVTLALGSVTGSIASFVVFKTILHSRAEKLVHLNRRFEALASILQE NNSYWILALLRLCPFPYSLTNGAIAGVYGISVRNFSIANIITTPKLFIYLFIGSRVKS LAESESTGSRVFDLVSIIITLLILSLTAWLLYFKTKKRYLELQNRDRQVSTDQLPELS FEV YKR089C MSSKISDLTSTQNKPLLVTQQLIEKYYEQILGTSQNIIPILNPK NKFIRPSKDNSDVERVEEDAGKRLQTGKNKTTNKVNFNLDTGNEDKLDDDQETVTENE NNDIEMVETDEGEDERQGSSLASKCKSFLYNVFVGNYERDILIDKVCSQKQHAMSFEE WCSAGARLDDLTGKTEWKQKLESPLYDYKLIKDLTSRMREERLNRNYAQLLYIIRTNW VRNLGNMGNVNLYRHSHVGTKYLIDEYMMESRLALESLMESDLDDSYLLGILQQTRRN IGRTALVLSGGGTFGLFHIGVLGTLFELDLLPRVISGSSAGAIVASILSVHHKEEIPV LLNHILDKEFNIFKDDKQKSESENLLIKISRFFKNGTWFDNKHLVNTMIEFLGDLTFR EAYNRTGKILNITVSPASLFEQPRLLNNLTAPNVLIWSAVCASCSLPGIFPSSPLYEK DPKTGERKPWTGSSSVKFVDGSVDNDLPISRLSEMFNVDHIIACQVNIHVFPFLKLSL SCVGGEIEDEFSARLKQNLSSIYNFMANEAIHILEIGSEMGIAKNALTKLRSVLSQQY SGDITILPDMCMLFRIKELLSNPTKEFLLREITNGAKATWPKVSIIQNHCGQEFALDK AISYIKGRMIVTSSLKTPFQFADSVIGLIKAPEQTSDESKNPENSTLLTRTPTKGDNH ISNVLDDNLLESESTNSLLLLRENASTYGRSPSGFRPRYSITSASLNPRHQRRKSDTI STSRRPAKSFSFSVASPTSRMLRQSSKINGHPPPILQKKTSMGRLMFPMDAKTYDPES HELIPHSASIETPAMVDKKLHFGRKSRYLRHMNKKWVSSSNILYTDSDKEDHPTLRLI SNFDSDAMIHSDLAGNFRRHSIDGRPPSQATKSSPFRSRPSSSTQHKSTTSFTQ YKR090W MYNSIYGSPFPKINPKVRYKTALERAGFDTKPRNPFSSQRNAST GSLQASVKSPPITRQRNVSAAPSVPVTMKSAYTASSKSAYSSVKGESDIYPPPVLENS ERRSVTPPKNSNFTSSRPSDISRSISRPSERASQEDPFRFERDLDRQAEQYAASRHTC KSPANKEFQAADNFPFNFEQEDAGNTEREQDLSPIERSFMMLTQNDTASVVNSMNQTD NRGVLDQKLGKEQQKEESSIEYESEGQQEDENDIESLNFEPDPKLQMNLENEPLQDDF PEAKQEEKNTEPKIPEINVTRESNTPSLTMNALDSKIYPDDNFSGLESSKEQKSPGVS SSSTKVEDLSLDGLNEKRLSITSSENVETPYTATNLQVEQLIAQLDDVSLSRNAKLDM NGNCLNAVDRKASRFKKSSAYLSGYPSMDIPVTQQTSIVQNSNTNLSRQTILVDKGDV DEDAPSESTTNGGTPIFYKFKQSNVEYSNNEGMGSQETFRTKLPTIEALQLQHKRNIT DLREEIDNSKSNDSHVLPNGGTTRYSSDADYKETEPIEFKYPPGEGPCRACGLEVTGK RMFSKKENELSGQWHRECFKCIECGIKFNKHVPCYILGDEPYCQKHYHEENHSICKVC SNFIEGECLENDKVERFHVDCLNCFLCKTAITNDYYIFNGEIPLCGNHDMEALLKEGI DNATSSNDKNNTLSKRRTRLINFN YKR091W MFLKTPNWETVNETPKSRVLTINELISPNLDTESNSLLATPARK YFKTSISEAQDSPTSAPSPDGNEDPTYQYNVQFHFPGPITPTTPRSKNAEMFPSPTPP LVSPTAVIEEENDDSVREFSRTLKSRLNCAMVKLSKEHEQVALIPPPPTEKIRKGSYS NKFAAKHRRCHSLDESKKFLSSLEDSSAHAAFLKAISSKHAKSNRVDNVNVSPLRWSS HRRTQSTQENSLQEVVAIDTLLKMSSSD YKR092C MASKKIKVDEVPKLSVKEKEIEEKSSSSSSSSSSSSSSSSSSSS SSSSSGESSSSSSSSSSSSSSDSSDSSDSESSSSSSSSSSSSSSSSDSESSSESDSSS SGSSSSSSSSSDESSSESESEDETKKRARESDNEDAKETKKAKTEPESSSSSESSSSG SSSSSESESGSESDSDSSSSSSSSSDSESDSESDSQSSSSSSSSDSSSDSDSSSSDSS SDSDSSSSSSSSSSDSDSDSDSSSDSDSSGSSDSSSSSDSSSDESTSSDSSDSDSDSD SGSSSELETKEATADESKAEETPASSNESTPSASSSSSANKLNIPAGTDEIKEGQRKH FSRVDRSKINFEAWELTDNTYKGAAGTWGEKANEKLGRVRGKDFTKNKNKMKRGSYRG GSITLESGSYKFQD YKR093W MLNHPSQGSDDAQDEKQGDFPVIEEEKTQAVTLKDSYVSDDVAN STERYNLSPSPEDEDFEGPTEEEMQTLRHVGGKIPMRCWLIAIVELSERFSYYGLSAP FQNYMEYGPNDSPKGVLSLNSQGATGLSYFFQFWCYVTPVFGGYVADTFWGKYNTICC GTAIYIAGIFILFITSIPSVGNRDSAIGGFIAAIILIGIATGMIKANLSVLIADQLPK RKPSIKVLKSGERVIVDSNITLQNVFMFFYFMINVGSLSLMATTELEYHKGFWAAYLL PFCFFWIAVVTLIFGKKQYIQRPIGDKVIAKSFKVCWILTKNKFDFNAAKPSVHPEKN YPWNDKFVDEIKRALAACKVFIFYPIYWTQYGTMISSFITQASMMELHGIPNDFLQAF DSIALIIFIPIFEKFVYPFIRRYTPLKPITKIFFGFMFGSFAMTWAAVLQSFVYKAGP WYNEPLGHNTPNHVHVCWQIPAYVLISFSEIFASITGLEYAYSKAPASMKSFIMSIFL LTNAFGSAIGCALSPVTVDPKFTWLFTGLAVACFISGCLFWLCFRKYNDTEEEMNAMD YEEEDEFDLNPISAPKANDIEILEPMESLRSTTKY YKR094C MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGIIEPSLKALASKYNCDKSVCRKCYAR LPPRATNCRKRKCGHTNQLRPKKKLK YKR095W MSDHDTPMESIQNGENSDERLNAIASFFGCSLEQVKSFDGDVVK HLNDKLLQFNELKSENLKVTVSFDELKASSLKKIDGLKTEMENVIRENDKIRKERNDT FVKFESVENEKMKLSSELEFVKRKLDDLTEEKKETQSNQQRTLKILDERLKEIELVRV ENNRSNSECKKLRSTIMDLETKQQGYITNDLNSRTELERKTQELTLLQSNNDWLEKEL RSKNEQYLSYRQKTDKVILDIRNELNRLRNDFQMERTNNDVLKQKNNELSKSLQEKLL EIKGLSDSLNSEKQEFSAEMSLKQRLVDLLESQLNAVKEELNSIRELNTAKVIADDSK KQTPENEDLLKELQLTKEKLAQCEKECLRLSSITDEADEDNENLSAKSSSDFIFLKKQ LIKERRTKEHLQNQIETFIVELEHKVPIINSFKERTDMLENELNNAALLLEHTSNEKN AKVKELNAKNQKLVECENDLQTLTKQRLDLCRQIQYLLITNSVSNDSKGPLRKEEIQF IQNIMQEDDSTITESDSQKVVTERLVEFKNIIQLQEKNAELLKVVRNLADKLESKEKK SKQSLQKIESETVNEAKEAIITLKSEKMDLESRIEELQKELEELKTSVPNEDASYSNV TIKQLTETKRDLESQVQDLQTRISQITRESTENMSLLNKEIQDLYDSKSDISIKLGKE KSSRILAEERFKLLSNTLDLTKAENDQLRKRFDYLQNTILKQDSKTHETLNEYVSCKS KLSIVETELLNLKEEQKLRVHLEKNLKQELNKLSPEKDSLRIMVTQLQTLQKEREDLL EETRKSCQKKIDELEDALSELKKETSQKDHHIKQLEEDNNSNIEWYQNKIEALKKDYE SVITSVDSKQTDIEKLQYKVKSLEKEIEEDKIRLHTYNVMDETINDDSLRKELEKSKI NLTDAYSQIKEYKDLYETTSQSLQQTNSKLDESFKDFTNQIKNLTDEKTSLEDKISLL KEQMFNLNNELDLQKKGMEKEKADFKKRISILQNNNKEVEAVKSEYESKLSKIQNDLD QQTIYANTAQNNYEQELQKHADVSKTISELREQLHTYKGQVKTLNLSRDQLENALKEN EKSWSSQKESLLEQLDLSNSRIEDLSSQNKLLYDQIQIYTAADKEVNNSTNGPGLNNI LITLRRERDILDTKVTVAERDAKMLRQKISLMDVELQDARTKLDNSRVEKENHSSIIQ QHDDIMEKLNQLNLLRESNITLRNELENNNNKKKELQSELDKLKQNVAPIESELTALK YSMQEKEQELKLAKEEVHRWKKRSQDILEKHEQLSSSDYEKLESEIENLKEELENKER QGAEAEEKFNRLRRQAQERLKTSKLSQDSLTEQVNSLRDAKNVLENSLSEANARIEEL QNAKVAQGNNQLEAIRKLQEDAEKASRELQAKLEESTTSYESTINGLNEEITTLKEEI EKQRQIQQQLQATSANEQNDLSNIVESMKKSFEEDKIKFIKEKTQEVNEKILEAQERL NQPSNINMEEIKKKWESEHEQEVSQKIREAEEALKKRIRLPTEEKINKIIERKKEELE KEFEEKVEERIKSMEQSGEIDVVLRKQLEAKVQEKQKELENEYNKKLQEELKDVPHSS HISDDERDKLRAEIESRLREEFNNELQAIKKKSFDEGKQQAMMKTTLLERKLAKMESQ LSETKQSAESPPKSVNNVQNPLLGLPRKIEENSNSPFNPLLSGEKLLKLNSKSSSGGF NPFTSPSPNKHLQNDNDKRESLANKTDPPTHLEPSFNIPASRGLISSSSTLSTDTNDE ELTSNNPAQKDSSNRNVQSEEDTEKKKEGEPVKRGEAIEEQTKSNKRPIDEVGELKND EDDTTENINESKKIKTEDEEEKETDKVNDENSI YKR095W-A MTSKREKSLDHTLELKIPFETERQATIATKVLSPDPILKPQDFQ VDYSSEKNVMLVQFRSIDDRVLRVGVSSIIDSIKTIVEAMDVLS YKR096W MPETSVQNPLRLSENENTRSMFLSASQQQRPSATPSFPRLVRNT TANLSLSDFQVLNPSSKRQNSNSVYDDINSSKRRISRPRFSDIEGKNNDHTYPERTTV KESEKNPSPRYVSSSKRALKRENSVGITQSSALISKSFSENGGSIAHEKWSPENMIKP LNVSQNSLAFVDAGSDEQSKSEIVGGFQRKSNNSQEINDKDNSARDQDFNNSGNNNNN NNHSSNNNDNNNNNNDDNNNNNNSNSRDNNNNSDDSNEREENDSCKPASNKRSGIALI QKLQELYKVIVKQEIELQERCSQLTNSQTTELKSLWTIYKINTDLVNNYVTFITTALL PSQPPHDLVIGQEIVEIYRIERRLWVYGTITFLDVLKNFSNFMDPEVCCQFITHVFVS LSTMISDIPSKYSITWLQRLGDLSRMAIALYPSSFIDWKLSAEHWYTEAMKYIYNHGK LYYHMSTVQQNTLEAFVNLGKSVFCQETFTPSPQYMQLVIDNIYQRAFVERNNGNLRN SLLIEYLKHSEAMLLPSFLESPDLQNVVLSYFIEKFGIDANGCNIFNAEDMFVQNPDF FKYFFRHGPSFAQSHILQIVGFGEPKNPFAILFELPKYLKERKDKKERKKSSNNDSSV TESSTGNSRNDNEDDDEIMSSTTSISDHDLLAEFFNDIDTLRRPILPSMLTNEAWLET LKFLNMTSLKCGIIVLRKFLHGPLGIALPHILPWIYFIISICLKSSQLSDPVSKEFWM IIVKRAFPWDTMVTFMNVLIVYLLDNQTSNSIIGDLCDDYDKLSLSELLELFNEGEEL PEILGCWGTLWFDTICEKNTHSISSEDNFQEIGIKDYMALDSPTDGIIFDEKDENGEK FWKRACRTIFLFRELSRSFPIGVIIRNDPLIYRSSFQNTNILGSLVFKLEPLCNIHNN IPVLGALESIIDISEARSENNTDLHAVPELSVNEGDNIFHYVGYKKLRADYTCFDKNG EFLSASLYTTWYVPNSNNTNIEDNINYNSEKENEGLFLECIKSDYPEIDFKTTYFVFD ATSWLRHSARIFKLAQNRLLRFAICLTTFQELRFLRKSKDENVMEAATRGIITIRQLY YENKVLPLRFTGNVATHIEENLEFEEQITWRTHVDEFVIESVMKAQEKLESASEPRLS PRRFNYVVLISDDDAMKKKAEEKEIKTLSTRFVFSLCTKLGEQRHLCTD YKR097W MSPSKMNATVGSTSEVEQKIRQELALSDEVTTIRRNAPAAVLYE DGLKENKTVISSSGALIAYSGVKTGRSPKDKRIVEEPTSKDEIWWGPVNKPCSERTWS INRERAADYLRTRDHIYIVDAFAGWDPKYRIKVRVVCARAYHALFMTNMLIRPTEEEL AHFGEPDFTVWNAGQFPANLHTQDMSSKSTIEINFKAMEMIILGTEYAGEMKKGIFTV MFYLMPVHHNVLTLHSSANQGIQNGDVTLFFGLSGTGKTTLSADPHRLLIGDDEHCWS DHGVFNIEGGCYAKCINLSAEKEPEIFDAIKFGSVLENVIYDEKSHVVDYDDSSITEN TRCAYPIDYIPSAKIPCLADSHPKNIILLTCDASGVLPPVSKLTPEQVMYHFISGYTS KMAGTEQGVTEPEPTFSSCFGQPFLALHPIRYATMLATKMSQHKANAYLINTGWTGSS YVSGGKRCPLKYTRAILDSIHDGSLANETYETLPIFNLQVPTKVNGVPAELLNPAKNW SQGESKYRGAVTNLANLFVQNFKIYQDRATPDVLAAGPQFE YKR098C MLLNPDQILNLVRKVYEVDIKQFYSQLRLKNLRGLLDHAAHLFN VYLRDLEINQEMEALTAFIIGCYYLYLIIPQSLQFQTRNNLYSSYAKLKNDYQDEHVM GYVLKVVRDESTVIVDRYLAESNGICRTIKRKRAYSLPLRPLPVHMASLSIHNKFDGS LHEIPNELTKPTNDNSKEDIVRESNQIASSNKLEAGSEVAYYTSKEALSKPSYLKLST GKDALFKTLSSPATAPPVHSLEVSSQIRDSSQDSSSSLSKVEKPKEEEGKIEAIESSA PKAYNLPVIEDSNDLLSELSITGLQNPCNTCYINSIIQCLFGTTLFRDLFLTKKYRLF LNTNKYPKEVQLSRSIYVLFKKMYLNGGRAIIPNRFLKMCKKLRPDLNIPDDQQDTQE FLLIVLARIHEELSNENVVKYYPDLVSYDANALQVNPSKYEKWYERNVITDGLSPIDH IYRGQLENILKCQRCGNSSYSYSTFYVLSLAIPKLSLYSFTSKSRKIKLEDCINLFTG DEELSGDNAWDCPNCRITDSKSKKEEITSQKKKSTIFGFHSRSRSKSPHHHHHHHHSS DDSTKNAKKRNSKKLTTIKSLDFIVLPPILVIHLSRFYYDLTKKNSTVITYPLILNII LKNGKVIRYKLYGTVNHSGNLINGHYTSVVNKEKSHEIGLNRQVWVTFDDDYIQQHRK DRNNFEAGKTEMSSDEVYVLFYERMDEENYEEEFC YKR099W MSNISTKDIRKSKPKRGSGFDLLEVTESLGYQTHRKNGRNSWSK DDDNMLRSLVNESAKELGYENGLEDVKTIQQSNHLSKCIAWDVLATRFKHTVRTSKDV RKRWTGSLDPNLKKGKWTQEEDEQLLKAYEEHGPHWLSISMDIPGRTEDQCAKRYIEV LGPGSKGRLREWTLEEDLNLISKVKAYGTKWRKISSEMEFRPSLTCRNRWRKIITMVV RGQASEVITKAIKENKNIDMTDGKLRQHPIADSDIRSDSTPNKEEQLQLSQQNNPSLI KQDILNVKENESSKLPRLKDNDGPILNDSKPQALPPLKEISAPPPIRMTQVGQTHTSG SIRSKVSLPIEGLSQMNKQSPGGISDSPQTSLPPAFNPASLDEHMMNSNSISDSPKHA YSTVKTREPNSSSTQWKFTLKDGQGLSISNGTIDSTKLVKELVDQAKKYSLKISIHQH IHNHYVTSTDHPVSSNTGLSNIGNINGNPLLMDSFPHMGRQLGNGLPGLNSNSDTFNP EYRTSLDNMDSDFLSRTPNYNAFSLEATSHNPADNANELGSQSNRETNSPSVFYPQAN TLIPTNSTATNNEIIQGNVSANSMSPNFNGTNGKAPSSTASYTTSGSEMPPDVGPNRI AHFNYLPPTIRPHLGSSDATRGADLNKLLNPSPNSVRSNGSKTKKKEKRKSESSQHHS SSSVTTNKFNHIDQSEISRTTSRSDTPLRDEDGLDFWETLRSLATTNPNPPVEKSAEN DGAKPQVVHQGIGSHTEDSSLGSHSGGYDFFNELLDKKADTLHNEAKKTSEHDMTSGG STDNGSVLPLNPS YKR100C MTASTSVAVGCAVGIPVGVGIIIAVCFWFNLQKRYKREEQDDRE LERAIYDESGFVSFDNFGPLRDSKDEAALASSELKNPDHTSGSSEGSAHPEEKDGKSR DQEKPLGKKNSKYYVPAYRRKINLLQVRNNNYGNNARQKSVVDLPSINNSSNVSLSSS QRHITKRQISVYDQMVPVISDEGPNFFADPSSDTNTSNDQNKASMIELKHNTRQSSNE NLIRNLQNQDFGSYYPRRASSSFLNGNISNASFHTRNSSITSVNKRDALEDVFATPKS AAQSQLPNTFDKDNEGMDADHSVKDSRSAITDKDKDLYKLQNNYDVGNIGEIAEEDQY ENEFTNYSQSKREFIESLRPK YKR101W MLQINSRLAVIDGWLVDTVKRKPINFRSPEVRLLLPNDDDYKKL SQQNLVDWTRLKKDSNSVLVGVKSMELFKHIKLVLREFFLLEDGRIILKRIRSKLRYK VVKKLTCKCCRLYLPKWGTVYIHPMLKDKEKPLAGVCEFSLDVNPDREYPLIEINVSH QYIIIEGFLLYLNERRLYRWNDNNLRSQVGLTKWAHLRKTYNPVSLDILYSLNSNFYF VKDDLLFQLLGKRVFVKFCKVMENGKCGKAPLWYRVKRTTTAKATHIAYAISNSTAPD SFKSKNNDYRFIVREKPIVENTISNLDYSDIKKQQFTEAEVVKRKISADISQIENVHT QFNSQKEKNNIRVNKVSSEVLDQISKFPVSRVTLLLMSAGQDKNYIELVEELARRLEK ICIEKTTQSLEEIRDTFQANPEMQASFDKEYYQSIEEYKITLELIKEDLLITLIKQME NMWAAEKKFSTEEEYVSPRFLVADGFLIDLAEEKPINPKDPRLLTLLKDHQRAMIDQM NLVKWNDFKKYQDPIPLKAKTLFKFCKQIKKKFLRGADFKLHTLPTEANLKYEPERMT VLCSCVPILLDDQTVQYLYDDSIIPEFEATSSYATKQSKCGRKMSLQMEPDLLFQEAI RRMRHLTAYDVLRRNYIAAFEELYMGNCND YKR102W MPVAARYIFLTGLFLLSVANVALGTTEACLPAGEKKNGMTINFY QYSLKDSSTYSNPSYMAYGYADAEKLGSVSGQTKLSIDYSIPCNGASDTCACSDDDAT EYSASQVVPVKRGVKLCSDNTTLSSKTEKRENDDCDQGAAYWSSDLFGFYTTPTNVTV EMTGYFLPPKTGTYTFGFATVDDSAILSVGGNVAFECCKQEQPPITSTDFTINGIKPW NADAPTDIKGSTYMYAGYYYPIKIVYSNAVSWGTLPVSVVLPDGTEVNDDFEGYVFSF DDNATQAHCSVPNPAEHARTCVSSATSSWSSSEVCTECTETESTSYVTPYVTSSSWSS SEVCTECTETESTSTSTPYVTSSSSSSSEVCTECTETESTSYVTPYVSSSTAAANYTS SFSSSSEVCTECTETESTSTSTPYVTSSSWSSSEVCTECTETESTSYVTPYVSSSTAA ANYTSSFSSSSEVCTECTETESTSTSTPYVTSSSSSSSEVCTECTETESTSYVTPYVS SSTAAANYTSSFSSSSEVCTECTETESTSTSTPYVTSSSWSSSEVCTECTETESTSYV TPYVSSSTAAANYTSSFSSSSEVCTECTETESTSTSTPYATSSTGTATSFTASTSNTM TSLVQTDTTVSFSLSSTVSEHTNAPTSSVESNASTFISSNKGSVKSYVTSSIHSITPM YPSNQTVTSSSVVSTPITSESSESSASVTILPSTITSEFKPSTMKTKVVSISSSPTNL ITSYDTTSKDSTVGSSTSSVSLISSISLPSSYSASSEQIFHSSIVSSNGQALTSFSST KVSSSESSESHRTSPTTSSESGIKSSGVEIESTSTSSFSFHETSTASTSVQISSQFVT PSSPISTVAPRSTGLNSQTESTNSSKETMSSENSASVMPSSSATSPKTGKVTSDETSS GFSRDRTTVYRMTSETPSTNEQTTLITVSSCESNSCSNTVSSAVVSTATTTINGITTE YTTWCPLSATELTTVSKLESEEKTTLITVTSCESGVCSETASPAIVSTATATVNDVVT VYSTWSPQATNKLAVSSDIENSASKASFVSEAAETKSISRNNNFVPTSGTTSIETHTT TTSNASENSDNVSASEAVSSKSVTNPVLISVSQQPRGTPASSMIGSSTASLEMSSYLG IANHLLTNSGISIFIASLLLAIV YKR103W MIKNGTCPYWERDDLSECARREYIEFKFPLFILLTGMIYAFCKV FRAFYLRGKNHTNEAPEFEEQGNGNHEYARFSVLRLKSAWESRSFCNVNNRSTFDKFK KFIEGAFIVLQLTIHLYILSSMPMDNKKFFHQGFLVQMFLWILLLVVITLRLISASQS FRWVLACKRDLWAVSFYSYASLFTLSILPLRSVFIGKIKDKIMVKYIISETFIDLALL LLLSTSSIEGTRYSFLVENENKKLPPAPTVFGLLTFSRIDRLIWKAYKHCLGNADIWD LDINNKSIAILANFEMSSKKGRLLPNIICYFKAVFISQLFLAFVSSFLNFVPSLLMPR ILSYVNDPKSKSWNLVSLYVSSMLVSKIIATTCRGQGLFLGEKGTMQLRTVLISNIYS KTLRRTILKDSTTSLQKNASTSFEENPDSSEAEPRKKSSRKDNSVNNVMSIDAFKVSE AMNTFYLACEAVFMTVTALMILYSLLGWSAFAGTFALLAMIPLNFWCATFYGNYQADQ LILTDKRTSGISEALNSIRVIKLLAWENLFYQKIINVRDGEIRLLKKKATIFFLNHLI WFFGPTLVSAITFSVFIKFQNQTLTPTIAFTALSLFAILRTPMDQIASTVSLLIQSFI SLERIQDYLNESETRKYEILEQSNTKFGFEDASMEWEAAETSFKLKNISIDFKLNSLN AIIGPTGSGKSSLLLGLLGELNLLSGKIYVPTVESRDDLEIGKDGMTNSMAYCSQTPW LISGTIKDNVVFGEIFNKQKFDDVMKSCCLDKDIKAMTAGIRTDVGDGGFSLSGGQQQ RIALARAIYSSSRYLILDDCLSAVDPETALYIYEECLCGPMMKGRTCIITSHNISLVT KRADWLVILDRGEVKSQGKPSDLIKSNEFLRESINNDSKNTTHNQIDLKRSTTSKKTK NGDPEGGNSQDEVCAEVENFEETKMEGSVKFSAYKWLADYFGGLGVVFVFTSSSILIH GITLSQGFWLRYWLDTGSSGSKSTWLYRIVEGHSNIYFLLTYIIIGLVSSFLTSGKVW IAIISGTNVTKKIFAKLLSSILYAKLRFHNVTPTGRIMNRFSKDMDIIDQQLIPNFEG LSYSVVVCLWIILLIGYVTPQFLLFAIPLCALYYTVCTLYLRASRELKRIDNINISPI HQLFAEAIKGVTTIRALADERRFITQSLVAIDRSNAPFFYLNMATEWITYRVDIIGTL VLFSSSVMIIMKAS YKR104W MSSVERIKEYTDIPSESNGYISPPANWPQTGDVELKNLSLRYSP HSSKALDNVSFKVKAGTKVGIVGRTGAGKSSIIAAIYRLSDWENGTITIDNKDIKHIP LERLRNSISCIPQDPTLFDGTVRSNLDPFDRYSDVQIYGVLSKVGLIEECDELCLIFE QEQPNFSSHKLRNRFIDLNTVVKSGGSNLSQGQRQLLCLARSMLGARNIMLIDEATAS IDYISDAKIQKTIRETMKNTTILTIAHRLRSVIDYDKILVMEMGRVKEYDHPYTLISD RNTIFYRLCRQSGEFENLFELAKVSFDNKR YKR105C MEETKYSSQQEIEGACGSDASLNARGSNDSPMGLSLYLCLASLT LVLFITALDILIVGTIIDVVAEQFGNYSKTGWLVTGYSLPNAILSLIWGRFASIIGFQ HSLILAILIFEAGSLIAALASSMNMLIFGRVVAGVGGSGLQTLCFVIGCTMVGERSRP LVISILSCAFAVAAIVGPIIGGAFTTHVTWRWCFYINLPIGGLAIIMFLLTYKAENKG ILQQIKDAIGTISSFTFSKFRHQVNFKRLMNGIIFKFDFFGFALCSAGLVLFLLGLTF GGNKYSWNSGQVITYLVLGVLLFIFSLVYDFFLFDKFNPEPDNISYRPLLLRRLVAKP AIIIVNMVTFLLCTGYNGQMIYSVQFFQLIFASSAWKAGLHLIPIVITNVIAAIASGV ITKKLGLVKPLLIFGGVLGVIGAGLMTLMTNTSTKSTQIGVLLLPGFSLGFALQASLM SAQLQITKDRPEAAMDFIEVTAFNTFMKSLGTTLGGVLSTTVFSASFHNKVSRAHLEP YEGKTVDDMILYRLQNYDGSHSTIGNILSDSIKNVFWMDLGFYALGFLFCSFSSNKKL IIPKKDDTPEDNLEDK YKR106W MSSSVVGASSNKKSGIRQSCEIIERERHSNDDTYSMTSTFFKLK ENEIMSAQFDSLKYKILLISTAFVCGFGISLDYTLRSTYTGYATNSYSEHSLLSTVQV INAVVSVGSQVVYSRLSDHFGRLRLFLVATIFYIMGTIIQSQATRLTMYAAGSVFYNC GYVGTNLLLTLILSDFSSLKWRMFYQYASYWPYIIIPWISGNIITAANPQKNWSWNIA MWAFIYPLSTLPIIFLILYMKYKSSKTAEWRSLKEQARKERTGGLFENLVFLFWKLDI VGILLITVSLGCILVPLTLANETSQKWHNSKIIATLVSGGCLFFIFLYWEAKFAKSPL LPFKLLSDRGIWAPLGVTFFNFFTFFISCDYLYPVLLVSMKESSTSAARIVNLPDFVA ATASPFYSLLVAKTRKLKLSVIGGCAAWMVCMGLFYKYRGGSGSHEGVIAASVIMGLS GLLCSNSVIVILQAMTTHSRMAVITGIQYTFSKLGAAIGASVSGAIWTQTMPNQLYKN LGNDTLAEIAYASPYTFISDYPWGSPERDAVVESYRYVQRIIMTVGLACTVPFFTFTM FMRNPELIDKATHEEFTEDGLVVLPDEENIFSQIKALFRHNRSNKKSGC YLL067C MRTFTDFVSGAPIVRSLQKSTIRRYGYNLAPHMFLLLHVDELSI FSAYQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDVLATAQRI RRRYNKNGSSEPRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDH DQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQ APPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLGRCGCLNVAPVRN FIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNF ETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINEL KRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEP ESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMRVLIGT KLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGEL PPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQAT ASMSIVALPSSFQESNSSDRCRKYCSSDEDSNTCIHGSANASTNATTNSSTNATTTAS TNVRTSATTTASINVRTSATTTESTNSSTNATTTASTNVRTSATTTASINVRTSATTT ESTNSNTSATTTESTDSNTSATTTESTDSSTNATTTASINVRTSATTTESTNSNTNAT TTESTNSSTNATTTEGTNSNTSATTTASTNSSTNATTTESTNASAKEDANKDGNAEDN RFHPVTDINKESYKRKGSQMVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLY GIDVYFCPEGVFTQYGLCKGCQKMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEY KEYLEDIEPYHGDPVGYLKFFSVKRGEIYSQIQRNYAWYLAITRRRETISVLDSTRGK QGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEA LEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPS KVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQISEVWLLPHWVDLANVEVLAADNTRVP C YLL066W-B MSLRPCLTPSSMQYSDIYIHHTHTPHPHHTHTHTHHTPTHSLTS TFTLAVTPYPAF YLL066C MRTFTDFVSGAPIVRSLQKSTIRRYGYNLAPHMFLLLHVDELSI FSAYQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDVLATAQRI RRRYNKNGSSEPRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDH DQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQ APPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLGRCGCLNVAPVRN FIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNF ETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINEL KRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEP ESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMRVLIGT KLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGEL PPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQAT ASMSIVALPSSFQESNSSDRCRKYCSSDEDSNTCIHGSANASTNATTNSSTNATTTAS TNVRTSATTTASINVRTSATTTESTNSSTNATTTASTNVRTSATTTASINVRTSATTT ESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATTTASTNSSTNAT TTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLERKKLKAQFPNTS ENMNVLQFLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQKMFGLCVCWAGQKV SYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKFFSVKRGEIYSQIQRNY AWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQYFL NWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLR SRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQISEVW LLPHWVDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDIILLCRDSSREVG E YLL064C MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSTRL KPAISKALSKDGIYTIAN YLL063C MFRVKIISQKRTKSVQMLENDQLDILGQQPSLYKLYTQICSIYR VPDPSAHDHIVNTLTRGLETLAKNFQWLAGNVVNEGADEGNTGTYRIVPSDKIPLIVQ DLREDLSAPTMDSLEKADFPIYMLDEKTFAPCMTINPPGNTIGMAAKSGPVFAVQANF ISGGLVLTIVGQHNIMDITGQESIINLLNKSCHQKPFSDEELLIGNIDKSKSIPLFDE TWEPDTTLVHEIVETSRNTSGEEKEQSCSSNSTWAYVEFSAISLQNLRILAMQTCTSG TKFVSTDDIVTAFIWKSVSRARLSRLKPETKSNLGRAVDVRKRLGLPETYPGLLVNMT FNTGSLKSLDHKSLGVLASQIRRKLDPKVFDLAYNTCALATLLSRCPDKTKVSIPQPI DTLSGIMVSSWAKVSLYDVDFNLGLGKPKSVRRPRFISLESLIYFMPRSSRGEMVVAL CLRDKDWECLNADKEWTNYATHIG YLL062C MKRIPIKELIVEHPGKVLILDGGQGTELENRGININSPVWSAAP FTSESFWEPSSQERKVVEEMYRDFMIAGANILMTITYQANFQSISENTSIKTLAAYKR FLDKIVSFTREFIGEERYLIGSIGPWAAHVSCEYTGDYGPHPENIDYYGFFKPQLENF NQNRDIDLIGFETIPNFHELKAILSWDEDIISKPFYIGLSVDDNSLLRDGTTLEEISV HIKGLGNKINKNLLLMGVNCVSFNQSALILKMLHEHLPGMPLLVYPNSGEIYNPKEKT WHRPTNKLDDWETTVKKFVDNGARIIGGCCRTSPKDIAEIASAVDKYS YLL061W MDEFESTKLSKVQFSTSVLSTPSNEGNNLIHRFKNSFKRNDSPA IQEGLLYSELSEEEKIQWDLANQPYKKVLDQRHLTMIAIGGTLGTGLFIGLGESLASG PASLLIGFLLVGASMLCVVQCGAELSCQYPVSGSYALHASRFIDPSVGFSIGINYLLM WLISYPSELVGCSLTISYWAPSVNPAAWVAIAFVLSMLLNLFGARGFAESEFYMSIFK IVALFIFIIIGIVLIAGGGPDSTGYIGTKYWHDPGSFAVPVFKNLCNTFVSAAYSFSG TEMVVLTSTEARSVSSVSRAAKGTFWRIIIFYIVTVIIIGCLVPYNDPRLISGSSSED ITASPFVIALSNTGAMGTRVSHFMNAVILIAVFSVCNSCVYASSRLIQGLATAGQLPK ICAYMDRNGRPLVGMAICGAFGLLGFLVVSKNQGTVFTWLFALCSISFFTTWFCICFC QVRFRMAMKAQGRSKDDIIYRSTLGIYGGIFGCILNVLLVIGEIYVSAAPVGSPSSAA NFFEYCMSIPIMIAVYIGHRIYRRDWRHWYIKRMDIDLDSGHSLEDFEATKLERDEDK KYVSSKPLYYRIYRFFC YLL060C MNGRGFLIYNGGEKMKQKMIIYDTPAGPYPARVRIALAEKNMLS SVQFVRINLWKGEHKKPEFLAKNYSGTVPVLELDDGTLIAECTAITEYIDALDGTPTL TGKTPLEKGVIHMMNKRAELELLDPVSVYFHHATPGLGPEVELYQNKEWGLRQRDKAL HGMHYFDTVLRERPYVAGDSFSMADITVIAGLIFAAIVKLQVPEECEALRAWYKRMQQ RPSVKKLLEIRSKSS YLL058W MTEIEFGQPLPSNLDYAVSFGIPTWDSAIGYAEKVPEVIGKMAT GYPRYFPQPPVQRLCAYFVKKFGRGSENCRPFPSVNLGLKCFEYVKSVSGPESKAHLE VETVTIKNRGAKTSKEPAELVLTIAAVLASEEEFETVKEYWKLRGECVSSRLALSVNQ LLDCANHGSEQVLRELEAGVFAAKKGEEKAKNLIKGRIVENRFRPFGLEKKTPNWEGL NLNPNEDVYLVSSGMSAISTARNLLTFWEEKKNSGDSLNKTTSDQKKKPLLCDTVGIF GFPFKDTQVIMTKFGKCKFFGFGNSRDVVELQKFLETSKQRILAVFVETPSNPLLNMP DLKKLRSLADQYGFFIVIDDTIGGLNVDILPYADIVSTSLTKLFNGASNVMGGSVVLN PKSSLYPYAREYFRSANFEDLLWCEDAIVLERNSRDFEDRTLRANANTGILLNDLLLP EEGKICKKIYYPTVTSKETFENYESVRNERGGYGCLFSVAFFNEGDAKAFYDSLKVFK GPSNGTNFTLACPYVHLAHHSELEEVSKFGADPNIIRVSVGLEDIQWLLKVFSSALDV VKSRGSKHS YLL057C MSPAAAQTAIPLPSTDLPVKIITNGLKNLNYTSKQGYGNFDTHF YDGQDEVSPSGLLKIRKSYREKSKYPDYLPTWDPTEKYGPLEFHEYHDPALRADGNFS NLFAKENVGQLKVKKITPKLGLEINGIQLTDLSDAAKDELALLVAQKGVVVFRNQNFA DEGPDYVTEYGRHFGKLHIHQTSGHPQNNPELHITFRRPDAEEFARVFDDSTSSGGWH TDVSYELQPPSYTFFSVVEGPDGGGDTLFADTIEAFDRLSKPLQDFLSTLHVIHSSKE QAENSQRQGGIKRRAPVTHIHPLVRVHPVLKKKCLYVNRAFSRKIVELKRQESESLLN FLYNLVESSHDLQLRAKWEPHSVVIWDNRRVQHSAVIDWEEPIHRHAFRITPQAERPV EDLKFLNDENYYPSSLTLDI YLL056C MKVFITGASGFIGSAVLSELISSGHEVVGLARSDEAAAKIKSID PAAKILRGDLKDLEILKKGATESDGVIHLGFVHDFKNFEQCCEIDRQATVAMLESLKG SNKPFLYTNGTLSLRPNKVANEQDGIDEDSKILRAVTEQVALSYKDKGVSARIVRLPF SVHGKGDKAFVPILMNIAKAAGKSGYVGQGTNAWAAVHRLDTAPLFRLVLEKGKTGQV YHCVGEQGIPFKDIARVIGEILNVPVASIPVDDAESHFGFLTCFVTRDGPVSSEGTRK ELGWQPQQIGLLEDIRANYSLN YLL055W MSKVDVKIGADSISSSDEILVPSRLADVTLAFMEENDAAVPEIT PEQEKKLKRKLFLTIFTFVSAINLLLYMDKATLSYDSILGFFEDTGLTQNTYNTVNTL FYVGFAIGQFPGQYLAQKLPLGKFLGGLLATWTILIFLSCTAYNFSGVVALRFFLGLT ESVVIPILITTMGMFFDASERAAAQPFFFAACMGSPIPTGFIAYGVLHITNPSISLWK IFTIIIGGLTFIMTVVVILWFPNNPADVKFFSIQERVWIIRRVQASTGSSIEQKVFKK SQFREAMKDYITWLFGLFFLLQQLANNLPYQQNLLFEGMGGVDALGSTLVSVAGAGFA VVCAFIATLMLAKWKNISALTAIFWTLPALVGSIAAAALPWDNKIGILANICMAGQIF GIPFIIALSWASSSASGYTKKLTRSSVSLFAMGIANIISPQIWREKDSPRFLPAWIVQ IVLSFSLAPAILLLIHFILKRRNNQRLKNYDENLQNYLDRIQLIESENPSSIEEGKVV THENNLAVFDLTDLENETFIYPL YLL054C MSIASQKKVKPSFVCLRCKQRKIKCDKLWPTCSKCKASSSICSY EVEPGRINKSPTIENAPHRDIRNITPASMSASGSFTSILNPSTKDWEMKNFAMNLSNA HDKLVVMNNTTIVDSPFAFHSILQHDLYAKALTTCIHERILIDVERHRENVSANNKKR ELNLTIGDIGPLFFIDKAALKFIENTSKTSKLYPPIDFLYNTYDYEQAHPEENNDKIS INILLEELSKYFLNKNEVDGLIVDFYKTIYPVYPLLEISLFEDNIRELLQLNEFNGYN IVFAGKDSRRKLETITLLTIILAFSYRRLSLSTSHSFKESFGVKSNNLTLLAHKLLAL MNVFQYVNEHTLCCLLYFFILRYLNPDQADMYPTHSDILNLKFLENVAIKLGLNEEPF QYTRYVSESDDYPRLFNLRRKLWLGVQFLKFGILIPEGDSDILSLEYLRSFMKTDESL PELFERNYASTNNLDLSLMATAENIYHLHLSLQVLLTSCFPINGPSYLKEVLDNIDKT KDFLNQKFPLILSSLGEPRMKSLHINVPSSLANEESFDFSTFEENETFIANVISYTCT MNIYDSLSLHFENQCFKNALEYKTYYHRFTFTAIQDYLTLLKLISEYFNGSLLHLREP FGFATQKVVRFSIHRLLIFQATLLVRLFYKKDTCDRSSAAMGMLNDRNGRLSRVIEKM IKLMSYHMKLLVEIVISKLEKSYLGSFISVSIFRYIIYLVDTDALSAFISDYWKSDAV MDERYSRIHRIVGLKWGMGRDKSFSFTSKLNNPQFLGSLDLEILEELEKLISAQEFSR NFTEDVDESLQSEIDLMNYDNEALNQLMAIDLDKLLGIFPNLSNF YLL053C MWFPQIIAGMAAGGAASAMTPGKVLFTNALGLGCSRSRGLFLEM FGTAVLCLTVLMTAVEKRETNFMAALPIGISLFMAHMALTGYTGTGVNPARSLGAAVA ARYFPHYHWIYWISPLLGAFLAWSVWQLLQILDYTTYVNAEKAAGQKKED YLL052C MSNESNDLEKNISHLDPTGVDNAYIPPEQPETKHSRFNIDRDTL RNHFIAAVGEFCGTFMFLWCAYVICNVANHDVALTTEPEGSHPGQLIMIALGFGFSVM FSIWCFWWGFEPSRFSLFVFGQSHLTSQMCSDVVSSDHCWDGCWWCR YLL051C MHRTLLFLTWLISLTKAFNIKLPHTEKKDHLESNAVLACASYIN TLKWSFDSSVVPGFYSTICSYSPAFDTWSLCIFNSLTDQIIPMDNTSFEESLGNVRKT CSFVDKKFSNISLEQYYSSLNNASSHALEDYGSIESLSTSIRVDRETRSRWIRAFHAH AYNLDISSVYGAYLTYYFVIVGIIAVFFHMSHYNGLNRALFASRFVNYIRGHFVLPTF LVDKHANHFKFLNVEVFTGLMPNSLEAWIIFGYTLANIIFLSISYIIDPYNLIFNSHL SQFTRLLADRSGILAFTQFPLIIIFTARNSFLEFLTGVKFNSFISFHKWIGRIMVLNA TIHSLSYSLFAIINHAFKISNKQLYWKFGIASITVLCVLLVLSLGIVRKRHYEFFLYT HIILALLFFYCCWQHVKIFNGWKEWIVVSLLIWGLEKLFRIWNILQFRFPKATLINLN TSNNPHDEMFKVIIPKYNRRWHSKPGQYCFIYFLHPLVFWQCHPFTIIDEGEKCVLVI KPKSGLTRFIYNHILQSLNGKLQLRVAIEGPYGPSNLHLDKFDHLLLLSGGTGLPGPL DHAIKLSRNPDKPKSIDLIMAIKNPSFLNGYKSEILELKNSRSHVNVQVYLTQKTAVT KAANARDQLIHFDDIMTELTSFAHIGNARPNFSNVIENAIKSTPPGDSLAVVCCGPPV LVDDVRNTVSQKLLGYPERIIEYFEEYQCW YLL050C MSRSGVAVADESLTAFNDLKLGKKYKFILFGLNDAKTEIVVKET STDPSYDAFLEKLPENDCLYAIYDFEYEINGNEGKRSKIVFFTWSPDTAPVRSKMVYA SSKDALRRALNGVSTDVQGTDFSEVSYDSVLERVSRGAGSH YLL049W MPGLKLVEALEYRCDRLERLIGAGYSANSDVSVQLDELYNQLHR LYFQGLKYSQDLLQLFNTFMAEDIENVGAPDDICIFASCFDDIYTLYSAFDELNSQYM EFCQISKSSLDQISFKDANIETKQLKKLPELVDNCNIMILRSIAILNRFIDWNIEVNG FFQFQKKRLLNLQKVIYST YLL048C MHHVLNSTRPDHRFWFYDDVTQYGRTKYLNYYTPLVLLIFTVLF ITYNIWKHYYYYDVLHLKQKNPIDELLYSSTDEDEQSPLINNNTITTNYVDNNCTKDA LKNRHFSLEKLKSVKVNGEPHGTPEIVRRGFIEKSRIILEFFLVLSQVIIHSFILLHY VNKNPEFTQQGTITGLVEWCALFIIVSLRLANVNQNFKFINKYPGNLWSVSFINYLAL FISMILPFRSIFIHHINSPISRKYYISQISINLALFLLLFFARIRNNFAIIYKTDSWI TPSPEPVTSIAGFICWAWLDSFVWKAHKVSIKVKDIWGLMMQDYSFFVVKKFRYFVDH KVKRKRIFSLNLFFFFSNYLVLQCFWAFLGSVLSFIPTVLLKRILEYVEDQSSAPSNL AWFYVTVMFVGRILVAICQAQALFFGRRVCIRMKSIIISEIYTKALRRKISTNKTKPS NEDPQEINDQKSINGDEESTSSANLGAIINLMAIDAFKVSEICGYLHSFLEAFVMTVV ALALLYRLLGFAAIVGVLIIVAMLPLNYKLAKYIGDLQKKNLAVTDNRIQKLNEAFQA IRIIKYFSWEENFEKDINTIRENELSLLLMRSIVWSISSFLWFVTPTIVTAASFAYYI YVQGEVLTTPVAFTALSLFTLLRDPLDRLSDMLSFVVQSKVSLDRVQDFLNENDTKKY DQLTIDPNGNRFAFENSTISWDKDNQDFKLKDLNIEFKTGKLNVVIGPTGSGKTSLLM ALLGEMYLLNGKVVVPALEPRQELIVDANGTTNSIAYCSQAAWLLNDTVKNNILFNSP FNEARYKAVVEACGLKRDFEILKAGDLTEIGEKGITLSGGQKQRVSLARALYSNARHV LLDDCLSAVDSHTASWIYDNCITGPLMEDRTCILVSHNIALTLRNAELVVLLEDGRVK DQGDPIDMLQKGLFGEDELVKSSILSRANSSANLAAKSSTSLSNLPAVKEQQVSVNNN SSHFEAKKLQKSLRTEAERTEDGKLIKEETKEEGVVGLDVYKWYLKIFGGWKIVSFLA SLFLIAQLLYIGQSWWVRAWASHNVIAKIIPRAQRAIAFISKKASHLIDWRGSSQISM ASAENQPSSGHSTMYYLVLYLIIGFAQALLGAGKTILNFVAGINASRKIFNMILNKVL HSKIRFFDATPTGRIMNRFSKDIEAIDQELTPYIQGAFYSLIECLSTVILITFITPQF LSVAIVVSILYYFVGYFYMAGSRELKRFESISRSPIYQHFSETLVGVTTIRAFGDEGR FMQENLHKIDENNKPFFYLWVANRWLAFRIDMIGSLVIFGAGLFILFNINNLDSGMAG ISLTYAISFTEGALWLVRLYSEVEMNMNSVERVKEYMEIEQEPYNEHKEIPPPQWPQD GKIEVNDLSLRYAPNLPRVIKNVSFSVDAQSKIGIVGRTGAGKSTIITALFRFLEPET GHIKIDNIDISGVDLQRLRRSITIIPQDPTLFSGTIKTNLDPYDEFSDRQIFEALKRV NLISEEQLQQGATRETSNEASSTNSENVNKFLDLSSEISEGGSNLSQGQRQLMCLARS LLRSPKIILLDEATASIDYSSDAKIQETIRKEFQGSTILTIAHRLRSVIDYDKILVMD AGEVKEYDHPYSLLLNKQSAFYSMCEHSGELDILIELAKKAFVEKLNSKKD YLL046C MLIEEIEFYNVNGKKTTTVVPENTKIKKRVLNDRRTLYVGNLPK NCRKQDLRDLFEPNYGKITINMLKKKPLKKPLKRFAFIEFQEGVNLKKVKEKMNGKIF MNEKIVIENILTKEEKSFEKNQKSNKKTAPDLKPLSTNTLYVKNIPMKSTNEDLAKIF GVDPKNINFVRRELVDLRTNKVFFSDEFHTGEAFIKFDNLGTGDSIQKKCREFKGRKA SNGRVLLVKIASAKKNEQKQEGGDNTKIKQN YLL045C MAPGKKVAPAPFGAKSTKSNKAKNPLTHSTPKNFGIGQAVQPKR NLSRYVKWPEYVRLQRQKKILSIRLKVPPTIAQFQYTLDRNTAAETFKLFNKYRPETA AEKKERLTKEAAAIAEGKSKQDASPKPYAVKYGLNHVVSLIENKKAKLVLIANDVDPI ELVVFLPALCKKMGVPYAIIKGKARLGTLVNQKTSAVAALTEVRAEDEAALAKLVSTI DANFADKYDEVKKHWGGGILGNKAQAKMDKRAKTSDSA YLL043W MSNPQKALNDFLSSESVHTHDSSRKQSNKQSSDEGRSSSQPSHH HSGGTNNNNNNNNNNNNSNNNNNGNDGGNDDDYDYEMQDYRPSPQSARPTPTYVPQYS VESGTAFPIQEVIPSAYINTQDINHKDNGPPSASSNRAFRPRGQTTVSANVLNIEDFY KNADDAHTIPESHLSRRRSRSRATSNAGHSANTGATNGRTTGAQTNMESNESPRNVPI MVKPKTLYQNPQTPTVLPSTYHPINKWSSVKNTYLKEFLAEFMGTMVMIIFGSAVVCQ VNVAGKIQQDNFNVALDNLNVTGSSAETIDAMKSLTSLVSSVAGGTFDDVALGWAAAV VMGYFCAGGSAISGAHLNPSITLANLVYRGFPLKKVPYYFAGQLIGAFTGALILFIWY KRVLQEAYSDWWMNESVAGMFCVFPKPYLSSGRQFFSEFLCGAMLQAGTFALTDPYTC LSSDVFPLMMFILIFIINASMAYQTGTAMNLARDLGPRLALYAVGFDHKMLWVHHHHF FWVPMVGPFIGALMGGLVYDVCIYQGHESPVNWSLPVYKEMIMRAWFRRPGWKKRNRA RRTSDLSDFSYNNDDDEEFGERMALQKTKTKSSISDNENEAGEKKVQFKSVQRGKRTF GGIPTILEEEDSIETASLGATTTDSIGLSDTSSEDSHYGNAKKVT YLL042C MIPYQEWHSQLQSLYDSQIFHNWALCQDVHLNDEKDGLLLRLIP TRQLQKNTERIENKLLNHIELYLTYSKVYNEPLLLLRIWEEKSIDGIPMTKLMLPTDI ESLLDVQGKFQLGLDTIINLEGSVWYSFHPCDTSCIVGDQAEFMSTYLRRWVSIFIFS WLGYEDS YLL041C MLNVLLRRKAFCLVTKKGMATATTAAATHTPRLKTFKVYRWNPD EPSAKPHLQSYQVDLNDCGPMVLDALLKIKDEQDSTLTFRRSCREGICGSCAMNIGGR NTLACICKIDQNESKQLKIYPLPHMFIVKDLVPDLTNFYQQYKSIQPYLQRSSFPKDG TEVLQSIEDRKKLDGLYECILCACCSTSCPSYWWNQEQYLGPAVLMQAYRWLIDSRDQ ATKTRKAMLNNSMSLYRCHTIMNCTRTCPKGLNPGLAIAEIKKSLAFA YLL040C MLESLAANLLNRLLGSYVENFDPNQLNVGIWSGDVKLKNLKLRK DCLDSLNLPIDVKSGILGDLVLTVPWSSLKNKPVKIIIEDCYLLCSPRSEDHENDEEM IKRAFRLKMRKVSEWELTNQARILSTQSENKTSSSSSEKNNAGFMQSLTTKIIDNLQV TIKNIHLRYEDMDGIFTTGPSSVGLTLNELSAVSTDSNWAPSFIDITQNITHKLLTLN SLCLYWNTDSPPLISDDDQDRSLENFVRGFKDMIASKNSTAPKHQYILKPVSGLGKLS INKLGSTEEQPHIDLQMFYDEFGLELDDTEYNDILHVLSSIQLRQITKKFKKARPSFA VSENPTEWFKYIAACVINEIHEKNKMWTWESMKEKCEQRRLYTKLWVEKLKLKNLEAP LRDPIQEAQLSELHKDLTYDEIILFRSVAKRQYAQYKLGMTEDSPTPTASSNIEPQTS NKSATKNNGSWLSSWWNGKPTEEVDEDLIMTEEQRQELYDAIEFDENEDKGPVLQVPR ERVELRVTSLLKKGSFTIRKKKQNLNLGSIIFENCKVDFAQRPDSFLSSFQLNKFSLE DGSPNALYKHIISVRNSSKDQSSIDNHATGEEEEEDEPLLRASFELNPLDGLADSNLN IKLLGMTVFYHVHFITEVHKFFKASNQHMETIGNIVNAAEATVEGWTTQTRMGIESLL EDHKTVNVSLDLQAPLIILPLDPHDWDTPCAIIDAGHMSILSDLVPKEKIKEIKELSP EEYDKIDGNEINRLMFDRFQILSQDTQIFVGPDIQSTIGKINTASSTNDFRILDKMKL ELTVDLSILPKAYKLPTIRVFGHLPRLSLSINDIQYKTIMNLIANSIPSMIDDEENNG DYVNYSSGSEKEMKKQIQLQLKNTLKALENMQPLQIEQKFLELHFDIDQAKIAFFQCI KNDSRNSEKLVDILCQRLNFNFDKRAKEMNLDLRVHSLDVEDYIELTDNKEFKNLISS GVEKVTRSQKDLFTLKYKRVQRIVPHNDTLIELFDQDIVMHMSELQLVLTPRSVLTLM NYAMLTFTDPNAPEMPADVLRHNKEDRDDAPQKINMKIKMEAVNVIFNDDSIKLATLV LSAGEFTMVLLPERYNINLKLGGLELTDETNESFSRDSVFRKIIQMKGQELVELSYES FDPATNTKDYDSFLKYSTGSMHVNFIESAVNRMVNFFAKFQKSKVSFDRARLAAYNQA PSIDAVNNMKMDIVIKAPIIQFPKLVGTQENNYDTMRFYLGEFFIENKFSVIDESHKI NHIKLGVREGQLSSNLNFDGSSQQLYLVENIGLLFNIDRDPLPQDDTPELKVTSNFES FALDLTENQLTYLLEISNKVSSAFNITDENSGESGGKGEIKSPSPDPASLSSESERTA TPQSLQGSNKSNIKNPEQKYLDFSFKAPKIALTLYNKTKGVTSLNDCGLTRIMFQDIG CSLGLKNDGTVDGQAHVAAFRIEDVRNIKDNKHTELIPKSKNKEYQFVANISRKNLEV GRLLNISMTMDSPKMILAMDYLVSLKEFFDAIMSKSHENNLYYPENTNQKPENKAIVE SVQEGGDVTKIQYSVNIIETALILLADPCDMNSEAISFKIGQFLVTDQNIMTVAANNV GIFLFKMNSSEEKLRLLDDFSSSLTIDKRNSTPQTLMTNIQLSVQPLLMRISLRDIRL AMLIFKRVTTLLNKMTEKEDNGEEEESTDKIQFSHEFERKLAVLDPSILGERSRASQS SDSESIEVPTAILKNETFNADLGGLRFILIGDVHEMPILDMNVNEITASAKDWSTDFE ALASLETYVNIFNYSRSSWEPLLEMIPITFHLSKGHSEMDPAFSFDILTQRIAEITLS ARSIAMLSHIPASLTEELPLASRVSQKPYQLVNDTELDFDVWIQDKTTEDNKNEVVLL KANTSLPWEFEDWRSIREKLDIDKSKNILGVCVSGQNYKTIMNIDATTEGENLHVLSP PRNNVHNRIVCEARCDENNVKIITFRSTLVIENTTSTEIELLVDSKDPNKPSLKYAIK PHQSKSVPVEYAYDSDIRIRPASEDIYDWSQQTLSWKSLLSNQMSIFCSSKEDSNQRF HFEIGAKYDEREPLAKIFPHMKIVVSASMTIENLLPADINFSIFDKREEKRTDFLKTG ESMEVHHISLDSFLLMSVQPLQDEASASKPSIVNTPHKSPLNPEDSLSLTLSGGQNLL LKLDYKNIDGTRSKVIRIYSPYIIMNSTDRELYIQSSLLNIAQSKILLENEKRYTIPK MFSFDKEDDKSNRARIRFKESEWSSKLSFDAIGQSFDASVRIKNKEQESNLGINISEG KGKYLLSKVIEIAPRYIISNTLDIPIEVCETGSMDVQQIESNITKPLYRMRNIVDKQL VLKFLGGDSNWSQPFFIKNVGVTYLKVLKNSRHKLLKIEILLDKATIFIRIKDGGDRW PFSIRNFSDHDFIFYQRDPRKVSDPYKDDQSNESSSRSFKPIFYRIPSKSIMPYAWDF PTAKEKYLVLESGTRTREVRLAEIGELPPLRLDKRSKDKPAPIVGLHVVADDDMQALV IVNYKANVGLYKLKTASATTTSSVSVNSSVTDGFVQKDEDEKVNTQIVVSFKGVGISL INGRLQELLYINMRGIELRYNESKAYQTFSWKMKWMQIDNQLFSGNYSNILYPTEIPY TEKEIENHPVISGSISKVNDSLQAVPYFKHVTLLIQEFSIQLDEDMLYAMMDFIKFPG SPWIMDSRDYKYDEEIQLPDVSELKTAGDIYFEIFHIQPTVLHLSFIRSDEISPGLAE ETEESFSSSLYYVHMFAMTLGNINEAPVKVNSLFMDNVRVPLPILMDHIERHYTTQFV YQIHKILGSADCFGNPVGLFNTISSGVWDLFYEPYQGYMMNDRPQEIGIHLAKGGLSF AKKTVFGLSDSMSKFTGSMAKGLSVTQDLEFQRVRRLQQRINKNNRNALANSAQSFAS TLGSGLSGIALDPYKAMQKEGAAGFLKGLGKGIVGLPTKTAIGFLDLTSNLSQGVKST TTVLDMQKGCRVRLPRYVDHDQIIKPYDLREAQGQYWLKTVNGGVFMNDEYLSHVILP GKELAVIVSMQHIAEVQMATQELMWSTGYPSIQGITLERSGLQIKLKSQSEYFIPISD PEERRSLYRNIAIAVREYNKYCEAIL YLL039C MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKSKI QDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGN YLL038C MPLLDTFKSFIQSPTESKVKQATNEDETSGATGTLMNEISILTY SPKTVREIIQVIRKRLLLGQNRRNSHRNCIQVMKTLTLVSYLMNNGSNEFIKWLKGNM ILIEILEDFQVQDPRDERKAEDIQKLSRNVLGLLQDDGLLEKQRKDVIQFRSSISTPG RKSTDNSHLKLEEMRSELTRQSLEKKAKPPTTSTSLDFQRQRTRNTHEYARFSLDPLA EEDSEDTPGVAGGISKLSFRPKSSNNPFR YLL036C MLCAISGKVPRRPVLSPKSRTIFEKSLLEQYVKDTGNDPITNEP LSIEEIVEIVPSAQQASLTESTNSATLKANYSIPNLLTSLQNEWDAIMLENFKLRSTL DSLTKKLSTVMYERDAAKLVAAQLLMEKNEDSKDLPKSSQQAVAITREEFLQGLLQSS RDFVARGKLKAPKWPILKNLELLQAQNYSRNIKTFPYKELNKSMYYDKWVCMCRCEDG ALHFTQLKDSKTITTITTPNPRTGGEHPAIISRGPCNRLLLLYPGNQITILDSKTNKV LREIEVDSANEIIYMYGHNEVNTEYFIWADNRGTIGFQSYEDDSQYIVHSAKSDVEYS SGVLHKDSLLLALYSPDGILDVYNLSSPDQASSRFPVDEEAKIKEVKFADNGYWMVVE CDQTVVCFDLRKDVGTLAYPTYTIPEFKTGTVTYDIDDSGKNMIAYSNESNSLTIYKF DKKTKNWTKDEESALCLQSDTADFTDMDVVCGDGGIAAILKTNDSFNIVALTP YLL035W MVIDSKQDLPQYTKDSGSESDSDSSNNFIVESPSIPSSKSATVV LNSEEYEDDEGDDLNGLDAELIDNITYEGDEDETMFVGLKEKQKLHLSGVFRLQVVKG GIVYNNVHYNASREILTFWHPLSQSIPTIDFSHFAGWQDTFFMPRNNRFKIRDEEFKS FPCVLRVFNSNHTGLLEAGHLYRDVNYLWKPKEPYFPLNERTTYHLLHESDRIQSLSV PGYWSTPLEKLYLSHKNAAYDTRIMVIGGKNSGKSTFLRLLLEKFTQDIRDSTTSQEE LVYLDLDPGQPEYSLPDSISLNKILSSPISLGQHLCQGSNFQTLLQFYAGSSSPQDEP TSYLNCADKLIDHLEEQAFFGTSLLNLPGWIKGFGMQILNHIIRKYKPTHLLFLETAN SKRHLDELTIPQSFSTSLRDAYAPEVVRVPAHSLNHTLSSRFHASQLRTFKILALFHK ITQFDYDFAPLLKSAPLQISYGKGKSGIKGIQFPMEFQDLNPQDIKSALEGTVIGIYT YSGEDSLEVKSLNTFPILQSCTSSSKNFITLGLIHSIDTSQQIMNIYVPPCHTQILDK QPEDAQWIIVRNKTETPFCDFLPSPRTITWDDNIQIPFATFERRKKLEHVWKVRKNVM RRGQFMKR YLL034C MVKVKSKKNSLTSSLDNKIVDLIYRLLEEKTLDRKRSLRQESQG EEGENNEGEEDEDIFESMFFAKDLTAGEIFTFCLTKDLSLQRVKKVVLQKTIDRMLKD VIESELEEFGSYPGYNNEEEEKPSLEEELAKKNMMIERDTNEMNKRITSTWSKSGSVS ESITETDDPKTEEVKKSKKRSKEGTCKVKRQKIKEDRSPPNSSLKSLGGMDDVVAQLM ELIGLPILHPEIFLSTGVEPPRGVLLHGPPGCGKTSIANALAGELQVPFISISAPSVV SGMSGESEKKIRDLFDEARSLAPCLVFFDEIDAITPKRDGGAQREMERRIVAQLLTSM DELTMEKTNGKPVIIIGATNRPDSLDAALRRAGRFDREICLNVPNEVSRLHILKKMSD NLKIDGAIDFAKLAKLTPGFVGADLKALVTAAGTCAIKRIFQTYANIKSTPTTATDSS EDNMEIDETANGDESSLKNTANMIDPLPLSVVQQFIRNYPEPLSGEQLSLLSIKYEDF LKALPTIQPTAKREGFATVPDVTWANVGALQRVRLELNMAIVQPIKRPELYEKVGISA PGGVLLWGPPGCGKTLLAKAVANESRANFISIKGPELLNKYVGESERSIRQVFTRARA SVPCVIFFDELDALVPRRDTSLSESSSRVVNTLLTELDGLNDRRGIFVIGATNRPDMI DPAMLRPGRLDKSLFIELPNTEEKLDIIKTLTKSHGTPLSSDVDFEEIIRNEKCNNFS GADLAALVRESSVLALKRKFFQSEEIQSVLDNDLDKEFEDLSVGVSGEEIIVTMSDFR SALRKIKPSVSDKDRLKYDRLNKKMGLTEEMKDAEEMKQ YLL033W MRKPSITITTAKAIITPDYTLIKSHSKYQLPSRFQKLDADSPER STVVKLFYRRFMRLKPFISNVKMVKDTYRDYVRYKFMKENYELKRYLVFNPDGLRSKI NLELLSNTKCCERILPVTEMQRTLEFVLKSCSYLPETKVQKWDIARDNTYCRQILKNL LTMQYEKYRSILHRGIGHDELDVKFSHLKTTSSPLTKLNKTEKKKIPLFKVFSDFDTT LIYLNETLGTRL YLL032C MDNFKIYSTVITTAFLQVPHLYTTNRLWKPIEAPFLVEFLQKRI SSKELKNTKAICHIDPSWVNLNASFIRDDMISIKATTDDMDLDAICRISLPLPMNTND LTAELEKMKRILLDLSEKFNLELIITKEPAYFTPEQTGESKELCIYVHALGFRSNLME CEPQLLAFVDLIKKNGMTLPPQHYIIEPMELNSYSVLPLYMGVDMENFKHISRAFKTS IYAPSLITLSRDLKANPQIFFSGAVHSLSLLARKTLRESISVNSKSFFYRRLTNITPG KLLFIRKYYQQKVNQLILKYQSLIRVTNEYIEFQSISTNLLEMVIKNFTIQVLHEIVE VQISLNENCAMSPELIIDSFFGHTGNQIVVITPKEDSFNQLIVVGNQSSTDEASDTSI LHYLSDFIMGSNQVINPNLRQIKAIFEIHPDFEDFISGKKNGKLTRIMELSACLIQLE MEEEDDNLYLNLVSDSFPDFKESFKNVINEFPAEESFFIPEVCHRPIIGTGGSLIQAT MRKHNVFIQFSNSFNLPQNKISMIRYDNVIIRCPRKNKANICLAKNDLKQIVQEYDSL QSKTLIRFSSGQYRHILHVNGQKNIIGQIEKNENVYIMIPLKEPLDGTSQLSIQGNDE NASRAANELVNSAFGYEYEFKIDQEIDPNKEYEFYNLIVVPFLQIMNIIVTFEKDLIT FTFEKDTNENTLTKAIELLSNYLETQKTKIIFKKIIKKFVLGSASSKSNTSNSNTNGN FRSMNNAKSRTTIDNTSQSGASPQRHKMPVITTVGGAQAIKGYIPNTYYNGYGYGYGY TYEYDYNYANSNKAQTNNRHKYQNGRK YLL031C MDEKTIKKSILSSSNDEKIIYKSRIKKFQKNHKFYIILLVFIAI LQFISIAFFTRGFLLSRHVLDNISSQNETSKLPPRFNKAVILVIDALRFDFAIPVNES HSNYNLNYHNNILSLYDSFASDKDASSLLLKFIADPPTTTLQRLKGLTTGSLPTFIDA GSNFDGTVIEEDNFLKQLHLANKTVKFAGDDTWMALFHPFLSNDSFPLESLNVWDLDT VDNGVMDYFHDHLQQDKEWDVMIGHMLGIDHVGHKYGPDHFTMREKQIQVDQFIDWIL KSIDDDTLLVILGDHGMDHTGNHGGDSIDELESTLFLYSKKPDMWRLKETSNYNIDNL GHDYRSVRQIDLVSSLALLMGQPIPFNNLGWPIDEIARNDREWSQFVNSAISQLQLYK DTMQIHHGNDEILEPLAKNISNTPPTSDPEKFVKLGHKYQKVFLQTCEELWAKFDYYS IATGITLLATSLVLLISITKLIPSIVVNQMVPEFVPGIIIMVLVTNLCFHGIFYVYQQ PSFVDQFWGTLLATAIGIIIGCYITIFDRYNFIWIAMRLGETLADYWSRIAVMFMIIH ALLFTSNSFTIWEDRIVAFLLSTFGMLTLYEFVFLPKRQSTTALLTATISEKEGTTSG VNPSTANSNYLPLTRFARLLGGYHSAVLIIFTRLASMITICREEQGEYCIPTFNNQNN SSWWVLGLCFLMIFILPACITGYYNLTSSYQAAAPIWINVFLKGILGLNFVYWSLTSL ENNSAVIAIPFLRDVTIFKFTLARIIAGFSLIASNVGWLMGPLCIKLNIHNTDVKSHE ATILGYTNIYGSEFFLLVINVLISILLFNKPLAQLSYFLMCNQLLSILEIIDLLKLKE NIIGPIALGLLSYQHFFTTGHQATIPSVQWDIGFMLSEKVTFPFTQIAIILNTFGPHI LVSLSVALLTLWSQPPDVLKPQTLLGRIVSNCGILLTYNTILCLSSFIWVTHFRRHLM VWKIFCPRFIFASLSLIVTQLVVTFGTIAFASGRLIKHINDIFWK YLL030C MYFAFKGLCGRRFLPIASFLTILNRILFQYWLFYNSLKEEKTFQ KIFFSMNLKCRKKKEPNHIIYSQPPFLLGFMVQLQSCVKLLPLYLLFLLQGNGAHYAS AMTSKVLSFFL YLL029W MTSKPSTSDGRAHSISHVPGTHMRGTSASHSPRPFRPCADCTCS PGLLSRQGRRASLFLRQLENSRRSSSMLLNELKGAGGGSSAGNGSVYSCDSLCAVNRE VNTTDRLLKLRQEMKKHDLCCYIVPSCDEHQSEYVSLRDQRRAFISGFSGSAGVACIT RDLLNFNDDHPDGKSILSTDGRYFNQARQELDYNWTLLRQNEDPITWQEWCVREALEM AKGLGNKEGMVLKIGIDPKLITFNDYVSFRKMIDTKYDAKGKVELVPVEENLVDSIWP DFETLPERPCNDLLLLKYEFHGEEFKDKKEKLLKKLNDKASSATTGRNTFIVVALDEI CWLLNLRGSDIDYNPVFFSYVAINEDETILFTNNPFNDDISEYFKINGIEVRPYEQIW EHLTKITSQASSAEHEFLIPDSASWQMVRCLNTSTNANGAIAKKMTAQNFAIIHSPID VLKSIKNDIEIKNAHKAQVKDAVCLVQYFAWLEQQLVGREALIDEYRAAEKLTEIRKT QRNFMGNSFETISSTGSNAAIIHYSPPVENSSMIDPTKIYLCDSGSQFLEGTTDITRT IHLTKPTKEEMDNYTLVLKGGLALERLIFPENTPGFNIDAIARQFLWSRGLDYKHGTG HGIGSFLNVHEGPMGVGFRPHLMNFPLRAGNIISNEPGYYKDGEYGIRIESDMLIKKA TEKGNFLKFENMTVVPYCRKLINTKLLNEEEKTQINEYHARVWRTIVHFLQPQSISYK WLKRETSPL YLL028W MSDHSPISNKENHLLPSDSSRSSSSDMHSTGTTGTTGVEPVDFT GEGAKYTTATEGNGGADLAIQRTTTMNSAAESEVNITRRLTKILTGSVNEPDRVEVDY TNCAPMGGDRPYPPSLPSRDLYEVTFDGPNDPLHPFNWPMKKKVLLCLVLCLDSIAIA MCSSIFASAVPQICEIYHVIEVVAILGITLFVLGFAASPVIYAPLSELYGRKGVLVLS AFGFALFQFAVATAENLQTIFICRFFGGFIGAAPMAVVPAAFADMFDTNVRGKAIALF SLGVFVGPILSPVMGSYIAQRTTWRWLEYVVGCFASAVFVAIVLFFEETHHPTILVNK AKQMRKQSNNWGIHAAHEDVELSIKDIVQKTVTRPIIMLFVEPLLLFVTIYNSFVYGI LYLLLEAYPLVFVEGYGFTENGELPYIALIIGMMVCAAFIWYMDNDYLKRCRAKGKLV PEARLYAMVIAGTVFPIGILWFCWTGYYPHKIHWMVPTVGGAFIGFGLMGIFLPCLNY IIESYLLLAASAVAANTFMRSAFGACFPLFAGYMFRGMGIGWAGLLLGLFAAAMIPVP LLFLKYGESIRKKSKYAYAA YLL027W MINTGRSRNSVLLAHRFLSTGGFWRGGTNGTMSRTINNVNPFKL KFIPKTVPAAADSVSPDSQRPGKKPFKFIVSNQSKSSKASKSPKWSSYAFPSRETIKS HEEAIKKQNKAIDEQIAAAVSKNDCSCTEPPKKRKRKLRPRKALITLSPKAIKHLRAL LAQPEPKLIRVSARNRGCSGLTYDLQYITEPGKFDEVVEQDGVKIVIDSKALFSIIGS EMDWIDDKLASKFVFKNPNSKGTCGCGESFMV YLL026W MNDQTQFTERALTILTLAQKLASDHQHPQLQPIHILAAFIETPE DGSVPYLQNLIEKGRYDYDLFKKVVNRNLVRIPQQQPAPAEITPSYALGKVLQDAAKI QKQQKDSFIAQDHILFALFNDSSIQQIFKEAQVDIEAIKQQALELRGNTRIDSRGADT NTPLEYLSKYAIDMTEQARQGKLDPVIGREEEIRSTIRVLARRIKSNPCLIGEPGIGK TAIIEGVAQRIIDDDVPTILQGAKLFSLDLAALTAGAKYKGDFEERFKGVLKEIEESK TLIVLFIDEIHMLMGNGKDDAANILKPALSRGQLKVIGATTNNEYRSIVEKDGAFERR FQKIEVAEPSVRQTVAILRGLQPKYEIHHGVRILDSALVTAAQLAKRYLPYRRLPDSA LDLVDISCAGVAVARDSKPEELDSKERQLQLIQVEIKALERDEDADSTTKDRLKLARQ KEASLQEELEPLRQRYNEEKHGHEELTQAKKKLDELENKALDAERRYDTATAADLRYF AIPDIKKQIEKLEDQVAEEERRAGANSMIQNVVDSDTISETAARLTGIPVKKLSESEN EKLIHMERDLSSEVVGQMDAIKAVSNAVRLSRSGLANPRQPASFLFLGLSGSGKTELA KKVAGFLFNDEDMMIRVDCSELSEKYAVSKLLGTTAGYVGYDEGGFLTNQLQYKPYSV LLFDEVEKAHPDVLTVMLQMLDDGRITSGQGKTIDCSNCIVIMTSNLGAEFINSQQGS KIQESTKNLVMGAVRQHFRPEFLNRISSIVIFNKLSRKAIHKIVDIRLKEIEERFEQN DKHYKLNLTQEAKDFLAKYGYSDDMGARPLNRLIQNEILNKLALRILKNEIKDKETVN VVLKKGKSRDENVPEEAEECLEVLPNHEATIGADTLGDDDNEDSMEIDDDLD YLL025W MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAEYYMFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPADQVTRVITGVPWYS TRLRPAISSALSADGIYTAVPN YLL024C MSKAVGIDLGTTYSCVAHFSNDRVDIIANDQGNRTTPSFVGFTD TERLIGDAAKNQAAMNPANTVFDAKRLIGRNFNDPEVQGDMKHFPFKLIDVDGKPQIQ VEFKGETKNFTPEQISSMVLGKMKETAESYLGAKVNDAVVTVPAYFNDSQRQATKDAG TIAGLNVLRIINEPTAAAIAYGLDKKGKEEHVLIFDLGGGTFDVSLLSIEDGIFEVKA TAGDTHLGGEDFDNRLVNHFIQEFKRKNKKDLSTNQRALRRLRTACERAKRTLSSSAQ TSVEIDSLFEGIDFYTSITRARFEELCADLFRSTLDPVEKVLRDAKLDKSQVDEIVLV GGSTRIPKVQKLVTDYFNGKEPNRSINPDEAVAYGAAVQAAILTGDESSKTQDLLLLD VAPLSLGIETAGGVMTKLIPRNSTIPTKKSEVFSTYADNQPGVLIQVFEGERAKTKDN NLLGKFELSGIPPAPRGVPQIEVTFDVDSNGILNVSAVEKGTGKSNKITITNDKGRLS KEDIEKMVAEAEKFKEEDEKESQRIASKNQLESIAYSLKNTISEAGDKLEQADKDAVT KKAEETIAWLDSNTTATKEEFDDQLKELQEVANPIMSKLYQAGGAPEGAAPGGFPGGA PPAPEAEGPTVEEVD YLL023C MSSRPANNQGPPNLPARDKSLVQRFMAVAKSLQFAWFTGHSVVL ISSILYLLKMSEFYYRSAYLGVIESFGIIIYQQFFTRNEPLQTQDAAATKASIKSRVA GLLKSEDVLYLVLANFWLFTPRFSFSLIPFFAFAVFHVLIYVEKVLLPKVFHLSSKDS SKILSFIDKFVVQYNDLCMHWVGTAELLIFILVLFRAILCFQRSWIILVVYAIFIKLR YENSKYMKAAFAQWRVRMDGIISHPSIPPFVKRAYNAIKMSLIRLSEYRLSGAPQVTK KQN YLL022C MKLRAEDVLANGTSRHKVQIDMERQVQIAKDLLAQKKFLEAAKR CQQTLDSLPKDGLLPDPELFTIFAQAVYNMEVQNSGNLFGDALLAGDDGSGSESESEP ESDVSNGEEGNENGQTEIPNSRMFQFDQEEEDLTGDVDSGDSEDSGEGSEEEEENVEK EEERLALHELANFSPANEHDDEIEDVSQLRKSGFHIYFENDLYENALDLLAQALMLLG RPTADGQSLTENSRLRIGDVYILMGDIEREAEMFSRAIHHYLKALGYYKTLKPAEQVT EKVIQAEFLVCDALRWVDQVPAKDKLKRFKHAKALLEKHMTTRPKDSELQQARLAQIQ DDIDEVQENQQHGSKRPLSQPTTSIGFPALEKPLGDFNDLSQLVKKKPRRH YLL021W MGTSSEVSLAHHRDIFHYYVSLKTFFEVTGENRDRSNSTRAQKA RAKLLKLSSSQFYELSTDVSDELQRRIGEDANQPDYLLPKANFHMKRNQARQKLANLS QTRFNDLLDDILFEIKRRGFDKDLDAPRPPLPQPMKQEVSKDSDDTARTSTNSSSVTQ VAPNVSVQPSLVIPKMASIDWSSEEEEEEQVKEKPNEPEGKQTSMDEKKEAKPALNPI VTDSDLPDSQVLARDITSMARTPTTTHKNYWDVNDSPIIKVDKDIDNEKGPEQLKSPE VQRAENNNPNSEMEDKVKELTDLNSDLHLQIEDLNAKLASLTSEKEKEKKEEKEEKEK EKNLKINYTIDESFQKELLSLNSQIGELSIENENLKQKISEFELHQKKNDNHNDLKIT DGFISKYSSADGLIPAQYILNANNLIIQFTTRLSAVPIGDSTAISHQIGEELFQILSQ LSNLISQLLLSADLLQYKDQVILLKASLSHAITSIRYFSVYGPVLIPKITVQAAVSEV CFAMCNLIDSAKIKSDSNGESTTSNEGNRQVLEYSSPTATTPMTPTFPSTSGINMKKG FINPRKPASFLNDVEEEESPVKPLKITQKAINSPIIRPSSSNGVPTTSRKPSGTGLFS LMIDSSIAKNSSHKEDNDKYVSPIKAVTSASNSASSNISEIPKLTLPPQAKIGTVIPP SENQVPNIKIENTEEDNKRSDITNEISVKPTSSIADKLKQFEQSSEKKSSPKENPIAK EEMDSKPKLSNKFITSMNDVSTDDSSSDGNENDDADDDDDFTYMALKQTMKREGSKIE KNNDSKLPANIVELDLHESPESVKIESPESIKEITSSEMSSEMPSSSLPKRLVEDVEP SEMPEKGASVESVRKKNFQEPLGNVESPDMTQKVKSLGMTGKAVGPESDSRVESPGMT GQIKSLNMAGKVVGPEADSRVESPGMKEQIKSLGMTGKITAQESIKSPEAARKLASSG EVDKIESPRMVRESESLEAVGNTIPSNMTVKMESPNLKGNTVSEPQEIRRDIASSEPI ENVDPPKVLKKIVFPKAVNRTGSPKSVEKTPSSATLKKSGLPEPNSQIVSPELAKNSP LAPIKKNVELRETNKPHTETITSVEPTNKDANTSWRDADLNRTIKREEEDEDFDRVNH NIQITGAYTKTGKIDYHKIPVDRKAKSEAEVHTSEEDIDESNNVNGKRADAQIHITER KHAFVNPTENSQVKKTSHSPFLNSKPVQYENSESNGGINNHIKIKNTGETTAHDEKHY SDDDDSSYQFVPMKHEEQEQEQNRSEEEESEDDDEEEEDSDFDVDTFDIENPDNTLSE LLLYLEHQTMDVISTIQSLLTSIKKPQVTKGNLRGESNAINQVIGQMVDATSISMEQS RNANLKKHGDWVVQSLRDCSRRMTILCQLTGDGILAKEKSDQDYADKNFKQRLAGIAF DVAKCTKELVKTVEEASLKDEINYLNSKLK YLL019C MSQNIQIGTRKRSRANMNNSTTTGPANNTSSNKTFLDNFEETRT NKLLDEMFARQNSFLTDNLRNSLDLNQADNPLRPRQHQHQLFLDNENAIELDEEPRII NTTINNSNNHNSSRVDEDADDDIIFIKEQPIQFSSPLILPSSSSINNNNNIVTSNNPG CGTAATSNSTYITTPKKFKKQRTISLPQLPLSKLSYQSNYFNVPDQTNAIVPRVTQTE NELLHLTGSCAKTLEGNKAVNLTIAHSTSPFSNPPAQIASLPQSNLKKQIGSSLRKFT SNGSSESASSNKSNFKTDKDGHYVYQENDIFGSGGRFVVKDLLGQGTFGKVLKCIDNK YEPNYVAVKVIRAVDRYREAAKTELRILQTILNNDPQGQFQCLLLRECFDYKNHICLV TDLYGRSIYDFMCSNGIARFPGSHIQAIARQLIRSVCFLHDLGIIHTDLKPENILICD ETHIAQKLPLKTVQSLSKRRREASKGKRKILKNPEIKIIDFGSAIFHYEYHPPVISTR HYRAPEIVLGLGWSFPCDIWSIACVLVELVIGESLYPIHENLEHMAMMQRINGTPFPT DIIDKMFYKSKHKLGNSPSDLNSTVIKHFDRKTLSLQWPEKNKRGDTITTEKSMKRVL QSCDRLDIYISKVLKQDYGDSLSINWNLPPEKNWSLINSKLAWKRQTHSSSSSTTDEL DKETFLFWYWFIDLLRKMFEFDPTKRITAKDALDHEWFNLGILDDGIATYNNTQG YLL018C-A MSGNPGSSLSALRPTPPERGSFPLDHDGECTKYMQEYLKCMQLV QNENAMNCRLLAKDYLRCRMDHQLMDYDEWSHLGLPEDAPGNNGKTIKDATDNK YLL018C MSQDENIVKAVEESAEPAQVILGEDGKPLSKKALKKLQKEQEKQ RKKEERALQLEAEREAREKKAAAEDTAKDNYGKLPLIQSRDSDRTGQKRVKFVDLDEA KDSDKEVLFRARVHNTRQQGATLAFLTLRQQASLIQGLVKANKEGTISKNMVKWAGSL NLESIVLVRGIVKKVDEPIKSATVQNLEIHITKIYTISETPEALPILLEDASRSEAEA EAAGLPVVNLDTRLDYRVIDLRTVTNQAIFRIQAGVCELFREYLATKKFTEVHTPKLL GAPSEGGSSVFEVTYFKGKAYLAQSPQFNKQQLIVADFERVYEIGPVFRAENSNTHRH MTEFTGLDMEMAFEEHYHEVLDTLSELFVFIFSELPKRFAHEIELVRKQYPVEEFKLP KDGKMVRLTYKEGIEMLRAAGKEIGDFEDLSTENEKFLGKLVRDKYDTDFYILDKFPL EIRPFYTMPDPANPKYSNSYDFFMRGEEILSGAQRIHDHALLQERMKAHGLSPEDPGL KDYCDGFSYGCPPHAGGGIGLERVVMFYLDLKNIRRASLFPRDPKRLRP YLL015W MSSLEVVDGCPYGYRPYPDSGTNALNPCFISVISAWQAVFFLLI GSYQLWKLYKNNKVPPRFKNFPTLPSKINSRHLTHLTNVCFQSTLIICELALVSQSSD RVYPFILKKALYLNLLFNLGISLPTQYLAYFKSTFSMGNQLFYYMFQILLQLFLILQR YYHGSSNERLTVISGQTAMILEVLLLFNSVAIFIYDLCIFEPINELSEYYKKNGWYPP VHVLSYITFIWMNKLIVETYRNKKIKDPNQLPLPPVDLNIKSISKEFKANWELEKWLN RNSLWRAIWKSFGRTISVAMLYETTSDLLSVVQPQFLRIFIDGLNPETSSKYPPLNGV FIALTLFVISVVSVFLTNQFYIGIFEAGLGIRGSLASLVYQKSLRLTLAERNEKSTGD ILNLMSVDVLRIQRFFENAQTIIGAPIQIIVVLTSLYWLLGKAVIGGLVTMAIMMPIN AFLSRKVKKLSKTQMKYKDMRIKTITELLNAIKSIKLYAWEEPMMARLNHVRNDMELK NFRKIGIVSNLIYFAWNCVPLMVTCSTFGLFSLFSDSPLSPAIVFPSLSLFNILNSAI YSVPSMINTIIETSVSMERLKSFLLSDEIDDSFIERIDPSADERALPAIEMNNITFLW KSKEVLTSSQSGDNLRTDEESIIGSSQIALKNIDHFEAKRGDLVCVVGRVGAGKSTFL KAILGQLPCMSGSRDSIPPKLIIRSSSVAYCSQESWIMNASVRENILFGHKFDQDYYD LTIKACQLLPDLKILPDGDETLVGEKGISLSGGQKARLSLARAVYSRADIYLLDDILS AVDAEVSKNIIEYVLIGKTALLKNKTIILTTNTVSILKHSQMIYALENGEIVEQGNYE DVMNRKNNTSKLKKLLEEFDSPIDNGNESDVQTEHRSESEVDEPLQLKVTESETEDEV VTESELELIKANSRRASLATLRPRPFVGAQLDSVKKTAQKAEKTEVGRVKTKIYLAYI KACGVLGVVLFFLFMILTRVFDLAENFWLKYWSESNEKNGSNERVWMFVGVYSLIGVA SAAFNNLRSIMMLLYCSIRGSKKLHESMAKSVIRSPMTFFETTPVGRIINRFSSDMDA VDSNLQYIFSFFFKSILTYLVTVILVGYNMPWFLVFNMFLVVIYIYYQTFYIVLSREL KRLISISYSPIMSLMSESLNGYSIIDAYDHFERFIYLNYEKIQYNVDFVFNFRSTNRW LSVRLQTIGATIVLATAILALATMNTKRQLSSGMVGLLMSYSLEVTGSLTWIVRTTVT IETNIVSVERIVEYCELPPEAQSINPEKRPDENWPSKGGIEFKNYSTKYRENLDPVLN NINVKIEPCEKVGIVGRTGAGKSTLSLALFRILEPTEGKIIIDGIDISDIGLFDLRSH LAIIPQDAQAFEGTVKTNLDPFNRYSEDELKRAVEQAHLKPHLEKMLHSKPRGDDSNE EDGNVNDILDVKINENGSNLSVGQRQLLCLARALLNRSKILVLDEATASVDMETDKII QDTIRREFKDRTILTIAHRIDTVLDSDKIIVLDQGSVREFDSPSKLLSDKTSIFYSLC EKGGYLK YLL014W MSSNEEVFTQINATANVVDNKKRLLFVQDSSALVLGLVAGFLQI ESVHGFIWFLILYNLINVIYIVWICQLQPGKFYQSPLHDIFFESFFREITGFVMAWTF GYALIG YLL013C MEMNMDMDMDMELASIVSSLSALSHSNNNGGQAAAAGIVNGGAA GSQQIGGFRRSSFTTANEVDSEILLLHGSSESSPIFKKTALSVGTAPPFSTNSKKFFG NGGNYYQYRSTDTASLSSASYNNYHTHHTAANLGKNNKVNHLLGQYSASIAGPVYYNG NDNNNSGGEGFFEKFGKSLIDGTRELESQDRPDAVNTQSQFISKSVSNASLDTQNTFE QNVESDKNFNKLNRNTTNSGSLYHSSSNSGSSASLESENAHYPKRNIWNVANTPVFRP SNNPAAVGATNVALPNQQDGPANNNFPPYMNGFPPNQFHQGPHYQNFPNYLIGSPSNF ISQMISVQIPANEDTEDSNGKKKKKANRPSSVSSPSSPPNNSPFPFAYPNPMMFMPPP PLSAPQQQQQQQQQQQQEDQQQQQQQENPYIYYPTPNPIPVKMPKDEKTFKKRNNKNH PANNSNNANKQANPYLENSIPTKNTSKKNASSKSNESTANNHKSHSHSHPHSQSLQQQ QQTYHRSPLLEQLRNSSSDKNSNSNMSLKDIFGHSLEFCKDQHGSRFIQRELATSPAS EKEVIFNEIRDDAIELSNDVFGNYVIQKFFEFGSKIQKNTLVDQFKGNMKQLSLQMYA CRVIQKALEYIDSNQRIELVLELSDSVLQMIKDQNGNHVIQKAIETIPIEKLPFILSS LTGHIYHLSTHSYGCRVIQRLLEFGSSEDQESILNELKDFIPYLIQDQYGNYVIQYVL QQDQFTNKEMVDIKQEIIETVANNVVEYSKHKFASNVVEKSILYGSKNQKDLIISKIL PRDKNHALNLEDDSPMILMIKDQFANYVIQKLVNVSEGEGKKLIVIAIRAYLDKLNKS NSLGNRHLASVEKLAALVENAEV YLL012W MGVSAVLKRARNLLATFIVCCFMAVVLVLALAHHFINEHRDTRS SSTQIEVDDESKRNVHHDHVLTRTNAYATPYLDLEHDKKNGIVYDHTRTVVRKKNHEV GSSSLHKNLFHKFLTKLIFRFIEKEKVTEGVTQGKFNNSSNEIANHEPVFEKIPVQCD NPLQNLILSEDLTLVADLNYYFNQYNIQIEEFRLETEDGFVIDLWHLIPKYRTTDSDK KKRPPILMLHGLLQSSGSFASNGRKSLAYFLYQSGYDIWLGNNRCGFRPEWNEAKVPT LASRWDWDLREMVKYDLTLLIDTVLAKTQFEKLTLISHSQGTTQGFMGLVNEDKFFPP GSGSKESFFTSKIANYIALAPAVYPGPLLNEKLFVKLMTKEIENPWFFGETSFFEIMM IVRNLCVGESLFSFVCYTIFNYLFDWNDTLWDTALRDRHFLFSPVHVSVKLMQWWLSP DPNKVSFKFGSHKMFPDNVKWFSDASKAPNIYLFVPKQDRLVDGERLINHFVNVESNV NYKIWYIDEYAHIDVLWAHDVIERIGKPILQNLNNYYSKKPSSAFESDCSDTEVETEL EMVAEKA YLL011W MKIKTIKRSADDYVPVKSTQESQMPRNLNPELHPFERAREYTKA LNATKLERMFAKPFVGQLGYGHRDGVYAIAKNYGSLNKLATGSADGVIKYWNMSTREE FVSFKAHYGLVTGLCVTQPRFHDKKPDLKSQNFMLSCSDDKTVKLWSINVDDYSNKNS SDNDSVTNEEGLIRTFDGESAFQGIDSHRENSTFATGGAKIHLWDVNRLKPVSDLSWG ADNITSLKFNQNETDILASTGSDNSIVLYDLRTNSPTQKIVQTMRTNAICWNPMEAFN FVTANEDHNAYYYDMRNLSRSLNVFKDHVSAVMDVDFSPTGDEIVTGSYDKSIRIYKT NHGHSREIYHTKRMQHVFQVKYSMDSKYIISGSDDGNVRLWRSKAWERSNVKTTREKN KLEYDEKLKERFRHMPEIKRISRHRHVPQVIKKAQEIKNIELSSIKRREANERRTRKD MPYISERKKQIVGTVHKYEDSGRDRKRRKEDDKRDTQEK YLL010C MGFISSILCCSSETTQSNSNSAYRQQQSSSLNKNRSVKHSNTKS RTRGVHQTNSPPSKTNSAATFSSTERSTGKSGISTNDNEKKKPSSPTAAVTATTTNNM TKVEKRISKDDLYEEKYEVDEDEEIDDEDNRRSRGIVQEKGDAVKDTSRQKKQQQQQQ QQSQPQPQPQSQSQSQSQSQSQQRGPTVQVSSDHLIQDMNLSRVSSSSQASETSNDAD DEDDEDEEYIDLTLLQQGQYHAPGYNTLLPPQDESTKGKKCLILDLDETLVHSSFKYL RSADFVLSVEIDDQVHNVYVIKRPGVEEFLERVGKLFEVVVFTASVSRYGDPLLDILD TDKVIHHRLFREACYNYEGNYIKNLSQIGRPLSDIIILDNSPASYIFHPQHAIPISSW FSDTHDNELLDIIPLLEDLSVKTSLDVGKILDVTI YLL009C MTETDKKQEQENHAECEDKPKPCCVCKPEKEERDTCILFNGQDS EKCKEFIEKYKECMKGYGFEVPSAN YLL008W MVVGTKKYSNLDFVPTISDSEDDVPILDSSDDEKVEAKKTTKKR KGKNNKKKVSEGDNLDEDVHEDLDAGFKFDLDADDTTSNFQGWNFLAEGESNKDDAEA FVKKDVDLDKIIRRKGGLVKMAHIDSKQEEETEKEKVEKENDSDDEELAMDGFGMGAP MNNGDENQSEEEEEEEEKEEEEEEEEEQEEMTLEKGGKDDEIDEEDDSEEAKADFYAP ETEGDEAKKQMYENFNSLSLSRPVLKGLASLGYVKPSPIQSATIPIALLGKDIIAGAV TGSGKTAAFMIPIIERLLYKPAKIASTRVIVLLPTRELAIQVADVGKQIARFVSGITF GLAVGGLNLRQQEQMLKSRPDIVIATPGRFIDHIRNSASFNVDSVEILVMDEADRMLE EGFQDELNEIMGLLPSNRQNLLFSATMNSKIKSLVSLSLKKPVRIMIDPPKKAATKLT QEFVRIRKRDHLKPALLFNLIRKLDPTGQKRIVVFVARKETAHRLRIIMGLLGMSVGE LHGSLTQEQRLDSVNKFKNLEVPVLICTDLASRGLDIPKIEVVINYDMPKSYEIYLHR VGRTARAGREGRSVTFVGESSQDRSIVRAAIKSVEENKSLTQGKALGRNVDWVQIEET NKLVESMNDTIEDILVEEKEEKEILRAEMQLRKGENMLKHKKEIQARPRRTWFQSESD KKNSKVLGALSRNKKVTNSKKRKREEAKADGNGARSYRKTKTDRIADQERTFKKQKST NSNKKKGFKSRR YLL007C MKHNRQMPDESLENIKVLLNPKLGKPVKSLTSAQSKACYHTLIS NKNLNKTSDEYEKLLANYILLCDEKYLCKTVIPDSRFWAILCDNCQKLRSETLVANLI RIFNVALKCQDSNKNEVIVSICHISRENSQLIGILLQLLSQRPIHIPLFTDTILCITL FLKCSLTLCETSLSHAVEFVPRILILLFQYNFPASMSELLYIEDLQPLILEEFVPLKQ RLINFLSSVSIDDYSCSLKADLLTAIKDNSVFQKGLEMEMGDLPSINLLNAYDTFTFL NSPNGSFKRLYTEQLLFGENDFPLYEAIFKLSDQFRRLFNLSGKKENQYSDSERDLKL QIATAVLNRQTCFYKTLELFLRFWIESLAKSQSDLVSLLNLAIITLKYVCLSSSDLEA AIQTKSLLKTQVVALDSMRYKFARTLQLDSIKKEQYRTWSSSIASFDTMLSGQVRDYV RHQRLLQLQKGTWVYAENPLNPEAGTPKVYFLIVSDNHANLLAREFETQTNDLPYLFD NKILTSPGSEALANGRTKVVVLKHITSFKSIELTTPSRRTSSNVYIKLDEANVYTGVE LKDRNDRTVLKFYLDTEEGRYIWLDGLKLISPFQHEDISEDTKEQIDTLFDLRKNVQM INLNVRQDIIVPPPEPSDEDEDEEFYNLETLKKVTQNFYFD YLL006W-A MTQLSQSNVERVALVYISVYFFSCISLIVYFFTFCLSVSIPKNR QHPIKIIYNNKCPS YLL006W MTDSENESTETDSLMTFDDYISKELPEHLQRLIMENLKGSTTND LKQTSNNSEFNVSKNGSFKGLDDAIQALQMQSVLHPSSLGSLATSSKFSGWSFAQGFF VGQLSIVLLFIFFLKFFIFSDEPSKSKNPKPAASRHRSKFKEYPFISREFLTSLVRKG AKQHYELNEEAENEHLQELALILEKTYYNVDVHPAESLDWFNVLVAQIIQQFRSEAWH RDNILHSLNDFIGRKSPDLPEYLDTIKITELDTGDDFPIFSNCRIQYSPNSGNKKLEA KIDIDLNDHLTLGVETKLLLNYPKPGIAALPINLVVSIVRFQACLTVSLTNAEEFAST SNGSSSENGMEGNSGYFLMFSFSPEYRMEFEIKSLIGSRSKLENIPKIGSVIEYQIKK WFVERCVEPRFQFVRLPSMWPRSKNTREEKPTEL YLL005C MNATKELTFNLLNKFQDKERFGSAQRHAGISLKGFISGILFSFL YFLFQLSLFIILRSRFKTIYQANVVLKIHPGSKVCFAKKKIKNYWSLFAFLKQLPGRM LDPMEKFERNERYGLDNYLFLRFLKLLIFFFAVLSIINIPILIPIHYFSRDILKENEG ERYEQSFRTTSKLDKWTMSNLSPNSSNTLICHLFLSIFVVLWFHFILSSELRFVNRLG YSVLTKSKYQNILYLEGFSSKLVTQSISLETFFQPLHSDCFGVTHFIPKNLKKVHKLE IKLNKLQKSKEQIIFEIILEKYFRRVSIHRHLIANHKRFFFSKLKNHLLFQYKKLVFL TQFRISYYCTKIRLRWKKSSIFPLYYPKLYVNTETILERKYRILDKIIRKEKLIKFQV NSLKATSETKQALPDDLSSGTDIYMDKMFITFKSTLLSNVIGELLSYRLPTQNLKVII GPNVNDIIWRNILDSSPLWKSAKYFSANILRIFVIIGWILPVAFLGLISQIPNISSLI PFTKIIHFQSPFIREVAKNLIPIVTLIIIIEIVPYFFRWLSYLRGLKTGAQIEADVQN WYFVFVFIHLFVVVTISSGFSIIIERLLNNPVSIPALLANDLPKCANFFCSFVLIRGM AYAGGNLLRIKELLFELFYYKWKRSTPHAQFKRLKTSLFFQLGSIYPIFSVLGCIGII YSVVAPIILLLCCISFSMVFFSFSYLFKYQYNKENYSETFGKLYIQALMQLYAGIYFM EFCLLGLFTLFDQYTLSTIMLVVFALTVITHSKISKQIKSKPQRIPTLEYLSNLTEER KDQFCQESYTFHDIFSICRNSDEIWLPRDKLGISEEEQSFLEKSYHLKFDLNMYSMNL FGDCHLENSHLH YLL004W MSDLNQSKKMNVSEFADAQRSHYTVYPSLPQSNKNDKHIPFVKL LSGKESEVNVEKRWELYHQLHSHFHDQVDHIIDNIEADLKAEISDLLYSETTQKRRCF NTIFLLGSDSTTKIELKDESSRYNVLIELTPKESPNVRMMLRRSMYKLYSAADAEEHP TIKYEDINDEDGDFTEQNNDVSYDLSLVENFKRLFGKDLAMVFNFKDVDSINFNTLDN FIILLKSAFKYDHVKISLIFNINTNLSNIEKNLRQSTIRLLKRNYHKLDVSSNKGFKY GNQIFQSFLDTVDGKLNLSDRFVEFILSKMANNTNHNLQLLTKMLDYSLMSYFFQNAF SVFIDPVNVDFLNDDYLKILSRCPTFMFFVEGLIKQHAPADEILSLLTNKNRGLEEFF VEFLVRENPINGHAKFVARFLEEELNITNFNLIELYHNLLIGKLDSYLDRWSACKEYK DRLHFEPIDTIFQELFTLDNRSGLLTQSIFPSYKSNIEDNLLSWEQVLPSLDKENYDT LSGDLDKIMAPVLGQLFKLYREANMTINIYDFYIAFRETLPKEEILNFIRKDPSNTKL LELAETPDAFDKVALILFMQAIFAFENMGLIKFQSTKSYDLVEKCVWRGI YLL003W MGKFGTTNKSTENLLRDKFVPETSPTNIPTDVLIKQGQITDSTE SLIHGGAERYIVNALKPIELNKTEGFFEDPPFHLPSPPVDSTNLEYEDVTDLPKNGLR YDLNDISVEVIEDLYRQIEAFLVHFKLSRSFLQIFKNYVNILIQEGINPLRDEYFTIL EDELKGFFTFNSVIEEILEIFLIHPRNKFIALSLAEYTYAKNKIRRHFNHWKTVCELN EEANRFANQAKLRVQEAVFYIWSDKTLKYSQMANDEAESFRNTWLLFRSFQQWITLTQ TLKEQSRLADQAFLNKMFRKILKAQEHWKHLETVNTDNIKKIFLRTTFHIWKLRHKEI NYHGLERRIFERIKQKVINYEYNKSIAEKVRSFSLQRKYLNKWEKKNIENEDKLGALY ELENKFIKQKFFRKLNRSFQHSQQEAIAKSKLNQTLLRCVFEKMWLKRFEDHLHLYSI VSLKEANLVKRIFHSWKKLLYIDLKASDYSRTNLLKSSLRSWKLEVKLKIFEQKCKKS IQASAYRTWRKRIQYGKISSEHVKTAFCAKYLGVWKRRMLQMNSMNDEASKFYEEGLV NECLAIWKERLIKTKELEDRYNFLCKTHAILTVKRTLMHIDNVHLLYTKLAPSMDRVK LSKAFLKWRKATRFKVRHKLNDILHVYEKSKERELQSQLFNAWRNRFCFYTEECNIQA ISKRNYQLEKMVLKKFRERLLEIVKSEELADEVREEFVLVKTFYIWKTHLDEIFYMST LLEQSEANKQFIITSKFLKMWSLRFLKIKRNDETVEVFRHRWDRATVRGLLLLWKNRS DSSPKRRKDFNLKHELKTPIRSDSQNASTIPGSERIKQHRMEAMKSHYSRARRAIPSP VKSSSVLDSTAKKQINLESTTGLNGSPTRGKPLRYSPRRTTRNMPSKVDHIDFGRIPA VPFSLSANSPKIDQDMDYIREHDKSPLSRKRQ YLL002W MSLNDFLSSVLPVSEQFEYLSLQSIPLETHAVVTPNKDDKRVPK STIKTQHFFSLFHQGKVFFSLEVYVYVTLWDEADAERLIFVSKADTNGYCNTRVSVRD ITKIILEFILSIDPNYYLQKVKPAIRSYKKISPELISAASTPARTLRILARRLKQSGS TVLKEIESPRFQQDLYLSFTCPREILTKICLFTRPASQYLFPDSSKNSKKHILNGEEL MKWWGFILDRLLIECFQNDTQAKLRIPGEDPARVRSYLRGMKYPLWQVGDIFTSKENS LAVYNIPLFPDDPKARFIHQLAEEDRLLKVSLSSFWIELQERQEFKLSVTSSVMGISG YSLATPSLFPSSADVIVPKSRKQFRAIKKYITGEEYDTEEGAIEAFTNIRDFLLLRMA TNLQSLTGKREHRERNQPVPASNINTLAITMLKPRKKAKALPKT YLL001W MASLEDLIPTVNKLQDVMYDSGIDTLDLPILAVVGSQSSGKSSI LETLVGRDFLPRGTGIVTRRPLVLQLNNISPNSPLIEEDDNSVNPHDEVTKISGFEAG TKPLEYRGKERNHADEWGEFLHIPGKRFYDFDDIKREIENETARIAGKDKGISKIPIN LKVFSPHVLNLTLVDLPGITKVPIGEQPPDIEKQIKNLILDYIATPNCLILAVSPANV DLVNSESLKLAREVDPQGKRTIGVITKLDLMDSGTNALDILSGKMYPLKLGFVGVVNR SQQDIQLNKTVEESLDKEEDYFRKHPVYRTISTKCGTRYLAKLLNQTLLSHIRDKLPD IKTKLNTLISQTEQELARYGGVGATTNESRASLVLQLMNKFSTNFISSIDGTSSDINT KELCGGARIYYIYNNVFGNSLKSIDPTSNLSVLDVRTAIRNSTGPRPTLFVPELAFDL LVKPQIKLLLEPSQRCVELVYEELMKICHKCGSAELARYPKLKSMLIEVISELLRERL QPTRSYVESLIDIHRAYINTNHPNFLSATEAMDDIMKTRRKRNQELLKSKLSQQENGQ TNGINGTSSISSNIDQDSAKNSDYDDDGIDAESKQTKDKFLNYFFGKDKKGQPVFDAS DKKRSIAGDGNIEDFRNLQISDFSLGDIDDLENAEPPLTEREELECELIKRLIVSYFD IIREMIEDQVPKAVMCLLVNYCKDSVQNRLVTKLYKETLFEELLVEDQTLAQDRELCV KSLGVYKKAATLISNIL YLR001C MNMAIQTIKYIFWLLPILGLTQALLQNPGDDFPFSTVIDILSEN VEFSTFLRIIQKTGHVQYLNELQNFTLFAPINSAFIKGDQTTDQFEEHFHIEDFLIHD RVLQVRDLENGTYLEKRAAKAPLLLRKHERHCFVNEIAVVEPDLLPSFQNASLQGINN LLLIQPQINELLVQLDEETQDLKIFSDFISSFSNYNAYTNSSTVLVPLDVNFRKFFNT IEINYLLDKYNKLGKSNTISQAKWAADRTSLLQELIIDDVYGGILPKELILENKNNRK LFMKSNSEGTSVSVNNSDYSPISNRIFEIGVVHGFSDLDFLRTHIQFDAEKYLHGLNC SEFVKELYFRDLEKFIQNGRKITIFVPQASFNEDRGYTKPSLLYHFVEGKIDLEQDFS SLRPIQYAPTQIYDSAFCSSAKRLGGHCQKFKITRSNKGYYINGRFKILNTKPYEIGN TSIYSIDDDLQLPGDLVLSLAPENHCSISLMLLKELNLLDLPSNHKGYTILLPCMNSW DNNDLTIDYLRSNKTALNLLMRNLIFEDLIYSNNYSISTTVKNLYGNSVSIGVQKIVG SQNLTKISVSTIKESIIIEESSDIFFNQGVIHPIDQLDFPVDLEISLKELIETTGTKE IFDFFNLFYDLSSIIWNNEEYSLLVPTASSIPLSGITANSTNLRKFLELHLIPANVTQ NLLDCNGSISTKLGTKLNCRKDHLDNVFVSIQGDWTKEVRVLKTGCTTNLKSSCIFLI DKPISLSWLNSEKYHLRLPGIAVGFGVIIGVTIAISLLFCIIITRGGKVKDKNQRGRN DRATTPLIQHSPIIHNPSYSATAHLSPLSQPTFEGSYSVNAIQTPRDIRRVGSDQKGG RSVSTS YLR002C MAKRNRSQFRIQERTAKKRKHEDSLLEGNVFQNAPEDMDENTIY SAKGSSWDEEEQDYEMVPRKNRSDTSNLVEGLPIKVNGKVERKLHKAQEKPKDDDEED EDSNDSSEDDEGPNEEQEAEAKEDEPDTEEKILQLKEDIADLVTKVMEEPEENTAALG RLCKMVESKNPNTCKFSMLALVPVFKSIIPGYRIRPLTETEKKEKVSKEVSKLRNFEQ ALVYNYKNYVGRLQSLSKTPSNAAPIQVSLGILATQAAKELISTASHFNFRTDIFTLL LRRICKPRISTDPTSIQIIQTFETLLNEDEEGSISFEILRIFNKILKTRNFNIEESVL NMLLSLDVLHDYDPNTKLKGNVSAPKLKKKDRVHLSKKQRKARKEMQQIEEEMRNAEQ AVSAEERERNQSEILKIVFTIYLNILKNNAKTLIGSVLEGLTKFGNMANFDLLGDFLE VMKELISDTEFDNLSSAEVRKALLCIVSAFSLISNTQYMKVNVDLSKFVDGLYALLPY ICLDADIELSYRSLRLADPLNNEIIKPSVNVSTKAELLLKALDHVFFRSKSGTKERAT AFTKRLYMCISHTPEKTSIAILKFIDKLMNRYPEISGLYSSEDRIGNGHFIMEADNPS RSNPEAATLWDNALLEKHYCPVVTKGLRSLSSRSKECSK YLR003C MSNPDDLDDGLAYDFDAEHEVIFDAKDGSPPTKKVQKRSIEQDD DDVDDIDGKKEERNSEDDSNRPISKRQKKLQKKSKLIEKKKEESQYIVSQRKALPASS PEKIIEYLTTLIREKNPDLSVLELEELYFKRNDFLSTEKFDAERRLSNFPAFIQKFSV APKKIVFSMSNIRVADVYRSLNGGKNCVKLFSKSKLKDDIATVERLLTDSSKKSNKNK DSLYFIATPTRMQKIIEATDLLFQGKEKLDIILDASYLDPKDNTILSFENAAVLCQVL KTFLNKKSSVKILLY YLR004C MKNMSQRSMDVEKKAANADSCSVSTSSINVDDADVALRFLKQNG LDESSTANEDDVVAGEEANFYGSHELSPKVLRKVDLFILPFLCCTYLLMFLDKALLNY AASMGIKDHLKGNEFSNLGTIFSAAYIFMEPVVTYLIQKFPISKILGTFITVWGIVLA CHAACKTYASLMVVRTLLGLFESSSAVGCIAISGMYYTKSEQSARIGFWATQAGTGYI VGGLISFGFLHYHGTAFTSWQIMFLVVGLVTVAFGVLTFLYLPDNVTNAWFLNKEEKI QVVEHIRANQTGLETKKFKKQQVKELFLHDKFTWPMLLLTACSQISTGAIGTFSVTIT GTFGFDKYETALLQLPIGAITAMIILITTQMLSRWGHITLITTSMYIPAIIGCIVLIS LPLSHKIGNLFSLYLLYSGSCVITNIYIWNSCNTSGYTKRVFRNAITMIVYNVSCIIA PQMFRAYSAPRYIPAKIALLVTQCVCVPLQLYIGYICKKENEKRDKEQEGQERKKYQF LDLTDIENRNFRYIY YLR005W MAPVVISESEEDEDRVAITRRTKRQVHFDGEGDDRVDQQQQQHS SSHRDRDKHVQRKKKKRLSNRNLQGSNGGYAWEDEIKRSWDLVKVDDEGDMASLVASI VEARKKRTAKKNITPYQRGIIRSLILTLDCSEAMLEKDLRPNRHAMIIQYAIDFVHEF FDQNPISQMGIIIMRNGLAQLVSQVSGNPQDHIDALKSIRKQEPKGNPSLQNALEMAR GLLLPVPAHCTREVLIVFGSLSTTDPGDIHQTIDSLVSEKIRVKVLGLSAQVAICKEL CKATNYGDESFYKILLDETHLKELFNEAVTPLPVNKINKGFTLVKMGFPTRIFEDTPT FCSCHSKLVYGGYFCPNCHSKVCSLPTVCPCCDLMLILSTHLARSYHHLMPLKTFAEV PTTEKFRSEDCFSCQSRFPILKNHKNGKLLTSSRYRCEDCKQEFCVDCDVFIHEILHN CPGCESKPVIT YLR006C MLNSALLWKVWLRIDNSTDEVNQPIAVQFDEIDTVDDLKSRFFQ KLSSTRWREINDNASIAIGLYAPKFDNQADNTSSNNTNDNSCRSKSNGAGSGANLSVN SNTKSSVSPTAGSFGLSKDLAKDRNVLQHPKPTQKRGALYDAFAAVPTVAATTNVDFP PNEAPMLSPQRPYSTSPKQFPATTKSPLLRFASVSPYPKFHSDNQIMASAGLTYVSPH NKNKYTRPLIRKGLNFTTESVNDCTYKIIFEPDELAINIYKELFGTMGSQPASQPLLI FSNVNLRQDVPPLDILNVVDYVPTNEEISQQKTQPTDHGAVGVFHLDDHISPGEQGLK QTIGDKADLKGKDGNSSPQEFKLITDEEQLRRASQELKDEEKDAESPWQAILLLPKGY KGGVDFRNKPVAHTDSSFNNEDTITHSELEVNTGSPSQESGSLNEAGIGITQPMSEVQ RRKEDVTPASPILTSSQTPHYSNSLYNAPFAVSSPPDPLPNLFTTTSEKVFPKINVLI VEDNVINQAILGSFLRKHKISYKLAKNGQEAVNIWKEGGLHLIFMDLQLPVLSGIEAA KQIRDFEKQNGIGIQKSLNNSHSNLEKGTSKRFSQAPVIIVALTASNSQMDKRKALLS GCNDYLTKPVNLHWLSKKITEWGCMQALIDFDSWKQGESRMTDSVLVKSPQKPIAPSN PHSFKQATSMTPTHSPVRKNSNLSPTQIEL YLR007W MEVHEEQVSAPVTGDATAKYLLQYILSARGICHENALILALMRL ETDASTLNTEWSIQQWVDKLNDYINAINVKLNLLGYKIIRINHGIGRNAVTLKAKQNF ESFEDNTAIRAHNNDYAVLQSIVLPESNRFFVYVNLASTEETKLATRFNQNEIEFMKW AIEQFMISGETIVEGPALETSIIVKEVNRILVAATGDSNLAKWRKFSTFTVGSTNLFQ FQELTATDIEDLLLRLCELKWFYRTQEGKFGIDLRCIAELEEYLTSMYNLNTCQNCHK LAIQGVRCGNESCREENEETGENSLSQIWHVDCFKHYITHVSKNCDRCGSSLITEGVY VI YLR008C MSSQSNTGNSIEAPQLPIPGQTNGSANVTVDGAGVNVGIQNGSQ GQKTGMDLYFDQALNYMGEHPVITGFGAFLTLYFTAGAYKSISKGLNGGKSTTAFLKG GFDPKMNSKEALQILNLTENTLTKKKLKEVHRKIMLANHPDKGGSPFLATKINEAKDF LEKRGISK YLR009W MRIYQCHFCSSPCYPGHGIMFVRNDAKEFRFCRSKCHKAFKQRR NPRKLKWTKAFRKAAGKELAVDSTLTFAQRRNVPVRYNRELVATTLKAMARIEEIRQK RERAFYKNRMRGNKEKDFLRDKKLVESNPELLRIREVEIARKLAKEQERAESVSEQEE SEEEEEDMEIDSDEEEEEQLEKQKILLKNRRRNTKKIAF YLR010C MSQLVLDLKCLKDKIATNYDIHNNVYGGNGMEPNIIHPSKRFRI VVRLVDFLFCKSDEEFIKGFFCQMIVRNLHCLNSTNGAEEMRLYMSERLFSAHKDDLR LINGQVLDVRIGVWYGIHQSPPIFEIIDFKILSRNDVRDFCEFVKSPLGEKFLNISNS YLR011W MKVGIIMGSVRAKRVCPEIAAYVKRTIENSEELIDQKLKIQVVD LQQIALPLYEDDDELIPAQIKSVDEYADSKTRSWSRIVNALDIIVFVTPQYNWGYPAA LKNAIDRLYHEWHGKPALVVSYGGHGGSKCNDQLQEVLHGLKMNVIGGVAVKIPVGTI PLPEDIVPQLSVHNEEILQLLASCIETTRNK YLR012C MKTVYYKEITYQQYLQLQPEQQEKYLALCQKDFEQETERIAFDR QGGVPGIARKFAQEEVAWFDRVTTWSYMNAYIPSYRRRRNLLKIDMLKMSNAEEY YLR013W MNIKTLCHPEYKRISVESLLNPVEETIDCEKPHSQTKINTAKPI SASLYVTTNNTAVVQHNVQKRKGVTRRCPQCAVIKTSPQWREGPDGEVTLCNACGLFY RKIFLVFGKDLAKRYFNEIKGVSVKRKVPKSLYGVTRTR YLR014C MKQKKFNSKKSNRTDLSKRGDSPNIGISKSRTACKRCRLKKIKC DQEFPSCKRCAKLEVPCVSLDPATGKDVPRSYVFFLEDRLAVMMRVLKEYGVDPTKIR GNIPATSDDEPFDLKKYSSVSSLGEEGILPHNGLLADYLVQKGNSMASSAITSKSMAS PQTINVQRKEFLVNSKKQDGSALLPETGSPMTSDARAEELRRCNKEISALGTMRESSF NSFLGDSSGISFAKLVFTATNFRQDSGDDVLDEDIKQREQKYNGYAEAENNPHFDPLE LPPRHAAEVMISRFFVDTNSQLPLLHRELFLKKYFEPIYGPWNPNIALASDQTGINSA FEIPITSAFSAHTEPKRENVTEKIDVCSSVDVPWYDTWETSQKVNMRPIVELPTKFHI PYFFLNIIFAIGHATQVLKSDITTVATYKRRATKYIASLFSSSDRLEALAGTLLMVIY SIMRPNVPGVWYTMGSVLRLTVDLGLHSEKINKNYDAFTREIRRRLFWCVYSLDRQIC SYFGRPFGIPEESITTRYPSLLDDSFITLTNREIDDYSDLPSPNPSSKVIALAMYKIR RIQASIVRILYAPGAELPRRFMDLESWRIETYNELERWFQVDVPKNFEMMNCKFNSIW FDLNYHYSKSILYGLSPKFPTLNDTAFKIVLDSTKGTIDVFYNLCVNKKIGYTWVAVH NMFMTGMTYLYVNFYSKNNINDCQEKVSEYTEKVLIVLKNLIGFCESAKTCYTSYKIL SSVVIKLKFMQINDAKGIFSDSNPLTSQANRMSSYDKKTNVLGFDDGTFDNKVFNRTN FEEKAPFDIPLDEFFTELEKHSNVSQFNTLDVSEGNQVINESASTNTSSALNCQSYTN NQDIMDILFQVTSGSVWDEFFVRSGNGNEGESSYDISKGKNSESGGIF YLR015W MKLGIIPYQEGTDIVYKNALQGQQEGKRPNLPQMEATHQIKSSV QGTSYEFVRTEDIPLNRRHFVYRPCSANPFFTILGYGCTEYPFDHSGMSVMDRSEGLS ISRDGNDLVSVPDQYGWRTARSDVCIKEGMTYWEVEVIRGGNKKFADGVNNKENADDS VDEVQSGIYEKMHKQVNDTPHLRFGVCRREASLEAPVGFDVYGYGIRDISLESIHEGK LNCVLENGSPLKEGDKIGFLLSLPSIHTQIKQAKEFTKRRIFALNSHMDTMNEPWRED AENGPSRKKLKQETTNKEFQRALLEDIEYNDVVRDQIAIRYKNQLFFEATDYVKTTKP EYYSSDKRERQDYYQLEDSYLAIFQNGKYLGKAFENLKPLLPPFSELQYNEKFYLGYW QHGEARDESNDKNTTSAKKKKQQQKKKKGLILRNKYVNNNKLGYYPTISCFNGGTARI ISEEDKLEYLDQIRSAYCVDGNSKVNTLDTLYKEQIAEDIVWDIIDELEQIALQQ YLR016C MFHRRKRPYNTRNYGHDDKKFKSQYIDIMPDFSPSGLLELESNN KEGIALKHVEPQDAISPDNYMDMLGLEARDRTMYELVIYRKNDKDKGPWKRYDLNGRS CYLVGRELGHSLDTDLDDRTEIVVADIGIPEETSSKQHCVIQFRNVRGILKCYVMDLD SSNGTCLNNVVIPGARYIELRSGDVLTLSEFEEDNDYELIFMNV YLR017W MNRIKNTFSVAKRLKLSKVMTNSELPSIFEGTVDLGIIGGTGLY NLDCLEPIALLPPMVTPWGTTSSPVTISQFVGTNSHFHVAFIARHGINHEYPPTKVPF RANMAALKNLNCKAVLSFSAVGSLQPHIKPRDFVLPQQIIDRTKGIRHSSYFNDEGLV GHVGFGQPFSQKFAEYIYQFKNEITNPESEEPCHLHYDKDMTVVCMEGPQFSTRAESK MYRMFGGHVINMSVIPEAKLARECELPYQMICMSTDYDAWRDEAEPVTVETVIGNLTN NGRNANILASKIIVSMAKEIPEFMHTGDGLRGSIKKSISTKPEAMSKETLERLRYLFP NYW YLR018C MKIQAGQLGLDDNDVPGPLPDTDSKPSSQSQNDTPMFKLGNFES PVLKELSRRTVNKEMETQRIMTNVIAFAFWNLLVKFIKFFWNNTHVGRQFCNRLSRIH LYMLTFHTLKKANIIYHTTFSWLNAELLDYLFHLLISLNILFSLWKLLSTVKVSDLNL TDRQKKLLGVDMQSSVDTGLQPQHPHYVSTSKISQMAQNKTHIPQTNLKNHPAYLFKG LETPLKARQREMAEEQTKLQSQSLHTKNVFGTLQRHSGISSTLVSANNDNNSPHTPVT RKGYIPSSKYAYMMNSQSPRGKI YLR019W MGFIANILCCSSDTSKTHRQRQPPETNHNRNRNRKHSSNKAQTQ GRKQKATPNGDKMQYSTPEILLSSSDSGSNAGSKTMQENGNSGNGKLAPLSRDHSNNS YDEEKEYEDYNEGDVEMTEVNNAGEEEEEDDEAKEKQDHVVHEYNVDADRNSSINDEA PPQQGLYQVGQEDMNPQYVASSPDNDLNLIPTTEEDFSDLTHLQPDQYHAPGYDTLLP PKLQEFQQKKCLILDLDETLVHSSFKYMHSADFVLPVEIDDQVHNVYVIKRPGVDEFL NRVSQLYEVVVFTASVSRYANPLLDTLDPNGTIHHRLFREACYNYEGNYIKNLSQIGR PLSETIILDNSPASYIFHPQHAVPISSWFSDTHDNELLDIIPLLEDLSSGNVLDVGSV LDVTI YLR020C MVNKVVDEVQRLVSAIILTSFMTGLFILSLWKNYVTVHFQHKND PRDTRSSRTKIQPNDKKKKRPARHSRPLSISSTTPLDLQRDQENNIEYDRTVTSKLSM TSNASLSENGDGNANIKMETNVNQAPYAAENPFQNIALAEDTKLVPDLKYYYKEYGID IEEFEVETDDGFIIDLWHFKSRLNDGVEEVKREPILLLHGLLQSCGAFASSGRKSLAY FLYESGFDVWLGNNRCGLNAKWNMKKLGNDHSKKWDWDMHQMVQYDLKALINYVLDST GYAKLSLVAHSQGTTQGFMGLVNGEKLYASDFKLVDKLENFVALAPAVYPGPLLDEKA FVRLMAKGIDSPWYFGRRSFIPLMMTMRKLMVGTKIFSFLSYIMFNYLFDWNDVLWDR VLRDRNFLFSPVHISVKLMQWWLSPLPNKLSFKKGAEKIFPDKKTWFPIAKNDDDSGN NLDNNKLHLNPKRQNSEEFPHIIMFIPKQDRLVDGERLINHFINHEANAVYKIWYIDE YSHLDVLWAHDVIDRIGKPMIENLRFPNAR YLR021W MISYEFQTHLPKGKDSSLNASSENKELYVQATHFNNTILLQIRL NGEMDSTYEVSSKGLNPILDINVPLAGNLGNTGGDYDDEEEEFVRDHLSDYQVVTKLG DSADPKVPVVCVQIAELYRRVILPEVSGTMAQDNMQFSLLISMSSKIWRATKEQSADD NDFGKLVFVLKCIKDMYAK YLR022C MPINQPSGQIKLTNVSLVRLKKARKRFEVACYQNKVQDYRKGIE KDLDEVLQIHQVFMNVSKGLVANKEDLQKCFGTTNVDDVIEEIMHKGEIQLSEKERQL MLNKVNNEMLTIVSAKCINPVSKKRYPPTMIHKALQELKFSPVINKPAKLQALEAIKL LVSKQIIPIVRAKMKVKVAISEPSRQPELIEKISKLIASSPGESTKPELDPWTCTGLI DPVNYRDLMTLCDKKGTVQVLDMAVIDNTTHN YLR023C MMDSSSKSLTQYIPSPMGSLSRLKQKGVDNFQKVKKSGKSIYNY NYSKFVPHPFSTIDESVKHSESGRYDDLEIIRPTKEKEVTSSVYKRNSGKSLNTESQF SLGDSDAATLVNSVATFKLNNASTSTSLVSSSSTVCSQAKSSLRSPTSRLNDTKIKEE NNYISSVKDYCGPMRKSMVKTEILIEEPLNPTTDIKSFINSYNHGKAYSLGETQHLHY YQLPFPWRENRYIIHGYRFYNTHSKSLLSIFNWYGWHNETSNIWSHLLGAIYIIYLAI YDFPQSEVWRNSQVPPQARWIVFMFLAAALKCMLSSVFWHTFNGTSFLKLRSKFACVD YSGITILITASILTTEFVTMYSCYWAMYTYMSISLALGVFGVFMNWSPRFDRPEARPL RIRFFILLATMGVLSFLHLIFLTDLHYAATLFSPVTYKSVVWYLVGVVFYGSFIPERF RSDVQVDKTIPTNYELSTDLEIITKQREIHFREVPTAHSKCSSCPSHAKSFKSLWWVD YFGCSHTFWHFFVVLGVIGHYRAILDMFAKRWILS YLR024C MEDSDLSITNIRDFLTELPKLAKCEYSETTSYLLWKTLNLRLKH SDNDINWRSLVSILNSEAWENEKYRDILNGRKWRTLEFENDHHSVGNMHIGTACTRLC FPSETIYYCFTCSTNPLYEICELCFDKEKHVNHSYVAKVVMRPEGRICHCGDPFAFND PSDAFKCKNELNNIPISNDNSNVTDDENVISLLNYVLDFLIDVTVSYKEEAEAHSSER KASSLMHPNQNSITDDIMEKHECEPLVNDENFVFFDNNWSNTRKEAHMEWAIQIEEEE CNVHYMDLASTITRILNTPVEYAISITKALEDSHDVVTVLQSENFFEIDQIAKEFQKE NIVVHVRKADDIFKRKLTDDLTDWLYSLCFKAATSLQNKYALRISMLDVWYSHFSKMR VSPTNTNPDFSKINLLGGFLISNEDSDESWFKPWSLENIEDERISKILTNYNERLIRA HSPNTVSHFYNFYGSRFQYIIINSINILSKKSKFKMLKIMASLFSLRDESRKFLAAQY IDVYLSVLYDAVASDAKECQVTLMSILGQYTFQDPSIANMTISSGFIERTIRFAFTLM AFNPEDLMSYLPISLYNGFKLPTETIRNRRTIICFKDLCTIMSANTVPEELLSNEAIF NAIIESFSEFSNVLPLKRETKEHVEVENFDFSAFYFFFSSILIMTDGYTRSISLVKDA AFRKQIVLKLLDVAQTREFESLTNSRKAISPDNASTNENDSNKATLSTVRETICNYVA ETINFQVGVNTQYFFNPMSYLFKFVIQWSQCGRYEPIPASLTNYINLFEVFQDKQKAL YISESALSTLVLIGQINVGFWVRNGTPITHQARMYTKYSMREFTYISDIFNVQFSMAM CNPDELMVTYLSRWGLKHWANGVPMYDYPDTETTVAVVNECILLLIQLLTEVRSLVMK SSKEGFERTFKSEIIHALCFDTCSYAQIVNCIPEHITKHPSFDIYLEKYANYTSPVSL TDNGIFVLKEKYKDEIDPYYIGLSSSRRYDVEKNIRLNMANLKKMKYEDTFVPAKKVK DLLKNTLFSGLYSISSVNTFGLFLKNTLDHIIKYDYDNLLPRVVHLIHLCVVNNLNEF MGILWHEYAIVDTEFCHYHSIGSILYYCLLKDNFSESHGKIREIFRYLMETAPHVNVN SYLREQTTSYTPGILWPTKEDKSHKDKEFERKKHLARLRKKKLMKKLAQQQMKFMENN SVDTSDISTPRTTSPSLSPTRINAENSSNTINSCCDDDCVFCKMPKDDDVFVYFSYQE RNICDHGIDFTNPTDVNRINSLFSGKQTKDSAIQENPQDDDGTRLKFTSCEPVLRACG HGSHTKCLSGHMKSIRGIQNQTTKNIPLSYGSGLIYCPVCNSLSNSFLPKTNDIDKRT SSQFFMCIEKRSEAEENLDPMSSICIKAAMILGDLQGKKVTTIEDAYKVVNSVFINTI SNTELRLRSHKKEGKIVNMERISSQCILTLHLVCELKSFIYKKFVNSKTFSSEISRKI WNWNEFLIKGNNVNLLLYMSQNFDNIDGGKTPQPPNLCIYEMFKRRFHQLLLLLARDM MRVNFYKDCRNKIKISSNGSEEPSTSFSYLFNTFKKYVDLFKPDDVRFDFTSLEKIKD FICSLLLESLSIFCRRTFLLFNIQYDDDGDGDNNNNRSNNFMDVKQREIELIFRYFKL PNLTHFLKDFFYNELTQNIERYNDGNDNLRIQQVIYDMVQNINTRAYPSPEHIQLIEL PLNLSKFSLDNDEISNKCDKYEIAVCLLCGQKCHIQKSIALQGYLQGECTDHMRNGCE ITSAYGVFLMTGTNAIYLSYGKRGTFYAAPYLSKYGETNEDYKFGTPVYLNRARYANL ANEIVFGNMIPHIVFRLTDGSADLGGWETM YLR025W MWSSLFGWTSSNAKNKESPTKAIVRLREHINLLSKKQSHLRTQI TNQENEARIFLTKGNKVMAKNALKKKKTIEQLLSKVEGTMESMEQQLFSIESANLNLE TMRAMQEGAKAMKTIHSGLDIDKVDETMDEIREQVELGDEISDAISRPLITGANEVDE DELDEELDMLAQENANQETSKIVNNNVNAAPISENKVSLPSVPSNKIKQSENSVKDGE EEEDEEDEDEKALRELQAEMGL YLR026C MNIKDRTSEFQQSVLSYKKRNKNFREQQRERLQEKESENFANNT TGNGKSVSEFQKKASGIAHEISSTAQLLSKLAVLAKRKPMFNDNPVEIAELSFLIKRK IYAIEQSLVQLSQLKKTDVNGNTSNQSSKQPSAVQHSKNVVNLLNTQMKNISGSFKDV LEERQRLEMANKDRWQKLTTDTGHAPADDQTQSNHAADLTTYNNSNPFMTSLLDESSE KNNNSSNQGELSFPQNDSQLMLMEEGQLSNNVYLQERNRAVETIESTIQEVGNLFQQL ASMVQEQGEVIQRIDANVDDIDLNISGAQRELLKYFDRIKSNRWLAAKVFFIIFVFFV IWVLVN YLR027C MSATLFNNIELLPPDALFGIKQRYGQDQRATKVDLGIGAYRDDN GKPWVLPSVKAAEKLIHNDSSYNHEYLGITGLPSLTSNAAKIIFGTQSDAFQEDRVIS VQSLSGTGALHISAKFFSKFFPDKLVYLSKPTWANHMAIFENQGLKTATYPYWANETK SLDLNGFLNAIQKAPEGSIFVLHSCAHNPTGLDPTSEQWVQIVDAIASKNHIALFDTA YQGFATGDLDKDAYAVRLGVEKLSTVSPVFVCQSFAKNAGMYGERVGCFHLALTKQAQ NKTIKPAVTSQLAKIIRSEVSNPPAYGAKIVAKLLETPELTEQWHKDMVTMSSRITKM RHALRDHLVKLGTPGNWDHIVNQCGMFSFTGLTPQMVKRLEETHAVYLVASGRASIAG LNQGNVEYVAKAIDEVVRFYTIEAKL YLR028C MGKYTKTAILSVYDKTGLLDLAKGLVENNVRILASGGTANMVRE AGFPVDDVSSITHAPEMLGGRVKTLHPAVHAGILARNLEGDEKDLKEQHIDKVDFVVC NLYPFKETVAKIGVTVQEAVEEIDIGGVTLLRAAAKNHSRVTILSDPNDYSIFLQDLS KDGEISQDLRNRFALKAFEHTADYDAAISDFFRKQYSEGKAQLPLRYGCNPHQRPAQA YITQQEELPFKVLCGTPGYINLLDALNSWPLVKELSASLNLPAAASFKHVSPAGAAVG LPLSDVERQVYFVNDMEDLSPLACAYARARGADRMSSFGDFIALSNIVDVATAKIISK EVSDGVIAPGYEPEALNILSKKKNGKYCILQIDPNYVPGQMESREVFGVTLQQKRNDA IINQSTFKEIVSKNKALTEQAVIDLTVATLVLKYTQSNSVCYAKNGMVVGLGAGQQSR IHCTRLAGDKTDNWWLRQHPKVLNMKWAKGIKRADKSNAIDLFVTGQRIEGPEKVDYE SKFEEVPEPFTKEERLEWLSKLNNVSLSSDAFFPFPDNVYRAVQSGVKFITAPSGSVM DKVVFQAADSFDIVYVENPIRLFHH YLR029C MGAYKYLEELQRKKQSDVLRFLQRVRVWEYRQKNVIHRAARPTR PDKARRLGYKAKQGFVIYRVRVRRGNRKRPVPKGATYGKPTNQGVNELKYQRSLRATA EERVGRRAANLRVLNSYWVNQDSTYKYFEVILVDPQHKAIRRDARYNWICDPVHKHRE ARGLTATGKKSRGINKGHKFNNTKAGRRKTWKRQNTLSLWRYRK YLR030W MEGEQIMEYVQETPIIPKRIIHYSIPKQMITKPAPHVEMTLVAN TFRDMDLPQHPVIHDCWQNKEYSTQRYSGNVAQQRLSFEEHPNEECQNSVGLIKRVST FFKKRPLSRKNSIKSIGDVKSEARNRGEGLLGEVDNLNEQNVRENLTSEHEKSPEGDS KRYGLFSFEETPPIQVLEQGNINSELSSFKNTSLAENKRSSDSFVSLKPGEDEHSPLE ISTCGNLTEREDLQSGEERFDSAAQNIKVASMKEKKKIFKGNKTD YLR031W MPVLNTRTSYPNIDFHGTKVSDVLDAFEFEKHDDPLRDKWNTLQ FLEKSFESKFESASELIQGGELAAIKERNFQLAKLNNLCFRVRESIKRRQDLEKKLRT LSQDTDNELLFLMLENERRKKSSVIIEFLSEIIREKSKRLTAEEQGFVNQNEVKPLIL DLSARINRLNSILETKNTCIRRLSNQ YLR032W MSHIEQEERKRFFNDDLDTSETSLNFKSENKESFLFANSHNDDD DDVVVSVSDTTEGEGDRSIVPVRREIEEEGQNQFITELLRIIPEMPKDLVMELNEKFG SQEEGLSLALSHYFDHNSGTSISKIPSSPNQLNTLSDTSNSTLSPSSFHPKRRRIYGF RNQTRLEDKVTWKRFIGALQVTGMATRPTVRPLKYGSQMKLKRSSEEISATKVYDSRG RKKASMASLVRIFDIQYDREIGRVSEDIAQILYPLLSSHEISFEVTLIFCDNKRLSIG DSFILQLDCFLTSLIFEERNDGESLMKRRRTEGGNKREKDNGNFGRTLTETDEELESR SKRLALLKLFDKLRLKPILDEQKALEKHKIELNSDPEIIDLDNDEICSNQVTEVHNNL RDTQHEEETMNLNQLKTFYKAAQSSESLKSLPETEPSRDVFKLELRNYQKQGLTWMLR REQEFAKAASDGEASETGANMINPLWKQFKWPNDMSWAAQNLQQDHVNVEDGIFFYAN LHSGEFSLAKPILKTMIKGGILSDEMGLGKTVAAYSLVLSCPHDSDVVDKKLFDIENT AVSDNLPSTWQDNKKPYASKTTLIVVPMSLLTQWSNEFTKANNSPDMYHEVYYGGNVS SLKTLLTKTKTPPTVVLTTYGIVQNEWTKHSKGRMTDEDVNISSGLFSVNFYRIIIDE GHNIRNRTTVTSKAVMALQGKCKWVLTGTPIINRLDDLYSLVKFLELDPWRQINYWKT FVSTPFESKNYKQAFDVVNAILEPVLLRRTKQMKDKDGKPLVELPPKEVVIKRLPFSK SQDLLYKFLLDKAEVSVKSGIARGDLLKKYSTILVHILRLRQVCCHPGLIGSQDENDE DLSKNNKLVTEQTVELDSLMRVVSERFDNSFSKEELDAMIQRLKVKYPDNKSFQSLEC SICTTEPMDLDKALFTECGHSFCEKCLFEYIEFQNSKNLGLKCPNCRNQIDACRLLAL VQTNSNSKNLEFKPYSPASKSSKITALLKELQLLQDSSAGEQVVIFSQFSTYLDILEK ELTHTFSKDVAKIYKFDGRLSLKERTSVLADFAVKDYSRQKILLLSLKAGGVGLNLTC ASHAYMMDPWWSPSMEDQAIDRLHRIGQTNSVKVMRFIIQDSIEEKMLRIQEKKRTIG EAMDTDEDERRKRRIEEIQMLFE YLR033W MTESVGGNKLVDFLVNVQSILNAASVKCHVVDESFPAKFFEKNP DKIYESYCKFIKNRSNSEGLIRNEDKLVLTTINKRFENGEYEPIQGGFYKLYHDIKLV CTILIHFYPQGTRNYQLVDKFYKFSSELLLRECCRIGIALTQTNNIKSRSGKLLSGNE MDEYDDDDATELDKIISYDFIKISMNYTVPISQTYQIRTKDMDLFSSIISKSNLDKRP HELPNTNFKINNVLPQTDIENEAPRLGFVGANTSNIPDPTLPPTEMMTRFLHPNWYAL PTTVWLKYGNYNSWAPSFNENGTVVDSTTRGLIWLERIGYMDLYEKNEKKVKQEELLN TNEEGINRKQNDENNKNVDGKSNGVQDDGGDNDNDATIASANSESTENKEQFIIKLQN LYNWTPSNYIGDDEIENFRNGTPDKLVSDSLLKLKRLRKERILNKVLKPTTEERELYF KVKRILKEVILAKKVSKVPINNVRAFPVLQTNYNGSIPVVRAQPGRKRKHKK YLR034C MRSYMQILQKFAKFIGPGILVSVAYMDPGNYATSVSGGAQYKYT LLFSIFISNIFAVLLQCLCVKLGTITGYDLAENCRHNLPKKLNYTLYLFAEVAIIATD LAEVVGTAIALQILFKIPLTWGVLLTVLDVLVILMFYTPNGQSLKKVRVFEFGVGILV IGTCICFVLELFKVSIPDKAELFKGFLPSNIIFKEQQALYISLGILGATVMPHSLYLG SSIVKPRLHDYDLKKYGKVNARPSLSAIKYTLNYAYAELIISLFLIATFVNSAILIVA GATLSGQPEAEDADLLSIYKLLVHYISPAAGLIFALAMLCSGQSAGIICTLAGQIVSE GFLQWSLPPWATRLCTRLIAIVPCLFVTLTMGEKGISDILNFSQVVLSLILPIVSAPL IYFTANRKLMVVHDENGVVRAPADVNAIADETTPLNSKHSKIVDFTNSRLLTYTSVFV WALIGSLNCYLVISYLLGADIHF YLR035C MTIHQLSPESQWKIVSSSFIYGPVAAVRELLDNSIDSGAKKVFI DVDSTTGGCEYISVKDDGSGVDIIDRPSMCLEYTTSKMSSLGDISILTTLGFRGEALF LLSNLCNQKGSMQVETKTADDVIGEKWLVDSKGGITNGKRYKVSCPVGTTVILRKLLG GLRARYLEISSRPRKTFDELIYLINHYSLIHRNIRFYFSLVSLQKNGAIERKQMQETL DPKISRARSLSLLARLKKPVPLNFIVEENFVIDEKINLDLILPRMVPESDVINIKRRF KFLSVNERALSLNLETGKTISKLLSSIYRDFSLLDPMVWFINLNCDTKLLDVNIEPEK NDVMIKSFEVIFKKIENKLKLLLEERIGIETNMLGDKHVQPSINEKTSPALVIPTPDA ENEISKGCGAVSGKDKTDIPQKNSDLIVPTFYDEANLENTTIVAATPSPTKFSEDKAL DEQTQLTISSYRSSSSGSMASEDSTNWRHNFQQELSENSEVAGAGSSTLPSSLTYNYI ETIPENEDLELSKDASISNPFMITKIRNVNKKLSENLLEAKRTSCGDANKRGMPNERQ LSNTEKKELIKLQQTYGKRNNTVDMTIPRNSKKKVTDNYIKKASCMHKTRPKLMHFSE YTNNYVYTLKNEKIVKHDSDNFAKETLWLRSRDDATSPSSSLLQALRAHVKKPGHIEA TTNEWCLFTPDSP YLR035C-A MLAHANAQTIRYSLKNNTITYFNESDVDWSSAIDYQCPDCLIGK STKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKSAPSYFISFTDETTKFRWVYP LHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSEYTNRTLHKFLEKNGITPCYT TTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFSAIEFSTIVRNSLASPKSKKS ARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGIPGYALHPSRNSYGYIIYLPS LKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLTASYQSFIASNEIQQSDDLNI ESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTEDSKRVSKTNIRAPREVDPNI SESNILPSKKRSSTPQISDIESTGSGGMHRLDVPLLAPMSQSNTHESSHASKSKDFRH SDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKRIIHRSPSIDTSSSESNSLHH VVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTELSDSFKELPPINSHQTNSSLGGI GDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSLEPPRSKKRIHLIAAVKAVKS IKPIRTTLRYDEAITYNKDIKEKEKYIQAYHKEVNQLLKMKTWDTDRYYDRKEIDPKR VINSMFIFNRKRGGTHKARFVARGDIQHPDTYDPGMQSNTVHHYALMTSLSLASDNNY YITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLKKSLYGLKQSGANWYETIKSY LIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDLNANKKIITTLKKQYDTKIIN LGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIPKLNVPLNPKGRKLSAPGQPG LYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRFDLLYYINTLAQHILFPSKQV LDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISDASYGNQPYYKSQIGNIYLLN GKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSHLVQELNKKPITKGLLTDSKS TISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCYIETKKNIADVMTKPLPIKTF KLLTNKWIH YLR036C MKEAELSATESQDEIPKSNSLLIIEKLTKAVCSLYFINCFMVPS VDNLIEKYPKAIIIKIIDMILGAVTISLVIIVFFLYRKNGHFKNENKTKPKRCSKVVC PSCAARKKYPKWFQLKYLLLVSMTAFSFYFCTKIRFFFKTDQTINLHRLSQLFRLQLG WICTTALLFYFYDALILHSGFIEGYRCVNGKGAMSEGKTGQLN YLR037C MVKLTSIVAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAEYYMFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPADQVTRVITGVPWYS TRLRPAISSALSKDGIYTAVPN YLR038C MADQENSPLHTVGFDARFPQQNQTKHCWQSYVDYHKCVNMKGED FAPCKVFWKTYNALCPLDWIEKWDDQREKGIFAGDINSD YLR039C MFIKQSEKNTPKCLYKKKGKVRVLLTGSCKKLNTWKMHLWPVSP PQLLRIPPRNAELGEGTKIDDCNILQSMTLPQANVLIMLTPTRVLIYNFKPMALVASH ERTMASLKEFGDNRSMKRSAPYNDIIEGLISKKDSQYLLWHQGKLIFYVMTDKNFLLT YQILKNCTNEIIFKEYGIPVIEPLLMSEEEANSAEYDYNNDDDTLTVFDKNSSSRIIQ NGFGITKEKGFLHFLSNQENIDELPVKKLELRLKVVLKFDYEIIDMIGIKTFSKVGDG RYEEVLIVLFPHGLQILTISDFKVSKSSLVEVKKGSKTIVCNKQLMVLSHDSVEKQTI VSIIDIEKQAVEAIPLTDTPDELLTCLEVNGYLVVVYKEKIICFDTRIKKVSHSWKPP FVIKLCDKINDKILLLVSEDSVNIHFYTEFGNLLFATYFDEDDYNGDNNNDNSKDKNE KKAAEYKISDFVCLDKSLITVSHSGKYQVWKLWEEIKQTQFDFRNPKCYVLTNTNNDV IIYSPVTSSSINNDNLQVIKLPTKTFNNHIAFVKINSSLRLFATYVSNKNILLIHNLE TNMWSSFADQNVLDLHWLGDNYLVCHMKNDDGSTNLKCLQIPLQEANPDVELSDYVMW EYNVPENTIVFSLHVNTLSRYKLLKMKSKNHNASEKQPDALLKTAEIILVTDTQTIVF DVISTVHPCGLNIIKKFYQYLKINIPIDVLPNKIEWIINMKEGLLFFADRKFIKLGKV DGGGWQTLTLLDNIEKIIDVIRDEIFVVQGHNYVVYSLEDLWDDKKPLVSIPIEEDLY PISTTPETATTHTLHCIFNARFSKLVVKHQIYLDQLILAKLEDNTDLEDISHNYRFLK PYKFALEKILSTKILRSDSLDDILKLIKMYDNTDPEHNISPPTHSGMLEIISNCLRKI ETKYWNHLFTNLKMTPRDLLALCIEENEAKMLGVLLLVFLNYDEKDLGDDLHFKKSDL GTEESKALNDNSTKKSEKSVTNLLKDEELMLKVLELLVTSAANATDPIKATDSWDMCF QLIRLLKELDRENNTQLVQKALERFK YLR040C MIFAPSFSLIKNILLVSFLISHSFAAKTLTSSSNDDTLARSAAA DADMAFFMEFLNDFDTAFPQYTSYMMQNHLTLPQPVADYYYHMVDLASTADLQSDIAQ SFPFTQFQTFITAFPWYTSLLNKASATTIYLPQHFITGETEATMTNSSYASQKNSVSN SVPFSTANAGQSMISMANEENSTTALISASNSSSTSRTSQSQNGAHAKSLYFPMALFG IFAVAL YLR042C MKISQFGSLAFAPIVLLQLFIVQAQLLTDSNAQDLNTALGQKVQ YTFLDTGNSNDQLLHLPSTTSSSIITGSLAAANFTGSSSSSSIPKVTSSVITSINYQS SNSTVVTQFTPLPSSSRNETKSSQTTNTISSSTSTGGVGSVKPCLYFVLMLETIAYLF S YLR043C MVTQFKTASEFDSAIAQDKLVVVDFYATWCGPCKMIAPMIEKFS EQYPQADFYKLDVDELGDVAQKNEVSAMPTLLLFKNGKEVAKVVGANPAAIKQAIAAN A YLR044C MSEITLGKYLFERLKQVNVNTVFGLPGDFNLSLLDKIYEVEGMR WAGNANELNAAYAADGYARIKGMSCIITTFGVGELSALNGIAGSYAEHVGVLHVVGVP SISAQAKQLLLHHTLGNGDFTVFHRMSANISETTAMITDIATAPAEIDRCIRTTYVTQ RPVYLGLPANLVDLNVPAKLLQTPIDMSLKPNDAESEKEVIDTILALVKDAKNPVILA DACCSRHDVKAETKKLIDLTQFPAFVTPMGKGSIDEQHPRYGGVYVGTLSKPEVKEAV ESADLILSVGALLSDFNTGSFSYSYKTKNIVEFHSDHMKIRNATFPGVQMKFVLQKLL TTIADAAKGYKPVAVPARTPANAAVPASTPLKQEWMWNQLGNFLQEGDVVIAETGTSA FGINQTTFPNNTYGISQVLWGSIGFTTGATLGAAFAAEEIDPKKRVILFIGDGSLQLT VQEISTMIRWGLKPYLFVLNNDGYTIEKLIHGPKAQYNEIQGWDHLSLLPTFGAKDYE THRVATTGEWDKLTQDKSFNDNSKIRMIEIMLPVFDAPQNLVEQAKLTAATNAKQ YLR045C MSGEEEVDYTTLPLEERLTYKLWKARLEAYKELNQLFRNSVGDI SRDDNIQIYWRDPTLFAQYITDSNVVAQEQAIVALNSLIDAFASSSLKNAHNITLIST WTPLLVEKGLTSSRATTKTQSMSCILSLCGLDTSITQSVELVIPFFEKKLPKLIAAAA NCVYELMAAFGLTNVNVQTFLPELLKHVPQLAGHGDRNVRSQTMNLIVEIYKVTGNNS DLLEEILFKKLKPIQVKDLHKLFAKVGDEPSSSKMLFEWEKRELEKKRSQEEEARKRK SILSNDEGEYQIDKDGDTLMGMETDMPPSKQQSGVQIDTFSMLPEETILDKLPKDFQE RITSSKWKDRVEALEEFWDSVLSQTKKLKSTSQNYSNLLGIYGHIIQKDANIQAVALA AQSVELICDKLKTPGFSKDYVSLVFTPLLDRTKEKKPSVIEAIRKALLTICKYYDPLA SSGRNEDMLKDILEHMKHKTPQIRMECTQLFNASMKEEKDGYSTLQRYLKDEVVPIVI QIVNDTQPAIRTIGFESFAILIKIFGMNTFVKTLEHLDNLKRKKIEETVKTLPNFSIA SGSTHSTIETNKQTGPMENKFLLKKSSVLPSKRVASSPLRNDNKSKVNPIGSVASASK PSMVAANNKSRVLLTSKSLATPKNVVANSTDKNEKLIEEYKYRLQKLQNDEMIWTKER QSLLEKMNNTENYKIEMIKENEMLREQLKEAQSKLNEKNIQLRSKEIDVNKLSDRVLS LENELRNMEIELDRNKKRNDTNLQSMGTISSYSIPSSTVSSNYGVKSLSSALPFKEEE DVRRKEDVNYERRSSESIGDLPHRVNSLNIRPYRKNGTGVSSVSDDLDIDFNDSFASE ESYKRAAAVTSTLKARIEKMKAKSRREGTTRT YLR046C MATHTSKRRIHRWENNELSEENSTIIYFPARGLMWTHFPFVLGI CLEFVGYVLKIVFINSPSISTFIAQSVLLLIAPSLYALSIFMLFSKMARLILMEAYML IPAKFSTVSFVVADMIGRVLQAVGGGLLSSWNSRNTGRILIIVGLFIQIFCYTFLTFS QLFLHYKMKATPSKIVRDSNEWFQYNFILLAGILLVNGRTIVRVVQFLMGLQSYIGQH EWCLYVFDTVLMFLLPLIFLATFRARNLFKLQDKSVNIQLNKLLDKESVSED YLR047C MNLKSIVSWFKEHLPSFDVDVDKHFRTLRVRKYSQICLLISFII ICVIIPLMNYLLLTDKFFKICHHLKHHVFNRRSWVHKTHMYHKQSLQLCLICFVFTSF FVIQGANGDLLEITKRMGRISVALMPPLLFLTLRPSPLPHTLYLALLPLHKWISRIVV LESILHTWFYLYYMYINDTLYVKMRKLPNIYGVIALGLFLLIAITSVRYARRWSYRVF YYVHYVSTWLILVFLHYHARPGISYYTTLNVLILTGQIVYRLHITNVTRVTIVPISSS LSLLEFPLTDLPKKPILPGGHLRINIYHRNFLRRFFSHLIPFQHPFTIASIPSDNLVR LIIRNGHFPLRTNEKYYITGAFEPELSFISKPTVPFNITTKSSKNPFRNNSSALINSP LNFLIKAQRVFMCVGGSGISFGLPLLRILNFNGVNVRLLWVSRDYKDLEVLNHFKNNF EGMEIYISGTEGNEQDIEIDYIDYHDCAADINDEVRSISSSGRVSELGDNSMLSDGNP QPTEPNENTALLSKKSTLRNHHPPKTSDIPDINADDEIDFTYAFSRSKSRKNTAQGTL TTHSSFNGSSVFRQPKIIEPPAQDPCLEAAPKKIRIPAGVKVFFGRPTLGDKDYEWCL QTECDAETDSIQCCRWANQGRDHAEYLSQVWVLAAGPRGLIESTKRWATDGGLHFHGE SFAL YLR048W MSLPATFDLTPEDAQLLLAANTHLGARNVQVHQEPYVFNARPDG VHVINVGKTWEKLVLAARIIAAIPNPEDVVAISSRTYGQRAVLKFAAHTGATPIAGRF TPGSFTNYITRSFKEPRLVIVTDPRLDAQAIKEASYVNIPVIALTDLDSPSEFVDVAI PCNNRGKHSIGLIWYLLAREVLRLRGALVDRTQPWSIMPDLYFYRNPEEVEQVAEEAA AAEEGEEEEVKEEVTEGQAEATEWAEENADNVEW YLR049C MVNNIMHEYVPPSQRLFHSRHRITRNDLKEEALHSGTTDWTTIL DTTIDKDTNLISYAVPIIDNFAIPRANSQGSPVAPSPNHRSTMYSSSSSSASSVFSDG LFTPNNNRNSSGSSSLVIRPQKNLSVDSLIQENKRKINSEKESLSLIANNNDETLCTH TDPSIQNLIKSETKRNILNLKFQNRNLFRRELKLEKFWSNLRSCHTSGDETDLLLVIS KHNLYWFGIPNDFRLPIYKRCLYHYSELDEAGFFSQYANNSLYLAIRKCCNNEEQETL SRSIFINLTKNVTWLNSRFDDNKDNKNSYTVTEGKFYQDFPNLYYHLKDKLKLNVIMD FIKPVIRNFMTNALNKHKLDGIGLELLDILIVTTYYGPNKINAFLMDTFILNLLKQCH YKFFVSNISELVIQISKIDCDLVILLEDLRSRIDLD YLR050C MKLGHREQQFYLWYFIVHIPITIFIDSSVVIPAKWQLGIAQKVV SDHIAKQHDFLLSEKPEWLYWFVVLELVLQLPLFVYFVNKFWNSSELQVNTNSRLKKW LRIYGWNASLTTLICIVVIFKRGYIPYDVLKTSLSMTQKCQLASVYLPTFLIPLRLCF V YLR051C MDQSVEDLFGALRDASASLEVKNSAKEQVSLQQEDVLQIGNNDD EVEIESKFQEIETNLKKLPKLETGFDALANKKKKKNVLPSVETEDKRKPNKSDKNDND WFTLPKPDDNMRREVQRDLLLIKHRAALDPKRHYKKQRWEVPERFAIGTIIEDKSEFY SSRMNRKERKSTILETLMGDEASNKYFKRKYNEIQEKSTSGRKAHYKKMKEMRKKRR YLR052W MKFEDLLATNKQVQFAHAATQHYKSVKTPDFLEKDPHHKKFHNA DGLNQQGSSTPSTATDANAASTASTHTNTTTFKRHIVAVDDISKMNYEMIKNSPGNVI TNANQDEIDISTLKTRLYKDNLYAMNDNFLQAVNDQIVTLNAAEQDQETEDPDLSDDE KIDILTKIQENLLEEYQKLSQKERKWFILKELLLDANVELDLFSNRGRKASHPIAFGA VAIPTNVNANSLAFNRTKRRKINKNGLLENIL YLR053C MDMLHNKCSDAIKSTSNSNLSNEVDKQKLQYDDLGNTGFSELFE MESQDNNDSIEDFLFFNINLTQEVEFENQRQYEHTKKTKKHNPFYVPSEVVREMVKKH ALNGRI YLR054C MEENQLTCLIVGETPAAQFLGWRLSLSNSFIILVSQYVSSDELV AWKSTKLGANFYTPNILTKDIKELHHKLKHNGANTYSIDIVLVSAISLQSFETTCRLL SDYTNDNTTVLISTDFGCELEPIAISYFGGKCKCVISISCEVECRQLSLGSYALVNDD QCVITLGLTYCDANFEASPTILENTKAASLELQGIKGSNVRRFLLGLTVAKWMKSKLI LDPKQMALKMWELLIPKISLNILSIIYEQFDYEKMLENKSTEIIFKDLVKELLGICFA QCGSKIARFLLVKSQGEEEINFGKIVEYCKGKKLQLINSTANEHPEYLSLPFEPYCFY HRFEYPAQILLHQPIILAKKYGVSCSNLNFLYGFYTRLLTLSGLSINGGRCEHALSML DSRIGGGINVASGINSGQDFTDGDNEDQDKGKNRVDKNVKEGSFISLTQRFTMTSPLG VNDPALPADLEKLYLGAEYISNCDANTSGGQKRVKSPTKADTGYDDKHLPDDTIHTFE DEYLADEDDFSCLGIDKRSSTKPTKPLEKFGVVAVPHFIRRFSIKRSSKDKSNDMKRP YTTSSLELQLRSNHFMFAKEYQDLHRQLYYEVKPRTQSELDARRRNYSELESQMWKIK HRFNIHRGALPRPRTNPYELLLDHIDVLNRGNTGDILRFTTSRYGGVDTYDSILRDQS TIMELLDKRCAYSPPVLFDNEAKERDHDRDCYQDYNHDHRSH YLR055C MDEVDDILINNQVVDDEEDDEEMLSGLENDSKQDLEGNDDGGED EEDDDDDDEDDDDDEDEREDDDEQEDDDGEDDAARMDKTATPTNEHQHDEQKAAAAGA GGAGDSGDAVTKIGSEDVKLSDVDGGVGSREASSSTHEASANGEVYEYYKHMLNAAQI ADSYNIYPTAAIPIQTHVNALAVSRGLKYLFLGGSDGYIRKYDLLNTLEGKLSLTILQ KHSLAESIQNAGILQSYWENEIPQKKSEMKLSANKTDYEPKVSPVHSLEVQSECLFIL SGLQNGGITMQGVRYMEGSIAHYFKGRNGHTQIVNILRLNGQEDRFLSGSWDKRLLEW DLQTGDIVNEFKKSRSELSSLEMRPLYSSVDVSGNVNSGKENENADDDMDSLFGDEDE DEKQDAGNEPVETGDGSNGEENKEQISEESLNIVYDESVFMTSGLNGSVHIWDRRMTQ SPALSLERGAGVPPWCLSACWGVDGDHVYAGRRNACVEQFDLKMPSKPIHNLKLPSIS GPVSCVKAMPNNKHLLCASRDNIRLYNVEIAVDASNSTTKSSKVPFLIVPGHHGGIIS NLYLDPTSRFIISTSGNRGWQGNSTDTTLIYDIDLE YLR056W MDLVLEVADHYVLDDLYAKVLPASLAANIPVKWQKLLGLNSGFS NSTILQETLNSKNAVKECRRFYGQVPFLFDMSTTSFASLLPRSSILREFLSLWVIVTI FGLLLYLFTASLSYVFVFDKSIFNHPRYLKNQMAMEIKLAVSAIPWMSMLTVPWFVME LNGHSKLYMKIDYENHGVRKLIIEYFTFIFFTDCGVYLAHRWLHWPRVYRALHKPHHK WLVCTPFASHSFHPVDGFLQSISYHIYPLILPLHKVSYLILFTFVNFWTVMIHDGQYL SNNPAVNGTACHTVHHLYFNYNYGQFTTLWDRLGGSYRRPDDSLFDPKLRDAKETWDA QVKEVEHFIKEVEGDDNDRIYENDPNTKKNN YLR057W MSIARLVYSLFRRVRSVLLLFITISLLFYYTFQNEIDILNSYAL NDSLPSINNYEHNTEGSSKLDPPDLSSTGSDRIATDKENGNVAVDLSDPATLREKNKY FPLLLKGSSHQIGSNLPISSLLTYKEKYPVLFEYSSPSLTSISQNDVHKIQPAMQLPP DVDMIKQIKDIFMKSWNQEQLLLKSNLRRESTWPIDLIDSLDTLYLCGETKLFQDSVN IIEDFDFRVPPLAMEVIDIPDITTRVLEGLLSAYELSMDKRLLNKAKHVADFILRSFD TPNRIPILKYFWKSDLRNRFPDRTVPSGQLTTMALAFIRLSQLTRLNKYFDAVERVFT TIRQSYNEFDMEFMLPDVVDASGCQLLTQEEIENGAHLKGSSIMKSINENFKFVHCQQ LGKFLNPPIDDNSLQEQSQYQAYRINEKTVPILENLFKINDLFQSSYDILDGSSKNAN AATMDPSIGSEVEAVDEIIEKRNFKDGTKKDSTKNTVGDKSLIDSQTFLTNSISNIFK FMTFRPMLPKQTENKKFNFLNSILTKSQFMPTTNELDVTIRKSYDVSLYSCRLGGILG LSSRVPHRGGVNTKYILPSSLLEMSEIITESCFMLMEEFDGLLPQKFELDPCTDETNG NCEFNGETKSRMIANGEYETFENDLDVGIKVSNYGKGGNDQKAKRNVLSKDGITETQN IKGDTVGSSKSIAEIDGDEVTQIRRVFTLGKDIKPHITTDDTMGSQWKNHPDWPFWVN KVESRRLLDSNIIESIFYMYRISGEQKWRSMGKQSFGILMQELMELNSGAKGLWQIKE FYENGEKVNNDLPSYWFSRTLKYYLLLFSDGDKVSLDKHILTQGGHIIKKK YLR058C MPYTLSDAHHKLITSHLVDTDPEVDSIIKDEIERQKHSIDLIAS ENFTSTSVFDALGTPLSNKYSEGYPGARYYGGNEHIDRMEILCQQRALKAFHVTPDKW GVNVQTLSGSPANLQVYQAIMKPHERLMGLYLPDGGHLSHGYATENRKISAVSTYFES FPYRVNPETGIIDYDTLEKNAILYRPKVLVAGTSAYCRLIDYKRMREIADKCGAYLMV DMAHISGLIAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRRGVRSINPKTGKEVL YDLENPINFSVFPGHQGGPHNHTIAALATALKQAATPEFKEYQTQVLKNAKALESEFK NLGYRLVSNGTDSHMVLVSLREKGVDGARVEYICEKINIALNKNSIPGDKSALVPGGV RIGAPAMTTRGMGEEDFHRIVQYINKAVEFAQQVQQSLPKDACRLKDFKAKVDEGSDV LNTWKKEIYDWAGEYPLAV YLR059C MKWLLFPARIVARTRPNLFSLYRRSVSQYLRPRTIQNLQSMAQT PELKTKLFKPLVWIDCEMTGLDHVNDRIIEICCIITDGHLAPVKAADGQGDSHYESVI HYGPEVMNKMNEWCIEHHGNSGLTAKVLASEKTLAQVEDELLEYIQRYIPDKNVGVLA GNSVHMDRLFMVREFPKVIDHLFYRIVDVSSIMEVARRHNPALQARNPKKEAAHTAYS DIKESIAQLQWYMDNYLKPPQETESVESIGSEQPESPSSSTSSLKRQRTDF YLR060W MPTVSVNKQQLFDLLGKNYTSQEFDELCFEFGMEMDEDTTEEAL KTGEEPELKLDISANRYDLLCIEGISQSLNEYLERKERPDYKLSKPTTKLIIDKSTEQ IRPFATAAVLRNIKLNEKSYASFIALQDKLHANLCRNRSLVAMGTHDLDSIEGPFHYR ALPPKDIKFVPLNQTQEFTGDKLIEFYKSPEQKNNIGRYVHIIEDSPVFPVIMDSKDR VCSLPPLINSEHSKISVNTRNILIDITATDKTKAEIVLNILTTMFSRYCDEPFTVEPV EIVSEHNGQSRLAPNFNDRIMDVSIKYINSCLGLDQSADEIAHCLKKMSLHAVQSKED KDILHVDIPVTRPDILHACDIMEDAAVGYGFNNLPKGEKLSNANFIAKPLPINKVSDI FRVASSQATWVEVLPLTLCSHDENFKFLRQSDNGDLAVKLANPKTLEYQVVRTTLLPG ILKTVKENRKHSLPIKVFETGDVVFKDDKLERKAYNERHWAAIYVGKNSGFEIIQGLL GKIMQTFRTEWIADYGAAASGRGYWIEEDDSVKTYFPGRGAKVMFRSKEGAEPKQIGH LGVLHPEVMMNFDVPFAASFVEVNAEVFL YLR061W MAPNTSRKQKIAKTFTVDVSSPTENGVFDPASYAKYLIDHIKVE GAVGNLGNAVTVTEDGTVVTVVSTAKFSGKYLKYLTKKYLKKNQLRDWIRFVSTKTNE YRLAFYQVTPEEDEEEDEE YLR063W MLLMRRFAFLTSSVYFKYIPIYSQYHYSSQFPINMNPKKVAQLP VHNKSTLPPQEIIDLFKITFLEELYPKDQDNEKSPLTEQIQAVKSDLYNRDYNAAFNN DSKRIAYCCRWSPSRATSYASVFAHFPELLKIIRCEIDDKDSNVLCIGGGAGGELVAL ASIFTLSRDFSSKFASALKIDNEVNKKPRNLNIQLVDIADWSTVVEKLTATIKSKWLY GDSEAESFNVNFTHKDCLQMTEPQDIKIYQGLDLITLLFTTNELFTQKKVESIKFLQR LNENCAPGCHLLILESAGSYSHITINNKKFPIQFLIDTILVGNRKDKGTTGPWSLVSE NDSIWYRMDPKLDYSIPLENMRFFYRLYVKN YLR064W MTVPRNRPMAPFGTIIKSRIKQPQFYWFIGHFLTIFNFIQFHLS ITSKQNQLSCYRRSLFYISVTYAIVLYQFFKSDQLKFNFTLLRQEMKKLDNLQYFAML FILFLLSQFNIIISGSLYSPVIFSIFHFLNYFKENLLPFLPLIPLNLKNLLNSKITVF IQNYNGFFLQMAQVFEIICGLRVGLFLVPFNFFLLLVRRANVSFEVVGTMLAGLTYVW FFKLRYLQSESMRQIFKQYVLRLDAYVSRTLPPYCSRLWNGYKNFVMTVFWKIPV YLR065C MAGKAGRKQASSNAKIIQGLYKQVSLFLGMAIVRLFISRKVTIG QWIKLVALNVPMFVALYIIVLSGKPKYDGNRVVKQGIDLNDNTNLISYFFDLIYLSLF GNIGIIAFRTFKFWWCLLLCPIYAGYKLYGLKNMFMPGAQQTQADNRSKNANEGQSKS KRQMKRERRGETDSKIKYKYR YLR066W MFSFVQRFQNVSNQAFSMGIVMVVFIMASSYYQLINNNAFSVPS NIDNVKTLINVRTSRYFGSQRGKAKENMKIKFDLNTDLTPLFNWNTKQVFVYLTAEYN STEKITSEVTFWDKIIKSKDDAVIDVNDLRSKYSIWDIEDGKFEGKDLVFKLHWNVQP WVGLLTYGETVGNYTLTVENKNKV YLR067C MKRCAPAVLRNYNYKKGIWSTGVPDHIRKLLRDKSTSPLCSQDE RNLVSYFMARGSVPLKSVGSGLTKKATTSITSNSATTTFERQYLIKYLYRHQAYGNVI KIAQKFLYTTIGSQRLLKQDASLPELKKFLLSLLILQRGIQLDQAISDIIQRFLLTQK TMVIDLINSIFSRMVIMNMHEEAVYKWVKWMKLVNGHCEFTNYMENKIVLRNFLSFMR QSNVRPDYLSYLKAIQLTQGPAIASQFATTLLFLLTYIRKFSSAEAVWNYKCEHNLPI VSSDLTCILKTYCHMQKFNLVSSTYWKYPDAQHDQNQFDYLLVAHSRLHNWDALQQQF NALFGIGKLPSIQHYGILMYTMARIGELDSVNKLYTQLLRRGMIPTYAVLQSLLYAHY KVGDFAACFSHFELFKKYDITPSTATHTIMLKVYRGLNDLDGAFRILKRLSEDPSVEI TEGHFALLIQMCCKTTNHLIAQELFNLMTEHYNIQHTGKSISALMDVYIESNRPTEAI ALFEKHSKNLSWRDGLISVYNKAIKAYIGLRNANKCEELFDKITTSKLAVNSEFYKMM IKFLVTLNEDCETALSIIDQLIKHSVIKVDATHFEIIMEAYDKEGYRDGIINLYKTMS QNKVPANSKILYYILKAVAKKSLQNNEEIKETINMVEDIMENAANGTLDVTYNKLHPS VMAWPMRMIVKHDSPQRALELYNRYNELFFKKHDWISNNNKFVMMRSLLVLLAQIEQW KDFETLFAKYMDRIENIENLPSSTTPNIKLRSIFSGLFPYKVSQLIAMNKIDELPLLW KKLREKGFILDNISWNSAVEALFKDPRTLSYGMKIVDDTLIHGYNLIHKFRLLTKLSE DKTQSSDKSWPTLKMKEKEPNKFQPRLYLKSDTYNSIMRQLDTYLDGIDDLKTLEDQV RDFISNYKYFMKDYLLMPRSKINKWEQIEMRHLSYFKELRKSKRVLPVSKF YLR068W MGTAKQNQNRKKFTREYKVKEIQRSITKKTRLRKEYLKALKDEG YAVPEKEPKTVAKESVRKIKEARAIEGKKKLDEKKEIKKQRKRMQKDELNKQRNEQLE RIRVSKEKFQRREDRKKKLTQRTRTGQPLMGPKIEDLLDKIKTDDTYTS YLR069C MSVQKMMWVPRKMVGGRIPFFTCSKVFSGFSRRSFHESPLARST YEEEKVLVDEIKQKLTPDDIGRCNKLRNIGISAHIDSGKTTFTERVLYYTKRIKAIHE VRGRDNVGAKMDSMDLEREKGITIQSAATYCSWDKEGKNYHFNLIDTPGHIDFTIEVE RALRVLDGAVLVVCAVSGVQSQTVTVDRQMRRYNVPRVTFINKMDRMGSDPFRAIEQL NSKLKIPAAAVQIPIGSESSLSGVVDLINRVAIYNKGDNGEIIEKGPVPENLKPLMEE KRQLLIETLADVDDEMAEMFLEEKEPTTQQIKDAIRRSTIARSFTPVLMGSALANTGI QPVLDAIVDYLPNPSEVLNTALDVSNNEAKVNLVPAVQQPFVGLAFKLEEGKYGQLTY VRVYQGRLRKGNYITNVKTGKKVKVARLVRMHSSEMEDVDEVGSGEICATFGIDCASG DTFTDGSVQYSMSSMYVPDAVVSLSITPNSKDASNFSKALNRFQKEDPTFRVKFDPES KETIISGMGELHLEIYVERMRREYNVDCVTGKPQVSYRESITIPADFDYTHKKQSGGA GQYGRVIGTLSPVDDITKGNIFETAIVGGRIPDKYLAACGKGFEEVCEKGPLIGHRVL DVKMLINDGAIHAVDSNELSFKTATMSAFRDAFLRAQPVIMEPIMNVSVTSPNEFQGN VIGLLNKLQAVIQDTENGHDEFTLKAECALSTMFGFATSLRASTQGKGEFSLEFSHYA PTAPHVQKELISEFQKKQAKK YLR070C MTDLTTQEAIVLERPGKITLTNVSIPKISDPNEVIIQIKATGIC GSDIHYYTHGRIANYVVESPMVLGHESSGIVALIGENVKTLKVGDRVALEPGIPDRFS PEMKEGRYNLDPNLKFAATPPFDGTLTKYYKTMKDFVYKLPDDVSFEEGALIEPLSVA IHANKLAKIKFGARCVVFGAGPIGLLAGKVASVFGAADVVFVDLLENKLETARQFGAT HIVNSGDLPHGVTVDSVIKKAIGKKGADVVFECSGAEPCVRAGIEVCKAGGTIVQVGM GQEEIQFPISIIPTKELTFQGCFRYCQGDYSDSIELVSSRKLSLKPFITHRYSFKDAV EAFEETSHHPLNNIKTIIEGPE YLR071C MTTTIGSPQMLANEERLSNEMHALKNRSEQNGQEQQGPVKNTQL HGPSATDPETTATQKESLEMVPKDTSAATMTSAPPPALPHVEINQVSLALVIRNLTVF TMKELAQYMKTNVHTQANEPNSAKKIRFLQLIIFLRTQFLKLYVLVKWTRTIKQNNFH VLIDLLNWFRTTNMNVNNCIWALKSSLNSMTNAKLPNVDLVTALEVLSLGRPNLPTHN FKLSGVSNSMDMVDGMAKVPIGLILQRLKDLNLTVSIKIALMNIPKPLNSYHIKNGRI YFTVPNEFEIQLSTVNRQSPLFFVDLKLLFNTEAEQTVSAVTEATSTNGDSENNEENS SSNGNNLPLNKPRLEKLINEILLKSNDPLLSLYNFLHKYVLTLQLYMVHREFLKLANG GKFSKSNLIHNYDSKKSTITVRYWLNGKMDSKGKITIGIQRTTESLILKWDNQSASRA KNMPVIYNNIVSNIEGILDEIMFNHARIIRSELLARDIFQEDEENSDVLLFQLPTTCV SMAPIQLKIDLLSGQFYFRNPTPLLSNYASKINRAEGPEELARILQQLKLDKIIHVLT TMFENTGWSCSRIIKIDKPIRTQVNTGGESVVKKEDNKYAIAGNSTTNSDVSLLLQRD LFIRLPHWPLNWYLILSIISSKTSCVVEKRIGKIVSQRGKWNLKYLDNSNVMTVKLES ITYQKIMILQRTILNRIINHMLIDSLNQLEIRNKICSSEMINEQKLPQYIIQGSNTND NISIITLELESFLEGSKALNSILESSMFLRIDYSNSQIRLYAKFKRNTMMIQCQIDKL YIHFVQEEPLAFYLEESFTNLGIIVQYLTKFRQKLMQLVVLTDVVERLHKNFESENFK IIALQPNEISFKYLSNNDEDDKDCTIKISTNDDSIKNLTVQLSPSNPQHIIQPFLDNS KMDYHFIFSYLQFTSSLFKALKVILNERGGKFHESGSQYSTMVNIGLHNLNEYQIVYY NPQAGTKITICIELKTVLHNGRDKIQFHIHFADVAHITTKSPAYPMMHQVRNQVFMLD TKRLGTPESVKPANASHAIRLGNGVACDPSEIEPILMEIHNILKVDSNSSSS YLR072W MWGDSMRELGDAMDNELNAVKPVVEEGGMDGARKFIKGKSFQKS STEHMLISPGRDGSVPLNGLKSSPADPHLSDVNSILDNHRGGGETALTSVNNIIMATS TNGDSDGVDGDAKRPSISNCSSRSSFFDTVLSTFSLKSNSQDTVTNEVKNIEVQFASE EANKKFRQMFKPLAPNTRLITDYFCYFHREFPYQGRIYLSNTHLCFNSTVLNWMAKLQ IPLNEIKYLDKVTTNSSAISVETVTNRYTFSGFIARDEVFQLITRVWSKENLTNINDV LEVDERVSKKKGISSTPSSIFNNVSTNAYNDFISTTTTEPTSRASYMSENDMLIEEAI RSVDDYMGTPRASPSSSSSSSSSSSSLGSSTTYYCRPVYRLKPNAPFQYEGPFHVQET MDFPYKPEANNEYVLLERQFSVPPGLLFIMMFNEDNPVFELSFLKTQDSSNISHIGTF EKVNKDGQHYREFQYTKQLHFPVGPKSTNCEVAEILLHCDWERYINVLSITRTPNVPS GTSFSTRTRYMFRWDDQGQGCILKISFWVDWNASSWIKPMVESNCKNGQISATKDLVK LVEEFVEKYVELSKEKADTLKPLPSVTSFGSPRKVAAPELTMVQPESKPEAEAEISEI GSDRWRFNWVNIIILVLLVLNLLYLMKLNKKMDKLTNLMTHKDEVVAHATLLDIPAKV QWSRPRRGDVL YLR073C MKSSKQLVQDAKDYRFNPAIPLRIYLKTCIGILEKAQCAFQAND LSLSFIYYFRYVDLLTNKLSRHPELLRMDASSSSSSSYIHKREYLQLIKLEVPAVCKI IESLRTQIDSQYSKLQTSLANNIAKPNINANTTPVQVEQQPLPKKSFDEYSFNQSISF FQKISNAQLNTGASSQSQATARDEAYRLNYPELPRLTFST YLR074C MGRYSVKRYKTKRRTRDLDLIYNDLSTKESVQKLLNQPLDETKP GLGQHYCIHCAKYMETAIALKTHLKGKVHKRRVKELRGVPYTQEVSDAAAGYNLNKFL NRVQEITQSVGPEKESNEALLKEHLDSTLANVKTTEPTLPWAAADAEANTAAVTEAES TASAST YLR075W MARRPARCYRYQKNKPYPKSRYNRAVPDSKIRIYDLGKKKATVD EFPLCVHLVSNELEQLSSEALEAARICANKYMTTVSGRDAFHLRVRVHPFHVLRINKM LSCAGADRLQQGMRGAWGKPHGLAARVDIGQIIFSVRTKDSNKDVVVEGLRRARYKFP GQQKIILSKKWGFTNLDRPEYLKKREAGEVKDDGAFVKFLSKKGSLENNIREFPEYFA AQA YLR077W MSFRLFTRTSQRLPRLNWVSPIRRYAKQPQYDEAELFAENINHG AYKAKKRPSDEHFQWPEKSPDQITKESELQWERMAKLSAVGQGILILVVVGGLGTAYL RWPELKSWWLIKMNGGRINATQEQSGQDSLEKLIRQKAKNLLREIPQVPAFQLGIDHP GVYIWGRCHSKDSLFPVRVPNLDGRKFRDILLAPSDDFNTNFAIDEKGDLISWDDLGQ TKTILPDQDLTSMKYSSHFLYALNKKGEILVIPIRTPDLIASQVSSRRSKLLPWKTKL RYDWKLQTNQIFNGKEGEKRVVQFDAGSHHLVLLSNLGKAYCCATGNDQKQAQVSKGQ FGIPTFSQFDEFPPNNQLFEIELLNKFKHEGEDVVRKREIKKIACGSYHTLAIDKTGE IYAFGWNRFGQLALPISYNLEYVSFPRSVTHAFKPHFPGMTNWKCVDIHCDDETSFVT IRKPGSTSDHHYFAFGNGLFGELGNNTFKNSQCDPIKIKSDDKKLTNWSCGSHCVFTE TEQENEVIAWGNNDHGQLGIGKKTMKCAKPMNIPEVLKPGQDTTDLDSIYNSKLHLKK EQRVVTNGNKSCLYWRV YLR078C MNALYNHAVKQKNQLQQELARFEKNSVTAPISLQGSISATLVSL EKTVKQYAEHLNRYKEDTNAEEIDPKFANRLATLTQDLHDFTAKFKDLKQSYNENNSR TQLFGSGASHVMDSDNPFSTSETIMNKRNVGGASANGKEGSSNGGGLPLYQGLQKEQS VFERGNAQLDYILEMGQQSFENIVEQNKILSKVQDRMSNGLRTLGVSEQTITSINKRV FKDKLVFWIALILLIIGIYYVLKWLR YLR079W MTPSTPPRSRGTRYLAQPSGNTSSSALMQGQKTPQKPSQNLVPV TPSTTKSFKNAPLLAPPNSNMGMTSPFNGLTSPQRSPFPKSSVKRTLFQFESHDNGTV REEQEPLGRVNRILFPTQQNVDIDAAEEEEEGEVLLPPSRPTSARQLHLSLERDEFDQ THRKKIIKDVPGTPSDKVITFELAKNWNNNSPKNDARSQESEDEEDIIINPVRVGKNP FASDELVTQEIRNERKRAMLRENPDIEDVITYVNKKGEVVEKRRLTDEEKRRFKPKAL FQSRDQEH YLR080W MTTRKTASSLQLLGKITGTKAGTKQKKMNFINGLIWLYMCVWMV HGKVTQKDELKWNKGYSLPNLLEVTDQQKELSQWTLGDKVKLEEGRFVLTPGKNTKGS LWLKPEYSIKDAMTIEWTFRSFGFRGSTKGGLAFWLKQGNEGDSTELFGGSSKKFNGL MILLRLDDKLGESVTAYLNDGTKDLDIESSPYFASCLFQYQDSMVPSTLRLTYNPLDN HLLKLQMDNRVCFQTRKVKFMGSSPFRIGTSAINDASKESFEILKMKLYDGVIEDSLI PNVNPMGQPRVVTKVINSQTGEESFREKMPFSDKEESITSNELFEKMNKLEGKIMAND IDPLLRKMNKIVENERELIQRLRPLLDLKKTAISDDSFQDFLSMNANLDRLIKEQEKI RQDAKLYGKQTKGHDEIFSKISVWLALLIFIMITLAYYMFRINQDIKKVKLL YLR081W MAVEENNMPVVSQQPQAGEDVISSLSKDSHLSAQSQKYSNDELK AGESGSEGSQSVPIEIPKKPMSEYVTVSLLCLCVAFGGFMFGWDTGTISGFVVQTDFL RRFGMKHKDGTHYLSNVRTGLIVAIFNIGCAFGGIILSKGGDMYGRKKGLSIVVSVYI VGIIIQIASINKWYQYFIGRIISGLGVGGIAVLCPMLISEIAPKHLRGTLVSCYQLMI TAGIFLGYCTNYGTKSYSNSVQWRVPLGLCFAWSLFMIGALTLVPESPRYLCEVNKVE DAKRSIAKSNKVSPEDPAVQAELDLIMAGIEAEKLAGNASWGELFSTKTKVFQRLLMG VFVQMFQQLTGNNYFFYYGTVIFKSVGLDDSFETSIVIGVVNFASTFFSLWTVENLGH RKCLLLGAATMMACMVIYASVGVTRLYPHGKSQPSSKGAGNCMIVFTCFYIFCYATTW APVAWVITAESFPLRVKSKCMALASASNWVWGFLIAFFTPFITSAINFYYGYVFMGCL VAMFFYVFFFVPETKGLSLEEIQELWEEGVLPWKSEGWIPSSRRGNNYDLEDLQHDDK PWYKAMLE YLR082C MSNFKNFTLNSFEDYYGKPSETPKMEEEKLEVTNVNASSSKKVH KSKKSTSKYDQKNVFRNSMTGIAQILPTKPVKIIEQNIDFANPKSFDLLQSTHTICFN KRINTTNTKLNVETHTSSDIDNDILHVGAPTDLGGNSNDEAETRQLRKFRWSNNKEKS LCEKLTVIYWALLLHTTKRASKRRPILCHQMIAEFFNRVYKEKSRVPITSRYIRDNLV AWVTQGKELHEKGWVGDAKTGDLQEQFNIATVKLYESAEDGRLSIGKDKPFREENTGS DSLVRAEEDSTAVTNENGHISSEKNLKKDRRESIRNQILTLDLNDEDFFQNVMKVLSA IDEPELRQYVIVISELVSMEMDDGKTVREKLRDVELNINRLQVDIKEIKEMLVTLINK YLR083C MIYKMAHVQLLLLYFFVSTVKAFYLPGVAPTTYRENDNIPLLVN HLTPSMNYQHKDEDGNNVSGDKENFLYSYDYYYNRFHFCQPEKVEKQPESLGSVIFGD RIYNSPFQLNMLQEKECESLCKTVIPGDDAKFINKLIKNGFFQNWLIDGLPAAREVYD GRTKTSFYGAGFNLGFVQVTQGTDIEATPKGAETTDKDVELETRNDRNMVKTYELPYF ANHFDIMIEYHDRGEGNYRVVGVIVEPVSIKRSSPGTCETTGSPLMLDEGNDNEVYFT YSVKFNESATSWATRWDKYLHVYDPSIQWFSLINFSLVVVLLSSVVIHSLLRALKSDF ARYNELNLDDDFQEDSGWKLNHGDVFRSPSQSLTLSILVGSGVQLFLMVTCSIFFAAL GFLSPSSRGSLATVMFILYALFGFVGSYTSMGIYKFFNGPYWKANLILTPLLVPGAIL LIIIALNFFLMFVHSSGVIPASTLFFMVFLWFLFSIPLSFAGSLIARKRCHWDEHPTK TNQIARQIPFQPWYLKTIPATLIAGIFPFGSIAVELYFIYTSLWFNKIFYMFGFLFFS FLLLTLTSSLVTILITYHSLCLENWKWQWRGFIIGGAGCALYVFIHSILFTKFKLGGF TTIVLYVGYSSVISLLCCLVTGSIGFISSMLFVRKIYSSIKVD YLR084C MFVHRLWTLAFPFLVEISKASQLENIKSLLDIEDNVLPNLNISQ NNSNAVQILGGVDALSFYEYTGQQNFTKEIGPETSSHGLVYYSNNTYIQLEDASDDTR IDKITPFGVDSFILSGSGTINNISVGNQILYNLSTLSMTPIFNQSLGAVQAVLADNSS IYFGGNFSYNNGSMTGYSALIWDSISNTTQLLPFGGFGENSSVNSIVKLNNDNILFAG QFYTLDDPSALISSSNNGTNSTSSLNATTLELGQRIPLRYASWDSQGSTTFASDSLVC PNTNEDAWLYPDTSGSLVCNLPYEVSPTKIRLYNSQRSDSEISVFQILTDPSSSIMNL TYLDPLSGELKNCGEFCPLYSRATLLSASQNVSSSMDMITFIDNNKTDVKWTSDFQDF AFVNELPVSSLKFVALNSYGGSVGLSGLELYQDTFSTYANDSLNEYGCSALTNDSSSS TLSSNDWYNGLTGESYIAAKYVPDQNEPIPRVKFYPNIIHPGHYTINMYTPGCLQDNT CSARGIVNVTMWNQQNNTIMKTYLIYQNNDNLKYDQIYSGYLDFSPEIVLEYVSGIYT TNTATVVVADQVNVITVSLDAFNTLSDSSNAKKETLLNGILQYQKSNFTSTRLNETKV GNTTLNLFPVKNYPKNSSLYADIYDNKLVIGGVSNRISIVDLNDDFEVTSSKNQTIQG DVHGITKTNQGLLIFGDILSSNNQSAVFLFNGSFENVFNQSRTVNSALNISLANNDFI VLDNDYVVNASSNALIRNSSSFSLSLWAAGNNGDGDVLFSGAVSHMQYGNLNGSVRFL NENEIEPLNLEGGIVPYLGAYLNESATAYAYEVDSLNKIYFSNEVYPSWNWSSGITQM LYADNQTLLAVSAGSSTTAELSIFDLRNLTMIANETLGSNARINALVNFEKNCSMLVG GDFQMTEPNCTGLCLYNYESKTWSTFLNNTIFGEITQLSFTNSSELIISGLFETKEYQ SIRLGSFNLTNSTMIPLLSGSEGKLNSFTVTEDSIVAWNDTSLFIYRNQEWNITSLPG NASSISSVSAIYTDIESNTLNKRGINNVNNGSILLLNGNFNISQYGYLQSLLFDFQKW TPYFISETTNTSNYNPIIFINRDVSTEFNSQSPLANVNITVTSPQSTSSQPPSSSASS ESKSKSKKKKIGRGFVVLIGLALALGTVSVLGIAGVILAYVFKDPEGDYKPIKPRIDE NEMLDTVPPEKLMKFV YLR085C METPPIVIDNGSYEIKFGPSTNKKPFRALNALAKDKFGTSYLSN HIKNIKDISSITFRRPHELGQLTLWELESCIWDYCLFNPSEFDGFDLKEGKGHHLVAS ESCMTLPELSKHADQVIFEEYEFDSLFKSPVAVFVPFTKSYKGEMRTISGKDEDIDIV RGNSDSTNSTSSESKNAQDSGSDYHDFQLVIDSGFNCTWIIPVLKGIPYYKAVKKLDI GGRFLTGLLKETLSFRHYNMMDETILVNNIKEQCLFVSPVSYFDSFKTKDKHALEYVL PDFQTSFLGYVRNPRKENVPLPEDAQIITLTDELFTIPETFFHPEISQITKPGIVEAI LESLSMLPEIVRPLMVGNIVCTGGNFNLPNFAQRLAAELQRQLPTDWTCHVSVPEGDC ALFGWEVMSQFAKTDSYRKARVTREEYYEHGPDWCTKHRFGYQNWI YLR086W MSDSPLSKRQKRKSAQEPELSLDQGDAEEDSQVENRVNLSENTP EPDLPALEASYSKSYTPRKLVLSSGENRYAFSQPTNSTTTSLHVPNLQPPKTSSRGRD HKSYSQSPPRSPGRSPTRRLELLQLSPVKNSRVELQKIYDRHQSSSKQQSRLFINELV LENFKSYAGKQVVGPFHTSFSAVVGPNGSGKSNVIDSMLFVFGFRANKMRQDRLSDLI HKSEAFPSLQSCSVAVHFQYVIDESSGTSRIDEEKPGLIITRKAFKNNSSKYYINEKE SSYTEVTKLLKNEGIDLDHKRFLILQGEVENIAQMKPKAEKESDDGLLEYLEDIIGTA NYKPLIEERMGQIENLNEVCLEKENRFEIVDREKNSLESGKETALEFLEKEKQLTLLR SKLFQFKLLQSNSKLASTLEKISSSNKDLEDEKMKFQESLKKVDEIKAQRKEIKDRIS SCSSKEKTLVLERRELEGTRVSLEERTKNLVSKMEKAEKTLKSTKHSISEAENMLEEL RGQQTEHETEIKDLTQLLEKERSILDDIKLSLKDKTKNISAEIIRHEKELEPWDLQLQ EKESQIQLAESELSLLEETQAKLKKNVETLEEKILAKKTHKQELQDLILDLKKKLNSL KDERSQGEKNFTSAHLKLKEMQKVLNAHRQRAMEARSSLSKAQNKSKVLTALSRLQKS GRINGFHGRLGDLGVIDDSFDVAISTACPRLDDVVVDTVECAQHCIDYLRKNKLGYAR FILLDRLRQFNLQPISTPENVPRLFDLVKPKNPKFSNAFYSVLRDTLVAQNLKQANNV AYGKKRFRVVTVDGKLIDISGTMSGGGNHVAKGLMKLGTNQSDKVDDYTPEEVDKIER ELSERENNFRVASDTVHEMEEELKKLRDHEPDLESQISKAEMEADSLASELTLAEQQV KEAEMAYVKAVSDKAQLNVVMKNLERLRGEYNDLQSETKTKKEKIKGLQDEIMKIGGI KLQMQNSKVESVCQKLDILVAKLKKVKSASKKSGGDVVKFQKLLQNSERDVELSSDEL KVIEEQLKHTKLALAENDTNMNETLNLKVELKEQSEQLKEQMEDMEESINEFKSIEIE MKNKLEKLNSLLTYIKSEITQQEKGLNELSIRDVTHTLGMLDDNKMDSVKEDVKNNQE LDQEYRSCETQDESEIKDAETSCDNYHPMNIDETSDEVSRGIPRLSEDELRELDVELI ESKINELSYYVEETNVDIGVLEEYARRLAEFKRRKLDLNNAVQKRDEVKEQLGILKKK RFDEFMAGFNIISMTLKEMYQMITMGGNAELELVDSLDPFSEGVTFSVMPPKKSWRNI TNLSGGEKTLSSLALVFALHKYKPTPLYVMDEIDAALDFRNVSIVANYIKERTKNAQF IVISLRNNMFELAQQLVGVYKRDNRTKSTTIKNIDILNRT YLR087C MEAISQLRGVPLTHQKDFSWVFLVDWILTVVVCLTMIFYMGRIY AYLVSFILEWLLWKRAKIKINVETLRVSLLGGRIHFKNLSVIHKDYTISVLEGSLTWK YWLLNCRKAELIENNKSSSGKKAKLPCKISVECEGLEIFIYNRTVAYDNVINLLSKDE RDKFEKYLNEHSFPEPFSDGSSADKLDEDLSESAYTTNSDASIVNDRDYQETDIGKHP KLLMFLPIELKFSRGSLLLGNKFTPSVMILSYESGKGIIDVLPPKERLDLYRNKTQME FKNFEISIKQNIGYDDAIGLKFKIDRGKVSKLWKTFVRVFQIVTKPVVPKKTKKSAGT SDDNFYHKWKGLSLYKASAGDAKASDLDDVEFDLTNHEYAKFTSILKCPKVTIAYDVD VPGVVPHGAHPTIPDIDGPDVGNNGAPPDFALDVQIHGGSICYGPWAQRQVSHLQRVL SPVVSRTAKPIKKLPPGSRRIYTLFRMNISIMEDTTWRIPTRESSKDPEFLKHYKETN EEYRPFGWMDLRFCKDTYANFNISVCPTVQGFQNNFHVHFLETEIRSSVNHDILLKSK VFDIDGDIGYPLGWNSKAIWIINMKSEQLEAFLLREHITLVADTLSDFSAGDPTPYEL FRPFVYKVNWEMEGYSIYLNVNDHNIVNNPLDFNENCYLSLHGDKLSIDVTVPRESIL GTYTDMSYEISTPMFRMMLNTPPWNTLNEFMKHKEVGRAYDFTIKGSYLLYSELDIDN VDTLVIECNSKSTVLHCYGFVMRYLTNVKMNYFGEFFNFVTSEEYTGVLGAREVGDVT TKSSVADLASTVDSGYQNSSLKNESEDKGPMKRSDLKRTTNETDIWFTFSVWDGALIL PETIYSFDPCIALHFAELVVDFRSCNYYMDIMAVLNGTSIKRHVSKQINEVFDFIRRN NGADEQEHGLLSDLTIHGHRMYGLPPTEPTYFCQWDINLGDLCIDSDIEFIKGFFNSF YKIGFGYNDLENILLYDTETINDMTSLTVHVEKIRIGLKDPVMKSQSVISAESILFTL IDFENEKYSQRIDVKIPKLTISLNCVMGDGVDTSFLKFETKLRFTNFEQYKDIDKKRS EQRRYITIHDSPYHRCPFLLPLFYQDSDTYQNLYGAIAPSSSIPTLPLPTLPDTIDYI IEDIVGEYATLLETTNPFKNIFAETPSTMEPSRASFSEDDNDEEADPSSFKPVAFTED RNHERDNYVVDVSYILLDVDPLLFIFAKSLLEQLYSENMVQVLDDIEIGIVKRLSNLQ EGITSISNIDIHIAYLNLIWQETGEEGFELYLDRIDYQMSEKSLEKNRTNKLLEVAAL AKVKTVRVTVNQKKNPDLSEDRPPALSLGIEGFEVWSSTEDRQVNSLNLTSSDITIDE SQMEWLFEYCSDQGNLIQEVCTSFNSIQNTRSNSKTELISKLTAASEYYQISHDPYVI TKPAFIMRLSKGHVRENRSWKIITRLRHILTYLPDDWQSNIDEVLKEKKYTSAKDAKN IFMSVFSTWRNWEFSDVARSYIYGKLFTAENEKHKQNLIKKLLKCTMGSFYLTVYGEG YEVEHNFVVADANLVVDLTPPVTSLPSNREETIEITGRVGSVKGKFSDRLLKLQDLIP LIAAVGEDDKSDPKKELSKQFKMNTVLLVDKSELQLVMDQTKLMSRTVGGRVSLLWEN LKDSTSQAGSLVIFSQKSEVWLKHTSVILGEAQLRDFSVLATTEAWSHKPTILINNQC ADLHFRAMSSTEQLVTAITEIRESLMMIKERIKFKPKSKKKSQFVDQKINTVLSCYFS NVSSEVMPLSPFYIRHEAKQLDIYFNKFGSNEILLSIWDTDFFMTSHQTKEQYLRFSF GDIEIKGGISREGYSLINVDISISMIKLTFSEPRRIVNSFLQDEKLASQGINLLYSLK PLFFSSNLPKKEKQAPSIMINWTLDTSITYFGVLVPVASTYFVFELHMLLLSLTNTNN GMLPEETKVTGQFSIENILFLIKERSLPIGLSKLLDFSIKVSTLQRTVDTEQSFQVES SHFRVCLSPDSLLRLMWGAHKLLDLSHYYSRRHAPNIWNTKMFTGKSDKSKEMPINFR SIHILSYKFCIGWIFQYGAGSNPGLMLGYNRLFSAYEKDFGKFTVVDAFFSVANGNTS STFFSEGNEKDKYNRSFLPNMQISYWFKRCGELKDWFFRFHGEALDVNFVPSFMDVIE STLQSMRAFQELKKNILDVSESLRAENDNSYASTSVESASSSLAPFLDNIRSVNSNFK YDGGVFRVYTYEDIETKSEPSFEIKSPVVTINCTYKHDEDKVKPHKFRTLITVDPTHN TLYAGCAPLLMEFSESLQKMIKKHSTDEKPNFTKPSSQNVDYKRLLDQFDVAVKLTSA KQQLSLSCEPKAKVQADVGFESFLFSMATNEFDSEQPLEFSLTLEHTKASIKHIFSRE VSTSFEVGFMDLTLLFTHPDVISMYGTGLVSDLSVFFNVKQLQNLYLFLDIWRFSSIL HTRPVQRTVNKEIEMSSLTSTNYADAGTEIPWCFTLIFTNVSGDVDLGPSLGMISLRT QRTWLATDHYNEKRQLLHAFTDGISLTSEGRLSGLFEVANASWLSEVKWPPEKSKNTH PLVSTSLNIDDIAVKAAFDYHMFLIGTISNIHFHLHNEKDAKGVLPDLLQVSFSSDEI ILSSTALVVANILDIYNTIVRMRQDNKISYMETLRDSNPGESRQPILYKDILRSLKLL RTDLSVNISSSKVQISPISLFDVEVLVIRIDKVSIRSETHSGKKLKTDLQLQVLDVSA ALSTSKEELDEEVGASIAIDDYMHYASKIVGGTIIDIPKLAVHMTTLQEEKTNNLEYL FACSFSDKISVRWNLGPVDFIKEMWTTHVKALAVRRSQVANISFGQTEEELEESIKKE EAASKFNYIALEEPQIEVPQIRDLGDATPPMEWFGVNRKKFPKFTHQTAVIPVQKLVY LAEKQYVKILDDTH YLR088W MALLEKLHRRIVDMGLVPRIIALLPVISMLCALFGFISIAILPM DGQYRRTYISENALMPSQAYSYFRESEWNILRGYRSQIKEMVNMTSMERNNLMGSWLQ EFGTKTAIYENEQYGETLYGVMHAPRGDGTEAMVLAVPWFNSDDEFNIGGAALGVSLA RFFSRWPVWSKNIIVVFSENPRAALRSWVEAYHTSLDLTGGSIEAAVVLDYSSTEDFF EYVEISYDGLNGELPNLDLVNIAISITEHEGMKVSLHGLPSDQLTNNNFWSRLKILCL GIRDWALSGVKKPHGNEAFSGWRIQSVTLKAHGNSGHDITTFGRIPEAMFRSINNLLE KFHQSFFFYLLLAPRQFVSISSYLPSAVALSIAFAISSLNAFINNAYANISLFSEYNL VALLVWFVSLVISFVVSQAFLLIPSSGLLMTISMASCFLPLILSRKIHISEPLSYRLK NVAFLYFSLVSTSLLMINFAMALLIGTLAFPMTFVKTIVESSSEHEVTTQSSNPIKTE PKDEIELVENHMDTTPATPQQQKQKLKNLVLLILTNPFISITLFGLFFDDEFHGFDII NKLVSAWLDLKCWSWFVLCIGWLPCWLLILASSFESKSVVVRSKEKQS YLR089C MLSLSAKNHFTVSNSITHVIKSYHIRTLTSSAEKMPHITTPFST SASSTKLKAFRKVRPVLQRHSSSWIVAQNHRRSLSGQSSLNDLRHLNRFPHHTLKTSN NEFYPAEQLTLEDVNENVLKAKYAVRGAIPMRAEELKAQLEKDPQSLPFDRIINANIG NPQQLQQKPLTYYRQVLSLLQYPELLNQNEQQLVDSKLFKLDAIKRAKSLMEDIGGSV GAYSSSQGVEGIRKSVAEFITKRDEGEISYPEDIFLTAGASAAVNYLLSIFCRGPETG VLIPIPQYPLYTATLALNNSQALPYYLDENSGWSTNPEEIETVVKEAIQNEIKPTVLV VINPGNPTGAVLSPESIAQIFEVAAKYGTVVIADEVYQENIFPGTKFHSMKKILRHLQ REHPGKFDNVQLASLHSTSKGVSGECGQRGGYMELTGFSHEMRQVILKLASISLCPVV TGQALVDLMVRPPVEGEESFESDQAERNSIHEKLITRAMTLYETFNSLEGIECQKPQG AMYLFPKIDLPFKAVQEARHLELTPDEFYCKKLLESTGICTVPGSGFGQEPGTYHLRT TFLAPGLEWIKKWESFHKEFFDQYRD YLR090W MSGSDRGDRLYDVLGVTRDATVQEIKTAYRKLALKHHPDKYVDQ DSKEVNEIKFKEITAAYEILSDPEKKSHYDLYGDDNGAASSGGANGFGDEDFMNFFNN FFNNGSHDGNNFPGEYDAYEEGNSTSSKDIDIDISLTLKDLYMGKKLKFDLKRQVICI KCHGSGWKPKRKIHVTHDVECESCAGKGSKERLKRFGPGLVASQWVVCEKCNGKGKYT KRPKNPKNFCPDCAGLGLLSKKEIITVNVAPGHHFNDVITVKGMADEEIDKTTCGDLK FHLTEKQENLEQKQIFLKNFDDGAGEDLYTSITISLSEALTGFEKFLTKTFDDRLLTL SVKPGRVVRPGDTIKIANEGWPILDNPHGRCGDLYVFVHIEFPPDNWFNEKSELLAIK TNLPSSSSCASHATVNTEDDSNLTNNETISNFRIIHTDDLPEGIRPFKPEAQDSAHQK ARSSYCCIQ YLR091W MASQVNALLLPVIESTPLHQITKVALTTTLTSKQSDYKFKEIAV PLTKSLQLYEKAQRRQDLRASLKALESIIYQTHFQWNNPLPRHAHLFQKHYHFLLTHW PFENHRDLVDSIAVNNGKLNSTSSRSVWLKADWITLFNVKNPWVQTPPSLMRLSGTDL DTFTPERIFLINSLGNHYKFLIANSHLSYNHKKYPSPGVQIPVRNALGEVSPAKQIAQ LFARQLSHIYKSLFIENPPLSPENELALTAVFYDETVERRLRRLYMRACARAYTTTNA DSTTEPLMFHCTRWEVD YLR092W MSREGYPNFEEVEIPDFQETNNTVPDLDDLELEYDQYKNNENND TFNDKDLESNSVAKHNAVNSSKGVKGSKIDYFNPSDVSLYDNSVSQFEETTVSLKEYY DHSIRSHLTVKGACSYLKSVFPIINWLPHYNFSWFTADLIAGITIGCVLVPQSMSYAQ VATLPAQYGLYSSFIGAYSYSFFATSKDVCIGPVAVMSLQTAKVIADVTAKYPDGDSA ITGPVIATTLALLCGIISAAVGFLRLGFLVELISLNAVAGFMTGSAFNILWGQVPALM GYNSLVNTRAATYKVVIETLKHLPDTKLDAVFGLIPLFLLYVWKWWCGTYGPRLNDRY NSKNPRLHKIIKWTYFYAQASRNGIIIIVFTCIGWAITRGKSKSERPISILGSVPSGL KEVGVFHVPPGLMSKLGPNLPASIIVLLLEHIAISKSFGRINDYKVVPDQELIAIGVS NLLGTFFNAYPATGSFSRSALKAKCNVRTPLSGLFSGSCVLLALYCLTGAFFYIPKAT LSAVIIHAVSDLLASYQTTWNFWKMNPLDFICFIVTVLITVFASIEDGIYFAMCWSCA MLILKVAFPAGKFLGRVEVAEVTDAYVRPDSDVVSYVSENNNGISTLEDGGEDDKESS TKYVTNSSKKIETNVQTKGFDSPSSSISQPRIKYHTKWIPFDHKYTRELNPDVQILPP PDGVLVYRLSESYTYLNCSRHYNIITEEVKKVTRRGQLIRHRKKSDRPWNDPGPWEAP AFLKNLKFWKKRENDPESMENAPSTSVDVERDDRPLLKILCLDFSQVAQTDATALQSL VDLRKAINQYADRQVEFHFVGIISPWVKRGLISRGFGTLNEEYSDESIVAGHTSYHVA RVPQGEENPEKYSVYTASGTNLPFFHIDIPDFAKWDI YLR093C MKRFNVSYVEVIKNGETISSCFQPFQKNENYGTITSANEQITPV IFHNLIMDMVLPKVVPIKGNKVTKMSMNLIDGFDCFYSTDDHDPKTVYVCFTLVDMPK ILPIRILSGLQEYESNATNELLSSHVGQILDSFHEELVEYRNQTLNSSGNGQSSNGNG QNTISDIGDATEDQIKDVIQIMNDNIDKFLERQERVSLLVDKTSQLNSSSNKFRRKAV NIKEIMWWQKVKNITLLTFTIILFVSAAFMFFYLW YLR094C MLLDVNTNHTLMHDAHVHEHCLIKSIRDDGALHSWSDSSKVFYP KSFYATATNKKNNKLASASMNKTATSNRTVSDEIYFHSTKPQFDGQGSAERTRTLTKR NSFKRTRILKARDDSELLNENRSSLMTPSLSSVMSQVRKTNSAKTLSGECPIHEGHLT QSIKRKFSEEAQSDCSSLSSSKLHPLTDDIADAVDLQTPAIGDEVLAEPVVPKMKIIN INDLDLFDDWEVKDLVDIFPPVYERRPRSSSALSLVSASSDAKLRPTSVDFQIIDKKG GKTSRRKSRSKSTTENMIYENDLVELEQWPSASPSPETDGSIASSELLPNKRIRQKSL NTNFLKLYSIETSCKRKSILPEVEVDDHLLKQLTYSEIRSLEIKKEPNVSTNDIKLAL ITRKKLWSDMVHETRNDLFGDSTPWNLHFVATTSNTEPSQGRESASEHATADLKSSLV RVHSDVKPWFNNGGTMLKPCGKLNLGKVTNKTSAPTREIQYVVKGWCDSRFL YLR095C MRTKRTRGTRNVGASMPAGAANADSEDWKEYVSEDIIAQLNKHQ LPYSEILDEKIADLANHWHFQYVMAWLSNVCESYTTTTFNTDQYGGSSTKCLWKNIKF DEGVFVTDVFSKIDGKDSNYYNDEVDVDEGSQNLYDRIRLQLLHQLAGNKSGQLKDWN VIVNHHLQNSSAYSDLVTDLPFLELEIARQFDIIYSIIKLIEMKNMIFKNYLANNLHL FTFSEVILDDDNSGGEEMKSLFALPNVGVLVKKTIHRVKEGSSSQVSQTLNIPIKLQN CTIKESDPDIPDSVELIHLEYSHDIDAYLQSITIDYDVITTNWGSMLEYWSENKSSKA IDEFITNLIPVYAEHRLYSAKLLANREKERAIAELMTRRKRSSRLVAKEEENKKKDLE SEWFEKLDEREQFIRHRNKLVSKEIKKIKDLLWNQLWQLYDQDYRDEKLTRRNELKDR SGSGTPFFETSLGREEDNPLNEIDIGVLDHGPNFQSSIIPVEPPIPGTVGPLQTGDVP ELPSDFCITKEELDELANYGIFTPQQEPDNQDSVFQCPGEPELAPMIITEDTETDLFN NRPLICCDHCYRWQHWECQPPKIIELISSTTKSPQHTLSQRDFGVIIMGNSHGNRRSS RRPQSTLEPSTKSSRPTDKRKPLSECSTFICAWCIRDLELELRNIFVPELKIIRAKQR KQQEDRERRKKMKEEKKRLEELAKKRELTESVSPPVFNNAFANMSSSTTPSIAAYEKT NPAINPAPNVNAAHPIITYSQQTGSKTVPQAPQAPQTSQASIQPQQQQQQQQQQQPLH PKEQNFHFQFPPTN YLR096W MPNPNTADYLVNPNFRTSKGGSLSPTPEAFNDTRVAAPATLRMM GKQSGPRNDQQQAPLMPPADIKQGKEQAAQRQNDASRPNGAVELRQFHRRSLGDWEFL ETVGAGSMGKVKLVKHRQTKEICVIKIVNRASKAYLHKQHSLPSPKNESEILERQKRL EKEIARDKRTVREASLGQILYHPHICRLFEMCTMSNHFYMLFEYVSGGQLLDYIIQHG SLKEHHARKFARGIASALQYLHANNIVHRDLKIENIMISSSGEIKIIDFGLSNIFDYR KQLHTFCGSLYFAAPELLKAQPYTGPEVDIWSFGIVLYVLVCGKVPFDDENSSILHEK IKKGKVDYPSHLSIEVISLLTRMIVVDPLRRATLKNVVEHPWMNRGYDFKAPSYVPNR VPLTPEMIDSQVLKEMYRLEFIDDIEDTRRSLIRLVTEKEYIQLSQEYWDKLSNAKGL SSSLNNNYLNSTAQQTLIQNHITSNPSQSGYNEPDSNFEDPTLAYHPLLSIYHLVSEM VARKLAKLQRRQALALQAQAQQRQQQQQVALGTKVALNNNSPDIMTKMRSPQKEVVPN PGIFQVPAIGTSGTSNNTNTSNKPPLHVMVPPKLTIPEQAHTSPTSRKSSDIHTELNG VLKSTPVPVSGEYQQRSASPVVGEHQEKNTIGGIFRRISQSGQSQHPTRQQEPLPERE PPTYMSKSNEISIKVPKSHSRTISDYIPSARRYPSYVPNSVDVKQKPAKNTTIAPPIR SVSQKQNSDLPALPQNAELIVQKQRQKLLQENLDKLQINDNDNNNVNAVVDGINNDNS DHYLSVPKGRKLHPSARAKSVGHARRESLKFTRPPIPAALPPSDMTNDNGFLGEANKE RYNPVSSNFSTVPEDSTTYSNDTNNRLTSVYSQELTEKQILEEASKAPPGSMPSIDYP KSMFLKGFFSVQTTSSKPLPIVRHNIISVLTRMNIDFKEVKGGFICVQQRPSIETAAV PVITTTGVGLDSGKAMDLQNSLDSQLSSSYHSTASSASRNSSIKRQGSYKRGQNNIPL TPLATNTHQRNSSIPMSPNYGNQSNGTSGELSSMSLDYVQQQDDILTTSRAQNINNVN GQTEQTNTSGIKERPPIKFEIHIVKVRIVGLAGVHFKKVSGNTWLYKELASYILKELN L YLR097C MIVDYEKDPRAKEAIAIWEKGVLKEKDGSMSDAINFYRSALKIH DNVESLYRKKILDEWMLHKKLSGLSMTTDAPDEQNETGKDDLSVEENAELQPCWILEI LPDDILLRIIKKVILMSGESWVNLSMTCSTFSKLCFHDSVPFKTFAKYIYSKQIYDKM AMDLNGITDINTFEKEIWRGDDYRMLRERPYIKFEGVYISVVNYVRYGSNAESSLSLL KPVHMITYYRYFRFYENGQCLRLLSTDEPSAVVKHFSKENKPRHSHMCYWSLGFDYDF GHLKITRSDEKYTFIEEFQIKNQGNKRYQRLKWLSSIVVDKEGNASNCSLRNEKSFFF SRVKSFKDPG YLR098C MMLEPSPPPLTTTVTPSLPSSLKKSVTDNDQNNNNVPRKRKLAC QNCRRRRRKCNMEKPCSNCIKFRTECVFTQQDLRNKRYSTTYVEALQSQIRSLKEQLQ ILSSSSSTIASNALSSLKNNSDHGDAPNEKILKYGETAQSALPSSESNDENESDAFTK KMPSESPPPVGTNSIYPSNSLSIIKKKTDGSTRYQQQQVSLKNLSRSPLILRSLSLFF KWLYPGHYLFIHRETFLSAFFGDTNTKSYYCSEELVFAIAALGSLISYKSETELFQQS EVFYQRAKTIVLKKIFQLEDSSLAESSSSSKLAIIQTLLCLAFYDIGSGENPMAWYLS GLAFRIAHEIGLHLNPEAWSNVYEDELSIMDFEVRSRIYWGCYIADHLIAILFGRSTS LRLSNSTVPETDELPEIETGIEEYIYDPKVILSTANPLKKLIVLSRITEIFASKIFSP NETLLQRSEYLAKFNLEVYNWRRDLPPELQWTKRSLMEMTDFNPTIAYVWFHYYIVLI SYNKPFIYEIKQSRELVEGYVDELYYLLKVWKNKFKTFEKATIYMIYSAILAIQCMKS NLIKKDRKQDFLNFLSAPTLNYELARKFIENSEDALHNSETMDLLGTLSHGNDFALEY NFDFTLLNEIDMLIGGNTNDGLSK YLR099C MWTNTFKWCSKTEKETTTADAKVCASVQGLKALQQQIMDSTTVR GSVNNTMTPGGINQWHFHNKRANKVCTPTVLIHGYAASSMAFYRTFENLSDNIKDLYA IDLPANGASEAPALQVNKTKKIKSLRFKHIEDDVVIPVIEKRPPAEDIKSHLEQYESY FVDRIEQWRKDNKLRKINVVGHSFGGYISFKYALKYPDSIEKLCLISPLGVENSIHAI THKWEPNTTYPLTFTDPSSRYYTRKLNVPRFIFENQLNVLKWMGPIGSKLCSNYISTA YVKVPDQIYKDYLLHSFVGKNQTVQPQTIKVFTHLFERNLIARDPIINNVRFLNPATP VMFMYGEHDWMDKYAGYLTTESMLKNKAKASYVEVPDAGHNLFLDNPQHFASSLVSFL SK YLR099W-A MADSEDTSVILQGIDTINSVEGLEEDGYLSDEDTSLSNELADAQ RQWEESLQQLNKLLNWVLLPLLGKYIGRRMAKTLWSRFIEHFV YLR100W MNRKVAIVTGTNSNLGLNIVFRLIETEDTNVRLTIVVTSRTLPR VQEVINQIKDFYNKSGRVEDLEIDFDYLLVDFTNMVSVLNAYYDINKKYRAINYLFVN AAQGIFDGIDWIGAVKEVFTNPLEAVTNPTYKIQLVGVKSKDDMGLIFQANVFGPYYF ISKILPQLTRGKAYIVWISSIMSDPKYLSLNDIELLKTNASYEGSKRLVDLLHLATYK DLKKLGINQYVVQPGIFTSHSFSEYLNFFTYFGMLCLFYLARLLGSPWHNIDGYKAAN APVYVTRLANPNFEKQDVKYGSATSRDGMPYIKTQEIDPTGMSDVFAYIQKKKLEWDE KLKDQIVETRTPI YLR102C MNQNGDKNEGKLFQLPSLPPWKTPRFNKANFNNFTTPLRKRSTR VINDDSMPITGEVLEERTADDLYGINMDVDEVDYLNTLSHIEEEKQYDYSPFCERNTL RESRIDSFLKAERAAHCLVFHKVGHLDGIDSYRPDIDIMCGEEANKYDSANPEGNGSM LLESVPGCNKEDLERLSRREFVTNSKPNMRRLDDIINHETNALKSFWNDSGLVNSLQS HHLHEEYLLLQEELKNVYKIKCHDRVPIESLRDKCRRHYSNEDSSFL YLR103C MYYGISQFSEAYNKILRNSSSHSSCQLVIFVSCLNIDALCATKM LSLLFKKQLVQSQIVPIFGYSELRRHYSQLDDNINSLLLVGFGGVIDLEAFLEIDPQE YVIDTDEKSGEQSFRRDIYVLDAHRPWNLDNIFGSQIIQCFDDGTVDDTLGEQKEAYY KLLELDEESGDDELSGDENDNNGGDDEATDADEVTDEDEEDEDETISNKRGNSSIGPN DLSKRKQRKKQIHEYEGVLEEYYSQGTTVVNSISAQIYSLLSAIGETNLSNLWLNILG TTSLDIAYAQVYNRLYPLLQDEVKRLTPSSRNSVKTPDTLTLNIQPDYYLFLLRHSSL YDSFYYSNYVNAKLSLWNENGKKRLHKMFARMGIPLSTAQETWLYMDHSIKRELGIIF DKNLDRYGLQDIIRDGFVRTLGYRGSISASEFVEALTALLEVGNSTDKDSVKINNDNN DDTDGEEEEDNSAQKLTNLRKRWVSNFWLSWDALDDRKVELLNRGIQLAQDLQRAIFN TGVAILEKKLIKHLRIYRLCVLQDGPDLDLYRNPLTLLRLGNWLIECCAESEDKQLLP MVLASIDENTDTYLVAGLTPRYPRGLDTIHTKKPILNNFSMAFQQITAETDAKVRIDN FESSIIEIRREDLSPFLEKLTLSGLL YLR104W MSQSRWSIVLIFALFIFGSTGVNAFFNFGHHQQQQQQQQQSYED QVLNNPCDGYLCPDTLTCVAQQKDCPCPFPKSQLKCVLPDNKFVCISKPATHNEKFRA IYDDPVKGPKAKNKGFRDCGWVSDAYKNH YLR105C MSKGRVNQKRYKYPLPIHPVDDLPELILHNPLSWLYWAYRYYKS TNALNDKVHVDFIGDTTLHITVQDDKQMLYLWNNGFFGTGQFSRSEPTWKARTEARLG LNDTPLHNRGGTKSNTETEMTLEKVTQQRRLQRLEFKKERAKLERELLELRKKGGHID EENILLEKQRESLRKFKLKQTEDVGIVAQQQDISESNLRDEDNNLLDENGDLLPLESL ELMPVEAMFLTFALPVLDISPACLAGKLFQFDAKYKDIHSFVRSYVIYHHYRSHGWCV RSGIKFGCDYLLYKRGPPFQHAEFCVMGLDHDVSKDYTWYSSIARVVGGAKKTFVLCY VERLISEQEAIALWKSNNFTKLFNSFQVGEVLYKRWVPGRNRD YLR106C MSQDRILLDLDVVNQRLILFNSAFPSDAIEAPFHFSNKESTSEN LDNLAGTILHSRSITGHVFLYKHIFLEIVARWIKDSKKKDYVLVIEKLASIITIFPVA MPLIEDYLDKENDHFITILQNPSTQKDSDMFKILLAYYRLLYHNKEVFARFIQPDILY QLVDLLTKEQENQVVIFLALKVLSLYLDMGEKTLNDMLDTYIKSRDSLLGHFEGDSGI DYSFLELNEAKRCANFSKLPSVPECFTIEKKSSYFIIEPQDLSTKVASICGVIVPKVH TIHDKVFYPLTFVPTHKTVSSLRQLGRKIQNSTPIMLIGKAGSGKTFLINELSKYMGC HDSIVKIHLGEQTDAKLLIGTYTSGDKPGTFEWRAGVLATAVKEGRWVLIEDIDKAPT DVLSILLSLLEKRELTIPSRGETVKAANGFQLISTVRINEDHQKDSSNKIYNLNMIGM RIWNVIELEEPSEEDLTHILAQKFPILTNLIPKLIDSYKNVKSIYMNTKFISLNKGAH TRVVSVRDLIKLCERLDILFKNNGINKPDQLIQSSVYDSIFSEAADCFAGAIGEFKAL EPIIQAIGESLDIASSRISLFLTQHVPTLENLDDSIKIGRAVLLKEKLNIQKKSMNST LFAFTNHSLRLMEQISVCIQMTEPVLLVGETGTGKTTVVQQLAKMLAKKLTVINVSQQ TETGDLLGGYKPVNSKTVAVPIQENFETLFNATFSLKKNEKFHKMLHRCFNKNQWKNV VKLWNEAYKMAQSILKITNTENENENAKKKKRRLNTHEKKLLLDKWADFNDSVKKFEA QSSSIENSFVFNFVEGSLVKTIRAGEWLLLDEVNLATADTLESISDLLTEPDSRSILL SEKGDAEPIKAHPDFRIFACMNPATDVGKRDLPMGIRSRFTEIYVHSPERDITDLLSI IDKYIGKYSVSDEWVGNDIAELYLEAKKLSDNNTIVDGSNQKPHFSIRTLTRTLLYVT DIIHIYGLRRSLYDGFCMSFLTLLDQKSEAILKPVIEKFTLGRLKNVKSIMSQTPPSP GPDYVQFKHYWMKKGPNTIQEQAHYIITPFVEKNMMNLVRATSGKRFPVLIQGPTSSG KTSMIKYLADITGHKFVRINNHEHTDLQEYLGTYVTDDTGKLSFKEGVLVEALRKGYW IVLDELNLAPTDVLEALNRLLDDNRELFIPETQEVVHPHPDFLLFATQNPPGIYGGRK ILSRAFRNRFLELHFDDIPQDELEIILRERCQIAPSYAKKIVEVYRQLSIERSASRLF EQKNSFATLRDLFRWALRDAVGYEQLAASGYMLLAERCRTPQEKVTVKKTLEKVMKVK LDMDQYYASLEDKSLEAIGSVTWTKGMRRLSVLVSSCLKNKEPVLLVGETGCGKTTIC QLLAQFMGRELITLNAHQNTETGDILGAQRPVRNRSEIQYKLIKSLKTALNIANDQDV DLKELLQLYSKSDNKNIAEDVQLEIQKLRDSLNVLFEWSDGPLIQAMRTGNFFLLDEI SLADDSVLERLNSVLEPERSLLLAEQGSSDSLVTASENFQFFATMNPGGDYGKKELSP ALRNRFTEIWVPSMEDFNDVNMIVSSRLLEDLKDLANPIVKFSEWFGKKLGGGNATSG VISLRDILAWVEFINKVFPKIQNKSTALIQGASMVFIDALGTNNTAYLAENENDLKSL RTECIIQLLKLCGDDLELQQIETNEIIVTQDELQVGMFKIPRFPDAQSSSFNLTAPTT ASNLVRVVRAMQVHKPILLEGSPGVGKTSLITALANITGNKLTRINLSEQTDLVDLFG ADAPGERSGEFLWHDAPFLRAMKKGEWVLLDEMNLASQSVLEGLNACLDHRGEAYIPE LDISFSCHPNFLVFAAQNPQYQGGGRKGLPKSFVNRFSVVFIDMLTSDDLLLIAKHLY PSIEPDIIAKMIKLMSTLEDQVCKRKLWGNSGSPWEFNLRDTLRWLKLLNQYSICEDV DVFDFVDIIVKQRFRTISDKNKAQLLIEDIFGKFSTKENFFKLTEDYVQINNEVALRN PHYRYPITQNLFPLECNVAVYESVLKAINNNWPLVLVGPSNSGKTETIRFLASILGPR VDVFSMNSDIDSMDILGGYEQVDLTRQISYITEELTNIVREIISMNMKLSPNATAIME GLNLLKYLLNNIVTPEKFQDFRNRFNRFFSHLEGHPLLKTMSMNIEKMTEIITKEASV KFEWFDGMLVKAVEKGHWLILDNANLCSPSVLDRLNSLLEIDGSLLINECSQEDGQPR VLKPHPNFRLFLTMDPKYGELSRAMRNRGVEIYIDELHSRSTAFDRLTLGFELGENID FVSIDDGIKKIKLNEPDMSIPLKHYVPSYLSRPCIFAQVHDILLLSDEEPIEESLAAV IPISHLGEVGKWANNVLNCTEYSEKKIAERLYVFITFLTDMGVLEKINNLYKPANLKF QKALGLHDKQLTEETVSLTLNEYVLPTVSKYSDKIKSPESLYLLSSLRLLLNSLNALK LINEKSTHGKIDELTYIELSAAAFNGRHLKNIPRIPIFCILYNILTVMSENLKTESLF CGSNQYQYYWDLLVIVIAALETAVTKDEARLRVYKELIDSWIASVKSKSDIEITPFLN INLEFTDVLQLSRGHSITLLWDIFRKNYPTTSNSWLAFEKLINLSEKFDKVRLLQFSE SYNSIKDLMDVFRLLNDDVLNNKLSEFNLLLSKLEDGINELELISNKFLNKRKHYFAD EFDNLIRYTFSVDTAELIKELAPASSLATQKLTKLITNKYNYPPIFDVLWTEKNAKLT SFTSTIFSSQFLEDVVRKSNNLKSFSGNQIKQSISDAELLLSSTIKCSPNLLKSQMEY YKNMLLSWLRKVIDIHVGGDCLKLTLKELCSLIEEKTASETRVTFAEYIFPALDLAES SKSLEELGEAWITFGTGLLLLFVPDSPYDPAIHDYVLYDLFLKTKTFSQNLMKSWRNV RKVISGDEEIFTEKLINTISDDDAPQSPRVYRTGMSIDSLFDEWMAFLSSTMSSRQIK ELVSSYKCNSDQSDRRLEMLQQNSAHFLNRLESGYSKFADLNDILAGYIYSINFGFDL LKLQKSKDRASFQISPLWSMDPINISCAENVLSAYHELSRFFKKGDMEDTSIEKVLMY FLTLFKFHKRDTNLLEIFEAALYTLYSRWSVRRFRQEQEENEKSNMFKFNDNSDDYEA DFRKLFPDYEDTALVTNEKDISSPENLDDIYFKLADTYISVFDKDHDANFSSELKSGA IITTILSEDLKNTRIEELKSGSLSAVINTLDAETQSFKNTEVFGNIDFYHDFSIPEFQ KAGDIIETVLKSVLKLLKQWPEHATLKELYRVSQEFLNYPIKTPLARQLQKIEQIYTY LAEWEKYASSEVSLNNTVKLITDLIVSWRKLELRTWKGLFNSEDAKTRKSIGKWWFYL YESIVISNFVSEKKETAPNATLLVSSLNLFFSKSTLGEFNARLDLVKAFYKHIQLIGL RSSKIAGLLHNTIKFYYQFKPLIDERITNGKKSLEKEIDDIILLASWKDVNVDALKQS SRKSHNNLYKIVRKYRDLLNGDAKTIIEAGLLYSNENKLKLPTLKQHFYEDPNLEASK NLVKEISTWSMRAAPLRNIDTVASNMDSYLEKISSQEFPNFADLASDFYAEAERLRKE TPNVYTKENKKRLAYLKTQKSKLLGDALKELRRIGLKVNFREDIQKVQSSTTTILANI APFNNEYLNSSDAFFFKILDLLPKLRSAASNPSDDIPVAAIERGMALAQSLMFSLITV RHPLSEFTNDYCKINGMMLDLEHFTCLKGDIVHSSLKANVDNVRLFEKWLPSLLDYAA QTLSVISKYSATSEQQKILLDAKSTLSSFFVHFNSSRIFDSSFIESYSRFELFINELL KKLENAKETGNAFVFDIIIEWIKANKGGPIKKEQKRGPSVEDVEQAFRRTFTSIILSF QKVIGDGIESISETDDNWLSASFKKVMVNVKLLRSSVVSKNIETALSLLKDFDFTTTE SIYVKSVISFTLPVITRYYNAMTVVLERSRIYYTNTSRGMYILSTILHSLAKNGFCSP QPPSEEVDDKNLQEGTGLGDGEGAQNNNKDVEQDEDLTEDAQNENKEQQDKDERDDEN EDDAVEMEGDMAGELEDLSNGEENDDEDTDSEEEELDEEIDDLNEDDPNAIDDKMWDD KASDNSKEKDTDQNLDGKNQEEDVQAAENDEQQRDNKEGGDEDPNAPEDGDEEIENDE NAEEENDVGEQEDEVKDEEGEDLEANVPEIETLDLPEDMNLDSEHEESDEDVDMSDGM PDDLNKEEVGNEDEEVKQESGIESDNENDEPGPEEDAGETETALDEEEGAEEDVDMTN DEGKEDEENGPEEQAMSDEEELKQDAAMEENKEKGGEQNTEGLDGVEEKADTEDIDQE AAVQQDSGSKGAGADATDTQEQDDVGGSGTTQNTYEEDQEDVTKNNEESREEATAALK QLGDSMKEYHRRRQDIKEAQTNGEEDENLEKNNERPDEFEHVEGANTETDTQALGSAT QDQLQTIDEDMAIDDDREEQEVDQKELVEDADDEKMDIDEEEMLSDIDAHDANNDVDS KKSGFIGKRKSEEDFENELSNEHFSADQEDDSEIQSLIENIEDNPPDASASLTPERSL EESRELWHKSEISTADLVSRLGEQLRLILEPTLATKLKGDYKTGKRLNMKRIIPYIAS QFRKDKIWLRRTKPSKRQYQIMIALDDSKSMSESKCVKLAFDSLCLVSKTLTQLEAGG LSIVKFGENIKEVHSFDQQFSNESGARAFQWFGFQETKTDVKKLVAESTKIFERARAM VHNDQWQLEIVISDGICEDHETIQKLVRRARENKIMLVFVIIDGITSNESILDMSQVN YIPDQYGNPQLKITKYLDTFPFEFYVVVHDISELPEMLSLILRQYFTDLASS YLR107W MGSLLRPVDLVNQPLGFQERYKILQKLFKQLQKAYAHTKGTNID LERLATRLEVHVAKNSLSGQSYKFNMSILLRDVLKYKGDLSKIKINGRPLKGAKPHLS SIGNANSITTKSKAMEALKALILDSKVLEKNGYIVKEMQNKTNDDNSTQLYAPCLRCS SNFKKTDIMEKTLCRYHPLKRIYNRDTKNHQYPCCGETTDSVSFLRLGCKTFFHHVFR GESYDELCKISKFSSTDDIDGVENVLSLDCEMAFTSLGYEMIRLTIVDFFTGKTLFDH VIQPIGDIVDLNSDFSGVHEIDRTNCPTYKEALDVFLSENLINKNSILIGHGLENDLN VMRLFHNKVIDTAILYSRTKFKVSLKNLAFEVLSRKIQNGEHDSSQDAIATMDVVKVK IGISPSQNKWEK YLR108C MSGQKGEIVVYTKELETTPELLPNHEVFKIRIGQKLFEISGATL NSDAPNFFTQFFNTHDKNTILFVDRSEDVFIIIYRHLQGYFPDIKNEVQFTCLFADAL YFQLPKLVKLIKEYDYHFTNIGGVPFKVPKSLFHEEGNRLNYFETISRISYEEIEKWE SNKKPGFPPLLPPSYIARSPEFFRDILSLLGGSKLELSEERTASLIKECRYYRLNRLE QELVRAKIIYNPLTNCQEVCIALDSVSKKGVTIERLTSLHTGNQSLAVSSCLNGTEGE KAATGFHKTETDSGNNDEYEPPTKKVKHCIERHWSMLKYQRPYIDTVSHDLIFQLHSN QCKIIFNKKNKTVHVDLSREAAVLFENKFSDVLLENPDFKIDLSEYKVKLRDSQMQVE SHLIIPACVSICDLTVNGAKCCNIFSLVNDSKCKGRVLDCTNLKVLNCVHGLKLHLSK SMWKLGTNNGRIILVAVKAETFSGTKEYCKMIDFL YLR109W MSDLVNKKFPAGDYKFQYIAISQSDADSESCKMPQTVEWSKLIS ENKKVIITGAPAAFSPTCTVSHIPGYINYLDELVKEKEVDQVIVVTVDNPFANQAWAK SLGVKDTTHIKFASDPGCAFTKSIGFELAVGDGVYWSGRWAMVVENGIVTYAAKETNP GTDVTVSSVESVLAHL YLR110C MQFSTVASIAAVAAVASAAANVTTATVSQESTTLVTITSCEDHV CSETVSPALVSTATVTVDDVITQYTTWCPLTTEAPKNGTSTAAPVTSTEAPKNTTSAA PTHSVTSYTGAAAKALPAAGALLAGAAALLL YLR111W MGNSKTNGFFTPEKYLYGIIQGLPPTLRGLAFIFFFLVAFYFFP AFWDLCGVLRGARGKGFPKRKSDANSQHSKQFWTHSDFPIWFLRVLIPTRASINSMKY PHTAALVR YLR112W MGGRSAQPRRFSFACRILHPAVAHDAMAGSRYILRAPASIIRLA QHGWLHCCLHFFLFPAMNDVCFVAKGSALYLFTVEIIVFGSPSLRHNVLSFFYFALPW FKRQCRLKVNFNRLKEIRGIAQVVRKAFFISKTLPCI YLR113W MTTNEEFIRTQIFGTVFEITNRYNDLNPVGMGAFGLVCSATDTL TSQPVAIKKIMKPFSTAVLAKRTYRELKLLKHLRHENLICLQDIFLSPLEDIYFVTEL QGTDLHRLLQTRPLEKQFVQYFLYQILRGLKYVHSAGVIHRDLKPSNILINENCDLKI CDFGLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVEVDIWSAGCIFAEMIEGKPLFP GKDHVHQFSIITDLLGSPPKDVINTICSENTLKFVTSLPHRDPIPFSERFKTVEPDAV DLLEKMLVFDPKKRITAADALAHPYSAPYHDPTDEPVADAKFDWHFNDADLPVDTWRV MMYSEILDFHKIGGSDGQIDISATFDDQVAAATAAAAQAQAQAQAQVQLNMAAHSHNG AGTTGNDHSDIAGGNKVSDHVAANDTITDYGNQAIQYANEFQQ YLR114C MDEHEEAVIFGICLVDFHHKRGPEIEYWYGLPEGTQSAELWPNL PFQALPDGSHSFEETFTYFTLLYDERRQRSPPNGATDLSDDSINDNTTLFAISCSRQI KSDELVTKDKDVTRSTVQKAIVVISRQPIFGQIKDKLSIVTNAFFLQHDFGDRKIIQS LYENLKSIYTPASLVRNAENRLYIGLCLRKILHDFKRNALVLLKAIMLEKKIIVYGND VEALCNLQFGLISLIPDLMSNLQDSGSPQLFQDISKLNVVDSFKSSNRESVLRFLGFP LPIFEKGGLFSPYTPLQQMNDIRSERTLFFMIGSSNTLLAEQKEELCHIFVNTDNSTV DILDKTLNPVLQLSSHDKKWIESISGIVSDTWNENDDETPKNSQFEGSEDFIRWQFED YLTGLLSSVKLSDYLDLHKENDQALKTIPEDMLNSNPVHLFNLNWVQSWKETQNFLIF NSRTDDRLFDLFPPKHIYNGADTLSLLQQRFLATFHNLKRSSSNSSSNKNGHQSEEDI KDQESIESKKSVSQISVNPGKNTDKPAANLWNSWKEYFNKPKNTANEDVTESTEDLKN RSKTSNAIQKAMMGLGLHYKPDAETDQQSEEVGNSEDNEDDDTDEDSEDDDDDGGDDD DSEDDDDDDDGEGDENGDDGEGDENGDDGEGDENGDKEDSQDFSNGFTDVTNINTDHD KENEQNFEGNAENFNEDETVADKDIEGGPESNKNSDSKTDIYENDRNVEDSSKTRNTV KKSNEEGGANDAAIGNCVQDEEKL YLR115W MTYKYNCCDDGSGTTVGSVVRFDNVTLLIDPGWNPSKVSYEQCI KYWEKVIPEIDVIILSQPTIECLGAHSLLYYNFTSHFISRIQVYATLPVINLGRVSTI DSYASAGVIGPYDTNKLDLEDIEISFDHIVPLKYSQLVDLRSRYDGLTLLAYNAGVCP GGSIWCISTYSEKLVYAKRWNHTRDNILNAASILDATGKPLSTLMRPSAIITTLDRFG SSQPFKKRSKIFKDTLKKGLSSDGSVIIPVDMSGKFLDLFTQVHELLFESTKINAHTQ VPVLILSYARGRTLTYAKSMLEWLSPSLLKTWENRNNTSPFEIGSRIKIIAPNELSKY PGSKICFVSEVGALINEVIIKVGNSEKTTLILTKPSFECASSLDKILEIVEQDERNWK TFPEDGKSFLCDNYISIDTIKEEPLSKEETEAFKVQLKEKKRDRNKKILLVKRESKKL ANGNAIIDDTNGERAMRNQDILVENVNGVPPIDHIMGGDEDDDEEEENDNLLNLLKDN SEKSAAKKNTEVPVDIIIQPSAASKHKMFPFNPAKIKKDDYGTVVDFTMFLPDDSDNV NQNSRKRPLKDGAKTTSPVNEEDNKNEEEDGYNMSDPISKRSKHRASRYSGFSGTGEA ENFDNLDYLKIDKTLSKRTISTVNVQLKCSVVILNLQSLVDQRSASIIWPSLKSRKIV LSAPKQIQNEEITAKLIKKNIEVVNMPLNKIVEFSTTIKTLDISIDSNLDNLLKWQRI SDSYTVATVVGRLVKESLPQVNNHQKTASRSKLVLKPLHGSSRSHKTGALSIGDVRLA QLKKLLTEKNYIAEFKGEGTLVINEKVAVRKINDAETIIDGTPSELFDTVKKLVTDML AKI YLR116W MSFRRINSRYFENRKGSSMEEKKAKVPPNVNLSLWRKNTVESDV HRFNSLPSKISGALTREQIYSYQVMFRIQEITIKLRTNDFVPPSRKNRSPSPPPVYDA QGKRTNTREQRYRKKLEDERIKLVEIALKTIPYFVPPDDYKRPTKFQDKYYIPVDQYP DVNFVGLLLGPRGRTLRKLQEDSNCKIAIRGRGSVKEGKNASDLPPGAMNFEDPLHCL IIADSEDKIQKGIKVCQNIVIKAVTSPEGQNDLKRGQLRELAELNGTLREDNRPCPIC GLKDHKRYDCPNRKIPNIQGIVCKICGQTGHFSRDCNSSSQRMSRFDRNATVNNSAPI QSNDVHYNSNTHPIQAPKRSRYDNNSTEPPLKFPASSRYAPSPSPPASHISRQAQNVT PTPPPGLTSSSFSSGVPGIAPPPLQSPPESEQPKFSLPPPPGMTTVQSSIAPPPGLSG PPGFSNNMGNDINKPTPPGLQGPPGL YLR117C MDTLEPTAVDTHVSAEQILRDVYKKGQKARGSTNIDILDLEELR EYQRRKRTEYEGYLKRNRLDMGQWIRYAQFEIEQHDMRRARSIFERALLVDSSFIPLW IRYIDAELKVKCINHARNLMNRAISTLPRVDKLWYKYLIVEESLNNVEIVRSLYTKWC SLEPGVNAWNSFVDFEIRQKNWNGVREIYSKYVMAHPQMQTWLKWVRFENRHGNTEFT RSVYSLAIDTVANLQNLQIWSDMEVAKLVNSFAHWEAAQQEYERSSALYQIAIEKWPS NQLLKAGLLDFEKQFGDINSIEETISYKRKMEYETILSNNAYDYDTWWLYLDLISESF PKQIMQTFEKAIVDSRPKELSKNVQWKRYIYLWMRYICYVELELENSLLEEELFQRLI DDIIPHKHFTFSKIWLMYAKFLIRHDDVPKARKILGKAIGLCPKAKTFKGYIELEVKL KEFDRVRKIYEKFIEFQPSDLQIWSQYGELEENLGDWDRVRGIYTIALDENSDFLTKE AKIVLLQKYITFETESQEFEKARKLYRRYLELNQYSPQSWIEFAMYQTSTPTEQQLLD LAKLQSENVDEDIEFEITDENKLEARKVFEEAIVFFKEKDDKQGRLSILEALKDYEET YGTELDQETVKKRFPKVIKKVRLQNGVEEEFVDYIFPDDIDDDKPKPSKFLELAKKWK QEQAL YLR118C MNGLRVAAKIQPARQTIIFLHGLGDTGSGWGFLAQYLQQRDPAA FQHTNFVFPNAPELHVTANGGALMPAWFDILEWDPSFSKVDSDGFMNSLNSIEKTVKQ EIDKGIKPEQIIIGGFSQGAALALATSVTLPWKIGGIVALSGFCSIPGILKQHKNGIN VKTPIFHGHGDMDPVVPIGLGIKAKQFYQDSCEIQNYEFKVYKGMAHSTVPDELEDLA SFIKKSLSS YLR119W MKVKATKLRIKQRRKNKGLNISRLDIIRAEMDVVPSPGLPEKVN EKSKNIPLPEGINLLSSKEIIDLIQTHRHQLELYVTKFNPLTDFAGKIHAFRDQFKQL EENFEDLHEQKDKVQALLENCRILESKYVASWQDYHSEFSKKYGDIALKKKLEQNTKK LDEESSQLETTTRSIDSADDLDQFIKNYLDIRTQYHLRREKLATWDKQGNLKY YLR120C MKLKTVRSAVLSSLFASQVLGKIIPAANKRDDDSNSKFVKLPFH KLYGDSLENVGSDKKPEVRLLKRADGYEEIIITNQQSFYSVDLEVGTPPQNVTVLVDT GSSDLWIMGSDNPYCSSNSMGSSRRRVIDKRDDSSSGGSLINDINPFGWLTGTGSAIG PTATGLGGGSGTATQSVPASEATMDCQQYGTFSTSGSSTFRSNNTYFSISYGDGTFAS GTFGTDVLDLSDLNVTGLSFAVANETNSTMGVLGIGLPELEVTYSGSTASHSGKAYKY DNFPIVLKNSGAIKSNTYSLYLNDSDAMHGTILFGAVDHSKYTGTLYTIPIVNTLSAS GFSSPIQFDVTINGIGISDSGSSNKTLTTTKIPALLDSGTTLTYLPQTVVSMIATELG AQYSSRIGYYVLDCPSDDSMEIVFDFGGFHINAPLSSFILSTGTTCLLGIIPTSDDTG TILGDSFLTNAYVVYDLENLEISMAQARYNTTSENIEIITSSVPSAVKAPGYTNTWST SASIVTGGNIFTVNSSQTASFSGNLTTSTASATSTSSKRNVGDHIVPSLPLTLISLLF AFI YLR121C MKLQLAAVATLAVLTSPAFGRVLPDGKYVKIPFTKKKNGDNGEL SKRSNGHEKFVLANEQSFYSVELAIGTPSQNLTVLLDTGSADLWVPGKGNPYCGSVMD CDQYGVFDKTKSSTFKANKSSPFYAAYGDGTYAEGAFGQDKLKYNELDLSGLSFAVAN ESNSTFGVLGIGLSTLEVTYSGKVAIMDKRSYEYDNFPLFLKHSGAIDATAYSLFLND ESQSSGSILFGAVDHSKYEGQLYTIPLVNLYKSQGYQHPVAFDVTLQGLGLQTDKRNI TLTTTKLPALLDSGTTLTYLPSQAVALLAKSLNASYSKTLGYYEYTCPSSDNKTSVAF DFGGFRINAPLSDFTMQTSVGTCVLAIIPQAGNATAILGDSFLRNAYVVYDLDNYEIS LAQAKYGTGKENVEVIKSTVPSAIRAPSYNNTWSNYASATSGGNIFTTVRTFNGTSTA TTTRSTTTKKTNSTTTAKSTHKSKRALQRAATNSASSIRSTLGLLLVPSLLILSVFFS YLR122C MNRLYQNCMFLYVYTDVCVRLCASIFYIMLEAKFALRIPALRPS YTWGQWRSFIQSSFYGRTFVAFSGPSMKNYITICFLLKSIEVSVDRTALHGTSAEASA SNFQRIQTKNLSKYNCNIPACCV YLR125W MGEILELTNKNFMSHLKKDITSQESLKSRIEDKNGDVASPKEDN YPLLNETAAWPDGVITSEEGCSSSGEKENSGLCSEESSEEDPEEAEEESARAFGELVA VLRDKDIPLNVLDEPQMKDWLEKYTGVYRSSWHG YLR126C MTVKKIAILYTDEDNEWSKPWGNFVDMAIKLLEQTRKLECIAED VEYEVFHVQKNVFPQLSDLQKDEYLGIYITGSKYDSFDNEIEWIMKLRSFLNEMLTSK TEYPPVAGICFGHQVIAAALGSSVGRNPKGFEGGVVSLKLNSVGQKLFGAQELNLSEV HSDCVFDVPEGYQNWASSEKCQNQGFYRQNRVLTFQGHPEFNSDVAQKGLLKSQDKLT LEEFNRYERQCQELDNNGIQAARNIWRLFLQKI YLR127C MSFQITPTRDLKVITDELQTLSSYIFHTNIVDDLNSLLTWMSPN DAKSNHQLRPPSLRIKNIIKVLFPNNATTSPYSMINTSQANNSIVNEGNTNKELQLQL FSTLKEFYIFQVRYHFFLHFNNINYLKDIQRWENYYEFPLRYVPIFDVNVNDWALELN SLRHYLLNRNIKFKNNLRTRLDKLIMDDDFDLADNLIQWLKSANGSLSSTELIVNALY SKINKFCEDNMSRVWNKRFMIMETFNKFINQYWSQFSKLVGCPEDDHELTTTVFNCFE SNFLRIRTNEIFDICVLAYPDSKVTLLELRKIMKDFKDYTNIVTTFLSDFKKYILNPS VTTVDALLRYVKTIKAFLVLDPTGRCLHSITTFVKPYFQERKHLVNVLLYAMLDLPEE ELKEKINFNVDMKALLSLVDTLHDSDINQDTNITKRDKNKKSPFLWNLKVKGKRELNK DLPIRHAMLYEHILNYYIAWVPEPNDMIPGNIKSSYIKTNLFEVLLDLFESREFFISE FRNLLTDRLFTLKFYTLDEKWTRCLKLIREKIVKFTETSHSNYITNGILGLLETTAPA ADADQSNLNSIDVMLWDIKCSEELCRKMHEVAGLDPIIFPKFISLLYWKYNCDTQGSN DLAFHLPIDLERELQKYSDIYSQLKPGRKLQLCKDKGKVEIQLAFKDGRKLVLDVSLE QCSVINQFDSPNDEPICLSLEQLSESLNIAPPRLTHLLDFWIQKGVLLKENGTYSVIE HSEMDFDQAQKTAPMEIENSNYELHNDSEIERKYELTLQRSLPFIEGMLTNLGAMKLH KIHSFLKITVPKDWGYNRITLQQLEGYLNTLADEGRLKYIANGSYEIVKNGHKNS YLR128W MSNNKIKRKDASPEQEAIESFTSLTKCDPKVSRKYLQRNHWNIN YALNDYYDKEIGTFTDEVSTVAHPPVYPKELTQVFEHYINNNLFDIDSLVKFIEELGY NLEDLATLCLAHLLGYKKLEEPLKREDFLSTWFMQGCSTISDMQECIKTLDVKLHEDL QYFTQIYNYAFNLILDPNRKDIDTDEGIQYWKLFFQPEYPVRMEPDLLEAWFRFLRDE GKTTISKDTWRMLLLFFKRYPTIQKIISDYDETAAWPFIIDEFYECLQDQQ YLR129W MVKSYQRFEQAAAFGVIASNANCVWIPASSGNSNGSGPGQLITS ALEDVNIWDIKTGDLVSKLSDGLPPGASDARGAKPAECTYLEAHKDTDLLAVGYADGV IKVWDLMSKTVLLNFNGHKAAITLLQFDGTGTRLISGSKDSNIIVWDLVGEVGLYKLR SHKDSITGFWCQGEDWLISTSKDGMIKLWDLKTHQCIETHIAHTGECWGLAVKDDLLI TTGTDSQVKIWKLDIENDKMGGKLTEMGIFEKQSKQRGLKIEFITNSSDKTSFFYIQN ADKTIETFRIRKEEEIARGLKKREKRLKEKGLTEEEIAKSIKESYSSFILHPFQTIRS LYKIKSASWTTVSSSKLELVLTTSSNTIEYYSIPYEKRDPTSPAPLKTHTIELQGQRT DVRSIDISDDNKLLATASNGSLKIWNIKTHKCIRTFECGYALTCKFLPGGLLVILGTR NGELQLFDLASSSLLDTIEDAHDAAIWSLDLTSDGKRLVTGSADKTVKFWDFKVENSL VPGTKNKFLPVLKLHHDTTLELTDDILCVRVSPDDRYLAISLLDNTVKVFFLDSMKFY LSLYGHKLPVLSIDISFDSKMIITSSADKNIKIWGLDFGDCHKSLFAHQDSIMNVKFL PQSHNFFSCSKDAVVKYWDGEKFECIQKLYAHQSEVWALAVATDGGFVVSSSHDHSIR IWEETEDQVFLEEEKEKELEEQYEDTLLTSLEEGNGDDAFKADASGEGVEDEASGVHK QTLESLKAGERLMEALDLGIAEIEGLEAYNRDMKLWQRKKLGEAPIKPQGNAVLIAVN KTPEQYIMDTLLRIRMSQLEDALMVMPFSYVLKFLKFIDTVMQNKTLLHSHLPLICKN LFFIIKFNHKELVSQKNEELKLQINRVKTELRSALKSTEDDLGFNVQGLKFVKQQWNL RHNYEFVDEYDQQEKESNSARKRVFGTVI YLR130C MVDLIARDDSVDTCQASNGYNGHAGLRILAVFIILISSGLGVYF PILSSRYSFIRLPNWCFFIAKFFGSGVIVATAFVHLLQPAAEALGDECLGGTFAEYPW AFGICLMSLFLLFFTEIITHYFVAKTLGHDHGDHGEVTSIDVDAPSSGFVIRNMDSDP VSFNNEAAYSIHNDKTPYTTRNEEIVATPIKEKEPGSNVTNYDLEPGKTESLANELVP TSSHATNLASVPGKDHYSHENDHQDVSQLATRIEEEDKEQYLNQILAVFILEFGIIFH SVFVGLSLSVAGEEFETLFIVLTFHQMFEGLGLGTRVAETNWPESKKYMPWLMGLAFT LTSPIAVAVGIGVRHSWIPGSRRALIANGVFDSISSGILIYTGLVELMAHEFLYSNQF KGPDGLKKMLSAYLIMCCGAALMALLGKWA YLR131C MDNVVDPWYINPSGFAKDTQDEEYVQHHDNVNPTIPPPDNYILN NENDDGLDNLLGMDYYNIDDLLTQELRDLDIPLVPSPKTGDGSSDKKNIDRTWNLGDE NNKVSHYSKKSMSSHKRGLSGTAIFGFLGHNKTLSISSLQQSILNMSKDPQPMELINE LGNHNTVKNNNDDFDHIRENDGENSYLSQVLLKQQEELRIALEKQKEVNEKLEKQLRD NQIQQEKLRKVLEEQEEVAQKLVSGATNSNSKPGSPVILKTPAMQNGRMKDNAIIVTT NSANGGYQFPPPTLISPRMSNTSINGSPSRKYHRQRYPNKSPESNGLNLFSSNSGYLR DSELLSFSPQNYNLNLDGLTYNDHNNTSDKNNNDKKNSTGDNIFRLFEKTSPGGLSIS PRINGNSLRSPFLVGTDKSRDDRYAAGTFTPRTQLSPIHKKRESVVSTVSTISQLQDD TEPIHMRNTQNPTLRNANALASSSVLPPIPGSSNNTPIKNSLPQKHVFQHTPVKAPPK NGSNLAPLLNAPDLTDHQLEIKTPIRNNSHCEVESYPQVPPVTHDIHKSPTLHSTSPL PDEIIPRTTPMKITKKPTTLPPGTIDQYVKELPDKLFECLYPNCNKVFKRRYNIRSHI QTHLQDRPYSCDFPGCTKAFVRNHDLIRHKISHNAKKYICPCGKRFNREDALMVHRSR MICTGGKKLEHSINKKLTSPKKSLLDSPHDTSPVKETIARDKDGSVLMKMEEQLRDDM RKHGLLDPPPSTAAHEQNSNRTLSNETDAL YLR132C MEFISADYSSSDGSDTESESSNKSEVQIEYTEKTCIQKADSTDL PAIPDSIILKYHIPPNLQKYEHQDMNMSRFWRSFTYFEWRPTPAIHRQLQKIICKYKE TFMKQEYTNPYQLVDFDPLFISHLGAPKPLHVSLTRSLLFETEEQRHVFIQEMRNGLR NNEITPFKLQICSYPKLYISERANTLYLGLPVSECPNKAQISPFKTIIAEALQKSGIS NYQDLIVSRQNLHVSIAIASNPSKATLKRYQQLNETMGALLLLNNDFAYKLEFLVNSI YCDENRHSIRIPFN YLR133W MVQESRPGSVRSYSVGYQARSRSSSQRRHSLTRQRSSQRLIRTI SIESDVSNITDDDDLRAVNEGVAGVQLDVSETANKGPRRASATDVTDSLGSTSSEYIE IPFVKETLDASLPSDYLKQDILNLIQSLKISKWYNNKKIQPVAQDMNLVKISGAMTNA IFKVEYPKLPSLLLRIYGPNIDNIIDREYELQILARLSLKNIGPSLYGCFVNGRFEQF LENSKTLTKDDIRNWKNSQRIARRMKELHVGVPLLSSERKNGSACWQKINQWLRTIEK VDQWVGDPKNIENSLLCENWSKFMDIVDRYHKWLISQEQGIEQVNKNLIFCHNDAQYG NLLFTAPVMNTPSLYTAPSSTSLTSQSSSLFPSSSNVIVDDIINPPKQEQSQDSKLVV IDFEYAGANPAAYDLANHLSEWMYDYNNAKAPHQCHADRYPDKEQVLNFLYSYVSHLR GGAKEPIDEEVQRLYKSIIQWRPTVQLFWSLWAILQSGKLEKKEASTAITREEIGPNG KKYIIKTEPESPEEDFVENDDEPEAGVSIDTFDYMAYGRDKIAVFWGDLIGLGIITEE ECKNFSSFKFLDTSYL YLR134W MSEITLGKYLFERLSQVNCNTVFGLPGDFNLSLLDKLYEVKGMR WAGNANELNAAYAADGYARIKGMSCIITTFGVGELSALNGIAGSYAEHVGVLHVVGVP SISSQAKQLLLHHTLGNGDFTVFHRMSANISETTAMITDIANAPAEIDRCIRTTYTTQ RPVYLGLPANLVDLNVPAKLLETPIDLSLKPNDAEAEAEVVRTVVELIKDAKNPVILA DACASRHDVKAETKKLMDLTQFPVYVTPMGKGAIDEQHPRYGGVYVGTLSRPEVKKAV ESADLILSIGALLSDFNTGSFSYSYKTKNIVEFHSDHIKIRNATFPGVQMKFALQKLL DAIPEVVKDYKPVAVPARVPITKSTPANTPMKQEWMWNHLGNFLREGDIVIAETGTSA FGINQTTFPTDVYAIVQVLWGSIGFTVGALLGATMAAEELDPKKRVILFIGDGSLQLT VQEISTMIRWGLKPYIFVLNNNGYTIEKLIHGPHAEYNEIQGWDHLALLPTFGARNYE THRVATTGEWEKLTQDKDFQDNSKIRMIEVMLPVFDAPQNLVKQAQLTAATNAKQ YLR135W MELQRAQRNLKFLQNEDYVNVTDQTNLNGESQNAYSLGMETQVP EMQFSLSSDDDSIGTQVKSVTAQKSPMTQETTKNDTERNKDVDKSCNPVSTSHPDLGG SNIEENIFINTQIQSRLDDAEEETNLKLKLEKFKYSFKSSNADDTHSNANVTAKRRPA IRKANSKLKTKPKTKRDPKIIKNITDFNINNYERSRTASLLKQLSGKHKKVLDIIKTQ NEGNSDKPPRARNNKGEKATFDTYSEQEWKDIMKLLLQKFPQSEETDLNEVQKFLYGS EKSSNSLDNQESSQQRLWTASQLPPELPDEAIQPEQEERIRDTQSAVNFLSLSQVMDD KSEIMKDEESIIISRGDSTSSQEYGNGLEPQQPVGNVVGEDIELAVGTRINAFSLTDY KACKPMSVEVSRRCENSTDNDYDNISIVSDTTDETSTLFPLDQYRYVFIENDERPPLA TDTIGSTQFFTPNTSPLDGIIDLTQESFKAVRSLISPLKVENNKTGVTSQASNQVQVP ATRTPTIIPQKNLTTTLKTEEEKNNIGSSIRVKLLQESVVKLNPKLVKHNFYRVEAND SEEEETEFDDQFCIADIQLVDSSKISTKDSTQNPTTSNDIIDTSAASSIASPEKFCEI MMSQSMKELRQSLKTVGLKPMRTKVEIIQSLQTASQILSTANPDNKGEHGGVANFSKI EIFDHLTELIEAFPDFLERIYTFEPIPLNELIEKLFSAEPFVSQIDEMTIREWADVQG ICLRNDKK YLR136C MWAQLSYTRPESQKTDLTSLFSTDQEQNPLNDYQYQINIRELEE YYNKTILNEDNIQETSSEISSAVSFSPPKNTNAIQPGLLYDPQLMNPFLPSAHLNSTA PTTFKKKLEVQINPDYVPKSSQLPLTSQNLQQLSQQKPKNDASFSSEKESSAQPKVKS QVQETPKQLYKTELCESFTLKGSCPYGSKCQFAHGLGELKVKKSCKNFRTKPCVNWEK LGYCPYGRRCCFKHGDDNDIAVYVKAGTYCNVSSTSKQSDEKRSNGRGSAKKKNLNVK VKALQRMTW YLR137W MAFKLWLLDEETIYEHVFERYTQLEGQSGKLAQDLGIQDRRGGV LEITFEPSGLEGGRKKKRVRRRNKASSVEEDQNVAVDSYHVSVGQSISSLRSSRDNGN STTGYVLWSTTPFFINWLLYSTSAAPFRLGSQVEVTCGSSCEGHKLELPRLVDLTGAD RGKRGILELGAGISGILPVILGNFVDTYVSTDQKGILNKLKDNIMENLSQLTRKRCIS RSLRLELPTVEPVGDADITAASLPSKSTLHLEVAALDWEKINLQDKKTHSLHPELSLI GETCSSVYVIAMDVIYNEYLIDPFLKTLKQLKHWLQTTYNLQFHVLVGIHLRSQEVTT LFLEKAIIEYDFTVYDIVDQVIQESRFNFYLIT YLR138W MAIWEQLEVSKAHVAYACVGVFSSIFSLVSLYVKEKLYIGESTV AGIFGLIVGPVCLNWFNPLKWGNSDSITLEITRIVLCLQIFAVAVELPRKYMLKHWVS VTMLLLPVMTAGWLIIGLFVWILIPGLNFSASLLISACITATDPILAQSVVSGKFAQR VPGHLRNLLSAESGCNDGMAFPFLFLSMNLILHPGNGREIVKDWICVTILYECLFGCL LGCFIGYVGRITIRFAEKKNIIDRESFLAFYVVLAFMCAGFGSILGVDDLLVSFAAGA TFAWDGWFSQKTQESNVSTVIDLLLNYAYFIYFGAIIPWSQFNNGEIGTNVWRLIILS IVVIFLRRIPAVMILRPLIPDIKSWREALFVGHFGPIGVGAIFAAILARGELESTFSD EPTPLNVVPSKEESKHWQLIACIWPITCFFIVTSIIVHGSSVAIITLGRHLNTITLTK TFTTHTTNGDNGKSSWMQRLPSLDKAGRSFSLHRMDTQMTLSGDEGEAEEGGGRKGLA GGEDEEGLNNDQIGSVATSGIPARPAGGMPRRRKLSRKEKRLNRRQKLRNKGREIFSS RSKNEMYDDDELNDLGRERLQKEKEARAATFALSTAVNTQRNEEIGMGGDEEEDEYTP EKEYSDNYNNTPSFESSERSSSLRGRTYVPRNRYDGEETESEIESEDEMENESERSMA SSEERRIRKMKEEEMKPGTAYLDGNRMIIENKQGEILNQVDIEDRNEARDDEVSVDST AHSSLTTTMTNLSSSSGGRLKRILTPTSLGKIHSLVDKGKDKNKNSKYHAFKIDNLLI IENEDGDVIKRYKINPHKSDDDKSKNRPRNDSVVSRALTAVGLKSKANSGVPPPVDEE KAIEGPSRKGPGMLKKRTLTPAPPRGVQDSLDLEDEPSSEEDLGDSYNMDDSEDYDDN AYESETEFERQRRLNALGEMTAPADQDDEELPPLPVEAQTGNDGPGTAEGKKKQKSAA VKSALSKTLGLNK YLR139C MWKFNKKLARLTYRLYSSSGPSSPLHGKKKLPQNLKFVVLNPTQ SGLVKNDQKQPRHRPSKKRSHKETGDNNLDFGSKLLVFEKQNSLDSALNSIRLKKPTS ASLPSLEYNALLQSLTSSYNRYQLREFISTHQPDSSSHLTHWKKSKLSQYIIEKIWNC QPISTPTTPTGIKSTSLTFQFDSPREIFLLLITQNGKILTNFNKLGLTFIISIQDNEL TVKGSPSLLKYAEISLNKIWSNITHENVRMYSLMPSKDVINLIQKETHTFFEYLPDLQ MYKISALSTKKISMAKVFLLNAVASNPNTTQHHHTIASPALKTELYPFNNTLENLDWL NKSQDWARLQSVVPKNCTDLMTPTENATPELTDAQVSQFESSLSKNIPSLSPSDSISQ SLSITLGHSLQSASFSSIFQPLIHKSFISKLLNLPMYKESSSSAVPVPVPLDQHLITN AHQSFIQLNFTPVPPTSGSSSSPFLQIWFEIDEFDNIVTTSMRPLLKLQENSVILRTP QCQTDYKITSDYIQDLLPDFDQTNPDAWLSEQKGLQEFLLKSHWKLNKYQNLMKKINI SLPDNLIQQYQLTDVLTHRVLNLRFPTNTAQDDKYIIQYSDISRGFLNNGSYRQLDFI NVNPSETSLKTFINDVLSF YLR141W MEHQQLRKYVELYNKEVEEFYNGAASGRPAEFHPSKVHVKSIHE KAGTANAGVEISSVGVDWDSEEKNTFFWCLSRYSIHRVDEWRSLLPRKSAMEILGYYR LLRRASASARSRKAGDDGAPIAYEMSAEWVALETKLSETVMAITEGAAEVADEEGHCE GLIDYESWKRRWVAIYSHSRIAEIRPLPRHALPLSRSATQTLERCVSRYTRTLLWCTA LAGMASRSVSARAAESRGHKSLPTVVTRRQVERALCTEARSRDLHVLPRRIVLTLRKW ELDYPREGKLFRTKEMAHLFLQSQLSRRDAPPVHQDENQENQENQENQEQDNTASEGE SEAERDEIDEADLFRSALHENQLLKWLSK YLR142W MIASKSSLLVTKSRIPSLCFPLIKRSYVSKTPTHSNTAANLMVE TPAANANGNSVMAPPNSINFLQTLPKKELFQLGFIGIATLNSFFLNTIIKLFPYIPIP VIKFFVSSLYCGGENFKEVIECGKRLQKRGISNMMLSLTIENSEGTKSLSSTPVDQIV KETISSVHNILLPNIIGQLESKPINDIAPGYIALKPSALVDNPHEVLYNFSNPAYKAQ RDQLIENCSKITKEIFELNQSLLKKYPERKAPFMVSTIDAEKYDLQENGVYELQRILF QKFNPTSSKLISCVGTWQLYLRDSGDHILHELKLAQENGYKLGLKLVRGAYIHSEKNR NQIIFGDKTGTDENYDRIITQVVNDLIINGEDSYFGHLVVASHNYQSQMLVTNLLKST QDNSYAKSNIVLGQLLGMADNVTYDLITNHGAKNIIKYVPWGPPLETKDYLLRRLQEN GDAVRSDNGWPLIKAIAKSIPKRVGL YLR143W MKFIALISGGKDSFYNIFHCLKNNHELIALGNIYPKESEEQELD SFMFQTVGHDLIDYYSKCIGVPLFRRSILRNTSNNVELNYTATQDDEIEELFELLRTV KDKIPDLEAVSVGAILSSYQRTRVENVCSRLGLVVLSYLWQRDQAELMGEMCLMSKDV NNVENDTNSGNKFDARIIKVAAIGLNEKHLGMSLPMMQPVLQKLNQLYQVHICGEGGE FETMVLDAPFFQHGYLELIDIVKCSDGEVHNARLKVKFQPRNLSKSFLLNQLDQLPVP SIFGNNWQDLTQNLPKQQAKTGEQRFENHMSNALPQTTINKTNDKLYISNLQSRKSET VEKQSEDIFTELADILHSNQIPRNHILSASLLIRDMSNFGKINKIYNEFLDLSKYGPL PPSRACVGSKCLPEDCHVQLSVVVDVKNTGKEKINKNKGGLHVQGRSYWAPCNIGPYS QSTWLNDDANQVSFISGQIGLVPQSMEILGTPLTDQIVLALQHFDTLCETIGAQEKLL MTCYISDESVLDSVIKTWAFYCSNMNHRSDLWMDKSDDVEKCLVLVKISELPRGAVAE FGGVTCKRLIVDDNDSDKKEREENDDVSTVFQKLNLNIEGFHNTTVSAFGYNRNFITG FVDSREELELILEKTPKSAQITLYYNPKEIITFHHHIGYYPVEKLFDYRGKEHRFGLH IRS YLR144C MCYSRQAIPPPVPNRPGGTTNRGPPPLPPRANVQPPVCSSENSS KPRENRVAGESLRTPSSSNPLADSQVNSDNIFQSPVLSNLKAPPSVFNKVQHPVPKPN IDDQSVDPLETNKFYTNMLLDDNTQPIWTHPYSIWFSRDPELFGLAANHTLASQRVFD TTTNPPRFYFNPTNIKSFVFKAREFVSSNDIKLEFRDMKHMSMCLLMSLSSSQFIEFP LVQGMGFVTAIYHDLGFELRSAVGFRSLERISVNERYGKYNIQLENNRNWILYLTSPD YSFPQDFQISLLDSNTIISSHKINGLICQLSADSVPSIDMAAGCYPVYCDLSGQTVDE HFTNYRFNYTVAGYSQSGTTLMYALPHHKAAFTPEMQEREIASSLDSTVKGLMTGYLT NSFDMQVQVPQELGFEPVALSLNKKADYSQEKLSKIREAAVQEVQLSDPQQESNIDSM YFSGKILAKYAWILYVTHYILHDENLTKELLSKLTIAMERFISNQQVLPLNYDVSWKG IISSGSSSQDFGNSYYNDHHFHYSYHVITAAIISLVDSDLSGVTNNSWLENNRDWVEC LIRDYSGVDNDDPYFPQFRSFDWFNGHSWAKGLFPSGDGKDEESTSEDVNSCYAIKLW GLVTGNSKLTDIANLQLGIMRNVFQSYFLYESNNTVQPKEFIGNKVSGILFENKIDHA TYFGMEPQYIHMIHAIPITSASSWVRTPNFVKEEWEEKMQPIIDQVNDGWKGIIMLNM ALLDPKFSYDFFSQPDFNRNFLDNGQSLTWSLAYSGAFS YLR145W MDEMDNVIRSLEQEYRLILLLNHRNKNQHRAASWYGSFNEMKRN CGQIITLFSSRRLQAKRLKDVEWVKLHRLLQRALFRQLKRWYWQFNGVIALGQFVTLG CTLVTLLANVRALYMRLWEINETEFIRCGCLIKNLPRTKAKSVVNDVEELGEIIDEDI GNNVQENELVITSIPKPLTENCKKKKKRKKKNKSAIDGIFG YLR146C MVNNSQHPYIKDGWFREINDKSFPGQAFTMTVDSILYEARSEFQ DILIFRNKVYGTVLVLDGIVQCTEFDEFAYQEMITHIAMFAHSNPKRVLIIGGGDGGV LREVAKHSCVEDITMVEIDSSVIELSRKFLPTLSNGAFDDERLDLKLCDGFKFLQDIG ASDVHKKFDVIITDSSDPEGPAEAFFQERYFELLKDALNPNGVVIMQSSENFWLNLKY LHDLKNTAKKVFPNTEYCYTMVPTYTSGQLGLIVCSNNANIPLNIPQRKISEQEQGKL KYYNPQIHSSAFVLPTWADKVINE YLR146W-A MDTEEKKKTTASVEHARMLQNEIQQLFAQLRDTNSQIRCDLNEF EQIKESSTTADSTTNSAN YLR147C MTMNGIPVKLLNEAQGHIVSLELTTGATYRGKLVESEDSMNVQL RDVIATEPQGAVTHMDQIFVRGSQIKFIVVPDLLKNAPLFKKNSSRPMPPIRGPKRR YLR148W MIKTRIEEVQLQFLTGNTELTHLKVSNDQLIVTTQRTIYRINLQ DPAIVNHFDCPLSKELETIMNVHVSPMGSVILIRTNFGRYMLLKDGEFTQLNKIKNLD LSSLHWINETTFLMGIKKTPKLYRVELTGKDITTKLWYENKKLSGGIDGIAYWEGSLL LTIKDNILYWRDVTNMKFPLVLPDESEQFERLKHHAIKKFDSYNGLFAWVTSNGIVFG DLKEKQMEKDPASNNFGKFLSSSKVLLNFELPDYQNDKDHLIKDIVLTAFHILLLRKN TVTMVSQLNNDVVFHETIPRHQLTGSNTDSNEKFLGLVRDSVKETFWCFSNINVFEII IENEPNSVWNLLVRDNKFDKALSLKGLTVREIESVKLSKAMYLFHTAKDFHSAAQTLG SMKDLSHFGEIALNFLQIKDYNDLNVILIKQLDNVPWKSTQVVLSSWIIWNFMKQLND IELKINTTKPASTDEDNLLNWNLNLKEKSNELTKFLESHLEKLDNETVYQIMSKQNRQ NELLIFASLINDMKFLLSFWIDQGNWYESLKILLTINNHDLVYKYSLILLLNSPEATV STWMKIKDLDPNKLIPTILKFFTNWQNNSKLITNISEYPENYSLTYLKWCVREVPKMC NPIVYNSILYMMITDPRNDMILENDIIKFMKSNENKYDLNFQLRLSLKFKKTKTSIFL LTRLNLFEDAIDLALKNNLIDDCKVIVNDEILIEDYKLRKRLWLKIAKHLLLSMKDID IKQLIRTILNDSNEILTIKDLLPFFNEYTTIANLKEELIKFLENHNMKMNEISEDIIN SKNLKVEINTEISKFNEIYRILEPGKSCDECGKFLQIKKFIVFPCGHCFHWNCIIRVI LNSNDYNLRQKTENFLKAKSKHNLNDLENIIVEKCGLCSDININKIDQPISIDETELA KWNE YLR149C MTIDGTGQSKEALQDERLNTGSDKVYQNYMMPALELYDAKVSIN HWQLRDCIKPGSMNQSKLYYIYDHSIRVLDTDSSVLRSPVRRHNSIQPSNSGKNSTEK TSTKGSRTTGSYISKNLHVPSEKLVEFNFKPRCFTELNGLTVCGGLIGSDDKGFPSNW NRLAQDANISLPPPSQPINISKNISFPINSHYSNPNIWKGIVEFYNQETDTMMTFTLG QFINNCVTLYDRASMQFDLFACNNDGHLYQCDVSNRDVTLVKRYADLKFPLNNASLSH DGQTMVVSGDSNKFAVYNQNELTNQFSLHYDNHPSWGSSVNRVRRIPRFALPDESEYI ENIYEAPNSDHGFYNSFSENDLQFATVFQNGTCAIYDIRNMATPMAEISSTRPHSHNG AFRVCRFSYGLDDLLFISEHQGRVHVVDTRNYVNHQVIVIPDKVNMEYINERKHNTNH NFTTNNNNENESNDSKNELQGADYRSLSRRRFSLPSMPNVTTEPWITMAQRIPKKYLE PQILPFPKVMDKISNESVLFSTKGSSSSDVAHPYKRRCSFRVRRVSTSAPTADYSNNN VNASLGTPAADSIATSSSNSAPQNLIDPLILSHQQASNDVFEDDEYYEAYNDVHSTYR VSSDYHGVSARAFESFLRPPSTPDLPSDDDNFAANSRNNRGTSNFLRRPVITTQESNE FSEENNISGIDWVEDRNGSSLIIGTDYGIMRWNINSWARRSFSSYDLC YLR150W MSNPFDLLGNDVEDADVVVLPPKEIVKSNTSSKKADVPPPSADP SKARKNRPRPSGNEGAIRDKTAGRRNNRSKDVTDSATTKKSNTRRATDRHSRTGKTDT KKKVNQGWGDDKKELSAEKEAQADAAAEIAEDAAEAEDAGKPKTAQLSLQDYLNQQAN NQFNKVPEAKKVELDAERIETAEKEAYVPATKVKNVKSKQLKTKEYLEFDATFVESNT RKNFGDRNNNSRNNFNNRRGGRGARKGNNTANATNSANTVQKNRNIDVSNLPSLA YLR151C MILSQRRMLSSKQLIENLIRYKFHKTPYTRSSIWPFKRNSAVII LLFIGMKGELRVLLTKRSRTLRSFSGDVSFPGGKADYFQETFESVARREAEEEIGLPH DPEVLHKEFGMKLDNLVMDMPCYLSRTFLSVKPMVCFLYKDKLEKHEDKYKVPLDIRK FFGKLNPGETSSLFSVPLNDLVIHLLPEADEDVKSYQAEYFERKEYKLNWGGIKWLIM HYHFHVANNNEMPWLQTIEDLSSSDEDGVDGGIFRFRDLWGLTCKILFDVSCIANGLM DEKLKGELGHEDLIVGLHDYGNQMQPNGRSEWEIGMINGDRNLKYSDVIPEYYMKHLL ECRSLW YLR152C MSLSLGAAIYIALKPIFKIYTIMLVGYLVAKFDIVSMENAKGIS NMVVNAILPCLTFNKIVSNISWRDIKEIGVIILSAFILFVLGATGALFTTFATTVPKK FFWGLIFAGFFPNISDLPIAYIQSMGNGSIFTAEEADKGVAYSCIFLFIQSFLMMNFG MWRVVGLDFRDTKEPDSENITPSVSPAIDDRKLTEITKLPNITRPTNAYQSEDARSNS DLSCNSITTNEMTPQAFYEGFTGYIKPYKESNGASHKFESDLPHAEIYRVSSTYSSPG ALEFSRIDGSSLSYSRISKNSDGRSYRRKRKADMNELISKYSAAEKIRQGELDLSRPL SLTEEVGSRNASIGNVHTGYTDESSIEEENCTNMATDGRGSLSFFIERHNLKWLQYFI INCLRPASLGAILGIICALIPWVKACFVTTYVHVHKAPDGEPVLNFLMDFTEYIGNAC VPLGLLLLGGTLARLEIKSLPPGFIKSALLMTCFRLIVIPIIGVLWVNKLYSIDWLDT GIGKFDMILTWSMPSATAQVYFTAFYTPACGDHIQMNCLSVLFVMQYAILFITVAFVV TYTLKVDLKV YLR153C MTIKEHKVVYEAHNVKALKAPQHFYNSQPGKGYVTDMQHYQEMY QQSINEPEKFFDKMAKEYLHWDAPYTKVQSGSLNNGDVAWFLNGKLNASYNCVDRHAF ANPDKPALIYEADDESDNKIITFGELLRKVSQIAGVLKSWGVKKGDTVAIYLPMIPEA VIAMLAVARIGAIHSVVFAGFSAGSLKDRVVDANSKVVITCDEGKRGGKTINTKKIVD EGLNGVDLVSRILVFQRTGTEGIPMKAGRDYWWHEEAAKQRTYLPPVSCDAEDPLFLL YTSGSTGSPKGVVHTTGGYLLGAALTTRYVFDIHPEDVLFTAGDVGWITGHTYALYGP LTLGTASIIFESTPAYPDYGRYWRIIQRHKATHFYVAPTALRLIKRVGEAEIAKYDTS SLRVLGSVGEPISPDLWEWYHEKVGNKNCVICDTMWQTESGSHLIAPLAGAVPTKPGS ATVPFFGINACIIDPVTGVELEGNDVEGVLAVKSPWPSMARSVWNHHDRYMDTYLKPY PGHYFTGDGAGRDHDGYYWIRGRVDDVVNVSGHRLSTSEIEASISNHENVSEAAVVGI PDELTGQTVVAYVSLKDGYLQNNATEGDAEHITPDNLRRELILQVRGEIGPFASPKTI ILVRDLPRTRSGKIMRRVLRKVASNEAEQLGDLTTLANPEVVPAIISAVENQFFSQKK K YLR154C MTKDAVNLDAYTVSFMPFYTEYQGPTEEFKDYKFEDTIYFRGKE LKREKSATPSSSDNTTSNTFSNGAILSGNTITGKIVSVNNYEREGTDRNELARLQELI SLIDVINQ YLR154W-C MRDSPTHKEQRAQNTMSDQMPFPFNNFTYFFTLFSKFFSSFHHC TCSLSVSRQYLALDGIYHPLRAAFPNNSTLRRHFTKNRTPRHTGFSPSMTSCSKEHRQ GTAPKLPSPNYNSGTEGTRFQI YLR154C-G MWRRRREPWEELSFLLNSLSPRNWFIRRWGLMAGRGQHLCWLRC ACDGP YLR154C-H MYSCAKKKTTAAPEFRVWSPTTLLGQALTSLTTVDRTGNGAFW YLR155C MRSLNTLLLSLFVAMSSGAPLLKIREEKNSSLPSIKIFGTGGTI ASKGSTSATTAGYSVGLTVNDLIEAVPSLAEKANLDYLQVSNVGSNSLNYTHLIPLYH GISEALASDDYAGAVVTHGTDTMEETAFFLDLTINSEKPVCIAGAMRPATATSADGPM NLYQAVSIAASEKSLGRGTMITLNDRIASGFWTTKMNANSLDTFRADEQGYLGYFSND DVEFYYPPVKPNGWQFFDISNLTDPSEIPEVIILYSYQGLNPELIVKAVKDLGAKGIV LAGSGAGSWTATGSIVNEQLYEEYGIPIVHSRRTADGTVPPDDAPEYAIGSGYLNPQK SRILLQLCLYSGYGMDQIRSVFSGVYGG YLR156W MKFQYALAKEQLGSNSRSGVKKLISKHHWLPEYYFSDLSFSVVQ QWDSRAIEKTTIISCMRPANQEIYPLRHCETLRSQPCSLFSSLYARSFQSSCTLHVAE PSPGFHMYGCHT YLR156C-A MYSCAKKKTTAAPEFRVWSPTTLLGQALTSLTTVDRTGNGAFW YLR157C MRSLNTLLLSLFVAMSSGAPLLKIREEKNSSLPSIKIFGTGGTI ASKGSTSATTAGYSVGLTVNDLIEAVPSLAEKANLDYLQVSNVGSNSLNYTHLIPLYH GISEALASDDYAGAVVTHGTDTMEETAFFLDLTINSEKPVCIAGAMRPATATSADGPM NLYQAVSIAASEKSLGRGTMITLNDRIASGFWTTKMNANSLDTFRADEQGYLGYFSND DVEFYYPPVKPNGWQFFDISNLTDPSEIPEVIILYSYQGLNPELIVKAVKDLGAKGIV LAGSGAGSWTATGSIVNEQLYEEYGIPIVHSRRTADGTVPPDDAPEYAIGSGYLNPQK SRILLQLCLYSGYGMDQIRSVFSGVYGG YLR157W-D MKFQYALAKEQLGSNSRSGVKKLISKHHWLPEYYFSDLSFSVVQ QWDSRAIEKTTIISCMRPANQEIYPL YLR157C-B MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAPTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YLR157C-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YLR157W-E MIVDFYSNTLRHCETLRSQPCSLFSSLYARSFQSSCTLHVAEPS PGFHMYGCHT YLR157C-C MYSCAKKKTTAAPEFRVWSPTTLLGQALTSLTTVDRTGNGAFW YLR158C MRSLNTLLLSLFVAMSSGAPLLKIREEKNSSLPSIKIFGTGGTI ASKGSTSATTAGYSVGLTVNDLIEAVPSLAEKANLDYLQVSNVGSNSLNYTHLIPLYH GISEALASDDYAGAVVTHGTDTMEETAFFLDLTINSEKPVCIAGAMRPATATSADGPM NLYQAVSIAASEKSLGRGTMITLNDRIASGFWTTKMNANSLDTFRADEQGYLGYFSND DVEFYYPPVKPNGWQFFDISNLTDPSEIPEVIILYSYQGLNPELIVKAVKDLGAKGIV LAGSGAGSWTATGSIVNEQLYEEYGIPIVHSRRTADGTVPPDDAPEYAIGSGYLNPQK SRILLQLCLYSGYGMDQIRSVFSGVYGG YLR159W MKFQYALAKEQLGSNSRSGVKKLISKHHWLPEYYFSDLSFSVVQ QWDSRAIEKTTIISCMRPANQEIYPLRHCETLRSQPCSLFSSLYARSFQSSCTLHVAE PSPGFHMYGCHT YLR159C-A MYSCAKKKTTAAPEFRVWSPTTLLGQALTSLTTVDRTGNGAFW YLR160C MRSLNTLLLSLFVAMSSGAPLLKIREEKNSSLPSIKIFGTGGTI ASKGSTSATTAGYSVGLTVNDLIEAVPSLAEKANLDYLQVSNVGSNSLNYTHLIPLYH GISEALASDDYAGAVVTHGTDTMEETAFFLDLTINSEKPVCIAGAMRPATATSADGPM NLYQAVSIAASEKSLGRGTMITLNDRIASGFWTTKMNANSLDTFRADEQGYLGYFSND DVEFYYPPVKPNGWQFFDISNLTDPSEIPEVIILYSYQGLNPELIVKAVKDLGAKGIV LAGSGAGSWTATGSIVNEQLYEEYGIPIVHSRRTADGTVPPDDAPEYAIGSGYLNPQK SRILLQLCLYSGYGMDQIRSVFSGVYGG YLR161W MKFQYALAKEQLGSNSRSGVKKLISKHHWLPEYYFSDLSFSVVQ QWDSRAIEKTTIISCMRPANQEIYPLRHCETLRSQPCSLFSSLYARSFQSSCTLHVAE PSPGFHMYGCHT YLR162W MQHTLTRTASLPERSSSAHSAATALPALRRPPDSCETLVPLLCI FWFVFVSMSPLPPARANKSDNKGLISADRNNKATLLLTIPRCTSKSYTNDLSPLKMTL LSAGKHPRPFRQEHRC YLR162W-A MVCIHTENQNQGDFYPFVLLEISVLHESPLGHLRYRLTDVPPQP NSPGEIYCFYINCIMNRR YLR163C MFSRTASKFRNTRRLLSTISSQIPGTRTSKLPNGLTIATEYIPN TSSATVGIFVDAGSRAENVKNNGTAHFLEHLAFKGTQNRSQQGIELEIENIGSHLNAY TSRENTVYYAKSLQEDIPKAVDILSDILTKSVLDNSAIERERDVIIRESEEVDKMYDE VVFDHLHEITYKDQPLGRTILGPIKNIKSITRTDLKDYITKNYKGDRMVLAGAGAVDH EKLVQYAQKYFGHVPKSESPVPLGSPRGPLPVFCRGERFIKENTLPTTHIAIALEGVS WSAPDYFVALATQAIVGNWDRAIGTGTNSPSPLAVAASQNGSLANSYMSFSTSYADSG LWGMYIVTDSNEHNVQLIVNEILKEWKRIKSGKISDAEVNRAKAQLKAALLLSLDGST AIVEDIGRQVVTTGKRLSPEEVFEQVDKITKDDIIMWANYRLQNKPVSMVALGNTSTV PNVSYIEEKLNQ YLR164W MSSTKFLKPLCRIRAFHTSIARSFTIPFLPKIPQKPGGVSGTAN DSSYMPPESRAQGSYHWIVERGLSLAVLPLIAVPLVTTGPISTFTDTFLSLVLLGHCH IGFQSCIIDYISERVYGKVHHYAMYLLSLGSFLSFVGIYKLESQEAGLIASLKSLWDN KPVEKKRQ YLR165C MSLKKQIPIIFENTHYFIVNKPPGIPSQPPDCRTWGRTHPNLDP TPLLERFKAIYYSHREVELCRTVHRLDHCVTGGMLIAKTKDGSVKFSRFLQKGGNNGY KLQRKYVAIVESSGRFNKPNNYEIKYGPKYNFLISHGGREITKFKEVDENCIVLQLVT GKKHQIRNHVSQILNQPILNDKRHGSTVNFPELFNDQIALHSACIITKIGLQTKTHLI PMEHNNTGQLWSRKYVNEEGEFTLPIKEVLLENWDQ YLR166C MNSLYELDPKWKKLLKTDNFLGGLTVNEFVQELSKDHRNDVLID ANTKNLPTNEKDQDAIREAIWKQLDPKPYIRTFESTLKELKNLNEETLNKRQYFSEQV ATQEVIHSENVIKLSKDLHTTLLTFDKLDDRLTNVTQVVSPLGDKLETAIKKKQNYIQ SVELIRRYNDFYSMGKSDIVEQLRLSKNWKLNLKSVKLMKNLLILSSKLETSSIPKTI NTKLVIEKYSEMMENELLENFNSAYRENNFTKLNEIAIILNNFNGGVNVIQSFINQHD YFIDTKQIDLENEFENVFIKNVKFKEQLIDFENHSVIIETSMQNLINDVETVIKNESK IVKRVFEEKATHVIQLFIQRVFAQKIEPRFEVLLRNSLSISNLAYVRILHGLFTLFGK FTKSLIDYFQLLEIDDSNQILSTTLEQCFADLFSHYLYDRSKYFGIEKRSLEAILVDM TSKFTVNYDKEINKRVLLDKYKEKLSTNVDAFMHSPRGNTHSRQDSTSRSKLSQFNSF LKTHLDKDHLSLNRTNTLSDSFNNSSSSTQYDVANNSSSLVNSSFTASDIDNSPNSPA NYSLNDVDSMLKCVVESTARVMELIPNKAHLYILEILKIMFLGIVDSYMEIALEVAYW KICKVDINKTAGVVNLNFLKFISMSTEILDLLSISIKSIFLPLLNNSPEIKAQIIEMT NSQIQKMEILINIILQETITVISTKFSAILCKQKKKDFVPKSQELLDQDTLPAIEIVN ILNLIFEQSSKFLKGKNLQTFLTLIGEELYGLLLSHYSHFQVNSIGGVVVTKDIIGYQ TAIEDWGVASLIDKFATLRELANLFTVQPELLESLTKEGHLADIGRDIIQSYISNRED FNHDNFINSVKLNFR YLR167W MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKHKKVKLAV LSYYKVDAEGKVTKLRRECSNPTCGAGVFLANHKDRLYCGKCHSVYKVNA YLR168C MKLFQNSYDFNYPWDQVTAANWKKYPNEISTHVIAVDVLRRELK DQGKVLVTERLITVKQGVPKWIMMMLGGTNMSHVREVSVVDLNKKSLTMRSCNLTMCN LLKVYETVTYSPHPDDSANKTLFQQEAQITAYGSIRKLCNKMEDWSVQRFCENAKKGK MGFDAVLQVFSENWEKHVDDLSNQLVSKVNETMEDVKISAGTLLKGTERSGRTILQQN IDLFRDAYNHEN YLR170C MTQLKYLLLVSRQGKIRLKKWYTAMSAGEKAKIVKDLTPTILAR KPKMCNIIEYNDHKVVYKRYASLYFIVGMTPDVDNELLTLEIIHRFVETMDTYFGNVC ELDIIFNFSKVYDILNEMIMCDGSIAESSRKEVLHHVTVMDTMESNDNLERVLS YLR172C MLYLIGLGLSYKSDITVRGLEAIKKCSRVYLEHYTSILMAASQE ELESYYGKEIILADRELVETGSKQILNNADKEDVAFLVVGDPFGATTHTDLVLRAKRE AIPVEIIHNASVMNAVGACGLQLYNFGQTVSMVFFTDNWRPDSWYDKIWENRKIGLHT LVLLDIKVKEQSIENMARGRLIYEPPRYMSIAQCCEQLLEIEEKRGTKAYTPDTPAVA ISRLGSSSQSFKSGTISELANYDSGEPLHSLVILGRQCHELELEYLLEFADDKEKFGK DVANDQEYFKPAAWVPPTEDDSDE YLR173W MHTNSPLRADNQDLETQPLLRPNTEESQLLNDEVRINVANETLI KSRWRSIKCLIIYLLGIVLLSFFGVSIVQYIRGHVPPTDVIEKNLVQVTNFKLVEFQL DGWKDNMGSDLNNDTGKYLQVSIHSQIWFDYDKWPGTENDSDARSQRDWIRYINEKVL KTICIDLNNVTTFDGDLVFKNKLGDVVGMEPICFNLAHRQINNLQFKILVKPSIWKIV KVLKKFWNRDFESLNIKSNLDMTIFKRKFGTRFNLLKLNDEILDWKDIIDWEKISATP LRMIQNMIDGISLQGFTLRDSSSDGFHADMRLNPITILGGVDWLHLPPGTSIPFINWE IKLPDCNGEPAIAIPTLSCFNEPINLHHDKDNIVVCLQNEIEGPLPDELLYQECPQNS LTPMSQIVNAVLNQNETVTFAARGHVLEDGIDNNSLIPADMLEDIFQEASFIPITTNA TFNSSELIQEFQINDLQLRWAARKKLSLVGTFLGFFDLSFYETHQQDRVRIDTIRGQI DLYHNDINFLNLPMKQWINSSSHILHDEDTGNTQMKLQFDLENDDMEVVNSLELTRTL NEILFQGFTVIHFNATIDASLTTALGPWVLTGLAGEGDTLVT YLR174W MTKIKVANPIVEMDGDEQTRIIWHLIRDKLVLPYLDVDLKYYDL SVEYRDQTNDQVTVDSATATLKYGVAVKCATITPDEARVEEFHLKKMWKSPNGTIRNI LGGTVFREPIIIPRIPRLVPQWEKPIIIGRHAFGDQYKATDVIVPEEGELRLVYKSKS GTHDVDLKVFDYPEHGGVAMMMYNTTDSIEGFAKASFELAIERKLPLYSTTKNTILKK YDGKFKDVFEAMYARSYKEKFESLGIWYEHRLIDDMVAQMLKSKGGYIIAMKNYDGDV ESDIVAQGFGSLGLMTSVLITPDGKTFESEAAHGTVTRHFRQHQQGKETSTNSIASIF AWTRGIIQRGKLDNTPDVVKFGQILESATVNTVQEDGIMTKDLALILGKSERSAYVTT EEFIDAVESRLKKEFEAAAL YLR175W MSKEDFVIKPEAAGASTDTSEWPLLLKNFDKLLVRSGHYTPIPA GSSPLKRDLKSYISSGVINLDKPSNPSSHEVVAWIKRILRCEKTGHSGTLDPKVTGCL IVCIDRATRLVKSQQGAGKEYVCIVRLHDALKDEKDLGRSLENLTGALFQRPPLISAV KRQLRVRTIYESNLIEFDNKRNLGVFWASCEAGTYMRTLCVHLGMLLGVGGHMQELRR VRSGALSENDNMVTLHDVMDAQWVYDNTRDESYLRSIIQPLETLLVGYKRIVVKDSAV NAVCYGAKLMIPGLLRYEEGIELYDEIVLITTKGEAIAVAIAQMSTVDLASCDHGVVA SVKRCIMERDLYPRRWGLGPVAQKKKQMKADGKLDKYGRVNENTPEQWKKEYVPLDNA EQSTSSSQETKETEEEPKKAKEDSLIKEVETEKEEVKEDDSKKEKKEKKDKKEKKEKK EKKDKKEKKEKKEKKRKSEDGDSEEKKSKKSKK YLR176C MVIFKERKPTENLFTRKIPAKYFIFSPSFLSVHYFEFYLPMSGD NNIEPTSRGSNDNSNGPSNGSSVNSNRYSLNAPKYSSQPPPASHTYLPPMSVNIPPIA SKSSSIYSLLHQSSPRPETPNPILPPLIGSGPGSHKPSPTPTQPPAQPATQRQPATYS VYPASISLNRSNSSAYPLSFKSEETLNNNPPTAAKRTNTFPSIPSSTKKQKTSQEKRI SSISRRNTQEIIAKQIAENNKSKTIEEYAQIVKHAEIKVLSMDSQNTSKAALQLAEQN RERERQVFALLWLMKNCKSQHDSYVPRGKIFAQYASSCSQNNLKPLSQASLGKLIRTV FPDLTTRRLGMRGQSKYHYCGLKLTVNESGSVSLNNNNASLSLVHNNDPISPLSSPSP SSPSPQVPNVSSPFSLNRKSLSRTGSPVKQSSNDNPNEPELESQHPNETEANKLDSLP PAANNPTGTLSSDELTFTHDLIEKVFNCNDKLSDNYNTQILSNTEHPLLTSYKLDFPK IPAGVLPTDTDSDVISSLESLYHIHCNSVYECIKFLKSDNISNALFFSNSNSISPTMF NLFISEPLIDWVTKCDLITYTGLIKFFSQFIIHSNEISDSIIQKLESMIKLLPEQINK AVLELPKALVQRKLSIINNFTKLVKKLIKLLKFILNFLKSFPIFKSGMNNDWKNIVNL DDILEMMINEDDTNSETNTIMQHLQGFCQVFVTKFLNSSMSVSNDPSVSIECKSLNEM IKDFCSFISLQSKFSCLKLIDCSTRFRNAIIGDISLKSNENLLSWLFLNNVMGQLLNY CFEVMKFVNGLKV YLR177W MELPSINSTTSISDNQELRNYYDKLLFKNNSGKSLADLPGKMAD FNDNSAAAHPRSRVDFINGYIGFREDKQSLLGQKNTKRASFSAFADEGRKQSEMSING KSPNLSLFSFEFNGTPTQDRKPYKQDYLNVMNTSPNNILSPLNNSSQKYYPQKQQQQQ QQQQQQQQQSIFDPGRRSSYISDALIHGNAATQQPQYSQPVYINNNPSLQVPYTAPSE YTQQQQYSSPFNARRNTQPVLNLHPAAAPTNDAGLAVVDGKNLTSSKELHDLYLDCGS NYFASDKVYKFIDSIKGTLRGDNVSASSSRIIEFLDFLKNCNLNYNPQSDAFISTAVS NASSTGAAKSKNSTSMHLHYKPLVLVSLKNGKLELLSKPQTATLILKRGDLVIIDGDR GKDLVLVVEPVVDINLALFINFLKKKIHFDSLITNSQQHFPNDQFIKTLVDTTNGKPV AHELNPKLYDIIELTQLIIPSKQVLRFATPWESSTNLHNKFQDELKALHIAQLKLRSL NNNNSGGGLNIKILNAEFQFDRKKLTFYYICQERNDFRDLIKELFKFYKTRIWLCAIP NNLSIDSKFYDSNKFEWEMYQDMMSHYSMDNTGIVVAPELNRLKLDDFQIGVYMELVK VLFG YLR178C MNQAIDFAQASIDSYKKHGILEDVIHDTSFQPSGILAVEYSSSA PVAMGNTLPTEKARSKPQFQFTFNKQMQKSVPQANAYVPQDDDLFTLVMTDPDAPSKT DHKWSEFCHLVECDLKLLNEATHETSGATEFFASEFNTKGSNTLIEYMGPAPPKGSGP HRYVFLLYKQPKGVDSSKFSKIKDRPNWGYGTPATGVGKWAKENNLQLVASNFFYAET K YLR179C MSSAIVAKLNKEDIIKDTVKDLAFEILGELSVSYVDSDDIKLGN PMPMEATQAAPTIKFTPFDKSQLSAEDKLALLMTDPDAPSRTEHKWSEVCHYIITDIP VEYGPGGDIAISGKGVVRNNYIGPGPPKNSGYHRYVFFLCKQPKGADSSTFTKVENII SWGYGTPGAGAYDYIKENNLQLVGANYYMVENTTVDFNYDM YLR180W MAGTFLFTSESVGEGHPDKICDQVSDAILDACLAEDPHSKVACE TAAKTGMIMVFGEITTKAQLDYQKIVRDTIKKIGYDDSAKGFDYKTCNVLVAIEQQSP DIAQGVHEEKDLEDIGAGDQGIMFGYATDETPEGLPLTILLAHKLNMAMADARRDGSL AWLRPDTKTQVTVEYKDDHGRWVPQRIDTVVVSAQHADEITTEDLRAQLKSEIIEKVI PRDMLDENTKYFIQPSGRFVIGGPQGDAGLTGRKIIVDAYGGASSVGGGAFSGKDYSK VDRSAAYAARWVAKSLVAAGLCKRVQVQFSYAIGIAEPLSLHVDTYGTATKSDEEIID IISKNFDLRPGVLVKELDLARPIYLPTASYGHFTNQEYPWEKPKTLKF YLR181C MASNAARVVATAKDFDKVGLGIIGYYLQLYAVELILSEEDRSQE MTALATELLDTIEAFKKEIGGESEAEDSDKSLHVMNTLIHDQEKAKIYMLNFTMSLYN EKLKQLKDGPWDVMLKRSLWCCIDLFSCILHLWKENISETSTNSLQKRIKYCKIYLSK LAKGEIGSSDEKTLDYADFADDSEEIKDEDVDHQTSDLENNNNDKVEGLAPKDQTTSY EPVDEVPEFIDDADSVNEEEQTVDKNEDAITKDEQQVVKKEVDLTRPSAPSEPAAAEH KSYTKDELTKIMDRASKIEQIQKLAKYAISALNYEDLPTAKDELTKALDLLNSI YLR182W MALEEVVRYLGPHNEIPLTLTRDSETGHFLLKHFLPILQQYHDT GNINETNPDSFPTDEERNKLLAHYGIAVNTDDRGELWIELEKCLQLLNMLNLFGLFQD AFEFEEPETDQDEEDPSHSKLPENKTKSENSKDNISSKRINNLQDMSLDSDAHRELGS PLKKLKIDTSVIDAESDSTPNTARGKPNDDINKGPSGDNENNGTDDNDRTAGPIITFT HDLTSDFLSSPLKIMKALPSPVVNDNEQKMKLEAFLQRLLFPEIQEMPTSLNNDSSNR NSEGGSSNQQQQHVSFDSLLQEVNDAFPNTQLNLNIPVDEHGNTPLHWLTSIANLELV KHLVKHGSNRLYGDNMGESCLVKAVKSVNNYDSGTFEALLDYLYPCLILEDSMNRTIL HHIIITSGMTGCSAAAKYYLDILMGWIVKKQNRPIQSGTNEKESKPNDKNGERKDSIL ENLDLKWIIANMLNAQDSNGDTCLNIAARLGNISIVDALLDYGADPFIANKSGLRPVD FGAGTSKLQNTNGGDENSKMVSKGDYDGQKNGKAKKIRSQLLKNPPETTSLINDVQNL LNSISKDYENETVQYNEKLEKLHKELNEQREELANSREQLANVKQLKDEYSLMQEQLT NLKAGIEEEEESFREESKKLGIIADESSGIDWDSSEYDADEPFKVEFLSDFLEDKLQK NYEGDISKLLEAESKEQIMEQIRNQLPAEKIQSMLPPTVLLKARINAYKRNDKHLTNV LDTISTKQSELENKFRRVLSLCLKIDENKVDNMLDGLLQAISSEDPQDIDTDEMQDFL KKHAS YLR183C MSSQFPSSPYRTVDPYSPPNYKQQPNCPSSNYEKAGKTASESIG NFGKGDYPTPFPSSSIGRVSSPVRSNKVDAIPSSPAFPGQLAETSPKFSSKLSSPSRH TRVINAELDPSKISTITVGRNSSQCDVALCKNKFISRVHASITYLPQTNEVKIHCFSM NGLIVTYRKQFDCYQLKDTMNNNNRAYRLVPRFSNEKCVKEIQDEGGFINFTLEEGDT VYMTYYKGIMLDFRQVLLRISLKEKNSSSEPLRFEKKAEFESESETKHMGSIRKHPLI FTDTSMDRPKKILKDSNKISIGSDSGVAERMLNHFLNSKSSPLSSVSSVDHEEQTLRQ DSLSSDKNPMTMKKPKLNKRVLPSKPKKSVKENLDELSRRNIDVMHLQHILTNHLAFA NVQQTPLFQLQQVNSQISELSRDELRSILSDAKCVGVIYRHGKDAAGKPLDEEYFYDL ENDDDYERRNLVSSLKGGRTGLRSCRRTHKQYFWKKPAK YLR185W MGKGTPSFGKRHNKSHTLCNRCGRRSFHVQKKTCSSCGYPAAKT RSYNWGAKAKRRHTTGTGRMRYLKHVSRRFKNGFQTGSASKASA YLR186W MVEDSRVRDALKGGDQKALPASLVPQAPPVLTSKDKITKRMIVV LAMASLETHKISSNGPGGDKYVLLNCDDHQGLLKKMGRDISEARPDITHQCLLTLLDS PINKAGKLQVYIQTSRGILIEVNPTVRIPRTFKRFSGLMVQLLHKLSIRSVNSEEKLL KVIKNPITDHLPTKCRKVTLSFDAPVIRVQDYIEKLDDDESICVFVGAMARGKDNFAD EYVDEKVGLSNYPLSASVACSKFCHGAEDAWNIL YLR187W MKRIFSGVKSPKLSAPPKVFKNDESPSTPSSPKFDQGLRSLSAS ASRLFSNSISTPGSPTLDLPQEHSINGDISPELVPIVTLLSAQAHRRYHYGIFLILHD LKTDGTPAARQWEECYGVLLGTQLALWDAKELSDSKNNKNTSTMKKAASRPSFINFTD ASVRSLDANDQVIIASENEKTKKDLDNVLVVSTTLKNRYFLKFKNSKSFKTWNAAIRL SLFEFTALQEAYTGSFLSSRGVKLGDIKVVMADTKFTYEDWVSVRFGTGMPWKRCYAV ISPQSGKKKKNSKGSICFYENNKKTKKSNIMTTVVDARALYAVYPSSPILIDTSTIIK LEGFVSFDKSEEPQETNLFIMPEKHQGVPGYDTIIRFLIPAMNAFYLYGRPKGLIANR TDPDSLLFALPTLPHIYYLQVDDVLSLTKDKNYIHWSAADWRNNIVQVLQKKLSKGYK GCGNKTVSVSSGMMKSPAISSAELFEGYDSLPERQMESPQKSKMKSPTLASTDDINSA SASVNSHATSVKQTELFVTDNSSKINDSVSAQSSVTTNFKDTFTTPMTSGMLNHENSE RSFGSGLKLKITDSNLENMEDVEAKSANEFSTTPEDKHIHLANAAELSALYDKYSTSP FGKSEANSSPKPQKLEVKDRSKNENRSPYERYVGTSAESKTFEIGNVRESKSTINTSL SSPLRVEDSRRSKNEDLGSLKEFEELSQKISNMGMANISSEALSDTAENSSFVTDLNL NINNSSSVNLNEEQRVPDFGEENVFDPDYMEQNQMLETESRYTTDEFDFSDNQDAASS NYSNGQTNRTVTETLSASDRNDKIPHSSLFTNLNQLTSNGGNYQDREDFSGDQINKPQ QSQPLHVKGPQTSSFGYRNSSANSSQPQAPYPVGRPLGKIRTGPLTVQPMQQGGNSSM YSFQSSQHRFHSSQQRQNQSLSFRNNTYGSGNNQNTFHPSPQLQQQPQNMRYLNNKLP INDRSPIPQTQHHVPDGRPSLHINTTNRTNPLTAQSGFSQFMPPNSTSTNPYSS YLR188W MIVRMIRLCKGPKLLRSQFASASALYSTKSLFKPPMYQKAEINL IIPHRKHFLLRSIRLQSDIAQGKKSTKPTLKLSNANSKSSGFKDIKRLFVLSKPESKY IGLALLLILISSSVSMAVPSVIGKLLDLASESDGEDEEGSKSNKLYGFTKKQFFTALG AVFIIGAVANASRIIILKVTGERLVARLRTRTMKAALDQDATFLDTNRVGDLISRLSS DASIVAKSVTQNVSDGTRAIIQGFVGFGMMSFLSWKLTCVMMILAPPLGAMALIYGRK IRNLSRQLQTSVGGLTKVAEEQLNATRTIQAYGGEKNEVRRYAKEVRNVFHIGLKEAV TSGLFFGSTGLVGNTAMLSLLLVGTSMIQSGSMTVGELSSFMMYAVYTGSSLFGLSSF YSELMKGAGAAARVFELNDRKPLIRPTIGKDPVSLAQKPIVFKNVSFTYPTRPKHQIF KDLNITIKPGEHVCAVGPSGSGKSTIASLLLRYYDVNSGSIEFGDEDIRNFNLRKYRR LIGYVQQEPLLFNGTILDNILYCIPPEIAEQDDRIRRAIGKANCTKFLANFPDGLQTM VGARGAQLSGGQKQRIALARAFLLDPAVLILDEATSALDSQSEEIVAKNLQRRVERGF TTISIAHRLSTIKHSTRVIVLGKHGSVVETGSFRDLIAIPNSELNALLAEQQDEEGKG GVIDLDNSVAREV YLR189C MPITQIISASDSEAGPKPSISLVPDKPSEPETSPRHHRLSRSLS KFKRWRGRSNSSLSMGSSEQQELQDSPNEARSDDDENGYNNDNADDLAKSKYMMKSIA GLLTTASVYAGMNNAQEMNVLSQVDSEESDSSDSFQENIGRNEVKSKKENLKTKSHPE VPRLDKRKPTLFDFSITREKLSKDNVAKLRQRFCLDEQEPFLNDFPAWLLKDVLVQGH IFITTKHFLFFAYLPKNPRSVKMSGNLNIRTKLIRSTRYWCVLKNHLFSMYTSSTELY FPVLTIDLREVQKIETQKHTLNGSATKTFKLYTDESTFKFNADSEFSAKSWVNALKKE QFAAQNSENNSISLKIPLPNIIEIDDQPIVNKALTLRLRALESSQTYAIDDFMFVFMD GSGSQVKESLGEQLAILQKSGVNTLYYDIPAKKSKSSFGKETPATVEQKNNGEDSKYL NVPTSAVPSSENGKKSRFRFRERSNSWFRRAKPLEDSQVEDVEEIYKDAANDIDSSVH STIHIHEQEDSQEQTVAWKPSHLKNFAEMWAAKPIHYRNKFIPFQKDDTYLIKETEEV SANERFRYHFKFNKEKSLISTYYTYLNRNVPVYGKIYVSNDTVCFRSLLPGSNTYMVL PLVDVETCYKEKGFRFGYFVLVIVIHGHEELFFEFSTEVARDDIERILLKLLDNIYAS SAEGSNISSASLGDVQHNPDSAKLKLFEDKINAEGFEVPLMIDENPHYKTSIKPNKSY KFGLLTIGSRGDVQPYIALGKGLIKEGHQVVIITHSEFRDFVESHGIQFEEIAGNPVE LMSLMVENESMNVKMLREASSKFRGWIDALLQTSWEVCNRRKFDILIESPSAMVGIHI TEALQIPYFRAFTMPWTRTRAYPHAFIVPDQKRGGNYNYLTHVLFENVFWKGISGQVN KWRVETLGLGKTNLFLLQQNNVPFLYNVSPTIFPPSIDFSEWVRVTGYWFLDDKSTFK PPAELQEFISEARSKGKKLVYIGFGSIVVSNAKEMTEALVEAVMEADVYCILNKGWSE RLGDKAAKKTEVDLPRNILNIGNVPHDWLFPQVDAAVHHGGSGTTGASLRAGLPTVIK PFFGDQFFYAGRVEDIGVGIALKKLNAQTLADALKVATTNKIMKDRAGLIKKKISKED GIKTAISAIYNELEYARSVTLSRVKTPRKKEENVDATKLTPAETTDEGWTMI YLR190W MNSPTMKSEQLTPKLSPMSFCLDDQRNAGSFQNLLNSPTKLKLD TGPIGNSLLYPTSLSKLSELSRGGRSKQRRGSDTMRSVSPIRFQFLNNTPKMLKPEYL SQTTSNLPLLSALLKNSKKTTSEGQNSNPDPLNIEKNIIKQSIKDKLEQLRSSESVAQ VQKKERNPPSFEAKVCAEEPILRKNAEGLLPSYVPVPATPLEDPENHGVRKVEDKGLR VVSGGSTQCLSTEVNELPKDLNLDNLPTDNNGFVQYGLKGNNNNNRYSFISSTSTDYE PEWCDGQQHISMQMASMANAEEANSREKSNLDIKIKQLELEITELKLQNEKLVHSMTT NRYIEERFMLEVMKDPSIQAQRSQRDIERKVKQLEKKFFNCKKVLKKLTESSAVVATS TSKTEGNSARIPCPKTRLARVSVLDLKKIEEQPDSSSGTSSEEDHLTNDDTDANTSED LNVAFEEEPTSAISTTASVQSGESKRGFQLNLPVQVEKKEK YLR191W MSSTAVPRPKPWETSASLEEPQRNAQSLSAMMTSNQQDSRPTEE SNNSNSASESAPEVLPRPAALNSSGTYGESNTIPGIYGNSNYGIPYDNNPYSMNSIYG NSIGRYGYGGSYYGNNYGSFYGGGYGAGAGYGMNNGSGLGESTKATFQLIESLIGAVT GFAQMLESTYMATHNSFFTMISVAEQFGNLKEMLGSFFGIFAIMKFLKKILYRATKGR LGIPPKNFAESEGSKNKLIEDFQKFNDSGTINSNEKATRRKISWKPLLFFLMAVFGFP YLLNKFITKLQTSGTIRASQGNGSEPIDPSKLEFARALYDFVPENPEMEVALKKGDLM AILSKKDPLGRDSDWWKVRTKNGNIGYIPYNYIEIIKRRKKIEHVDDETRTH YLR192C MSWDDEAINGSMGNDDAVLMDSWDAEIGDDEPVMQSWDAEEEEK KPAPKPKKEQPKKVKKGKESSADRALLDIDTLDEKTRKELIKKAEMESDLNNAADLFA GLGVAEEHPRARALQKEQEEQALKRPAFTKDTPIETHPLFNAETKREYQDLRKALTAA ITPMNKKSPLNYSSSLAIDLIRDVAKPMSIESIRQTVATLNVLIKDKEREERQARLAR VRGGTATGGAGKKKVKGKTNLGGAFKKDQDFDLDGPDDFEFGDDDFM YLR193C MVLLHKSTHIFPTDFASVSRAFFNRYPNPYSPHVLSIDTISRNV DQEGNLRTTRLLKKSGKLPTWVKPFLRGITETWIIEVSVVNPANSTMKTYTRNLDHTG IMKVEEYTTYQFDSATSSTIADSRVKFSSGFNMGIKSKVEDWSRTKFDENVKKSRMGM AFVIQKLEEARNPQF YLR194C MKACSILFTTLITLAAAQKDSGSLDGQNSEDSSQKESSNSQEIT PTTTKEAQESASTVVSTGKSLVQTSNVVSNTYAVAPSTTVVTTDAQGKTTTQYLWWVA ESNSAVSTTSTASVQPTGETSSGITNSASSSTTSTSTDGPVTIVTTTNSLGETYTSTV WWLPSSATTDNTASSSKSSSGSSSKPESSTKVVSTIKSTYTTTSGSTVETLTTTYKST VNGKVASVMSNSTNGAFAGTHIAYGAGAFAVGALLL YLR195C MSEEDKAKKLENLLKLLQLNNDDTSKFTQEQKKAMKDHKFWRTQ PVKDFDEKVVEEGPIDKPKTPEDISDKPLPLLSSFEWCSIDVDNKKQLEDVFVLLNEN YVEDRDAGFRFNYTKEFFNWALKSPGWKKDWHIGVRVKETQKLVAFISAIPVTLGVRG KQVPSVEINFLCVHKQLRSKRLTPVLIKEITRRVNKCDIWHALYTAGIVLPAPVSTCR YTHRPLNWKKLYEVDFTGLPDGHTEEDMIAENALPAKTKTAGLRKLKKEDIDQVFELF KRYQSRFELIQIFTKEEFEHNFIGEESLPLDKQVIFSYVVEQPDGKITDFFSFYSLPF TILNNTKYKDLGIGYLYYYATDADFQFKDRFDPKATKALKTRLCELIYDACILAKNAN MDVFNALTSQDNTLFLDDLKFGPGDGFLNFYLFNYRAKPITGGLNPDNSNDIKRRSNV GVVML YLR196W MISATNWVPRGFSSEFPEKYVLDDEEVERINQLAQLNLDDAKAT LEEAEGESGVEDDAATGSSNKLKDQLDIDDDLKEYNLEEYDDEEIADNEGGKDVSMFP GLSNDSDVKFHEGEKGEDPYISLPNQEDSQEEKQELQVYPSDNLVLAARTEDDVSYLD IYVYDDGAGFHSSDIPVEEGDEADPDVARGLVRDPALYVHHDLMLPAFPLCVEWLDYK VGSNSEEAANYAAIGTFDPQIEIWNLDCVDKAFPDMILGEPLDNSMVSLKSKKKKKKS KTGHITTHHTDAVLSMAHNKYFRSVLASTSADHTVKLWDLNSGNAARSLASIHSNKNV SSSEWHMLNGSILLTGGYDSRVALTDVRISDESQMSKYWSAMAGEEIETVTFASENII LCGTDSGNVYSFDIRNNENRKPVWTLKAHDAGISTLCSNKFIPGMMSTGAMGEKTVKL WKFPLDDATNTKGPSMVLSRDFDVGNVLTSSFAPDIEVAGTMVIGGVNKVLKLWDVFT NRSVRKSFKSELENVQARAKEEAQKIGKSSRIARKYTSNDNPDTVITIDDQGEDEEER EGGDEHDDMA YLR197W MAPIEYLLFEEPTGYAVFKVKLQQDDIGSRLKEVQEQINDFGAF TKLIELVSFAPFKGAAEALENANDISEGLVSESLKAILDLNLPKASSKKKNITLAISD KNLGPSIKEEFPYVDCISNELAQDLIRGVRLHGEKLFKGLQSGDLERAQLGLGHAYSR AKVKFSVQKNDNHIIQAIALLDQLDKDINTFAMRVKEWYGWHFPELAKLVPDNYTFAK LVLFIKDKASLNDDSLHDLAALLNEDSGIAQRVIDNARISMGQDISETDMENVCVFAQ RVASLADYRRQLYDYLCEKMHTVAPNLSELIGEVIGARLISHAGSLTNLSKQAASTVQ ILGAEKALFRALKTKGNTPKYGLIYHSGFISKASAKNKGRISRYLANKCSMASRIDNY SEEPSNVFGSVLKKQVEQRLEFYNTGKPTLKNELAIQEAMELYNKDKPAAEVEETKEK ESSKKRKLEDDDEEKKEKKEKKSKKEKKEKKEKKDKKEKKDKKEKKDKKKKSKD YLR199C MLFKQWNDLPEPKHLLDLPEISKNLQSLEVCPVPKVEFPQDLDV PQYSTAVITTKIMNPLFPKNLLQLTSIGEIKTTLTVKSPSLPQSSGKHSWNYDENFPN EVDPDQKNDTADETVYGFSFPIYSFGKTLLFSMEENFISISPIFGNMISRSIISQLAQ FSPDIIVIGTSDKIASMKVMTENECTLQPPEFITGFIGSVLTQLIVGPSKGLKFKCLV APSEGPNGFEKLSLSDMGSLVDLCGQWLGFEPSRYSEECYRLWRCDSAAIGAQSGLYI YLR200W MSELGAKYQQLQNELEEFIVARQKLETQLQENKIVNEEFDQLEE DTPVYKLTGNVLLPVEQSEARTNVDKRLEFIETEITRCEKNIRDKQEELEKMRSELIK LNNTAASTGPGR YLR201C MLCRNTARTGCKFFRLYHSNPIEHVKPIHIKPLTYGKESPQYKV LSLALQKFVPEHGFSERSIVESLNELGYPSSMISSIGAPNSPSFFHSSTAVMELIKFQ LVDKRYRLTEGINPDVTPQYKLPSLEHLLLKRLEMDKPIGGHLSELMSQLAIPSAFLF ETAIPELHRLSDDMIYFSNEKDHHDSAWYAKRLAVSSTYIGSKLFMAQDKSHNYKETF TFAKDKLHRVMRLGEYYNNTEEFAWYTLMSTVNLIKSQLVRG YLR203C MTVLYAPSGATQLYFHLLRKSPHNRLVVSHQTRRHLMGFVRNAL GLDPPPSPEDPTPENRFHPWDQSPSVDLRERAAKIRTLAHCPVTGKDINYTCPLSGIP THHSREAWEMDKAYHDSKKYEILKKVNIYEHDLRSGRPFPEFDFPQQQGYDKAVNLTN WDLFFYTRSFYSMDTEFQLAAVTKMLSYPITIGSLLHKFSPYSLNPKGPITLEGLKSL AALRYTLYPLENRSLPTTTKNRAMRIFILGARAEAQLPGHVWKQLQFLFPEQSFEIHF IGPECLYKRDKQEYVKSTTPVVQRVDETLKFIYRTNFFEVFHEAQDFFPYDPYMDVFF TFHPGYASPESHGSWMGETMKALLETKCAIFTTGFNKKDLTDDINLVKSKYGKEMDVL MEPVRNVFGSTKWELNDMNPQEVYQFNMYIAGFRGKRYHTIKRQ YLR204W MLGRALRPGWLGITRTVVKKPSCGSYFNRTFQTAINTTMPPMQE GMLSTMMMMTATATRITGTVSEPLNGSNIVMQLDSVMRKRKKKMKKHKLRKRRKREKA ERRKLSQGR YLR205C MEDSSNTIIPSPTDVGALANRINFQTRDAHNKINTFMGIKMAIA MRHGFIYRQGILAYYYVFDAIEQEIDRLLNDPVTEEELQTSTILKQFWLEDFRRSTQI YKDLKLLYSNTFKSTESLNEFLATFQKPPLLQQFINNIHENIHKEPCTILSYCHVLYL ALFAGGKLIRSNLYRRLGLFPNFEKLSQKELVKKGTNFFTFSDLGPTEETRLKWEYKK NYELATRTELTEAQKLQIISVAEGIFDWNFNIVAEIGELNRRELMGKFSFKCITYLYE EWMFNKDSATRRALHTVMLLVLSIIAIWVLYFLVKSFLSIV YLR206W MSKQFVRSAKNMMKGYSSTQVLVRDATANDSRTPSIDTLDDLAQ RSYDSVDFFEIMDMLDKRLNDKGKYWRHVAKSLTVLDYLVRFGSENCVLWCRENFYVI KTLREFRHENESGFDEGQIIRVKAKELVSLLNDEERLREERSMNTRNRRANRAARPRP RRQRTRSNPHDSSPSYQDDLEKALEESRITAQEDEQRRRELAQYDDEDPDFQAALQLS KEEEELKQLQELQRLQKQQQSLSQFQAPLQQQQPQQQPAYYDIFGNPISQDEYLQYQY QQDQEQAMAQQRWLDQQQEQQQLAEQQYFQQQQQAAAAASALQQQQTAANMQQQQQQP ADFQQPLPTGSNNPFSMDNLERQKQEQQHAQLQRQQEEARQQQEQLKLQQLQRQQQEE AQLHQKRQEEAQLQQQQAQLLQQQAQFQQQQPLKQTRTGNQSISDKYSDLNTLLATGT GIDTFGNTGEARIPAQHTKTGTFINSQGTGYKQVTNEPKNNPFLSNQYTGLPSTNIVP TQTGYGFGNQPQSPPTNSPQQNPTGISYSQPQQQQQPQQQPQYMQNFQQQQPQYAQNF QQQPQYTQNYQQQPQYIQPHQQQQQQQQQQQQQQGYTPDQGVSLIDL YLR207W MITLLLYLCVICNAIVLIRADSIADPWPEARHLLNTIAKSRDPM KEAAMEPNADEFVGFYVPMDYSPRNEEKNYQSIWQNEITDSQRHIYELLVQSSEQFNN SEATYTLSQIHLWSQYNFPHNMTLAHKYLEKFNDLTHFTNHSAIFDLAVMYATGGCAS GNDQTVIPQDSAKALLYYQRAAQLGNLKAKQVLAYKYYSGFNVPRNFHKSLVLYRDIA EQLRKSYSRDEWDIVFPYWESYNVRISDFESGLLGKGLNSVPSSTVRKRTTRPDIGSP FIAQVNGVQMTLQIEPMGRFAFNGNDGNINGDEDDEDASERRIIRIYYAALNDYKGTY SQSRNCERAKNLLELTYKEFQPHVDNLDPLQVFYYVRCLQLLGHMYFTGEGSSKPNIH MAEEILTTSLEISRRAQGPIGRACIDLGLINQYITNNISQAISYYMKAMKTQANNGIV EFQLSKLATSFPEEKIGDPFNLMETAYLNGFIPAIYEFAVMIESGMNSKSSVENTAYL FKTFVDKNEAIMAPKLRTAFAALINDRSEVALWAYSQLAEQGYETAQVSAAYLMYQLP YEFEDPPRTTDQRKTLAISYYTRAFKQGNIDAGVVAGDIYFQMQNYSKAMALYQGAAL KYSIQAIWNLGYMHEHGLGVNRDFHLAKRYYDQVSEHDHRFYLASKLSVLKLHLKSWL TWITREKVNYWKPSSPLNPNEDTQHSKTSWYKQLTKILQRMRHKEDSDKAAEDSHKHR TVVQNGANHRGDDQEEASEILGFQMEDLVTMGCILGIFLLSILMSTLAARRGWNVRFN GAQLNANGNRQQEQQQQQQAQGPPGWDFNVQIFAI YLR208W MVVIANAHNELIHDAVLDYYGKRLATCSSDKTIKIFEVEGETHK LIDTLTGHEGPVWRVDWAHPKFGTILASCSYDGKVLIWKEENGRWSQIAVHAVHSASV NSVQWAPHEYGPLLLVASSDGKVSVVEFKENGTTSPIIIDAHAIGVNSASWAPATIEE DGEHNGTKESRKFVTGGADNLVKIWKYNSDAQTYVLESTLEGHSDWVRDVAWSPTVLL RSYLASVSQDRTCIIWTQDNEQGPWKKTLLKEEKFPDVLWRASWSLSGNVLALSGGDN KVTLWKENLEGKWEPAGEVHQ YLR209C MSDILNVSQQREAITKAAAYISAILEPHFKNTTNFEPPRTLIIC GSGLGGISTKLSRDNPPPVTVPYQDIPGFKKSTVPGHSGTLMFGSMNGSPVVLMNGRL HGYEGNTLFETTFPIRVLNHMGHVRNLIVTNAAGGINAKYQACDLMCIYDHLNIPGLA GQHPLRGPNLDEDGPRFLALSDAYDLELRKLLFKKWKELKIQRPLHEGTYTFVSGPTF ETRAESKMIRMLGGDAVGMSTVPEVIVARHCGWRVLALSLITNTCVVDSPASALDESP VPLEKGKATHAEVLENGKIASNDVQNLIAAVMGEL YLR210W MMLEGYTVQPPQSTLIGDIEIQDENANQEVKNVLYQGVQKGIKR LEKRQRRVALGDVTSQKANKIHNAIHNKFHQTKNNFEIENIRSSALVKEQQRDVRHED SDYFLIDSSEGSSTDDEQVNEDAIDDLLSRRVNDQQIQADEVYEDFDGEMQDVIEEDV DSQIEPLSPINNDEIQTELDRAFEKYFRSVPNPLDDDTHDVVMVVEYASDIFYYLREL EVKYRPNPYYMQNQVELTWPFRRTMIDWLVQLHFRFQLLPETLYLTINIVDRFLSKKT VTLNRFQLVGVSALFIAAKFEEINCPTLDDLVYMLENTYTRDDIIRAEQYMIDTLEFE IGWPGPMPFLRRISKADDYDFEPRTLAKYLLETTIVEPKLVAAAPSWLAAGAYFLSRT ILGSNDWSLKHVFYSGYTSSQIIPLASLILENCKNASRRHHSIWKKYFDQKHYRCSQI VEEWIVSTEA YLR211C MSTLAEVYTIIEDAEQECRKGDFTNAKAKYQEAIEVLGPQNENL SQNKLSSDVTQAIDLLKQDITAKIQELELLIEKQSSEENNIGMVNNNMLIGSVILNNK SPINGISNARNWDNPAYQDTLSPINDPLLMSILNRLQFNLNNDIQLKTEGGKNSKNSE MKINLRLEQFKKELVLYEQKKFKEYGMKIDEITKENKKLANEIGRLRERWDSLVESAK QRRDKQKN YLR212C MGGEIITLQAGQCGNHVGKFLWSQLAKEHAIGTDGLSQLPDSST ERDDDTKPFFRENSRNKFTPRAIMMDSEPSVIADVENTFRGFFDPRNTWVASDGASAG NSWANGYDIGTRNQDDILNKIDKEIDSTDNFEGFQLLHSVAGGTGSGLGSNLLEALCD RYPKKILTTYSVFPARSSEVVVQSYNTILALRRLIEDSDATVVFDNASLLNISGKVFR NPNIDLQHTNQLISTIISSVTNSIRFPSYMYSSMSSIYSTLIPSPELHFLSPSFTPFT SDYIHDDIAHKGHSSYDVMLDLLDPSNSLVSTAMNNPTYFNVYNTIIGNVEPRQISRA MTKLQQRIKFPSWSSSAMHVNIGRRSPYLPLQPNENEVSGMMLSNMSTVVNVFENACN TFDKVFAKGAFLNNYNVGDLFQSMQNVQDEFAESREVVQSLMEDYVAAEQDSYLDDVL VDDENMVGELEEDLDADGDHKLV YLR213C MRISILQLVPVVGYIGFALGELYKPKNSISCSPNNPCPAEWPCC SPYNECGAGPICVGGCNVRSSFDEESCAPIPALVASQKLEFVSTPKVPKFIVNYQPKP PIREGNGPNKANTKVGVVEGELNSKRIIHYAKFLVTPDSKEAEKMLEDFDFTHSGYTS IEASSGNIVLAMPKKTTGSLITSTRSFLYGKASVRMKTARSRGVVTAFDLTSAIGDEI DFEWLGGDLMTAQSNYYSQGHLDYTRMQRFPVGADTWATYHTYEIDWDPDRIIWYVDG KIARTVLKKDTWDPISKEYRYPQTPMRLEIAVWPGGSETNGPGTINWAGGLIDWENSP DIIEKGQFTAHVEQITVTPYQNKFTEQVQFCIKAKKKAPTFSQKDLSRVVVSYNRQDR LNHHDEGSLKWDCFVTPKINDWLSSWKRSK YLR214W MVRTRVLFCLFISFFATVQSSATLISTSCISQAALYQFGCSSKS KSCYCKNINWLGSVTACAYENSKSNKTLDSALMKLASQCSSIKVYTLEDMKNIYLNAS NYLRAPEKSDKKTVVSQPLMANETAYHYYYEENYGIHLNLMRSQWCAWGLVFFWVAVL TAATILNILKRVFGKNIMANSVKKSLIYPSVYKDYNERTFYLWKRLPFNFTTRGKGLV VLIFVILTILSLSFGHNIKLPHPYDRPRWRRSMAFVSRRADLMAIALFPVVYLFGIRN NPFIPITGLSFSTFNFYHKWSAYVCFMLAVVHSIVMTASGVKRGVFQSLVRKFYFRWG IVATILMSIIIFQSEKVFRNRGYEIFLLIHKAMNIMFIIAMYYHCHTLGWMGWIWSMA GILCFDRFCRIVRIIMNGGLKTATLSTTDDSNVIKISVKKPKFFKYQVGAFAYMYFLS PKSAWFYSFQSHPFTVLSERHRDPNNPDQLTMYVKANKGITRVLLSKVLSAPNHTVDC KIFLEGPYGVTVPHIAKLKRNLVGVAAGLGVAAIYPHFVECLRLPSTDQLQHKFYWIV NDLSHLKWFENELQWLKEKSCEVSVIYTGSSVEDTNSDESTKGFDDKEESEITVECLN KRPDLKELVRSEIKLSELENNNITFYSCGPATFNDDFRNAVVQGIDSSLKIDVELEEE SFTW YLR215C MSSQEYTTFIDIPVTRAQVEHCSYSFWSSLYPKYVPKSIVLKSL PKKFIQYLEQDGIKLPQEENSRSVYTEEIIRNEDNDYSDWEDDEDTATEFVQEVEPLI DFPELHQKLKDALNELGAVAPKLNWSAPRDATWILPNNTMKCNEVNELYLLLNASNYI MHDLQRAFKGCVDGDDIKGLKFDLVLRQWCDMNPALEFRVFVKNAHIVGATQRDLNYY DYLDELSDTFKDLIDEIVHDVVLPKFPDKSFVLDVYIPRPFNKIFIVDINPFARKTDS LLFSWNEIAAIAPPKNDVEDYELRLVTRHNTGRFASKEHSENHVPQDLVEASLNPEAI RELTQKWKELLSQQAKEESSDSENET YLR216C MTRPKTFFDISIGGKPQGRIVFELYNDIVPKTAENFLKLCEGNA GMAKTKPDVPLSYKGSIFHRVIKDFMCQFGDFTNFNGTGGESIYDEKFEDENFTVKHD KPFLLSMANAGPNTNGSQAFITCVPTPHLDGKHVVFGEVIQGKRIVRLIENQQCDQEN NKPLRDVKIDDCGVLPDDYQVPENAEATPTDEYGDNYEDVLKQDEKVDLKNFDTVLKA IETVKNIGTEQFKKQNYSVALEKYVKCDKFLKEYFPEDLEKEQIEKINQLKVSIPLNI AICALKLKDYKQVLVASSEVLYAEAADEKAKAKALYRRGLAYYHVNDTDMALNDLEMA TTFQPNDAAILKAIHNTKLKRKQQNEKAKKSLSKMFS YLR218C MLLFSSFFSHNKCPKQKKGPQPLKVVKGTSKSCAKQGKIKGKEK LWQAKTGRLVMSETGETSEYYKQALEEYKEVQEDEDPDVWDTRISKTGCYVENLALQL CHAETGDWRQCFNEMALFRKCWEKNGNRERVSTVDVDGTTSKDSEKKK YLR219W MVFGFTKRDRRVPDLSRYDYYYQNHEDYNKSPQLSAAAASAASA ASPDRTNYSRSHSLVSHAPSIPRQRSSVKSPGRRLSTSSAAPPTSRAAAKQYSQKTYS LRSQRSGEYHLHPPGYTTNGSRMNSMTSGANVRRNYGKNKSTAGNNNDSRANSITVKT TQVTDPSGRTQSITKKTIRKINGYEYVETTTTTKNLVPLGDSQRHFDEFSENYMLQDD DILEEQASDNIHDIIEENETDNEKPYSPVSESHLQDDSELNVEKPDFPLGSYFHHKYS TDVMPLEEESSLSNFSDALDYIPPTHQTSSKYIHNKRKQASTTRRKKRPPAVKNAEAE AKKPLTEAEMYLKALEVAKRNVYHTDAASDNASAPLGSNKSRKSRMGQKMTLRSSSDS PTATANLVKSNVEVQPKRFTSSFFSRNTKSAPHEVHNHSVSTHFKSNKAVDPVPEPKS ANTGLTDKEMYDQALKIAQARYYNSHGIQPEAVDNSTTAAKPRQVGVSHLGSTGSIPP NEQHYLGDSEIPVQSEVHEYEPIPLQKTKTTGSSKNKFKTMFDKVLQFSQENYGYQHK KEQGEQTPVTRNAEESFPAASISEGVTTAKPSSNEGVMTNPVVTDSPSPLQQQIDSTT ASSNGQSQGNVPTSAVASTTRTRSPELQDNLKSSSSLLQDQTPQRQEDATDPTTSSTN ELSAAEPTMVTSTHATKTIQAQTQDPPTKHKKSSFFTKLFKKKSSR YLR220W MSIVALKNAVVTLIQKAKGSGGTSELGGSESTPLLRGSNSNSSR HDNLSSSSSDIIYGRNSAQDLENSPMSVGKDNRNGDNGSDNEKANLGFFQSVDPRVIS DLIIGLSDGLTVPFALTAGLSSLGDAKLVITGGFAELISGAISMGLGGYLGAKSESDY YHAEVKKEKRKFYDNSNLINREIEDILLEINPNFSDETIVSFIKDLQRTPELMVDFII RYGRGLDEPAENRELISAVTIGGGYLLGGLVPLVPYFFVSDVGTGLIYSIIVMVVTLF WFGYVKTKLSMGSGSSTSKKVTEGVEMVVVGGVAAGAAWFFVKLLG YLR221C MSAGDISAINIKSVKKNRRRKKRRTADVSSSDSSSSDPSSESEK EEIQNGAIEEHVGENGKSDHVFSKGNDEDKQEDIAIEVSDVELTDEESKDLKLNSKEV IDDLTKISLSKIPEPTKSQNKEGFMNASKIAENIKLAREEYNELAENFVPKGKDKTKL REEYLNLLFENYGDDINRLRAAPDFTNKSLSILADALQEGIGMFDIGELELVLKNKEM EN YLR222C MDLKTSYKGISLNPIYAGSSAVATVSENGKILATPVLDEINIID LTPGSRKILHKISNEDEQEITALKLTPDGQYLTYVSQAQLLKIFHLKTGKVVRSMKIS SPSYILDADSTSTLLAVGGTDGSIIVVDIENGYITHSFKGHGGTISSLKFYGQLNSKI WLLASGDTNGMVKVWDLVKRKCLHTLQEHTSAVRGLDIIEVPDNDEPSLNLLSGGRDD IINLWDFNMKKKCKLLKTLPVNQQVESCGFLKDGDGKRIIYTAGGDAIFQLIDSESGS VLKRTNKPIEELFIIGVLPILSNSQMFLVLSDQTLQLINVEEDLKNDEDTIQVTSSIA GNHGIIADMRYVGPELNKLALATNSPSLRIIPVPDLSGPEASLPLDVEIYEGHEDLLN SLDATEDGLWIATASKDNTAIVWRYNENSCKFDIYAKYIGHSAAVTAVGLPNIVSKGY PEFLLTASNDLTIKKWIIPKPTASMDVQIIKVSEYTRHAHEKDINALSVSPNDSIFAT ASYDKTCKIWNLENGELEATLANHKRGLWDVSFCQYDKLLATSSGDKTVKIWSLDTFS VMKTLEGHTNAVQRCSFINKQKQLISCGADGLIKIWDCSSGECLKTLDGHNNRLWALS TMNDGDMIVSADADGVFQFWKDCTEQEIEEEQEKAKLQVEQEQSLQNYMSKGDWTNAF LLAMTLDHPMRLFNVLKRALGESRSRQDTEEGKIEVIFNEELDQAISILNDEQLILLM KRCRDWNTNAKTHTIAQRTIRCILMHHNIAKLSEIPGMVKIVDAIIPYTQRHFTRVDN LVEQSYILDYALVEMDKLF YLR223C MAGKKSPRKSTINHSTHSGKLPANIKRLIKKGESDTKSRQSPPT LSTTRPRRFSLIYSSESSLSDVSDSDKNKSTNPHKIKRKAKNISNNSQGKKSKLIQRQ IDNDDEGTESSDYQAVTDGEESENEEEESEEEEEDDDEDDDDDDDDGSDSDSDSETSS DDENIDFVKLTAQRKKRAMKALSAMNTNSNTLYSSRENSNKNKSVKLSPKKENEEEQK EEKEKEKEEQQKQQESNKKEVNGSGTTTTQQALSFKFKKEDDGISFGNGNEGYNEDIG EEVLDLKNKENNGNEEDKLDSKVMLGNNDELRFPNISESDESEYDIDQDAYFDVINNE DSHGEIGTDLETGEDDLPILEEEEQNIVSELQNDDELSFDGSIHEEGSDPVEDAENKF LQNEYNQENGYDEEDDEEDEIMSDFDMPFYEDPKFANLYYYGDGSEPKLSLSTSLPLM LNDEKLSKLKKKEAKKREQEERKQRRKLYKKTQKPSTRTTSNVDNDEYIFNVFFQSDD ENSGHKSKKGRHKSGKSHIEHKNKGSNLIKSNDDLEPSTHSTVLNSGKYDSSDDEYDN ILLDVAHMPSDDECSESETSHDADTDEELRALDSDSLDIGTELDDDYEDDDDDSSVTN VFIDIDDLDPDSFYFHYDSDGSSSLISSNSDKENSDGSKDCKHDLLETVVYVDDESTD EDDNLPPPSSRSKNIGSKAKEIVSSNVVGLRPPKLGTWETDNKPFSIIDGLSTKSLYA LIQEHQQLREQHQRAQTPDVKREGSSNGNNGDELTLNELLNMSELEDDSPSHTDDMEN NYNDAINSKSTNGHAADWYEVPKVPLSAFRNKGINAYEEDEYMIPANSNRKVPIGYIG NERTRKKIDKMKELQRKKTEKKRQLKKKKKLLKIRKQRQKAIKEQETMNLQLGINGHE IIGNNNSHSDINTGTDFTTNENTPMNELPSHAPEDASLIPHNSDLAVDSNTRKNSTKS VGLDEIHEILGKDENDLLSVGDINGYDAQEGHVIEDTDADILASLTAPVQFDNTLSHE NSNSMWRRRQSMVEAAAENLRFTKNGLFSESALADIEGIMGNDVNHSFEFNDVLQ YLR224W MNQSDSSLMDLPLEIHLSLLEYVPNELRAVNKYFYVLHNHSYKE KSLAWIAEDNYIWAVVKHSLCLYVKSLDPLRQHAREIIQETKEPGFNVPLCMTKYIAD SWYIVYNALQYPGKIINMGWDKYTKSQDSNGSDSTSNFNSRPKERTLMQSLTALPVNF WSRRKDEPTPVNVWFYVKNAHVARYIPKIITEIGICNYGPKQIVASAGYINELITSEG IYCVNLGHLPRLYDEQIFEGTGTTHLPLELKAIDRTDSDVCINGDLVLLGYDFIPYQI SKPWLLFRIEPVNSIEAIFNYSECSFSYQFAWSLACLQSEEKISFPRDTIIGHGLPYK PSKLIRIFVYKHPEQKQDLGQEIALPNWNTPYLRR YLR225C MAVAIKKEKTKFAPVKEVLSEKDHANYTKFQDTSKLEWFCRTSN HKKFKSHSLLKAVRNPTETRIETQTLYFTDLTNGKCGLIQLLYSSVMGGIYKGFQLNF KIFKASSEENSEEDIDIWESFKIDNIKDFDTLKVESDNVTFHFVPLENSSSSGFAQLL IKIDIPKGSTSCLLKDLKVDITVNLQEGFIINPDGSNYYLDKSISLEELAKRDSSSTS RKMIRHVFVPRGFCNGTISYKKNDKPVKLDLKDTPMLYLDAVQGLIPNKAASKWNFLC FNGEKRSMMCIEFTTTKEYGSTTVTIWAVSDKDKILEVGSSVNDHAVKFPSTKEDKQN GWKYPTSISFPRGFEESNLRLVNRYDIMSELPAFIRSIAENLANMKPFIYQFCQKSKF DDDEGVSIIESTFIN YLR226W MSATSSSGDVKKFQAVPKPTSNASPPPASSGFNARTLWPDLIET PENQWVFECKDIIEKIGTNGPIAVEIKKNMEKCLMYFYTLKKKLNLFDHTYTASCILF YRYWFIYGIPTAITECIHISQGILVTACKTMENNRPIEAYIKATCEFLMQNIPSLKSR TNIDKLKWEFRDKLVTNEKKILCLFGFDLNISNPKELIEEVFSGYYRFNRDHNLPENF KKAFPKILQESRNFMVQAVTQPVSLLCDGYTFIVLSLIYCGLEYKKLVDKDFRYPKNF FKDRFPIEVTPENFANIFTDYKLLEENFFNLKSNKGAKLQIDSSMIDSVIDESGDVEN EVSEISDPFNYELIKSGEVKEEFLNHIETRVKDLLDKAKQESMKRKAKDPIRTPDAKK PKI YLR227C MNKDVDYQTFKKSLRKEFKKAVKTILNLQAYNGDLIRDFLALYI PYHVVFYNLSIMKKGSPLRIQTNNLLKEALAKILNFNLAMGPKHIIKIMKKDKADPET MNKLKLVLYIKLFQGVFGHVDKNYNLAFQSFRWCLQFIAYSKRTRLFASIADEQIGAF YELCELFISMLCCHCFLIDLKENEALVGNNLKNFIKRQNPNYSHGFDLNEETKSLQWH WSLDEVDVIEALYCVAFDAMDKITLKFSKVNENFVFSQFFQYCAEIEEMLAILRGKIW ECECDVFGPRIGLLVDSNHMNETIQKNILSITFKLKNDPQIICCLNKILEGLLLSSGV QFKVIQFFYVLKLYYMQDNEYTFEASSEMDKLTIECLCIIENIIDACDNPDEVTDYQL PKVLLTAMEGKLLVAEKISEDNDCSESLDDYHPRTYQFRHPRIIIDKMKTKLKQKLRF DSPKDPETDDHWIEYWKYCYQDNIGNLPDILSRIYQTFTDPSN YLR227W-B MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVQYGDFYWV SKRYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSASQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKPISEINLRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSNNETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDPPPEPPTELSD SFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAAKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDTGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSHYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSH LVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YLR227W-A MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YLR228C MTSDDGNAGQEREKDAELIEVGGKKVSKTSTGKRKFHNKSKTGC DNCKRRRVKCDEGKPFCKKCTNMKLDCVYSPIQPRRRKDSSSSKFASAVHDRVGKKNL SDNAIMLQQQQQQLHHQQEQQFRQQQQVQLQQQLLPHVGTDEQSNSPNSVPPSVSNNM ENLLLPHLLASLVNNTSNSTNSSANGAEAHNNITQTAPSSMINNNHPNMALPGNSPLS IPITPSFQSTAMNLSSSLNGLLSPGRLNSVTNGLQQPQLQQQNQQIPQQQGTQSPFSN IPFDQLAQLNKMGLNFNMKSFNTLFPYGAANGMASEFQELFGLGKFATSNNRAIKVST AEEALANMQQEQEDKNKQFTKNPLDNTKTDAVNSGNNPLNGNENKVTASDILSHNKNL IIDNTGLTISPPHTLSKPSIDQNIASPSTGVSNVTSTKSLLSIPDNRTALGNSPTLKT SPMGDLLSNSEALSPRSSNSHTQQQSSPHSNASSASRLVPELVGLSRKSNLNLIDLKL FHHYCTDVWHTITEAGISGPEVWSTYIPDLAFHFPFLMHTILAFSATHLSRTEAGLDN YVSSHRLEALRLLREAVLEISDDNTDALVASALILILDSLANASSSSPTAWIFHVKGA VTILTAVWPLSETSKFYNLISVDLSDLGEAVINQSNHNNDNDNSNNGDGNNNNTISEL VCFDESIADLYPVEIDSPYLITLAYLDKLHREKNQLDFMLRVFSFPALLDRTFLALLM TGDLGAMRIMRSYYTLLRGYTTEIKDKVWFLDSVSQVLPQDVDEYSGGGGMHMMLDFL GGGLPSMTTTNFSAFM YLR229C MQTLKCVVVGDGAVGKTCLLISYTTNQFPADYVPTVFDNYAVTV MIGDEPYTLGLFDTAGQEDYDRLRPLSYPSTDVFLVCFSVISPPSFENVKEKWFPEVH HHCPGVPCLVVGTQIDLRDDKVIIEKLQRQRLRPITSEQGSRLARELKAVKYVECSAL TQRGLKNVFDEAIVAALEPPVIKKSKKCAIL YLR231C MEKALELDGEYPESLRDEFNIPTFKSMGLSSDDKPVTYLCGNSL GLMPKSTRNSINAELDAWSDCAVESHFKHPEEARGKVPWVSIDLPILPLLAPIVGAQE NEVAVMNSLTANLNSLLITFYKPTEKRFKILFEKGSFPSDYYAFYNQCKIHGISEPEN VFIQIEPREGETYIRTQDILDTIEVNQDELALVCLSGVQYYTGQYFDIGRITSFAHQF PDILVGWDLAHAVGNVPLQLHDWGVDFACWCSYKYLNAGPGGIGGLFVHSKHTKPDPA KESLPRLAGWWGNDPAKRFQMLEVFEPIPGALGFRQSNPSVIDTVALRSSLELFAKFN GINEVRKRSLLLTNYMTELLEASKYYKHPLRIEKLPCFFTILTPTSTDEEHGAQLSLY FDSDTGKEDIMPKVFQYLHDHGVIGDARRPNVIRLAPAPLYNTFSDVYIAVNALNEAM DKL YLR233C MDNEEVNEECMRLFFKNARAHLDKHLTSRLTCDENAYITFRCFL DGIHRKSTRFLEELLLKQENMYHNNNYERINDSVIPLVLKLLWLQIHEPTLQWFEHWF HDIMRLSNRRKFRVFRIFQKKMIQFFKITHRYYYDIIEHLCAKYDMNSVISNALFAKL NLMQYTDGLSTHEKIILNTSNPLTFSIVISLQRCVINLGSTHFYKTLLNKPSNKPKSV EGFEKSIRYLNIASLYLPAVGDTYFQRAKIYLITGKFSLYFFELVRGALVRIPSKCAL NNLKDFILTPDFPERRRLMKKLAILVSKDLKGEKSFFEGQIVLQFLSIVEHTLVPQSW NASRASNCWLLKEHLQMAALKYHSGNINVILENLAATMGSFDLMFTTRKSKEQKNKLK YADLSERQVFFLDLSFDFIANIIDVVIKPSWQKNMEDFRYLAIIRLLMCWIKSYRSIL QYTHRHRKFCTSFALLLNDLINSPLNCSGNIYSHRPKRSYLFREDIIFREFSCINFAL TDFNDDYVYDSPDMINNIIGCPTLTKVLSPKEECVLRIRSIIFSGMKFLEKNDTGVIW NASKYKFDLISPNIKIKRQIALSEISSKINVKTQQERVVSSRKVEAKRDEQQRKRAGK IAVTELEKQFANVRRTKKLSPLPEKDGVSSELVKHAASRGRKTITGPLSSDFLSYPDE AIDADEDITVQVPDTPT YLR234W MKVLCVAEKNSIAKAVSQILGGGRSTSRDSGYMYVKNYDFMFSG FPFARNGANCEVTMTSVAGHLTGIDFSHDSHGWGKCAIQELFDAPLNEIMNNNQKKIA SNIKREARNADYLMIWTDCDREGEYIGWEIWQEAKRGNRLIQNDQVYRAVFSHLERQH ILNAARNPSRLDMKSVHAVGTRIEIDLRAGVTFTRLLTETLRNKLRNQATMTKDGAKH RGGNKNDSQVVSYGTCQFPTLGFVVDRFERIRNFVPEEFWYIQLVVENKDNGGTTTFQ WDRGHLFDRLSVLTFYETCIETAGNVAQVVDLKSKPTTKYRPLPLTTVELQKNCARYL RLNAKQSLDAAEKLYQKGFISYPRTETDTFPHAMDLKSLVEKQAQLDQLAAGGRTAWA SYAASLLQPENTSNNNKFKFPRSGSHDDKAHPPIHPIVSLGPEANVSPVERRVYEYVA RHFLACCSEDAKGQSMTLVLDWAVERFSASGLVVLERNFLDVYPWARWETTKQLPRLE MNALVDIAKAEMKAGTTAPPKPMTESELILLMDTNGIGTDATIAEHIDKIQVRNYVRS EKVGKETYLQPTTLGVSLVHGFEAIGLEDSFAKPFQRREMEQDLKKICEGHASKTDVV KDIVEKYRKYWHKTNACKNTLLQVYDRVKASM YLR236C MHTICLRSPIDESSPLPYKSIRQPLENAHSCQALCSLMAVLCAS AAHRLSETFPMRLVVAREYANWGAFQHAFTRRAGASVAATSAWFDAVAAGTENAHMQS AESCN YLR237W MSFGSKVSRALRFLEIPVKDRASVSFLKNPDLQPIKSANQTWGF WSNFAYWGVMSFSVGTWMSASSALGVGLSYPETIGTFIVGDVLTIIFTLANSCPGYDW KVGFTLAQRFVFGIYGSAFGIIIRILMSIVNYGSNAWVGGLCINMILDSWSHHYLHLP NTLSSKVAMTTKELIGFIIFHVLTAFCYLMKPYHMNYILIWSCVATFFSMLGMVIYLA KQAHGVGELFTSTKSTATGSTKAWAWVYMISYWFGSVSPGSTNQSDYSRFGSSNWAIW AGTICALLIPTTLIPVFGVIGASTCDKLYGEQYWMPMDIFNHWLTTNYSAGARAGAFF CGLSFVLSQMSYTISNCGFASGMDLAGLLPKYVDIKRGALFAACVSWACLPWNFYNSS STFLTVMSSFGVVMTPIISVMICDNFLIRKRQYSITNAFILKGEYYFTKGVNWRAIVA WVCGMTPGLPGIAWEVNNDYFHNTGIVNFFYGDSFFSFLISFFVYWGLCLLFPFKITV KHDDKDYYGAFTDEEARKKGMVPYSEISEEEIRAYTLGEGYTTGHEYRPEGSDDEIPE LVKTSSENTNEFEIVHHKNNEKQSSTASEKAA YLR238W MTGPGPEINKEEHPSSPGKKQITYNSIPKNANLIDGSTNSSKRP IEKYDKRIADPTKSYFPHSISRTPRRKYTYILVLTSLNGTFESKHVVIPFKPDGLKLG RPVANSNSSSSSSLRGGKRVDSHTFSQVRSDNGNFDSRVLSRNHALLSCDPLTGKVYI RDLKSSNGTFINGQRIGSNDVEIKVGDVIDLGTDIDTKIEHRKISATVEELFVQPLLE SPIFENEDSDDCHTITEKEEAAAITSHIYGDSNNLELEEVILGSDTEILSGIFINNCI GTSPTLSNIIKTLAMEIPFSKCDNFKLQSMENFLINYTTHLEYTNKLLVEKNDQQLVK LQNGLRRKLSGKYEKIIEQNRNQVKQLERDHMFFKKSFEVKKRRNNEKQKSMEREIED LKTRLEVERYKNSQMMKKNKQKEQELSTASKKKTTEHDTRGVPGMNPKGTDKFSIKNT LCNHFTLLTFGTISIGIIAIVFKILSPN YLR239C MSRCIRQSVCTNFNVCRRQCFSTYASALKEMTHPIKPSAQTLRH LQFTQRIPFQKGLEIQETLVRANLDIKDIQSKIERKLIQLDEEYKGTATINDNEKRIL DKVMAMKPNPIILTFEFEPTYTGGKRIKKTMTPDQIAAYESFIPETQKDNPRPKFVQV ERGGQVTFHGPGQIVIYIILDLKTFQSFPAKCLVSCIEQATIRTLKNTKMCDDTDKPL NLDAMTTKDTGVWVENGKKKVASVGIHVRRSITSHGVAINVNTDLSYMNSFEMCGLKN TLTTSIMEQRPDAVVNVQSVAISFVKEMTKLLGIKTLERMQIDDVNILKKNP YLR240W MSLNNITFCVSQDLDVPLKVKIKSLEGHKPLLKPSQKILNPELM LIGSNVFPSSDLIVSLQVFDKERNRNLTLPIYTPYIPFRNSRTWDYWLTLPIRIKQLT FSSHLRIILWEYNGSKQIPFFNLETSIFNLKDCTLKRGFESLKFRYDVIDHCEVVTDN KDQENLNKYFQGEFTRLPWLDEITISKLRKQRENRTWPQGTFVLNLEFPMLELPVVFI EREIMNTQMNIPTLKNNPGLSTDLREPNRNDPQIKISLGDKYHSTLKFYDPDQPNNDP IEEKYRRLERASKNANLDKQVKPDIKKRDYLNKIINYPPGTKLTAHEKGSIWKYRYYL MNNKKALTKLLQSTNLREESERVEVLELMDSWAEIDIDDALELLGSTFKNLSVRSYAV NRLKKASDKELELYLLQLVEAVCFENLSTFSDKSNSEFTIVDAVSSQKLSGDSMLLST SHANQKLLKSISSESETSGTESLPIVISPLAEFLIRRALVNPRLGSFFYWYLKSESED KPYLDQILSSFWSRLDKKSRNILNDQVRLINVLRECCETIKRLKDTTAKKMELLVHLL ETKVRPLVKVRPIALPLDPDVLICDVCPETSKVFKSSLSPLKITFKTTLNQPYHLMFK VGDDLRQDQLVVQIISLMNELLKNENVDLKLTPYKILATGPQEGAIEFIPNDTLASIL SKYHGILGYLKLHYPDENATLGVQGWVLDNFVKSCAGYCVITYILGVGDRHLDNLLVT PDGHFFHADFGYILGQDPKPFPPLMKLPPQIIEAFGGAESSNYDKFRSYCFVAYSILR RNAGLILNLFELMKTSNIPDIRIDPNGAILRVRERFNLNMSEEDATVHFQNLINDSVN ALLPIVIDHLHNLAQYWRT YLR241W MTSYIERLKSAASYLDTVPDEHHDFRKPTAKVVTTQLTIATSLG IFALLSFSILLKKWPRLYASRRYKDDGNLRLPSWNQSSLFGWLTVLYKIRDEQILEYA GLDAYVFLSFFKMCIKLLSIFCFFSVCVISPVRYHFTGKIDDGNDDDDSESSLIHLVK RIVEGSGDGDNHSAPERTNVYLWMYVLFTYFFTFIAIKMAVAETKHVVSTRQAYLGKQ NTITDRTIRLSGIPIELRDSEALKTRIEQLKIGTVSSITICREWGPLNKLFHCRKKIL KNLELKYSECPRELRTRQPYSENYHLLGNEQSGAVTHGENVPSSNNNDEDTILYSQIS LGERPKMKIGYRGIFGKEVDAIEYLEQQLKFIDAEIIEARKQHYSATPTAFVTMDSVA NAQMAAQAVLDPRVHYFITRLAPAPHDIKWDHVCLSRKDRLTKVYSTTVFIGLSSLFL VIPVSYLATLLNLKTLSKFWPSVGQLLKDHQWAANIVTGLLPTYLFTLLNFGIPYFYE YLTSYQGLVSYSEEEISLVSKNFFYIFVNLFLVFTLAGTASNYWAYLSDTTKIAYQLA TSVKEFSLFYVDLIILQGIGMFPFKLLLVGSLIGFPLVKIKAKTPRQRNELYNPPIFN FGLQLPQPILILIITLIYSVMSTKILTSGLAYFIIGFYVYKYQLIFATDHLPHSTGKV WPLIFRRIIVGLLLFQLTMTGTLAGFEGGWVLSSCLFPLPVVTLCFLYDFEKNYLPLS KYIALSSIREYERDNSTVNSANEEESYAYPYAVSELEGPMLD YLR242C MICITCMRPVDSLYTVYSNDHIQLTDCPYCQETVDKYVEIDNVL LFIDLLLLKAGAYRHLVFNALELHLSKYPKRKALNDCQCLRDYTQALLFNVKNWFCKY DRLNRLWLLLLSFEIYLTWVTEESKYIYYLNRNNNDGKLIMLSKKLPESFKWDSAIMR NTITSKVFTWSPPIQYLYFASYCILDVSLFHTFTQYFILKKLHWKHYSVSSKDVISYT ILLSYGAKIFPILMLIWPYDTLISMSIIKWVANLYIIESLKIVTNLSYWNIIKIFISV SLLRYFMVKPILIVFVAKFNFSVIKNLIHQEFILLLQKSGTYLLL YLR243W MSRVGVMVLGPAGAGKSTFCNSIISHMQTVGRRAHIVNLDPAAE ATKYEFTIDIRDLISLDDVMEEMDLGPNGALIYCFEYLLKNLDWLDEEIGDFNDEYLI FDCPGQIELYTHIPVLPNIVRHLTQQLNFNLCATYLLEAPFVIDSSKFFSGALSAMSA MILLELPHINVLSKLDLIKGDINKKKLKRFLNPDAMLLMETEGMNQASNPKFLRLNQC IANLVDDFGMVQFLPLESNNPDSIETILSYVDDITQWAEGQEQKEPNDQIDVEE YLR244C MSTATTTVTTSDQASHPTKIYCSGLQCGRETSSQMKCPVCLKQG IVSIFCDTSCYENNYKAHKALHNAKDGLEGAYDPFPKFKYSGKVKASYPLTPRRYVPE DIPKPDWAANGLPVSEQRNDRLNNIPIYKKDQIKKIRKACMLGREVLDIAAAHVRPGI TTDELDEIVHNETIKRGAYPSPLNYYNFPKSLCTSVNEVICHGVPDKTVLKEGDIVNL DVSLYYQGYHADLNETYYVGENISKEALNTTETSRECLKLAIKMCKPGTTFQELGDHI EKHATENKCSVVRTYCGHGVGEFFHCSPNIPHYAKNRTPGVMKPGMVFTIEPMINEGT WKDMTWPDDWTSTTQDGKLSAQFEHTLLVTEHGVEILTARNKKSPGGPRQRIK YLR245C MKVGGIEDRQLEALKRAALKACELSYSPYSHFRVGCSILTNNDV IFTGANVENASYSNCICAERSAMIQVLMAGHRSGWKCMVICGDSEDQCVSPCGVCRQF INEFVVKDFPIVMLNSTGSRSKVMTMGELLPMAFGPSHLN YLR246W MALVSRRSTRSESTSITKEEHTGEGSLTKLFFRWLVTLEGDQDI NDGKGYISLPNVSNYIFFLGGRFRTVKGAKPLWLGVLLAIVCPMVLFSIFEAHKLWHT QNGYKVLVIFFYYFWVITLASFIRTATSDPGVLPRNIHLSQLRNNYQIPQEYYNLITL PTHSSISKDITIKYCPSCRIWRPPRSSHCSTCNVCVMVHDHHCIWVNNCIGKRNYRFF LIFLLGAILSSVILLTNCAIHIARESGGPRDCPVAILLLCYAGLTLWYPAILFTYHIF MAGNQQTTREFLKGIGSKKNPVFHRVVKEENIYNKGSFLKNMGHLMLEPRGPSFVSAR KPHEAGDWRFMDLSPAHSFEKIQKI YLR247C MSAVGALLAREYNVTAEKCDFFLENGSFDSVIAALPALNQEQET VTQKVSKNGKELINVASVNIEIPERISLSNNGRQLFKFIVDIEILPCENDNEATLVVS SDSVSLFQIGFKMENNSKIAVDKQLPLILDICAHKNQERALRNQLENRKSLERKPSRK RRKKNSNVNDPEKLLKSRIHELSLSYKDFECSPVVFRYNDSSLTWVLSFNLKLKFLNN KFNRFSVEANQILDLTFSNRDENEFERYHKHSHIHSNFIQKQFISQILEYSKDRLSKI KPFLPQSIPDLKVNLLPFQRESVEWMLIKEGHGNSLSDTPTVIDEVGLIDFMNEYYAY GYELIARSPDEVGPSLLWNKLTGYILTTEDAAHLYNQYRKERLSGDYPVCAKGVLAEE MGLGKTIEILSLILLNRRKLKDSEATFIDDENRTITKTKTTLIICPNAILKQWLEEIE LHANSLKWYTYRGYNEIMKDCKTVDEAVQQLCQYDIIVTSYNIIATEVHHAEFNRSIR SRRLKSPKYDYSSPLALMQFYRIILDEVQMLRSSSTYSAKCTSLLHRIHTWGVSGTPI QNIYNFRMIMSYLKLHPFCDEVDFIRTLQEEIKLRNEAKDYTSNDFVCQLKGVRFSIK DCMNIFYRYDLCIRHSKANVASQIHIPRQHNFIIPLEFAPIEWDNYLNLWNNFLELSG YNSDGSGSPRVSNAFLNEWLSRLRYICCHALFPEILSTRQKRLHGHLSRISNIDDILI SMRMDAFDSLIGYYRERFHLSIKQAQYELEISNTPAKALESFIKIRDDLMIHIRQKFN VEDPFDKSLNLSEDEDEHMDERFGEKETSSGDESDREINGAKNHDNHNNDGMLSNHLK KKGLRAMMNLLHDCYFFLGSVYYNLGTRKLEEADDKHRKEKTEEVVYSDVFPKNELEE IEENRLLEQENYANAEILRKSILSSEARKVDMTIKMARTKFAPMTSNIPLRLINIEFD HKNDYSSNLAVSRCFKSLSKLIEGLNEQTKNFNELLDELLIIIYEPVHRTEDDDSTNK IIGNEEYSTSIDSQDKIFSLLGCLEIILQNRDNILTSESEVKIPKHLVPEGSIISKYQ KQLLNSLRLISGTPLRTVFDELKNSRIVRRISSSNESESTIQNFEDYLLQYEVESKSL FKYNKQVRESLKILGSIYNAKTEYYSQLQRISDSLVSLHSLSAPQLSHLIRTINKSLG GTLDAKINNIESRLIYLKNLSRLKDTLNDNQILSCSICLGEVEIGAIIKCGHYFCKSC ILTWLRAHSKCPICKGFCSISEVYNFKFKNSTEKREKEIQEPRREGADSSQDNSNENS IISNMSEVEKLFGNKYEQFHQINEVHQIHIKESFGAKIDFVIKLISYLRLKSEQENAD PPQVILYSQKTEYLKVIGKVLKLYHIEHLACLSNTANVGETINNFKRQPSVTCLLLNV KTLGAGLNLINAKHIFLLDPILNNSDELQAMGRNNRIGQDEETFVWNFMIRNTVEENI LRYKCILEERKRKEKSKKGDKYDEAQDETDNEESDDAKFEISVVDQEVSNEHLWNCFF HGSD YLR248W MLKIKALFSKKKPDQADLSQESKKPFKGKTRSSGTNNKDVSQIT SSPKKSFQDKNIVQYPSVVADDHHMKSLTDELVTTIDSDSSPSDNITTENVETVTSVP AIDVHESSEGQLSSDPLISDESLSEQSEIISDIQDDSTDDDNMEDEIPEKSFLEQKEL IGYKLINKIGEGAFSKVFRAIPAKNSSNEFLTKNYKAVAIKVIKKADLSSINGDHRKK DKGKDSTKTSSRDQVLKEVALHKTVSAGCSQIVAFIDFQETDSYYYIIQELLTGGEIF GEIVRLTYFSEDLSRHVIKQLALAVKHMHSLGVVHRDIKPENLLFEPIEFTRSIKPKL RKSDDPQTKADEGIFTPGVGGGGIGIVKLADFGLSKQIFSKNTKTPCGTVGYTAPEVV KDEHYSMKVDMWGIGCVLYTMLCGFPPFYDEKIDTLTEKISRGEYTFLKPWWDEISAG AKNAVAKLLELEPSKRYDIDQFLDDPWLNTFDCLPKEGESSQKKAGTSERRHPHKKQF QLFQRDSSLLFSPAAVAMRDAFDIGNAVKRTEEDRMGTRGGLGSLAEDEELEDSYSGA QGDEQLEQNMFQLTLDTSTILQRRKKVQENDVGPTIPISATIRE YLR249W MSDSQQSIKVLEELFQKLSVATADNRHEIASEVASFLNGNIIEH DVPEHFFGELAKGIKDKKTAANAMQAVAHIANQSNLSPSVEPYIVQLVPAICTNAGNK DKEIQSVASETLISIVNAVNPVAIKALLPHLTNAIVETNKWQEKIAILAAISAMVDAA KDQVALRMPELIPVLSETMWDTKKEVKAAATAAMTKATETVDNKDIERFIPSLIQCIA DPTEVPETVHLLGATTFVAEVTPATLSIMVPLLSRGLNERETGIKRKSAVIIDNMCKL VEDPQVIAPFLGKLLPGLKSNFATIADPEAREVTLRALKTLRRVGNVGEDDAIPEVSH AGDVSTTLQVVNELLKDETVAPRFKIVVEYIAAIGADLIDERIIDQQAWFTHITPYMT IFLHEKKAKDILDEFRKRAVDNIPVGPNFDDEEDEGEDLCNCEFSLAYGAKILLNKTQ LRLKRARRYGICGPNGCGKSTLMRAIANGQVDGFPTQEECRTVYVEHDIDGTHSDTSV LDFVFESGVGTKEAIKDKLIEFGFTDEMIAMPISALSGGWKMKLALARAVLRNADILL LDEPTNHLDTVNVAWLVNYLNTCGITSITISHDSVFLDNVCEYIINYEGLKLRKYKGN FTEFVKKCPAAKAYEELSNTDLEFKFPEPGYLEGVKTKQKAIVKVTNMEFQYPGTSKP QITDINFQCSLSSRIAVIGPNGAGKSTLINVLTGELLPTSGEVYTHENCRIAYIKQHA FAHIESHLDKTPSEYIQWRFQTGEDRETMDRANRQINENDAEAMNKIFKIEGTPRRIA GIHSRRKFKNTYEYECSFLLGENIGMKSERWVPMMSVDNAWIPRGELVESHSKMVAEV DMKEALASGQFRPLTRKEIEEHCSMLGLDPEIVSHSRIRGLSGGQKVKLVLAAGTWQR PHLIVLDEPTNYLDRDSLGALSKALKEFEGGVIIITHSAEFTKNLTEEVWAVKDGRMT PSGHNWVSGQGAGPRIEKKEDEEDKFDAMGNKIAGGKKKKKLSSAELRKKKKERMKKK KELGDAYVSSDEEF YLR250W MRFLRGFVFSLAFTLYKVTATAEIGSEINVENEAPPDGLSWEEW HMDHEHQLKDYTPETFFALHDIKKKGFLDENDILSLYGLNREEIVGAGDGMGQHDESE KIDNEMAKRVVSLIMRLLDVDDNTKITKEEYLQFAKRGNKFPDLGVGVGHHSDFELEY EIHHWNKFHKDKDPDVKVVHKEDIEHELLHHEHEIEHEEEIQRGASRATVITDDELES RIELKNIPEKFKNGIF YLR251W MKLLHLYEASLKRRPKTTNAIMTGALFGIGDVSAQLLFPTSKVN KGYDYKRTARAVIYGSLIFSFIGDKWYKILNNKIYMRNRPQYHWSNMVLRVAVDQLAF APLGLPFYFTCMSIMEGRSFDVAKLKIKEQWWPTLLTNWAVWPLFQAINFSVVPLQHR LLAVNVVAIFWNTYLSYKNSKVMEKDKVPVHYPPVVE YLR253W MMTKAFFNKLPFEVFRRYVRTGKSIPQRSPRTRKSLLVGGTIAS AVVLYNFNDTFHDSVKHTALTTKRIAVVTQATTRCFYHYKRALNKSYENKKEREVALN KCHKMCALITLHALRSNGGIYIKLGQHIGAMTYLLPKEWTDTMIPLQDHCPESTYEEI DELFKEDLGTSIEDMFLEFNKTPIGVASLAQVHVAKLKNSDGKGSSVAVKCQHPSLKE FIPLDVMLTRTVFELLDVFFPDYPLTWLGDELQSSIYVELNFTKEAENAEKTRHYFSK FKKQTALKIPKVIESHKRILIMEYVGGKRLDDLEYIDSHGISRSEVSSCLSHIFNNMI FTPNVGIHCDPHGGNLAIRSVKPAKDNGYHNFEIVLFDHGLYRYPSTRTRRLYAKFWL SLLFDKDQTKMKKYAKGFANITDEQFPLLAAAITGRSIDAALNYDISTSRTQEEMDVM ANGILEGTLLSDLMSILSRIPRVVLLILKTNDLTRHLDECLQNPLGPERTFLIMTQYC AKTVYDEKVERINSEYARWSIKWMWENLTNWIVYERRINQLYFYDFVLWWKKFIPKTW LSS YLR254C MVPNLDLETAIQIISSLETQLSELEGATKEYENDLEQVISKLKS DLLESQQQNKCNKKQITDLEIQVDELENENIQLRNKIETLQLESDRRLERNVLLEHEL LDTKEALQKLRVSKEEATSGETRRNTRSLPSQNKKMKLFKDTIKVSTTSSTLYLQNMA KTNNAARSHCNIPNTQITQSTVIATTSSV YLR255C MGVGGTRIVSFRQPNYYPVTQQKGASQTGAVAQPYSSYCGLLMR WAVVEIRRRGKGKGRKRKREREKGHTKFRIRRRSYLYFIRSCLVRPYSSGNKKNSCSF HKMLAIEIVLCLKAR YLR256W MSNTPYNSSVPSIASMTQSSVSRSPNMHTATTPGANTSSNSPPL HMSSDSSKIKRKRNRIPLSCTICRKRKVKCDKLRPHCQQCTKTGVAHLCHYMEQTWAE EAEKELLKDNELKKLRERVKSLEKTLSKVHSSPSSNSLKSYNTPESSNLFMGSDEHTT LVNANTGSASSASHMHQQQQQQQQQEQQQDFSRSANANANSSSLSISNKYDNDELDLT KDFDLLHIKSNGTIHLGATHWLSIMKGDPYLKLLWGHIFAMREKLNEWYYQKNSYSKL KSSKCPINHAQAPPSAAAAATRKCPVDHSAFSSGMVAPKEETPLPRKCPVDHTMFSSG MIPPREDTSSQKRCPVDHTMYSAGMMPPKDETPSPFSTKAMIDHNKHTMNPPQSKCPV DHRNYMKDYPSDMANSSSNPASRCPIDHSSMKNTAALPASTHNTIPHHQPQSGSHARS HPAQSRKHDSYMTESEVLATLCEMLPPKRVIALFIEKFFKHLYPAIPILDEQNFKNHV NQMLSLSSMNPTVNNFGMSMPSSSTLENQPITQINLPKLSDSCNLGILIIILRLTWLS IPSNSCEVDLGEESGSFLVPNESSNMSASALTSMAKEESLLLKHETPVEALELCQKYL IKFDELSSISNNNVNLTTVQFAIFYNFYMKSASNDLTTLTNTNNTGMANPGHDSESHQ ILLSNITQMAFSCGLHRDPDNFPQLNATIPATSQDVSNNGSKKANPSTNPTLNNNMSA ATTNSSSRSGSADSRSGSNPVNKKENQVSIERFKHTWRKIWYYIVSMDVNQSLSLGSP RLLRNLRDFSDTKLPSASRIDYVRDIKELIIVKNFTLFFQIDLCIIAVLNHILNVSLA RSVRKFELDSLINLLKNLTYGTENVNDVVSSLINKGLLPTSEGGSVDSNNDEIYGLPK LPDILNHGQHNQNLYADGRNTSSSDIDKKLDLPHESTTRALFFSKHMTIRMLLYLLNY ILFTHYEPMGSEDPGTNILAKEYAQEALNFAMDGYRNCMIFFNNIRNTNSLFDYMNVI LSYPCLDIGHRSLQFIVCLILRAKCGPLTGMRESSIITNGTSSGFNSSVEDEDVKVKQ ESSDELKKDDFMKDVNLDSGDSLAEILMSRMLLFQKLTKQLSKKYNYAIRMNKSTGFF VSLLDTPSKKSDSKSGGSSFMLGNWKHPKVSNMSGFLAGDKDQLQKCPVYQDALGFVS PTGANEGSAPMQGMSLQGSTARMGGTQLPPIRSYKPITYTSSNLRRMNETGEAEAKRR RFNDGYIDNNSNNDIPRGISPKPSNGLSSVQPLLSSFSMNQLNGGTIPTVPSLTNITS QMGALPSLDRITTNQINLPDPSRDEAFDNSIKQMTPMTSAFMNANTTIPSSTLNGNMN MNGAGTANTDTSANGSALSTLTSPQGSDLASNSATQYKPDLEDFLMQNSNFNGLMINP SSLVEVVGGYNDPNNLGRNDAVDFLPVDNVEIDGVGIKINYHLLTSIYVTSILSYTVL EDDANDEK YLR256W-A MESQQLSQHPHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YLR257W MVDARGSTPCLIGDSIRNVNDGNSLDFQYTNQFNEESEASRLLT PQTSSNHALSKMQKDDDIRDRSYTSVAELNREGALLTDEVDLENVDASKVRSNRDDLE AEEKRKKLLLLKKKQRNKSINSESFSSPSLRASKSNSLITSTDPVEDHISKYSSSGTP ENITGEADDEDEDIIRNSYGQMIKNNSNRPHLAKGESYQSAEQEIDHTAPEKSEKRQE RSGRSFDRQKSSAEFLRSLSRSISRGPTKNKTVSPSKGEDSRMYSTSNYSISLVDLEN GPKIIPETLEEEQEDAEKEGVLMEDEGNEEYTKDLEEAANKAQPQ YLR258W MSRDLQNHLLFETATEVANRVGGIYSVLKSKAPITVAQYKDHYH LIGPLNKATYQNEVDILDWKKPEAFSDEMRPVQHALQTMESRGVHFVYGRWLIEGAPK VILFDLDSVRGYSNEWKGDLWSLVGIPSPENDFETNDAILLGYTVAWFLGEVAHLDSQ HAIVAHFHEWLAGVALPLCRKRRIDVVTIFTTHATLLGRYLCASGSFDFYNCLESVDV DHEAGRFGIYHRYCIERAAAHSADVFTTVSQITAFEAEHLLKRKPDGILPNGLNVIKF QAFHEFQNLHALKKEKINDFVRGHFHGCFDFDLDNTLYFFIAGRYEYKNKGADMFIEA LARLNYRLKVSGSKKTVVAFIVMPAKNNSFTVEALKGQAEVRALENTVHEVTTSIGKR IFDHAIRYPHNGLTTELPTDLGELLKSSDKVMLKRRILALRRPEGQLPPIVTHNMVDD ANDLILNKIRQVQLFNSPSDRVKMIFHPEFLNANNPILGLDYDEFVRGCHLGVFPSYY EPWGYTPAECTVMGVPSITTNVSGFGAYMEDLIETNQAKDYGIYIVDRRFKAPDESVE QLVDYMEEFVKKTRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRGYPDQFRE LVGEELNDSNMDALAGGKKLKVARPLSVPGSPRDLRSNSTVYMTPGDLGTLQEVNNAD DYFSLGVNPAADDDDDGPYADDS YLR259C MLRSSVVRSRATLRPLLRRAYSSHKELKFGVEGRASLLKGVETL AEAVAATLGPKGRNVLIEQPFGPPKITKDGVTVAKSIVLKDKFENMGAKLLQEVASKT NEAAGDGTTSATVLGRAIFTESVKNVAAGCNPMDLRRGSQVAVEKVIEFLSANKKEIT TSEEIAQVATISANGDSHVGKLLASAMEKVGKEGVITIREGRTLEDELEVTEGMRFDR GFISPYFITDPKSSKVEFEKPLLLLSEKKISSIQDILPALEISNQSRRPLLIIAEDVD GEALAACILNKLRGQVKVCAVKAPGFGDNRKNTIGDIAVLTGGTVFTEELDLKPEQCT IENLGSCDSITVTKEDTVILNGSGPKEAIQERIEQIKGSIDITTTNSYEKEKLQERLA KLSGGVAVIRVGGASEVEVGEKKDRYDDALNATRAAVEEGILPGGGTALVKASRVLDE VVVDNFDQKLGVDIIRKAITRPAKQIIENAGEEGSVIIGKLIDEYGDDFAKGYDASKS EYTDMLATGIIDPFKVVRSGLVDASGVASLLATTEVAIVDAPEPPAAAGAGGMPGGMP GMPGMM YLR260W MTLKPSKRRKGRSRHSRKKQITSAILTEEGIMIKAKPSSPYTYA NRMADKRSRSSIDNISRTSFQSNISRTSFQSNSDNNSIFETASLISCVTCLSDTDTID RSETSTTDTSKDDLSANPKLHYPSVNGQLPANTVIPYGRILDARYIEKEPLHYYDANS SPSSPLSSSMSNISEKCDLDELESSQKKERKGNSLSRGSNSSSSLLTSRSPFTKLVEV IFARPRRHDVVPKRVSLYIDYKPHSSSHLKEEDDLVEEILKRSYKNTRRNKSIFVIIN PFGGKGKAKKLFMTKAKPLLLASRCSIEVVYTKYPGHAIEIAREMDIDKYDTIACASG DGIPHEVINGLYQRPDHVKAFNNIAITEIPCGSGNAMSVSCHWTNNPSYSTLCLIKSI ETRIDLMCCSQPSYAREHPKLSFLSQTYGLIAETDINTEFIRWMGPARFELGVAFNII QKKKYPCEIYVKYAAKSKNELKNHYLEHKNKGSLEFQHITMNKDNEDCDNYNYENEYE TENEDEDEDADADDEDSHLISRDLADSSADQIKEEDFKIKYPLDEGIPSDWERLDPNI SNNLGIFYTGKMPYVAADTKFFPAALPSDGTMDMVITDARTSLTRMAPILLGLDKGSH VLQPEVLHSKILAYKIIPKLGNGLFSVDGEKFPLEPLQVEIMPRLCKTLLRNGRYVDT DFDSM YLR261C MKEVTKMLYCALLVTKVIYQMKGKSQPKRERKKQNYWVLKSLWK RPQRQAIMSKLYSKRLPNRCLNFKTASQLLWIAKMQIVQTKINRESLIFLQQRSRNKA LVSVST YLR262C MSRSGKSLTKYKIVFLGEQGVGKTSLITRFMYDTFDDHYQATIG IDFLSKTMYLDDKTIRLQLWDTAGQERFRSLIPSYIRDSRVAIIVYDITKRKSFEYID KWIEDVKNERGDENVILCIVGNKSDLSDERQISTEEGEKKAKLLGAKIFMETSTKAGY NVKALFKKIAKSLPEFQNSESTPLDSENANSANQNKPGVIDISTAEEQEQSACQC YLR262C-A MSSRQGGKMKPLKQKKKQQQDLDPEDIAFKEKQKADAAAKKALM ANMKSGKPLVGGGIKKSGKK YLR263W MEGLKKKIFGVCLKNDLAQTRNETKGIHYGLMTLETSKQLQEFL HLLVIKREVIQNFELLFHIINVAVKITDSNLPSDDIWHFILKLRFSSEINIDEDSKVL NYLLETGIAMENPVSWKCLAVISSILSSVPQSKKIITNLIETEHAKKIGQLFDNIQDL QQGNFLVEILSNCFKKSASNSKKVEKIPQLWQSRSKNKFFFENEFYPFSSKNGSLQTC QFLCNNFMSTLSFTGILRQVSYSGSETLKNLRIFKKKDDENSYFIQCIYNKIYLWLDE KAPLEFERKKIRITKNLKNKIQIKLRQPFHECVRTTADKTALLFNKTKGFQLEFEDEK LGETFFHNVNNIPKISEVQNFLVLDYIEEEPENEGEEEEQIGRADEQKEDEEEESLDE LSTPMVYPIKSSIPHNHNEKVQLVTPDRSVSIRSDEWDLKSNTEDEEGNVLADLKISS TKETKRQTDYVHIDSEDQSPVVSAQMRKMRRESTKTLEILRQEFKDKDVQNKEDQSEQ IQNPFVNTSSLVVGKSCLVNPKEKPNIDQTVVGITELKSNSSIKKRDINILDTIFGQP PSKKQKQFHKKEKKKQQKKLTNFKPIIDVPSQDKRNLRSNAPTKPKSIKVSKLRTDKK VTGEKSSPETAAEKVDDQTVRSNDEQAMSRATKEKCFPDVNEGKEITKDDAKVSLESK KNNETFVDSSVVEKHTPPDKDCNNCNITDILESTTVIDLQSPHGLSAPGQNTFTNKLQ EQIYSSINHFSNELVRKISIINQELNKKILKELSEKYQKLFSELQDNFQNDTNEMLKF MGEIKDMMNLPEDQLVHAIRTRKFDNNKR YLR264W MDSKTPVTLAKVIKVLGRTGSRGGVTQVRVEFLEDTSRTIVRNV KGPVRENDILVLMESEREARRLR YLR264C-A MNVIFKLYLTIDAPKKKKVTVRDFLSIRYSMPYRLASN YLR265C MDSELKGQQLSDAEWCVKKINGEGNCLLLFLPMSSPTTIVMIVL VSLERLVPYVFKLSQTQLSQQCQSQGFTDSISLNLIKLKLMDILQAPQEINQIGLVDS NLVFSFDVSADITVSINSVPSHVTKDMFYMILQSLCMLLLKLVNLSTQYHYVQRDILN EKQKCLDFLLISLRDLDGGSKVISQWAPENSKNYESLQQCTDDDIIKKLLHKGKFQHQ EFLADSLKTLLSLRNKFQDVSRFEESGELNKKERVRFPAVNHFYNDDFELQADPTNEA RPNSRGKIKPKTDFKPKSRESSTSSQLRLENFSESEATPEKTKSSSSLVEEYPQKKRK FGKVRIKN YLR266C MDGSHFPMKSTTGEPVSSGKKGKRRKVIKSCAFCRKRKLKCSQA RPMCQQCVIRKLPQCVYTEEFNYPLSNTELFEQVPNVALVQKIENLQTLLKENDNNNA KPVYCRSSENPLRSLRTSVLGDNGSRYVFGPTSWKTLSLFEQNKFQTEFQNLWKVLKP LPECTKSQLNENDVVADLPSFPQMESCIKSFFAGPLFGILHIFNQDDILSLLDRLFIR DTTDKNLVILLDLQGNAKDKYNLGIVLQILCLGYYNQDIPSSVSHFLHTLSAASLSSS SSNFVEKLQFFLLSYISVMINCTDGVWDATQGVDLINELCQGCISLGLNDIDKWYLNE SEETKQNLRCIWFWALFLDVSTSYDIGNPPSISDDLLDLSIFTAQNFQSPSIDFRRVK LMHDFLDVSRFTTREIHKREMNEKLTTFSLRLIEFIQSNFSPIEHYTNSVYYSDIDPF DILILSRSLSIVASIYNIEMIIAQQSRIIDKNRMVQFLLISISVCVNTMVFHFKEPIN DQENVLTEGLKLSIILINPLLIRIVSQVYSLAFNRLIFREKAFLFLIDLDTGKKIQFI KYEEENFDELLTGFDVRTDKFLSFSGTIIRFYEIIDSIFAVNERNKRLLKAVSNFYQL TSTLAFERVSRVLFDKASQARIETEKIWLKKGINMEHFSDLMIEDFINDVWKTFKEIS KDLWSIDKKKFYKQYHFDL YLR267W MVAALTYLPTELIQRIFEFTVVETDSQYWLYNLVALIDFSVSSR GGGSITEDFLTNYVRKNLMVLDLTCEATQDSILRAEYGFLKRLLPYIDMDAQYIRVVD LETNADKAQNLKAEKLIVIFDEFSDLKLIETFFPLANSNSNIIEFVFCVRNIKSSFYS PLEKLHIANIVADIDINTLYLDFVDSNIYSDQNFFGIFDPDIFQLINKNYRNFFSKTN EKGKKRPPICKKICFPFVETLNLDYMALDSFFNSILHKLTTKIKTFERNNEFDVDKNL NLNSTTTVAALIIKSILQQFFNNFHISFPNLVTLNFIKMSTYPNNNEITQCCNFIDLS SYVLNKCLSENISINFLFQLHSLKNWSMPKIKEFTGHKFKYDETTFSGSPERYIKSLR GNIKILQEMAINETNDGTCYFRVKLIPEGVEKTQIINWIPFTSSFSDDTFKQRHHLKR PMICLKNNSLRSLTVKIIRIEKCSSIRIQGFYLPNLQELFINNTLCDTTQHQKQASND MSCIEFTSWNELPQCKKLGFAQLEDDSNYVLNISNLQDHLPNLDLRESFPTFFDIRQK FVVV YLR268W MIKSTLIYREDGLPLCTSVDNENDPSLFEQKQKVKIVVSRLTPQ SATEATLESGSFEIHYLKKSMVYYFVICESGYPRNLAFSYLNDIAQEFEHSFANEYPK PTVRPYQFVNFDNFLQMTKKSYSDKKVQDNLDQLNQELVGVKQIMSKNIEDLLYRGDS LDKMSDMSSSLKETSKRYRKSAQKINFDLLISQYAPIVIVAFFFVFLFWWIFLK YLR270W MSQLPTDFASLIKRFQFVSVLDSNPQTKVMSLLGTIDNKDAIIT AEKTHFLFDETVRRPSQDGRSTPVLYNCENEYSCINGIQELKEITSNDIYYWGLSVIK QDMESNPTAKLNLIWPATPIHIKKYEQQNFHLVRETPEMYKRIVQPYIEEMCNNGRLK WVNNILYEGAESERVVYKDFSEENKDDGFLILPDMKWDGMNLDSLYLVAIVYRTDIKT IRDLRYSDRQWLINLNNKIRSIVPGCYNYAVHPDELRILVHYQPSYYHFHIHIVNIKH PGLGNSIAAGKAILLEDIIEMLNYLGPEGYMNKTITYAIGENHDLWKRGLEEELTKQL ERDGIPKIPKIVNGFK YLR271W MEESKTKRKEDRIDLKNTPPQKKSKRDSTNDETARTSLRSIMPR GYKMMENMGYKEGETLGSNESALKEPIKVEINTKRRGIRAEKPDPSTMNDMQMSEQRF IKRESEMKNNKRLKKIWYRIQKVAFEMMGDSDLYNPGEDPRDFNVLWRSYVMQLNEEV AKNDLNNHSNNDNEDKNNMIPMVNESLEASPAIKGEKFGRPSTLIDCDTSIIGSRITK DTELAELEELSIEKRITKLNIFLRSEKYYCFFCGIKYKDEGDLYEHCPGVNEDDHK YLR272C MSGFSLSEYLTKFQTTDRESYPRLQDPSRELNVIIDQLAVSPEQ IDASPDSLEALIDLCHDFPHLTPKLQTQLSYLISSSLSNLSKDIKANLSSNVNFTEIG GLIPQWKRHLEEYGYLIQVLLTFLQDELHKVSSQSTNLNRSAKNSKNDSANVELFKRD CNQMENLLESITKLLEINLSKIFQTTPEKDLFIGLFTRPLFVLLEIEPVTKVSSLKMF IQRILAMCVKNHGQSSSIQSSLMTNLTYFLHLSVFNAELLKLLNDEYNYPQLTEDILK EISTRVFNAKDTTGPKAISNFLIKLSELSPGIMLRQMNLVITLLNNSSITLRCSVVEA CGNIVAELAQDPQTMEHYKQQIAVLIELLEERFQDSNPYVRTKAIQGCSKICDLSSKF NKSKAKFTSLAVRSLQDRSSLVRRNSVKLLSKLLLKHPFKAIHGSQLRLSEWEEYLKG SESQLNSTLKKVESQETLNDTIERSLIEEEVEQDEGQCRTELEGSFNKSAELSRIENE VENINATNTSVLMKLKLMIVYYKDAISFIKEIHKSIELISNLLFSKNRNEVLESMDFL VLADAFDIELSEFGIKKMLHLVWMKGTNDEGTSISVHLIECYKQLFLTAPDSCNMQEK AAHIAKNLINLSIGASIADLASLEQLLGMMYEQKLIDQHVINILWAIYNSASKASMQK EQNVNNRDSEKGFSKEQIHGSIIILGMLSLADNEIALKGLESLLNIGLGAVGLKDLTL CRYSCLALERMVPKRSTIITKAINQELEDVAVKKLYAIIINYTKDNEYYPMCEQALSA LFTISSKPDILATDLIREKTMMTFGKPEEEDSILSLEQSSRVVSLSQLLFIVGQVAIK TLVYLEKCEAEFKKRKIEAETRNGKVKNQGADVTNTTQDNGGDKELEMIGGTNEDDFT DAIQFVKENELLFGEKSILGKFCPIVEEIVSNSSRFSDPMLQRTATLCLEKLMCLSSK YCEKSLPLLITVMEKSPDPTIRSNAVLGLGDMAVCFNNLVDENTDYLYRRLHDENLMV QRTCLMTVTFLILAGQVKVKGQLGEMAKCLDNPDQGISDMCRLFFTELASKDNAIYNG FIDIFSNLSSDDLLGKESFKKIIKFLLTFIDKERHQKQLNEKLVGRLRKCETQKQWDD IAFVLNNLPYKNEDVTALLEQGFKVVSAKE YLR273C MPYSHGKKLKPSLKLAKTISTSSFVSSTTSNSFSPLEDSTSASS STSSSSSGKSVRFAAHLYTVKKFNTKLAPISISEKAASNLTRNLHNNAIPLTFPFIGG EDHRYSLDILDYSDLEYDNKDVEYDNESDVEDNAMLMHDRSMFIEKEILCFGEEETFD MADWKLVSNNLNPFKSDYKVDVTGLEDKIFKYLNGQNIKVHSLELSDPVSYEDICSNN FGNCQIWGLIFVNNLNFEKKIEIKFTLNNWADIHYINAHYNKSVTPHVDEFKFIIDIS ALKLNLISKNLIYTNFFERKTTCLLNLQFCCRYDVNGFEYRSFYDNNDYKNYEITISL SAINLNRAVSNSSIFNSNLGPSKMGASNAEVTMSKNNENSKKPLRKFIKDTDYYNDSP LKHKFYQSFETKAACKTEPVSQTFKAETIDCEIEPFNYFFEPPDSQTNEDMSDSSYDL SLQDFNYWEFSNHGLGKALADSDILQFKNYPKPEPFSRPPIIDDTFTLNTDDRTLGSK TQKLEDNLAKEWKSAKTRTTLNETPLHDDEHRTSFTYTTWNNSTDTLMKRKEERPVES ASCSQLSIATIKAEEDLLYQDYINSGRESSSPEISPLNTTTSLPFFPGDNMSDSSGEY EERTSLSPNKIHIFRDYFYKSPSP YLR274W MSFDRPEIYSAPVLQGESPNDDDNTEIIKSFKNFILEFRLDSQF IYRDQLRNNILVKNYSLTVNMEHLIGYNEDIYKKLSDEPSDIIPLFETAITQVAKRIS ILSRAQSANNNDKDPENTSMDTDSLLLNSLPTFQLILNSNANQIPLRDLDSEHVSKIV RLSGIIISTSVLSSRATYLSIMCRNCRHTTSITINNFNSITGNTVSLPRSCLSTIESE SSMANESNIGDESTKKNCGPDPYIIIHESSKFIDQQFLKLQEIPELVPVGEMPRNLTM TCDRYLTNKVIPGTRVTIVGIYSIYNSKNGAGSGRSGGGNGGSGVAIRTPYIKILGIQ SDVETSSIWNSVTMFTEEEEEEFLQLSRNPKLYEILTNSIAPSIFGNEDIKKAIVCLL MGGSKKILPDGMRLRGDINVLLLGDPGTAKSQLLKFVEKVSPIAVYTSGKGSSAAGLT ASVQRDPMTREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQQTISIAKAG ITTVLNSRTSVLAAANPIYGRYDDLKSPGDNIDFQTTILSRFDMIFIVKDDHNEERDI SIANHVINIHTGNANAMQNQQEENGSEISIEKMKRYITYCRLKCAPRLSPQAAEKLSS NFVTIRKQLLINELESTERSSIPITIRQLEAIIRITESLAKLELSPIAQERHVDEAIR LFQASTMDAASQDPIGGLNQASGTSLSEIRRFEQELKRRLPIGWSTSYQTLRREFVDT HRFSQLALDKALYALEKHETIQLRHQGQNIYRSGV YLR275W MSSQIIDRPKHELSRAELEELEEFEFKHGPMSLINDAMVTRTPV IISLRNNHKIIARVKAFDRHCNMVLENVKELWTEKKGKNVINRERFISKLFLRGDSVI VVLKTPVE YLR276C MSYEKKSVEGAYIDDSTTFEAFHLDSRLLQAIKNIGFQYPTLIQ SHAIPLALQQKRDIIAKAATGSGKTLAYLIPVIETILEYKKTIDNGEENGTLGIILVP TRELAQQVYNVLEKLVLYCSKDIRTLNISSDMSDSVLSTLLMDQPEIIVGTPGKLLDL LQTKINSISLNELKFLVVDEVDLVLTFGYQDDLNKIGEYLPLKKNLQTFLMSATLNDD IQALKQKFCRSPAILKFNDEEINKNQNKLLQYYVKVSEFDKFLLCYVIFKLNLIKGKT LIFVNNIDRGYRLKLVMEQFGIKSCILNSELPVNSRQHIVDQFNKNVYQLLIATDDTE YIKEEDDEIEEGHNTENQEEKSLEGEPENDKKPSKKKKVQVKKDKEYGVSRGVDFKNV ACVLNFDLPTTAKSYVHRVGRTARGGKTGTAISFVVPLKEFGKHKPSMLQTAKKDERI LSRIIKQQSKLGLELQPYKFDQKQVEAFRYRMEDGFRAVTQVAIREARVKELKQELLA SEKLKRHFEENPKELQSLRHDKELHPARVQQHLKRVPDYLLPESARGNGTKVKFVPFH NAKKRHSHKKGRVSKPKNGKVDPLKNFK YLR277C MERTNTTTFKFFSLGGSNEVGRSCHILQYKGKTVMLDAGIHPAY QGLASLPFYDEFDLSKVDILLISHFHLDHAASLPYVMQRTNFQGRVFMTHPTKAIYRW LLRDFVRVTSIGSSSSSMGTKDEGLFSDEDLVDSFDKIETVDYHSTVDVNGIKFTAFH AGHVLGAAMFQIEIAGLRVLFTGDYSREVDRHLNSAEVPPLSSNVLIVESTFGTATHE PRLNRERKLTQLIHSTVMRGGRVLLPVFALGRAQEIMLILDEYWSQHADELGGGQVPI FYASNLAKKCMSVFQTYVNMMNDDIRKKFRDSQTNPFIFKNISYLRNLEDFQDFGPSV MLASPGMLQSGLSRDLLERWCPEDKNLVLITGYSIEGTMAKFIMLEPDTIPSINNPEI TIPRRCQVEEISFAAHVDFQENLEFIEKISAPNIILVHGEANPMGRLKSALLSNFASL KGTDNEVHVFNPRNCVEVDLEFQGVKVAKAVGNIVNEIYKEENVEIKEEIAAKIEPIK EENEDNLDSQAEKGLVDEEEHKDIVVSGILVSDDKNFELDFLSLSDLREHHPDLSTTI LRERQSVRVNCKKELIYWHILQMFGEAEVLQDDDRVTNQEPKVKEESKDNLTNTGKLI LQIMGDIKLTIVNTLAVVEWTQDLMNDTVADSIIAILMNVDSAPASVKLSSHSCDDHD HNNVQSNAQGKIDEVERVKQISRLFKEQFGDCFTLFLNKDEYASNKEETITGVVTIGK STAKIDFNNMKILECNSNPLKGRVESLLNIGGNLVTPLC YLR278C MGRPRKNVSQEKIQQLKRELELAGNRTDVLLQDKKGRSRSCLLC RRRKQRCDHKLPSCTACLKAGIKCVQPSKYSSSTSNSNTNNNTPTAGTVPPTPHPVIK RELQDSSIGAGAGAATSLNDMTIIKPISTSNSNVDAGDANEFRKTIKSVTTNSNPNLM RQDKDQYTIFLEKKLKSLETLLDLSPGCNQYNYELSQYKKVSHLFSNNTSDYSRPNSS NMVILPLPSPSNKPLENTNNNGSNVNAATNDTSASTNNINNNNAICQSASLLNDPLET LDFTKCIFAKYNLKKEFLMYDPIFELNEKLSRSFLDTFFTRLQFKYPILDEQEIYTFY DHYLHNKILIPPSSPATSSAAPPSNSHSYSEIEFHFLSGRMWLVFSISAYLLMTTGKY KGFPPHRYFSTAIRHITKCGLHLNYVQQIELLTLLVLYIIRTDRDSLILYDIIKDVMG ISKKKLHLNQWYPNDPFANKKLRLFWCVYLLERMICVAVGKPYTIKESEINLPLFNND SFYTKGVHAAAPSTNDHGVQFINQSLKLRRIESQFVETLQLLKNDSRSVKQSIDQLPL VRKFFEDLEVWRKSYSTLDVKNFENETLKLYYYRSVRLLIQPYLEFFAPEDRLFRECQ AAAGQICQLYKIFHQKTLNGHSTPAVHTVFVAGVTLIYCMWLARNFDDQRRKKLGDAS KHTRPLISASLFSTMDDLRACSVCLYVMTERSNFARTFRDTFDQLMNATVGNLIERCG PDSSELIFMASSVAKRTEPKNINDEANKAISSGDTLHDSNSANAANLSNSNDKNISHN GGMPPAVARIFGKGQAEEHAGFVENSQVDLAEQEKFKKKQGVLEKTSVPKSLAHLLTK MDDRSRISNSSMSYTTSSSSSSSSSSSSSTLSFPSSQEKNLKINVNNDNNGMTISSVN REHNNNHNNNNDNNNNNNNNNNNSNNNNNVNNNDNESNSRSTTNNSCNNGNNSQYVRN NNVTMENDVERPIQDQYIVKKPTNQTEFDWQVFQQQAFLQQQLAQHNLQAYLSSLNTD TMTNRSPSKSSSISTASSHSDPIPIAMTQSPTPYPQTSNMLPQQHVSRPLPQQQREQP QQHITSPQRFSESNFTNQLNNGMINSNPLQSAIFSNHTSENKQLRDVEESNFSTSPLR ADYGNNIISSIPASFTSNSIPVSVKQARNGSSSGDILFSNGAHDMINNISTWTNNSVL DALNSKSILQTIFPQSQEPSSLSMDKQQQQHQQQNMCSENNVTANNFQQTQNDPSYNR NLFMMSNQEGVQYNLDETEKNGPKTQVEANTSANLHFDNVIPTVTNADIRKKRSNWDN MMTSGPVEDFWTINDDYGFLT YLR281C MMRGASKRSISSAAVLLIKKNKLPPRPKFTPEMEAQCTEKFLHG GRGPGGQKINKCNSKVQLRHEPTGIVVECQETRSREQNRKLARLKLARELAASYDTMP SREEALLQWHRQQKRSQRRRSVAKYEQREEAARVEKEEREARDREMVRELFRR YLR283W MMRLIRTLPLRCFKTRIRRQGSLLCLRCFSSYSKPLLQKSMSLK NIQLSDLSSSPLSKNKEKQEKPEKENEGKHSIGLLDRFSEDFITQGNGLKPTTSQNQL DTIKFYQMLRERGNFSDEQCKIIIALLLQLLNDQFYSCYNDLFLRDMELNKQSHLFSS LETELKFAIQNSRDTQLNEHHLQLLKLKRELNSIHDELNEIIIDLLQKDAKLEFNNQK LENTLLYRQLNLKLNDCSNKIQTKILGDIRSHIENLRWQTTRSGLLVILVLVCSIMIG VSASKKERPGLQEPEEPEILAPKEDIDTTFPQDQHDID YLR284C MSQEIRQNEKISYRIEGPFFIIHLMNPDNLNALEGEDYIYLGEL LELADRNRDVYFTIIQSSGRFFSSGADFKGIAKAQGDDTNKYPSETSKWVSNFVARNV YVTDAFIKHSKVLICCLNGPAIGLSAALVALCDIVYSINDKVYLLYPFANLGLITEGG TTVSLPLKFGTNTTYECLMFNKPFKYDIMCENGFISKNFNMPSSNAEAFNAKVLEELR EKVKGLYLPSCLGMKKLLKSNHIDAFNKANSVEVNESLKYWVDGEPLKRFRQLGSKQR KHRL YLR285W MSDIESLGEAAGLFEEPEDFLPPPPKPHFAEYQRSHITKESKSD VKDIKLRLVGTSPLWGHLLWNAGIYTANHLDSHPELIKGKTVLELGAAAALPSVICAL NGAQMVVSTDYPDPDLMQNIDYNIKSNVPEDFNNVSTEGYIWGNDYSPLLAHIEKIGN NNGKFDLIILSDLVFNHTEHHKLLQTTKDLLAEKGQALVVFSPHRPKLLEKDLEFFEL AKNEFHLVPQLIEMVNWKPMFDEDEETIEVRSRVYAYYLTHEK YLR285C-A MFLRFYNRLLYIYNELFNFLRFTVFLPFCEFVPHHDLFYLIVTY QRTLVYKHKNDC YLR286C MSLLYIILLFTQFLLLPTDAFDRSANTNIAVYWGQNSAGTQESL ATYCESSDADIFLLSFLNQFPTLGLNFANACSDTFSDGLLHCTQIAEDIETCQSLGKK VLLSLGGASGSYLFSDDSQAETFAQTLWDTFGEGTGASERPFDSAVVDGFDFDIENNN EVGYSALATKLRTLFAEGTKQYYLSAAPQCPYPDASVGDLLENADIDFAFIQFYNNYC SVSGQFNWDTWLTYAQTVSPNKNIKLFLGLPGSASAAGSGYISDTSLLESTIADIASS SSFGGIALWDASQAFSNELNGEPYVEILKNLLTSASQTATTTVATSKTSAASTSSAST SSASTSQKKTTQSTTSTQSKSKVTLSPTASSAIKTSITQTTKTLTSSTKTKSSLGTTT TESTLNSVAITSMKTTLSSQITSAALVTPQTTTTSIVSSAPIQTAITSTLSPATKSSS VVSLQTATTSTLSPTTTSTSSGSTSSGSTSSDSTARTLAKELNAQYAAGKLNGKSTCT EGEIACSADGKFAVCDHSAWVYMECASGTTCYAYDSGDSVYTQCNFSYLESNYF YLR287C MSTGSSDRKDDVKLLELLNSIDEQFLVPYKKPEDLRKISSTTKL QGSTPTKELDKLASVLKAHCTKIGIVCKPGTFDNNHKVVITEIQNFSRPLFYLLSLFP LFYNNKDCPKYFTDQLDESTLQLLDGLRDFIAELQERLKNDENASLDKERLTSVGKIF NACDSLSNCSKAGPYGILANILKDNVAIMDDTMNEIKEWLEEPDFSANSDDIFLDFED SESESDSQKEEFDQEKVYENIKLFFDGFTRKIKLIKLLVSTFRKTLVSKDFTPKRNQA ETLDSIHTYLKEIQLLLDEVVSTVQFEPKNFTNEEVKEEQAALVAVTKKVLIQMSKLY EGDPKRKKWIDTWEIKFNELF YLR287C-A MAKVHGSLARAGKVKSQTPKVEKTEKPKKPKGRAYKRLLYTRRF VNVTLVNGKRRMNPGPSVQ YLR288C MKLKLIVNGCEAPDDYKLLRTTINTVASLRKTAILRFNSERLTI ISTPKSSLNSSNNGTILRGDTGQLWCTIPHDVFRLYTVISARELNTITMECNCDSLLS VFKRYDRVMNQGSSSNMTIKLQSMPEWNTNNGTLSGGTAGGVDTTSKPNPICALGITF EEIVHTSGPNDAIVMNGGVDEHNGLPTTVGTGNLLASNKVIMHSFKVPVKLLFRAQDT RIQEPMINYIQLMMYKLPPISGEFGSAFHGFIRRVERYSNVNHIHLMGVKKKEHGNEG DDVELKIIVNELDWHLEICWNGPLDSVIQRQEGLTDNPSQNQHIDTDGRQEEGSLPII EADKPMSSLYTNTRDREMEENIRYDEDLLRIEDSSIADTRGNIYTADTSGDTEFNDIS VMVEKAEQESSSTHEVIIRCKDWKVCSKLYAAFEEVVLAISHDESCVFHCSLDRGSLE DSEDVEKPRERGQIIYYIARSKGL YLR289W MLKFRIRPVRHIRCYKRHAYFLRYNHTTTPAQKLQAQIEQIPLE NYRNFSIVAHVDHGKSTLSDRLLEITHVIDPNARNKQVLDKLEVERERGITIKAQTCS MFYKDKRTGKNYLLHLIDTPGHVDFRGEVSRSYASCGGAILLVDASQGIQAQTVANFY LAFSLGLKLIPVINKIDLNFTDVKQVKDQIVNNFELPEEDIIGVSAKTGLNVEELLLP AIIDRIPPPTGRPDKPFRALLVDSWYDAYLGAVLLVNIVDGSVRKNDKVICAQTKEKY EVKDIGIMYPDRTSTGTLKTGQVGYLVLGMKDSKEAKIGDTIMHLSKVNETEVLPGFE EQKPMVFVGAFPADGIEFKAMDDDMSRLVLNDRSVTLERETSNALGQGWRLGFLGSLH ASVFRERLEKEYGSKLIITQPTVPYLVEFTDGKKKLITNPDEFPDGATKRVNVAAFHE PFIEAVMTLPQEYLGSVIRLCDSNRGEQIDITYLNTNGQVMLKYYLPLSHLVDDFFGK LKSVSRGFASLDYEDAGYRISDVVKLQLLVNGNAIDALSRVLHKSEVERVGREWVKKF KEYVKSQLYEVVIQARANNKIIARETIKARRKDVLQKLHASDVSRRKKLLAKQKEGKK HMKTVGNIQINQEAYQAFLRR YLR290C MIPKLIVFGGNGFLGKRICQEAVTSGYQVVSVSRSGKAPHSNEL NDKQWMQEVQWTAADIFKPDSYHELLNNATNVVHSLGILLENENYKQTLSKSPTYDSK SRLLSFGAGPNPLKKSSPYFTYEMMNKQSAIILADTFKQKILKKSKKEQEKANQRSFT YISADKGFPLIPSGYINSKREAEIELEKMQRYFRPIIVRPGFMFDEHRNAIGPRSFIH TALELLYCGNKFLLRNKLQLLNDLIRPTVSTQQVSKSVLKNIENPDFKGVVTLEEILK A YLR291C MSSQAFTSVHPNAATSDVNVTIDTFVAKLKRRQVQGSYAIALET LQLLMRFISAARWNHVNDLIEQIRDLGNSLEKAHPTAFSCGNVIRRILAVLRDEVEED TMSTTVTSTSVAEPLISSMFNLLQKPEQPHQNRKNSSGSSSMKTKTDYRQVAIQGIKD LIDEIKNIDEGIQQIAIDLIHDHEILLTPTPDSKTVLKFLITARERSNRTFTVLVTEG FPNNTKNAHEFAKKLAQHNIETLVVPDSAVFALMSRVGKVIIGTKAVFVNGGTISSNS GVSSVCECAREFRTPVFAVAGLYKLSPLYPFDVEKFVEFGGSQRILPRMDPRKRLDTV NQITDYVPPENIDIYITNVGGFNPSFIYRIAWDNYKQIDVHLDKNKA YLR292C MVTLEYNANSKLITASDAVVALSTETNIDQINVLTTSLIGETNP NFTPQPNEALSKMIKGLFESGMKNLQQKKLNEALKNVSLAIEMAQRKRAPWEAFAIQL PELHFMLRSKIDLCLILGKHLEALQDLDFLLGTGLIQPDVFVRKADCLLKLRQWEEAR ATCERGLALAPEDMKLRALLIETARNLAEYNGE YLR293C MSAPAANGEVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIAT IGVEVHPLSFYTNFGEIKFDVWDTAGQEKFGGLRDGYYINAQCAIIMFDVTSRITYKN VPNWHRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFE KPFLWLARKLAGNPQLEFVASPALAPPEVQVDEQLMQQYQQEMEQATALPLPDEDDAD L YLR295C MFPIASRRILLNASVLPLRLCNRNFTTTRISYNVIQDLYLRELK DTKLAPSTLQDAEGNVKPWNPPQKPNLPELELQGPEALKAYTEQNVETAHVAKESEEG ESEPIEEDWLVLDDAEETKESH YLR296W MGSSRVIRHQASNYAQSAYQCHIKEPLLSLFGNEKQHSSGTTAA AAITFPQKLIIDQLTRKRKTKKKKIRKLGLHTSVFFFLRIVCMSSAASVFTGIRCVFM FRTNAK YLR297W MVEGDFVDEQSNIALLSSKSMCGDHHSVKNSIGDEIFKLLTKIL NSDEKASGDVHTLVSGTPDLSNFNLDNEPLENILAVFIISFIIVVVGVLLLGLIGMIF ISLRSGSSNDKKLQSNDEEKQALAEKA YLR298C MTRYYCEYCHSYLTHDTLSVRKSHLVGKNHLRITADYYRNKARD IINKHNHKRRHIGKRGRKERENSSQNETLKVTCLSNKEKRHIMHVKKMNQKELAQTSI DTLKLLYDGSPGYSKVFVDANRFDIGDLVKASKLPQRANEKSAHHSFKQTSRSRDETC ESNPFPRLNNPKKLEPPKILSQWSNTIPKTSIFYSVDILQTTIKESKKRMHSDGIRKP SSANGYKRRRYGN YLR299W MLLCNRKVPKTLNTCFILHIFTLLTLGVLVSGMPSKMVSFASQE TLQRINNLLRGSANRDVDIIAEYLKKDDDDDGGDKDHHNIDIDPLPRRPSLTPDRQLL KVGLHGAISSDLEVCSNLTINEVLLKFPGSNAADAAVTQALCKGMVNFFNSGIGGGGY VVFSGKDDEDHLSIDFREKAPMDSHKFMFENCSLCSKIGGLAVGVPGELMGLYRLFKE RGSGQVDWRDLIEPVAKLGSVGWQIGEALGATLELYEDVFLTLKEDWSFVLNSTHDGV LKEGDWIKRPALSNMLMELAKNGSVAPFYDPDHWIAKSMIDTVAKYNGIMNLQDVSSY DVHVTKPLSMKIRKGANFIPDNDMTVLTSSGSSSGAALLAALRIMDNFQNQEGGDYEK ETTYHLLESMKWMASARSRLGDFEGEALPKHIEEVLDPEWALKAVKSIKRNSQDGNFK TLENWTLYDPAYDINNPHGTAHFSIVDSHGNAVSLTTTINLLFGSLVHDPKTGVIFNN EMDDFAQFNKSNSFELAPSIYNFPEPGKRPLSSTAPTIVLSELGIPDLVVGASGGSRI TTSVLQTIVRTYWYNMPILETIAYPRIHHQLLPDRIELESFPMIGKAVLSTLKEMGYT MKEVFPKSVVNAIRNVRGEWHAVSDYWRKRGISSVY YLR300W MLSLKTLLCTLLTVSSVLATPVPARDPSSIQFVHEENKKRYYDY DHGSLGEPIRGVNIGGWLLLEPYITPSLFEAFRTNDDNDEGIPVDEYHFCQYLGKDLA KSRLQSHWSTFYQEQDFANIASQGFNLVRIPIGYWAFQTLDDDPYVSGLQESYLDQAI GWARNNSLKVWVDLHGAAGSQNGFDNSGLRDSYKFLEDSNLAVTTNVLNYILKKYSAE EYLDTVIGIELINEPLGPVLDMDKMKNDYLAPAYEYLRNNIKSDQVIIIHDAFQPYNY WDDFMTENDGYWGVTIDHHHYQVFASDQLERSIDEHIKVACEWGTGVLNESHWTVCGE FAAALTDCTKWLNSVGFGARYDGSWVNGDQTSSYIGSCANNDDIAYWSDERKENTRRY VEAQLDAFEMRGGWIIWCYKTESSLEWDAQRLMFNGLFPQPLTDRKYPNQCGTISN YLR301W MPALLKRLLFQVGPHPNERTFTLSSVSTDGHYISLRPFVKPSGD ELSFPFEWAFAGTNETVKANDQGNGVVTQDFNFWLDTNVYLNVPNTHRGEVNTTWKNW DSGCVEETGAVYPFGADKESVSFRELWQPVDPSREDLVIVSPNNEKFSSNARSIVLKV TDEAYDGLVIVIGRWIQGFLSQKNNNTIEGLNFIRLLEKDSGKSEFLLSYGKEVNKIP QSYENLKKGSTVTSNGLNWEVIEYHA YLR302C MRWHCMDGGNRIVSMYLTTLYYTKEIVDEKTREQEKGKTSFLTD ALLNLIYILFFSSSVFNWTRCHLFDTSVIMLHSFHEDGALTNLISHLPTTTVPQYRQL HVPFAILRSCDLKRKSKK YLR303W MPSHFDTVQLHAGQENPGDNAHRSRAVPIYATTSYVFENSKHGS QLFGLEVPGYVYSRFQNPTSNVLEERIAALEGGAAALAVSSGQAAQTLAIQGLAHTGD NIVSTSYLYGGTYNQFKISFKRFGIEARFVEGDNPEEFEKVFDERTKAVYLETIGNPK YNVPDFEKIVAIAHKHGIPVVVDNTFGAGGYFCQPIKYGADIVTHSATKWIGGHGTTI GGIIVDSGKFPWKDYPEKFPQFSQPAEGYHGTIYNEAYGNLAYIVHVRTELLRDLGPL MNPFASFLLLQGVETLSLRAERHGENALKLAKWLEQSPYVSWVSYPGLASHSHHENAK KYLSNGFGGVLSFGVKDLPNADKETDPFKLSGAQVVDNLKLASNLANVGDAKTLVIAP YFTTHKQLNDKEKLASGVTKDLIRVSVGIEFIDDIIADFQQSFETVFAGQKP YLR304C MLSARSAIKRPIVRGLATVSNLTRDSKVNQNLLEDHSFINYKQN VETLDIVRKRLNRPFTYAEKILYGHLDDPHGQDIQRGVSYLKLRPDRVACQDATAQMA ILQFMSAGLPQVAKPVTVHCDHLIQAQVGGEKDLKRAIDLNKEVYDFLASATAKYNMG FWKPGSGIIHQIVLENYAFPGALIIGTDSHTPNAGGLGQLAIGVGGADAVDVMAGRPW ELKAPKILGVKLTGKMNGWTSPKDIILKLAGITTVKGGTGKIVEYFGDGVDTFSATGM GTICNMGAEIGATTSVFPFNKSMIEYLEATGRGKIADFAKLYHKDLLSADKDAEYDEV VEIDLNTLEPYINGPFTPDLATPVSKMKEVAVANNWPLDVRVGLIGSCTNSSYEDMSR SASIVKDAAAHGLKSKTIFTVTPGSEQIRATIERDGQLETFKEFGGIVLANACGPCIG QWDRRDIKKGDKNTIVSSYNRNFTSRNDGNPQTHAFVASPELVTAFAIAGDLRFNPLT DKLKDKDGNEFMLKPPHGDGLPQRGYDAGENTYQAPPADRSTVEVKVSPTSDRLQLLK PFKPWDGKDAKDMPILIKAVGKTTTDHISMAGPWLKYRGHLENISNNYMIGAINAENK KANCVKNVYTGEYKGVPDTARDYRDQGIKWVVIGDENFGEGSSREHAALEPRFLGGFA IITKSFARIHETNLKKQGLLPLNFKNPADYDKINPDDRIDILGLAELAPGKPVTMRVH PKNGKPWDAVLTHTFNDEQIEWFKYGSALNKIKADEKK YLR305C MRFTRGLKASSSLRAKAIGRLTKLSTGAPNDQNSNGTTLDLITH TLPIFYSTNTSKIYTIPLTLSEWEVLTSLCVAIPTTLDLVETMLKEIIAPYFLETPRQ RISDVLSSKFKLEQMRNPIELLTFQLTKFMIQACEQYPVLYENIGGIISTYFERVLKI FTIKQSGLLSLVGFINAFIQFPNSTELTKFTWKKLAKLVLRGSFLNEVDKILNSSATF TNDSIVQYYDAGNELSSAYLLELISRLQVSLISHLLNTSHVGANLSEFLLNQQYQFYK FDQEVADENDDTKCIDDFFFNVRSNKQFFTDMCKISLQFCSESHILDLSTDNRARFSF DTRAHYLQTLCLIPFIEDTESELFESFTNVVSESIDKFFLSDVVTPSLIKAIVASASL LNFFTEKLSLTLIRMFPLLVASPHITTETVNDVAKIFTTGLYPLNEDAIVSTIYSMNN LLAVSEDGSPVPVLRERQLTITSGKNIEKDYFPLRNSSASLDGTGALLGNTTVGQLSS HDVNSGATMTYHASLISNCVAATTTIASYYNTQSITALTISILTQKVNSMSKELDGVI LNSLARLAPNTSLTEFSLLLKFFKSRTVIATKIDDSALLKNIIKAKCVISKELLARHF SSDLYFMYLHDLLDSIIASGEVERLEHHRPQTEISRVADQIATYLEPLAALLPVPGDT PLDINKDEVTTNKFRNAWFNFVIHGYHLGGPIVKRNFSFLLTIAYNSPPLASEFPANN KELSLEMNTILRRGSSNENIKQQKQQITEYFNTNIVQYRTTSSSKIMFLAAAVLLETI RCEAGDCSKTLLYFSDPSILSGSIEKCIAVLSVSMIRKYARLIQKGNDAIFNSKMIAQ QLNNLLLCLSHREPTLQDAAFHACEIFIRSIPSSLCHHLSLYTLLDMLTALFDSILDS EAHKFEPRYEFKLKHSKTTILVPSSSSWRATTLSRLHKSAKEWVRILLNRSNQDTKIL LQSYISDLGEYSRLNSVEFGVSFAMDMAGLILPADKELSRLTYYGPEKPNTISGFISL HSWRSKYLFDTAITSSPEDIKRQIGISTQNIRKNLTLGNKIITKDVTDFLDMATALLI LGNGAPASLIYDIVHIPFEVFTSASLKIATNVWLTIITEKPEVAHLLLVEVCYCWMRS IDDNIGLYSRDHDLKGEEYQKMEYSPYDKAGINRDAKNASQAMQPHLHVIKFFASHFE GTLFQSDFLLKIFTKCALYGIKNLYKASLHPFARMIRHELLLFATLVLNASYKQGSKY MGRLSQEITNGALSWFKRPVAWPFGSNELKIKADLSVTRDLFLQLNKLSSLMSRHCGK DYKILNYFLASEIQQIQTWLTPTEKIEGADSNELTSDIVEATFAKDPTLAINLLQRCY SKKAEDVLVGLVAKHALMCVGSPSALDLFIKGSHLSSKKDLHATLYWAPVSPLKSINL FLPEWQGNSFILQFSIYSLESQDVNLAFFYVPQIVQCLRYDKTGYVERLILDTAKISV LFSHQIIWNMLANCYKDDEGIQEDEIKPTLDRIRERMVSSFSQSHRDFYEREFEFFDE VTGISGKLKPYIKKSKAEKKHKIDEEMSKIEVKPDVYLPSNPDGVVIDIDRKSGKPLQ SHAKAPFMATFKIKKDVKDPLTGKNKEVEKWQAAIFKVGDDCRQDVLALQLISLFRTI WSSIGLDVYVFPYRVTATAPGCGVIDVLPNSVSRDMLGREAVNGLYEYFTSKFGNEST IEFQNARNNFVKSLAGYSVISYLLQFKDRHNGNIMYDDQGHCLHIDFGFIFDIVPGGI KFEAVPFKLTKEMVKVMGGSPQTPAYLDFEELCIKAYLAARPHVEAIIECVNPMLGSG LPCFKGHKTIRNLRARFQPQKTDHEAALYMKALIRKSYESIFTKGYDEFQRLTNGIPY YLR306W MLKLRQLQKKKQKENENSSSIQPNLSAARIRLKRDLDSLDLPPT VTLNVITSPDSADRSQSPKLEVIVRPDEGYYNYGSINFNLDFNEVYPIEPPKVVCLKK IFHPNIDLKGNVCLNILREDWSPALDLQSIITGLLFLFLEPNPNDPLNKDAAKLLCEG EKEFAEAVRLTMSGGSIEHVKYDNIVSP YLR307W MKIFNTIQSVLFAAFFLKQGNCLASNGSTALMGEVDMQTPFPEW LTEFTNLTQWPGIDPPYIPLDYINLTEVPELDRYYPGQCPKISREQCSFDCYNCIDVD DVTSCFKLSQTFDDGPAPATEALLKKLRQRTTFFVLGINTVNYPDIYEHILERGHLIG THTWSHEFLPSLSNEEIVAQIEWSIWAMNATGKHFPKYFRPPYGAIDNRVRAIVKQFG LTVVLWDLDTFDWKLITNDDFRTEEEILMDINTWKGKRKGLILEHDGARRTVEVAIKI NELIGSDQLTIAECIGDTDYIERYD YLR307C-A MSLRNISMITKNLQTTAKCYVPKSSPTSTTIPVIRDASTTQCRR ITTVINITSLKGYSPSPRTVHDKPIVICTDNEEVETVSEHVKV YLR308W MRIQLNTIDLQCIIALSCLGQFVHAEANREDLKQIDFQFPVLER AATKTPFPDWLSAFTGLKEWPGLDPPYIPLDFIDFSQIPDYKEYDQNHCDSVPRDSCS FDCHHCTEHDDVYTCSKLSQTFDDGPSASTTKLLDRLKHNSTFFNLGVNIVQHPDIYQ RMQKEGHLIGSHTWSHVYLPNVSNEKIIAQIEWSIWAMNATGNHTPKWFRPPYGGIDN RVRAITRQFGLQAVLWDHDTFDWSLLLNDSVITEQEILQNVINWNKSGTGLILEHDST EKTVDLAIKINKLIGDDQSTVSHCVGGIDYIKEFLS YLR309C MFKQLSQIGKNLTDELAKGLADDMSPTPSEQQIEDDKSGLPKEI QAKLRKFEKYEQKYPLLLSAYKNEKLKSEKLEAVEKILAENTPISNIDDAVDTLPAFF QDLNNKNNLLNDEIKRLTKQNSEIPESASSETLKDKEEEFLKKEQNYKNDIDDLKKKM EALNIELDTVQKEKNDTVSGLREKIVALENILKEEREAKKQKEEVSISELKEELAIKN HSLEDSRMKITELEQNLSSKSTIMEEKSSELAELNITLKEKERKLSELEKKMKELPKA ISHQNVGNNNRRKKNRNKGKKNKGGITTGDISEEETVDNSINTEEYDKLKENLQELQE KYKDCEDWKQKYEDIEAELKDAKELENSQLEKSAKELETLNTELIDTKKSLKEKNSEL EEVRDMLRTVGNELVDAKDEIKESSSKQNEEVKTVKLELDDLRHKNATMIEAYEAKNT ELRSKIELLSKKVEHLKNLCTEKEKEQTTSQNKVAKLNEEISQLTYEKSNITKELTSL RTSYKQKEKTVSYLEEQVKQFSEQKDVAEKSTEQLRKDHAKISNRLDLLKKENETLHN DIAKNSNSYEEYLKENGKLSERLNILQEKYNTLQNVKSNSNEHIDSIKRQCEELNVKL KESTKKILSLEDELNEYANIVQDKTREANTLRRLVSDSQTDDSSKQKELENKLAYLTD EKNKLEAELDLQTSRKATELQEWKHTVTELKSEIHALKLREEGLKSEVDALKHVNNDI KRKTQATSDDSDQLEQITSNLKLSLSKADEKNFELQSANEKLLNLNNELNKKFDRLLK NYRSLSSQLNALKERQYSDKSGRVSRSGSIGTLANANIDSSPANNSNPTKLEKIRSSS SLELDSEKNEKIAYIKNVLLGFLEHKEQRNQLLPVISMLLQLDSTDEKRLVMSLK YLR310C MSDTNTSIPNTSSAREAGNASQTPSISSSSNTSTTTNTESSSAS LSSSPSTSELTSIRPIGIVVAAYDFNYPIKKDSSSQLLSVQQGETIYILNKNSSGWWD GLVIDDSNGKVNRGWFPQNFGRPLRDSHLRKHSHPMKKYSSSKSSRRSSLNSLGNSAY LHVPRNPSKSRRGSSTLSASLSNAHNAETSSGHNNTVSMNNSPFSAPNDASHITPQSS NFNSNASLSQDMTKSADGSSEMNTNAIMNNNETNLQTSGEKAGPPLVAEETIKILPLE EIEMIINGIRSNIASTWSPIPLITKTSDYKLVYYNKDLDIYCSELPLISNSIMESDDI CDSEPKFPPNDHLVNLYTRDLRKNANIEDSSTRSKQSESEQNRSSLLMEKQDSKETDG NNNSINDDDNNNENNKNEFNEAGPSSLNSLSAPDLTQNIQSRVVAPSRSSILAKSDIF YHYSRDIKLWTELQDLTVYYTKTAHKMFLKENRLNFTKYFDLISDSIVFTQLGCRLMQ HEIKAKSCSKEIKKIFKGLISSLSRISINSHLYFDSAFHRKKMDTMNDKDNDNQENNC SRTEGDDGKIEVDSVHDLVSVPLSGKRNVSTSTTDTLTPMRSSFSTVNENDMENFSVL GPRNSVNSVVTPRTSIQNSTLEDFSPSNKNFKSAKSIYEMVDVEFSKFLRHVQLLYFV LQSSVFSDDNTLPQLLPRFFKGSFSGGSWTNPFSTFITDEFGNATKNKAVTSNEVTAS SSKNSSISRIPPKMADAIASASGYSANSETNSQIDLKASSAASGSVFTPFNRPSHNRT FSRARVSKRKKKYPLTVDTLNTMKKKSSQIFEKLNNATGEHLKIISKPKSRIRNLEIN SSTYEQINQNVLLLEILENLDLSIFINLKNLIKTPSILLDLESEEFLVHAMSSVSSVL TEFFDIKQAFHDIVIRLIMTTQQTTLDDPYLFSSMRSNFPVGHHEPFKNISNTPLVKG PFHKKNEQLALSLFHVLVSQDVEFNNLEFLNNSDDFKDACEKYVEISNLACIIVDQLI EERENLLNYAARMMKNNLTAELLKGEQEKWFDIYSEDYSDDDSENDEAIIDDELGSED YIERKAANIEKNLPWFLTSDYETSLVYDSRGKIRGGTKEALIEHLTSHELVDAAFNVT MLITFRSILTTREFFYALIYRYNLYPPEGLSYDDYNIWIEKKSNPIKCRVVNIMRTFL TQYWTRNYYEPGIPLILNFAKMVVSEKIPGAEDLLQKINEKLINENEKEPVDPKQQDS VSAVVQTTKRDNKSPIHMSSSSLPSSASSAFFRLKKLKLLDIDPYTYATQLTVLEHDL YLRITMFECLDRAWGTKYCNMGGSPNITKFIANANTLTNFVSHTIVKQADVKTRSKLT QYFVTVAQHCKELNNFSSMTAIVSALYSSPIYRLKKTWDLVSTESKDLLKNLNNLMDS KRNFVKYRELLRSVTDVACVPFFGVYLSDLTFTFVGNPDFLHNSTNIINFSKRTKIAN IVEEIISFKRFHYKLKRLDDIQTVIEASLENVPHIEKQYQLSLQVEPRSGNTKGSTHA SSASGTKTAKFLSEFTDDKNGNFLKLGKKKPPSRLFR YLR312C MSEEDDHWNLVRLRRLRKGREGEEQSSKSEISLDSLHESSFAGE DDEDFDADVLSNTSSEESAQMNRIYDFRTSNEFSNAGVNIDQTGVPTISESFDTLSGS NVGGTVLPSMEGSKLKDSTIRNSSTLSDHIIDKSEGKSAKLKMWHVIMLSSLLSMTFS YLALEYSLTGDVLAGFKSQQSLRNNERKLLYGNIDFVDKKSYDSSSDSLSQWAPSGKY YVDFDNHIAYPLKDDDLMGWRRYKTDLVILWYTTKARMKDGWHKRINKINGGRIKLHL FLKNSFKSAQESLRVLHKEQKRRWKRLFVLLHNKYRQFSPHIKRYFDHSCQKAKQCWS GSRLQLRKLRFKSMKPFRVFQFKVRKDTNWFVKQLKRFGLKLQHSRMYKAMSECRKKN YFKCKH YLR312W-A MENSMMFISRSLRRPVTALNCNLQSVRTVIYLHKGPRINGLRRD PESYLRNPSGVLFTEVNAKECQDKVRSILQLPKYGINLSNELILQCLTHKSFAHGSKP YNEKLNLLGAQFLKLQTCIHSLKNGSPAESCENGQLSLQFSNLGTKFAKELTSKNTAC TFVKLHNLDPFIFWKMRDPIKDGHINGETTIFASVLNAFIGAILSTNGSEKAAKFIQG SLLDKEDLHSLVNIANENVASAKAKISDKENKAFL YLR313C MISSELTNDQIIDLISDYKNFKRIIEASVPEDDRRRNQNRQNRN KDLTKLSNVQFWQLTTDVNDELMKRLTDSGADASLNDLDLKRGKAQSKLSRLKDAKFH KLILDIFTEIERRNLHHLDMGTHNNGLDEGDLNFYLNDTLFESFKINDDFMSVNGIIS IEVFRELKTQFTLYFQNTLHRIDPVDTTTTRLPILLETIIKIAKLIGDLLPVLSSVSL QSSLENEIVYLKSALSHAITSTRYFLTYGDLIPRIVAQSSISEVIFAFCNIAQIVKIK STSRDDISRNEGELSDIEAGMKPLKIIEKVKNEKNGKDISSLGDSGSTAVSFPSSGKP ITKKSDMPVVVASPSISIIEKSESSIRESGKVRNNTSGETNLASVSPLKNTKNSSRIT SEPSPREGLPLKVVSNSRSPSPQGNTLPLIGKFRQDYQASPPKKVITKPVAETAKPYA NIPPAADVLYSPTVTKMRKFREKVQKFAPNSGLGLRISTSEENLNNSDVNSTTHNANI NNLVEFVESKSMVVLPMAGRAF YLR314C MSLKEEQVSIKQDPEQEERQHDQFNDVQIKQESQDHDGVDSQYT NGTQNDDSERFEAAESDVKVEPGLGMGITSSQSEKGQVLPDQPEIKFIRRQINGYVGF ANLPKQWHRRSIKNGFSFNLLCVGPDGIGKTTLMKTLFNNDDIEANLVKDYEEELAND QEEEEGQGEGHENQSQEQRHKVKIKSYESVIEENGVKLNLNVIDTEGFGDFLNNDQKS WDPIIKEIDSRFDQYLDAENKINRHSINDKRIHACLYFIEPTGHYLKPLDLKFMQSVY EKCNLIPVIAKSDILTDEEILSFKKTIMNQLIQSNIELFKPPIYSNDDAENSHLSERL FSSLPYAVIGSNDIVENYSGNQVRGRSYPWGVIEVDNDNHSDFNLLKNLLIKQFMEEL KERTSKILYENYRSSKLAKLGIKQDNSVFKEFDPISKQQEEKTLHEAKLAKLEIEMKT VFQQKVSEKEKKLQKSETELFARHKEMKEKLTKQLKALEDKKKQLELSINSASPNVNH SPVPTKKKGFLR YLR315W MNSEQLLHNYVSDSLLTTLISFQEFKQQLQSYTSDEQQLQHWYE LLQARDARVTSELEARIKQFFITLRSRLLRFLESEQLSHSLSLETLIDALYKINDLLQ QRLQILDDAIQEKTSELAEFENMVRSPSAGDNAIPGLLQIIQSYINLLEEN YLR316C MVKKVNNPLKIDYQNGIIENRLLQIRNFKDVNTPKLINVWSIRI DPRDSKKVIELIRNDFQKNDPVSLRHLKRIRKDIETSTLEVVLCSKEYICDEGEINNK LKSIWVGTKKYELSDDIEVPEFAPSTKELNNAWSVKYWPLIWNGNPNDQILNDYKIDM QEVRNELSRASTLSVKMATAGKQFPMVSVFVDPSRKKDKVVAEDGRNCENSLPIDHSV MVGIRAVGERLREGVDEDANSYLCLDYDVYLTHEPCSMCSMALIHSRVRRVVFLTEMQ RTGSLKLTSGDGYCMNDNKQLNSTYEAFQWIGEEYPVGQVDRDVCC YLR318W MKILFEFIQDKLDIDLQTNSTYKENLKCGHFNGLDEILTTCFAL PNSRKIALPCLPGDLSHKAVIDHCIIYLLTGELYNNVLTFGYKIARNEDVNNSLFCHS ANVNVTLLKGAAWKMFHSLVGTYAFVDLLINYTVIQFNGQFFTQIVGNRCNEPHLPPK WAQRSSSSSATAAQIKQLTEPVTNKQFLHKLNINSSSFFPYSKILPSSSSIKKLTDLR EAIFPTNLVKIPQRLKVRINLTLQKLLKRHKRLNYVSILNSICPPLEGTVLDLSHLSR QSPKERVLKFIIVILQKLLPQEMFGSKKNKGKIIKNLNLLLSLPLNGYLPFDSLLKKL RLKDFRWLFISDIWFTKHNFENLNQLAICFISWLFRQLIPKIIQTFFYCTEISSTVTI VYFRHDTWNKLITPFIVEYFKTYLVENNVCRNHNSYTLSNFNHSKMRIIPKKSNNEFR IIAIPCRGADEEEFTIYKENHKNAIQPTQKILEYLRNKRPTSFTKIYSPTQIADRIKE FKQRLLKKFNNVLPELYFMKFDVKSCYDSIPRMECMRILKDALKNENGFFVRSQYFFN TNTGVLKLFNVVNASRVPKPYELYIDNVRTVHLSNQDVINVVEMEIFKTALWVEDKCY IREDGLFQGSSLSAPIVDLVYDDLLEFYSEFKASPSQDTLILKLADDFLIISTDQQQV INIKKLAMGGFQKYNAKANRDKILAVSSQSDDDTVIQFCAMHIFVKELEVWKHSSTMN NFHIRSKSSKGIFRSLIALFNTRISYKTIDTNLNSTNTVLMQIDHVVKNISECYKSAF KDLSINVTQNMQFHSFLQRIIEMTVSGCPITKCDPLIEYEVRFTILNGFLESLSSNTS KFKDNIILLRKEIQHLQAYIYIYIHIVN YLR319C MKMAVDDPTYGTPKIKRTASSSSSIETTVTKLLMSTKHLLQVLT QWSKGTTSGRLVSDAYVQLGNDFKVVSKFFMHAKVDMSDVGDVPMALRRVLEVTLREP PSDETLNKHLPKIREIIVTLLDKLKVKQAILKNMQQEHRISVKSHHQQNPSFTSNLSL GSEGTREGTPLSSRKSSIVRDQRQSDSVENSYGEKVNSTSTGTPSAQSAEATLTKPRT NIKQNLKSNNAPNASDDDDALSQLKKGTNLQRRASKRYSAYHMAKLTNQSTTEAAAAA GLMTTPSPSMLHLEETVRKSKLYGNNNNDDDRNINSAENKGKSIDDVSKASPLAKTPL PIENVRASPRRLSSVVTTSPDKAMNGTCPVFLRIGDKTKKCHVQLPTTKNALRLLFIE RFAYSPGANSFPDIYIMDPQYGVFYELEELNLLDIKEGFVIELKLEENPNNTIKEFID TVKMEISNSQNDIIRHLKEMSFGSAISGKQTEVLPQPGLEANKHDLVGQNKKDDDKTI KDIQYELGKIKQVHNINRSNINETIFNILRKVDNFKSLSFSAKNSSNRMYMEKSQTEL GDLSDTLLSKVDDLQDVIEIMRKDVAERRSQPAKKKLETVSKDLENAQADVLKLQEFI DTEKPHWKKTWEAELDKVCEEQQFLTLQEELILDLKEDLGKALETFDLIKLCCEEQEK NPSRSKSNPILPIMRPGTFNQVREQVMVAVQSLNPDHDSRVEAIDKAEKMWEMERKLK ASNEFDDELENFVGNSNLKKSGGFEEVERIRKQKDEANLRAYFGPGFT YLR320W MDVDEPNPIVISDSEATDEEISIIYEPEFNENYLWAEENVQEAS RSQKIVTERLSLDSTAGESCTPSVVTDTQVTTGLRWSLRKRKAIQKMPYSLERIKHRQ LLEGYDISSFDSISNQLTLPKNASTVIHSNDILLTKRTGKPLDEQKDVTIDSIKPENS SVQSQRYDSDEEIPKKRHRTFKDLDQDIVFQSGDSTEDEQDLASTNLQNTQNDEVIFR GRVLNVRTGYRGVLPRVAWEKSLQKQQSSKVTKRKTQLLNHKGVAKRKMNRSAHIEDE EQNLLNDLIAPDDELDIEENAPPDIYLGNLPEDREANEKELKELQEYYESKYSEDAQS AGTSGFNLNEEYRNEPVYELEYDGPGSCISHVSYKDQPIIYLNSRHSDSGFSEQYNIS AEDNQSVISLDAAEEHNDGIIDKMLVKPKRIKATNDANFLNTKSKRVRRYKYKYRNSC LAPSTKAIKVGKRSAHKSHLAANNPVSFVSKKNHVIDDYFFEELESQSLEQDDSSSLK PQKKRRKKKAPIYSSFSADLESRRKPVFNTVVEVPTNRYAFTKPNVRNRDSINHDMEF EEEDSNQELGPIMVVLDSILLKKPFEPPNFFKIQLSDKSFLLSKLNPADIATSLQKIF RVIIDKGITDTELVHFNESLIAFLVHLDMPELFDLIGEFHREFRSKVNSLRKKAKPIH FFQIAACQLMFLEISRYNKISAAAKFDMDVKLLDHIVSFFKLLSVCYDSVMKNPMQYL YTSYYILSAVVDVIHKKEALWDLFQKHPFSPHISLLLVNIFPTKVCRWQVLRLDSEFQ PLSSAFRFINYCIETCNWNVTNSLILSLDRIFKRRRFSDFEEESDLSQNNKIIYPPTN QLTSRLMFNRYLHLLTLCELSSSDTQRVIPMGDISMNDSLSVLKNRLNLLIVLATRFD LNLEKRFQELTRPLYSKEYLNLHTQNTVRTITTLIMQASLSFLEISRIKNHPFSGKFI ASLFDKLVLQQPSISGVTENFLKEFTNLVSKMKRKSVSMLKFLYPSLVAMSQENIFES SFFLLLQVYLKSLDVLGPTWVQNYLFQFIKSKAQENERWIECYCQIGKFLVDSGIFTW WTFFTYNGLDAALHFQLAFHSLIIDFCDTDSFELLKKPLYSIASDLLLISKDDAFYHF LSNLLKRAHIIVADLKPVSDENELLRLAYIFSKALKKNAYQDLLAVFLSLAKKHYDEG DISRNFLAKYLEFLNKNCLTELRNNQLFISLRRELGISSDEDEKCAFWDSFNEAGDIL SKAAFVETGIVQACCTGNEIDGYLDNLSTLFTSTMLESPFAFFSDLVIAHIFENRPFF DVNIKNFLLSHFIDLFNKVLKMKFEQVSPDEFAELCKVYRALCIECATDDTFNSNSDL IAAKDAFLVSVLRIADGFWEHDKLLQLRMLDSNMNIPNQIPHTTLQSSLSAIVIKIIE SNIGKIEASEPFKTFKNT YLR321C MSHQNQLIPQAYISNFHNRLTNEDDGIPIFTMAQQTRQHKRAKV VNYAEYDNDLFDEFNMNGSNFNNADTHYKDNAVSHENTPALTNGVTMDGSEYNVLENM NGADSIISNNKYDAGSNMVVESLSGLNSNNNASNGPSNKAQAQDIGNAVLPDLQDQHH NPFNILRYPKIRDTFINGKVVSPYRLNTDQETKANANSGEAIMIPITLDIEHMGHTIK DQFLWNYNDDSISPEEFASIYCKDLDMTSATLQTQIANIIKEQLKDLENIAATEIMSD LHVIINLTCNLQDRFFEDNFQWNLNDKSLTPERFATSIVQDLGLTREFIPLISQSLHE TILKIKKDWVDGHLIQDHVPNDAAFGYLSGIRLDIDELGSNWCPRVEILTKEEIQKRE IEKERNLRRLKRETDRLSRRGRRRLDDLETTMRM YLR323C MFRKRLVNKSSSDEKNQKKRQKINFSEEKLVASDEEKGSSDLMS LAKSGNSRTLQLSHENEGKLQKKGEDLDKYTLTVNDDSTKEDLLNFERKELAEKAKKR RPSDDNELVLNMSGKNKRLTKQINQPTNIRTTVLMDFQPDVCKDYKQTGYCGYGDSCK FLHSRDDFKTGWKLNQEWNADKEDSKAVTLDLEKIPFKCTLCKEDYKSPVVTNCGHYF CGSCFAKDMKKGTKCFICHKETHGSAKVASDLQKMLNKRKS YLR324W MSGNTTNVHETRAKFAETLQPRIGGNTTKVIRAALEKNEAESGV SEDNDNGSLEKVNVATSPLLTSTPPTISKALVKLYPYLILIDEFLNVVTWTGKNIWSS VLMLCLFITTVEYFETLVKYFGHLAIIAILWGYSLLDNYIEGTLSSSPTLEDIALLMN RVSLKSDILLSPMVNLGTQDIQRLLYTTVILSPIYVMITWLLLPPRSLMLMVGMFLLT YHSPWSKVARRLLWKFKIVRLLVFYVTGLDLGGINKDQGIFATVQKQVKKLASTENSN GVLSDSKPIRFTYVLYENQRRWLGIGWKPSMLSYERTPWTDEFLNEAPSPENFHLPEE TNTMVWRWVDKTWRLDMTNDGAIQVPNSKARTSADPSPDEGFIYYDNTWKKPSKEDSF SKYTRRRRWVRTAELVKTSDFDESVINSNRNSAIEQKVEENSTNGLTAEQELGSNKQE KDNAKKVGEPTTEETKEFAEASNINEGEFERISSTDEEVLKSRARDRLAKVLDDTEEK EQSNPTIGRDSKKAV YLR325C MAREITDIKQFLELTRRADVKTATVKINKKLNKAGKPFRQTKFK VRGSSSLYTLVINDAGKAKKLIQSLPPTLKVNRL YLR326W MSGFIKSTLLGLGQDYLEDQYQEFAEQHFQPTRDPFYETNKDGK KHRRRLPYYCTKDESKAWKKVQNKAWLHDKSLCGCCCWTNTIGWAPLLALLPVIGPLL MYWVHDKLIELADDRYKLPAEIKVKMHGNIVIDLLISLVPILGSVFAWLHACSTRNAA IVYNFVGKRALERKQAELMHQKEENEKHSNANTAPPVVGGNKNVNGNRNNSKMYNRPP VTAPPAPAYTRSTNGRPQRGYR YLR327C MTRTSKWTVHEAKSNPKYFTHNGNFGESPNHVKRGGYGKGNWGK PGDEINDLIDSGEIKTVFNKTRRGSNSQNNERRLSDLQQYHI YLR328W MDPTRAPDFKPPSADEELIPPPDPESKIPKSIPIIPYVLADANS SIDAPFNIKRKKKHPKHHHHHHHSRKEGNDKKHQHIPLNQDDFQPLSAEVSSEDDDAD FRSKERYGSDSTTESETRGVQKYQIADLEEVPHGIVRQARTLEDYEFPSHRLSKKLLD PNKLPLVIVACGSFSPITYLHLRMFEMALDAISEQTRFEVIGGYYSPVSDNYQKQGLA PSYHRVRMCELACERTSSWLMVDAWESLQPSYTRTAKVLDHFNHEINIKRGGVATVTG EKIGVKIMLLAGGDLIESMGEPNVWADADLHHILGNYGCLIVERTGSDVRSFLLSHDI MYEHRRNILIIKQLIYNDISSTKVRLFIRRAMSVQYLLPNSVIRYIQEHRLYVDQTEP VKQVLGNKE YLR329W MARDITFLTVFLESCGAVNNDEAGKLLSAWTSTVRIEGPESTDS NSLYIPLLPPGMLKIKLNFKMNDRLVTEEQELFTKLREIVGSSIRFWEEQLFYQVQDV STIENHVILSLKCTILTDAQISTFISKPRELHTHAKGYPEIYYLSELSTTVNFFSKEG NYVEISQVIPHFNEYFSSLIVSQLEFEYPMVFSMISRLRLKWQQSSLAPISYALTSNS VLLPIMLNMIAQDKSSTTAYQILCRRRGPPIQNFQIFSLPAVTYNK YLR330W MSSVDVLLTVGKLDASLALLTTQDHHVIEFPTVLLPENVKAGSI IKMQVSQNLEEEKKQRNHFKSIQAKILEKYGTHKPESPVLKIVNVTQTSCVLAWDPLK LGSAKLKSLILYRKGIRSMVIPNPFKVTTTKISGLSVDTPYEFQLKLITTSGTLWSEK VILRTHKMTDMSGITVCLGPLDPLKEISDLQISQCLSHIGARPLQRHVAIDTTHFVCN DLDNEESNEELIRAKHNNIPIVRPEWVRACEVEKRIVGVRGFYLDADQSILKNYTFPP VNEEELSYSKENEPVAEVADENKMPEDTTDVEQVASPNDNESNPSEAKEQGEKSGHET APVSPVEDPLHASTALENETTIETVNPSVRSLKSEPVGTPNIEENKADSSAEAVVEEP NEAVAESSPNEEATGQKSEDTDTHSNEQADNGFVQTEEVAENNITTESAGENNEPADD AAMEFGRPEAEIETPEVNESIEDANEPAEDSNEPVEDSNKPVKDSNKPVEDSNKPVED SNKPVEDSNKPVEDANEPVEDTSEPVEDAGEPVQETNEFTTDIASPRHQEEDIELEAE PKDATESVAVEPSNEDVKPEEKGSEAEDDINNVSKEAASGESTTHQKTEASASLESSA VTEEQETTEAEVNTDDVLSTKEAKKNTGNSNSNKKKNKKNKKKGKKK YLR331C MEKDEEDEESEEAEEELLVLESDEKLNDVNDMEAMLVDELVCDT RDLLDVDEVREDESALEEETILDDKMELEELTLLTEERAVDTAEEFEDDDCTKNCARI VDMHDSIKSNKRKLFLVVKDNIL YLR332W MLSFTTKNSFRLLLLILSCISTIRAQFFVQSSSSNSSAVSTARS SVSRVSSSSSILSSSMVSSSSADSSSLTSSTSSRSLVSHTSSSTSIASISFTSFSFSS DSSTSSSSSASSDSSSSSSFSISSTSATSESSTSSTQTSTSSSSSLSSTPSSSSSPST ITSAPSTSSTPSTTAYNQGSTITSIINGKTILSNHYTTVTYTPSATADSSNKSKSSGL SKKNRNIVIGCVVGIGVPLILVILALIYMFCIQSSRTDFIDSDGKVVTAYRANKFTKW WYMLLGKKVSDEYHSDSPLGGSASSAGGLDLDEADDVMEQSSLFDVRIRDSDSVLPNA NTADHNNTNSGGEPINSSVASNDIIEEKFYDEQGNELSPRNY YLR333C MPPKQQLSKAAKAAAALAGGKKSKKKWSKKSMKDRAQHAVILDQ EKYDRILKEVPTYRYVSVSVLVDRLKIGGSLARIALRHLEKEGIIKPISKHSKQAIYT RAAASE YLR335W MAKRVADAQIQRETYDSNESDDDVTPSTKVASSAVMNRRKIAMP KRRMAFKPFGSAKSDETKQASSFSFLNRADGTGEAQVDNSPTTESNSRLKALNLQFKA KVDDLVLGKPLADLRPLFTRYELYIKNILEAPVKSIENPTQTKGNDAKPAKVEDVQKS SDSSSEDEVKVEGPKFTIDAKPPISDSVFSFGPKKENRKKDESDSENDIEIKGPEFKF SGTVSSDVFKLNPSTDKNEKKTETNAKPFSFSSATSTTEQTKSKNPLSLTEATKTNVD NNSKAEASFTFGTKHAADSQNNKPSFVFGQAAAKPSLEKSSFTFGSTTIEKKNDENST SNSKPEKSSDSNDSNPSFSFSIPSKNTPDASKPSFSFGVPNSSKNETSKPVFSFGAAT PSAKEASQEDDNNNVEKPSSKPAFNLISNAGTEKEKESKKDSKPAFSFGISNGSESKD SDKPSLPSAVDGENDKKEATKPAFSFGINTNTTKTADTKAPTFTFGSSALADNKEDVK KPFSFGTSQPNNTPSFSFGKTTANLPANSSTSPAPSIPSTGFKFSLPFEQKGSQTTTN DSKEESTTEATGNESQDATKVDATPEESKPINLQNGEEDEVALFSQKAKLMTFNAETK SYDSRGVGEMKLLKKKDDPSKVRLLCRSDGMGNVLLNATVVDSFKYEPLAPGNDNLIK APTVAADGKLVTYIVKFKQKEEGRSFTKAIEDAKKEMK YLR336C MQKTDGIRIPGVILDELKTLDYSQDERFSISEGKKRRRGNGKHL SRKEKRKMERADKKRKIISTREINSSRLKSAPTSEKRSANAGVKNVGKQANGKNPISS DESESNENWDSDEVLTDEVAEESGEQAMSAEETMKKLESLKRKAKGIQGAENSGEIKG NSYEKKHIRNRDTNENFVSYPLAPSDRSAFERDEMDMQYYAKKLGLKGERKAIHAKDE FDAIGGLLEGLEYFENYGKSDEEYGDFATETNSMRKDDEASEKAFSSDDDLSASDFED SDGLSESDNDSVADSDDNYRREKENPYVAPTQSVESYVPPSLRKKLDDSENNSTLSEI SKKVNSSLNKLSDSNITIIITDLNRLYDSLPRQYVTESLTKGILNIISQNQKLLDGFI MNYAALAYTLSKLRGIEVGAFFIQKTVEAFLHHYEEEMENILKDQQSKISSKICINIA TLLSYCYNFGFVSCRLIYDIIRIFVADPNEFTTELLLRIISISGQLIRGDDPSALRDI RSELLKNAKNLKEQSPRLRFLMDTMSDLKNNRLKPSILATDHHPLKKNLQSILNSSSS WEPLQVSLEDIKNIDSKGKWWLVGASWRGNMENAFEVSINNENDASKSKKSKISIEDD LLDDIPDWNIIARQQRMNTDIRRAIFISIMSAQDYLDAFSKLEKLSLKNKQVLEIPRI VLHCLLADSGSNGYNHYYALVANKICERYSHLSKSFQFLFWDVIKKFEDKEFDSESDT DEEDDLDDKEKLLRISNQGRFFGSLLANDILKLDVFKHVPFMGGLNTEGMLFMEILLF QLFLTVAKKSEKKLKMDESGNKRIIYSDDYLRDVLTKNVKSENMLFILKGLKWFINKK FRYHNFLAGKKGDKAFDRDERRLAWASKAAKSIIDKELENIDS YLR337C MAGAPAPPPPPPPPALGGSAPKPAKSVMQGRDALLGDIRKGMKL KKAETNDRSAPIVGGGVVSSASGSSGTVSSKGPSMSAPPIPGMGAPQLGDILAGGIPK LKHINNNASTKPSPSASAPPIPGAVPSVAAPPIPNAPLSPAPAVPSIPSSSAPPIPDI PSSAAPPIPIVPSSPAPPLPLSGASAPKVPQNRPHMPSVRPAHRSHQRKSSNISLPSV SAPPLPSASLPTHVSNPPQAPPPPPTPTIGLDSKNIKPTDNAVSPPSSEVPAGGLPFL AEINARRSERGAVEGVSSTKIQTENHKSPSQPPLPSSAPPIPTSHAPPLPPTAPPPPS LPNVTSAPKKATSAPAPPPPPLPAAMSSASTNSVKATPVPPTLAPPLPNTTSVPPNKA SSMPAPPPPPPPPPGAFSTSSALSASSIPLAPLPPPPPPSVATSVPSAPPPPPTLTTN KPSASSKQSKISSSSSSSAVTPGGPLPFLAEIQKKRDDRFVVGGDTGYTTQDKQEDVI GSSKDDNVRPSPISPSINPPKQSSQNGMSFLDEIESKLHKQTSSNAFNAPPPHTDAMA PPLPPSAPPPPITSLPTPTASGDDHTNDKSETVLGMKKAKAPALPGHVPPPPVPPVLS DDSKNNVPAASLLHDVLPSSNLEKPPSPPVAAAPPLPTFSAPSLPQQSVSTSIPSPPP VAPTLSVRTETESISKNPTKSPPPPPSPSTMDTGTSNSPSKNLKQRLFSTGGSTLQHK HNTHTNQPDVDVGRYTIGGSNSIVGAKSGNERIVIDDSRFKWTNVSQMPKPRPFQNKT KLYPSGKGSSVPLDLTLFT YLR340W MGGIREKKAEYFAKLREYLEEYKSLFVVGVDNVSSQQMHEVRKE LRGRAVVLMGKNTMVRRAIRGFLSDLPDFEKLLPFVKGNVGFVFTNEPLTEIKNVIVS NRVAAPARAGAVAPEDIWVRAVNTGMEPGKTSFFQALGVPTKIARGTIEIVSDVKVVD AGNKVGQSEASLLNLLNISPFTFGLTVVQVYDNGQVFPSSILDITDEELVSHFVSAVS TIASISLAIGYPTLPSVGHTLINNYKDLLAVAIAASYHYPEIEDLVDRIENPEKYAAA APAATSAASGDAAPAEEAAAEEEEESDDDMGFGLFD YLR341W MFRRGDNSNFNVQNSFFLPLEYEYTVKDNVPSKKKSSIGFFPLD DSLFTSKNNSGHHKSEQLHRGNAETIRSQFGTDAVPIRIDEKEGKWDRIQDDNSSNLN YQINNSNDPASSGKYTQSIDCNHIAESKFSKKNGNIDSLRSNSATFMLNTADEDVIEF SFDDNVPYAELLSGATLEKCSLTLNEINKKLFNTLYDFRVSKDNPEENLVELILPNCV VLLNFFEDIELLADSSDEAFEKSTFINTIEFIVHDIWVETLIKNINLLQMFDADLKCY NDKYIICKLKGQYPSTNIVDIMCRLKHFSNSILETFKFGIELKEQDQCHNRNTIINYV LFSRVFSTIVLEIQKCFILIVKFMYSVNFLEKFSDEVFLSFIEILIKIVFEHQIPQLF LGIDEIIQLWLKNNEGKRQQLLSAWCNGTVQDMKQSQQRESSNAESESITSSTEEDEE GLQFNKWDVIEPFIDNIKALNQSKSHM YLR342W MNTDQQPYQGQTDYTQGPGNGQSQEQDYDQYGQPLYPSQADGYY DPNVAAGTEADMYGQQPPNESYDQDYTNGEYYGQPPNMAAQDGENFSDFSSYGPPGTP GYDSYGGQYTASQMSYGEPNSSGTSTPIYGNYDPNAIAMALPNEPYPAWTADSQSPVS IEQIEDIFIDLTNRLGFQRDSMRNMFDHFMVLLDSRSSRMSPDQALLSLHADYIGGDT ANYKKWYFAAQLDMDDEIGFRNMSLGKLSRKARKAKKKNKKAMEEANPEDTEETLNKI EGDNSLEAADFRWKAKMNQLSPLERVRHIALYLLCWGEANQVRFTAECLCFIYKCALD YLDSPLCQQRQEPMPEGDFLNRVITPIYHFIRNQVYEIVDGRFVKRERDHNKIVGYDD LNQLFWYPEGIAKIVLEDGTKLIELPLEERYLRLGDVVWDDVFFKTYKETRTWLHLVT NFNRIWVMHISIFWMYFAYNSPTFYTHNYQQLVDNQPLAAYKWASCALGGTVASLIQI VATLCEWSFVPRKWAGAQHLSRRFWFLCIIFGINLGPIIFVFAYDKDTVYSTAAHVVA AVMFFVAVATIIFFSIMPLGGLFTSYMKKSTRRYVASQTFTAAFAPLHGLDRWMSYLV WVTVFAAKYSESYYFLVLSLRDPIRILSTTAMRCTGEYWWGAVLCKVQPKIVLGLVIA TDFILFFLDTYLWYIIVNTIFSVGKSFYLGISILTPWRNIFTRLPKRIYSKILATTDM EIKYKPKVLISQVWNAIIISMYREHLLAIDHVQKLLYHQVPSEIEGKRTLRAPTFFVS QDDNNFETEFFPRDSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVLTPHYAERILLS LREIIREDDQFSRVTLLEYLKQLHPVEWECFVKDTKILAEETAAYEGNENEAEKEDAL KSQIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVEN PEIVQMFGGNAEGLERELEKMARRKFKFLVSMQRLAKFKPHELENAEFLLRAYPDLQI AYLDEEPPLTEGEEPRIYSALIDGHCEILDNGRRRPKFRVQLSGNPILGDGKSDNQNH ALIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELNVEQVNPYAPGLRYEEQTTNH PVAIVGAREYIFSENSGVLGDVAAGKEQTFGTLFARTLSQIGGKLHYGHPDFINATFM TTRGGVSKAQKGLHLNEDIYAGMNAMLRGGRIKHCEYYQCGKGRDLGFGTILNFTTKI GAGMGEQMLSREYYYLGTQLPVDRFLTFYYAHPGFHLNNLFIQLSLQMFMLTLVNLSS LAHESIMCIYDRNKPKTDVLVPIGCYNFQPAVDWVRRYTLSIFIVFWIAFVPIVVQEL IERGLWKATQRFFCHLLSLSPMFEVFAGQIYSSALLSDLAIGGARYISTGRGFATSRI PFSILYSRFAGSAIYMGARSMLMLLFGTVAHWQAPLLWFWASLSSLIFAPFVFNPHQF AWEDFFLDYRDYIRWLSRGNNQYHRNSWIGYVRMSRARITGFKRKLVGDESEKAAGDA SRAHRTNLIMAEIIPCAIYAAGCFIAFTFINAQTGVKTTDDDRVNSVLRIIICTLAPI AVNLGVLFFCMGMSCCSGPLFGMCCKKTGSVMAGIAHGVAVIVHIAFFIVMWVLESFN FVRMLIGVVTCIQCQRLIFHCMTALMLTREFKNDHANTAFWTGKWYGKGMGYMAWTQP SRELTAKVIELSEFAADFVLGHVILICQLPLIIIPKIDKFHSIMLFWLKPSRQIRPPI YSLKQTRLRKRMVKKYCSLYFLVLAIFAGCIIGPAVASAKIHKHIGDSLDGVVHNLFQ PINTTNNDTGSQMSTYQSHYYTHTPSLKTWSTIK YLR342W-A MNVFMPIRVFLYSYVIINSLLSSFFHQYRPLFIKNGAAFGVSNS EKICVTLIVNELI YLR343W MNKKQNFYAAIIVAIFLCLQLSHGSSGVSFEKTPAIKIVGNKFF DSESGEQFFIKGIAYQLQRSEEELSNANGAFETSYIDALADPKICLRDIPFLKMLGVN TLRVYAIDPTKSHDICMEALSAEGMYVLLDLSEPDISINRENPSWDVHIFERYKSVID AMSSFPNLLGYFAGNEVTNDHTNTFASPFVKAAIRDAKEYISHSNHRKIPVGYSTNDD AMTRDNLARYFVCGDVKADFYGINMYEWCGYSTYGTSGYRERTKEFEGYPIPVFFSEF GCNLVRPRPFTEVSALYGNKMSSVWSGGLAYMYFEEENEYGVVKINDNDGVDILPDFK NLKKEFAKADPKGITEEEYLTAKEPTEVESVECPHIAVGVWEANEKLPETPDRSKCAC LDEILPCEIVPFGAESGKYEEYFSYLCSKVDCSDILANGKTGEYGEFSDCSVEQKLSL QLSKLYCKIGANDRHCPLNDKNVYFNLESLQPLTSESICKNVFDSIRNITYNHGDYSK SNPSRSKESLNVKYPSSEERENDGTIAFKTSGFVILLISMIAAGILL YLR344W MAKQSLDVSSDRRKARKAYFTAPSSQRRVLLSAPLSKELRAQYG IKALPIRRDDEVLVVRGSKKGQEGKISSVYRLKFAVQVDKVTKEKVNGASVPINLHPS KLVITKLHLDKDRKALIQRKGGKLE YLR345W MPNVLSDDEELLNGLGSEIMKPSRQGNHMARTVKRWVNKERATT ADLKNVNIDGVHGPVNTESYISPGQLYSTDSGNLFHAGRILVVLVGLPATSKTLLSVA ITRYTRWLGVRTKSFHFSEYKESAKNIPSDYFCVVPTSKEGVAFVEKLRMQMLNDILA FFNDLSGQLAIYDALNIRKIDRKNLETTFSEIGVKVLFIESIVSDQEIMNRNIALALE SNDYKGLSTDEAIDEYMRRLSVNEPYYEMMTHDEELSYIKYINLGKQIIVKDNIHGYL VNKIVFFLMNLRQKKGCVYFARCGTSDKDNYIHDEELNEEGIHYSQVLKDFVLQRIKQ KRQAKKNSDSLVEVIDGSHDEDLKTSLIVWTGPRKRTHDTALFFSKEGIKVQQRSELR QLNPGSIADLTDQQIMDKFPSEYKESLKDPYHFRFPRAESYHDLAVRMEPLLLEMEHT SKDILIIAHESTLRVLYGYLMACTCVELPNLNFTRDKLVEISFSPFCNTVELLNIPLT S YLR346C MQSISNCPIGLVSKNTINSASTIAEWVACPWKYINVVGSGRYVS NKPDKITRYDLLKAAQEAEMQELLTRNDMKGRHKRNKKSKIALETIAEENSSTESLF YLR347C MSTAEFAQLLENSILSPDQNIRLTSETQLKKLSNDNFLQFAGLS SQVLIDENTKLEGRILAALTLKNELVSKDSVKTQQFAQRWITQVSPEAKNQIKTNALT ALVSIEPRIANAAAQLIAAIADIELPHGAWPELMKIMVDNTGAEQPENVKRASLLALG YMCESADPQSQALVSSSNNILIAIVQGAQSTETSKAVRLAALNALADSLIFIKNNMER EGERNYLMQVVCEATQAEDIEVQAAAFGCLCKIMSLYYTFMKPYMEQALYALTIATMK SPNDKVASMTVEFWSTICEEEIDIAYELAQFPQSPLQSYNFALSSIKDVVPNLLNLLT RQNEDPEDDDWNVSMSAGACLQLFAQNCGNHILEPVLEFVEQNITADNWRNREAAVMA FGSIMDGPDKVQRTYYVHQALPSILNLMNDQSLQVKETTAWCIGRIADSVAESIDPQQ HLPGVVQACLIGLQDHPKVATNCSWTIINLVEQLAEATPSPIYNFYPALVDGLIGAAN RIDNEFNARASAFSALTTMVEYATDTVAETSASISTFVMDKLGQTMSVDENQLTLEDA QSLQELQSNILTVLAAVIRKSPSSVEPVADMLMGLFFRLLEKKDSAFIEDDVFYAISA LAASLGKGFEKYLETFSPYLLKALNQVDSPVSITAVGFIADISNSLEEDFRRYSDAMM NVLAQMISNPNARRELKPAVLSVFGDIASNIGADFIPYLNDIMALCVAAQNTKPENGT LEALDYQIKVLEAVLDAYVGIVAGLHDKPEALFPYVGTIFQFIAQVAEDPQLYSEDAT SRAAVGLIGDIAAMFPDGSIKQFYGQDWVIDYIKRTRSGQLFSQATKDTARWAREQQK RQLSL YLR348C MSTNAKESAGKNIKYPWWYGGAAGIFATMVTHPLDLAKVRLQAA PMPKPTLFRMLESILANEGVVGLYSGLSAAVLRQCTYTTVRFGAYDLLKENVIPREQL TNMAYLLPCSMFSGAIGGLAGNFADVVNIRMQNDSALEAAKRRNYKNAIDGVYKIYRY EGGLKTLFTGWKPNMVRGILMTASQVVTYDVFKNYLVTKLDFDASKNYTHLTASLLAG LVATTVCSPADVMKTRIMNGSGDHQPALKILADAVRKEGPSFMFRGWLPSFTRLGPFT MLIFFAIEQLKKHRVGMPKEDK YLR350W MIDRTKNESPAFEESPLTPNVSNLKPFPSQSNKISTPVTDHRRR RSSSVISHVEQETFEDENDQQMLPNMNATWVDQRGAWLIHIVVIVLLRLFYSLFGSTP KWTWTLTNMTYIIGFYIMFHLVKGTPFDFNGGAYDNLTMWEQINDETLYTPTRKFLLI VPIVLFLISNQYYRNDMTLFLSNLAVTVLIGVVPKLGITHRLRISIPGITGRAQIS YLR351C MSASKILSQKIKVALVQLSGSSPDKMANLQRAATFIERAMKEQP DTKLVVLPECFNSPYSTDQFRKYSEVINPKEPSTSVQFLSNLANKFKIILVGGTIPEL DPKTDKIYNTSIIFNEDGKLIDKHRKVHLFDVDIPNGISFHESETLSPGEKSTTIDTK YGKFGVGICYDMRFPELAMLSARKGAFAMIYPSAFNTVTGPLHWHLLARSRAVDNQVY VMLCSPARNLQSSYHAYGHSIVVDPRGKIVAEAGEGEEIIYAELDPEVIESFRQAVPL TKQRRFDVYSDVNAH YLR352W MPDLKSKTLSSQSLGAAIPPEIVYQILTYQFRDLLRNDHPGTAE KFNENLTTFVKSNLTVNKTFSHICQVLIYRYCNLTTAKRFHGLLQTLKGNRCLCNKIE VADFQELTSIGLGRSSEMNKMIKNLTNETLLEFLMLTKANLREFLACENIQDDLDDNI IKYILSPGKVLSVVDFCGCSGTTFTESFIKALDKYYPNKSIDQYRLEPIQQNYQITCL GLNDCIDLPSHVLWKILKMLPELQKLDLSHTSIDDSTLYHGIPHWKNLTHLSLATCLQ VTPRAVLEFFSHHPTITDPDNTSTLEWLNVSVIAHSSSWNEVHTMFLLKKLCQHGHNK TLQYLNIGGLPLHVAPSFGEDPISESTYYYQCRDSLQFIKWNFPKLKSLSIKGNSIPI STLVEFLTPIDQDHPNCAQKLKFLNISGNSYVNKWTIQDSLLYTCSPSLVALEVSFDS WQQIEKLNDRHEIIAYRYKNPNSVIKDISTAEQVKWKCYIDSSYGRRYWLYKTDPFLN RDDLDSKSNLTRYDFEGHKIIEIINQPDFLKFAQSKIMLGCGLVPQSGIRRKLCYRDL KPPVSQFLNRKGAISLGDTPLPIITPTLPRGGWRIIHNEDDNNSHVEDSQNHVNAIPR RNSLLSRPTLRSNNGSSSANPFAINVSPASQIRDGLYWDRSVHDLRELSLQEQRIQEL ADEQQELRTIANFEETDDEYLHDPDLQRRRSQLHLFESSRSRSGNKTRPSLTGEHSSS ASFLSFSHFNHLHKRKNYYFTHPDEFVYDPKDPLTTQRYRLHFEIVNEYQVFGCIERG MYRYYSLKA YLR353W MIQSDEDNLDSSETTASTSYSGTSSVSSRLQLRTSLFFENLNGA HGNPDAETEMATVAYETTSRGQGFAVYINNERFSQIMGASTSSSSSSNSSSITQFHDT QDNNIPSNTTVRPTSLRRDNEDTVPLRNVTPSQNAAVRPERAVNSPSSQRLSCALTIS TSVLMGEDVEGSPIEQEHSRVVSSLYSSLANRGNDESKNGTPPRPTSIEPNETTEHSF FSYHYDDTLEPDVEEAVRLTKNKTSNVNFISSTGSKGEGETEDEVIDQYEPVNESKFI PHKLKIPEKAGSIKSSTSDDSHSPGAPGTSARKIKIPQSPSLIGNILIPSHNSDSSNE SSPKDHIGHNNEEKFSSKSTRKPSTSLEEEGPPIGLPSIPVLRSVSGPSKWTKTPLRL ESGNSTKSDPFSRYEGHKTPSPLTKMNKKKNKTLPEHGQPLVLAPIKSQSSESDTGQN SIIEKPARSIRRKQQEKTDNRKEDRHDAENIDLEARMPIQHIDTASIHSFDSGQNGFR DVYSIENIIVILLCCSIVPPLFFIIGCSSRRKLVSDYRLMRLLMNKEHRAALLQGFIW DVDLRWFRMFCLILGAAETVIVMAGIAIGFGVGITRE YLR354C MSEPAQKKQKVANNSLEQLKASGTVVVADTGDFGSIAKFQPQDS TTNPSLILAAAKQPTYAKLIDVAVEYGKKHGKTTEEQVENAVDRLLVEFGKEILKIVP GRVSTEVDARLSFDTQATIEKARHIIKLFEQEGVSKERVLIKIASTWEGIQAAKELEE KDGIHCNLTLLFSFVQAVACAEAQVTLISPFVGRILDWYKSSTGKDYKGEADPGVISV KKIYNYYKKYGYKTIVMGASFRSTDEIKNLAGVDYLTISPALLDKLMNSTEPFPRVLD PVSAKKEAGDKISYISDESKFRFDLNEDAMATEKLSEGIRKFSADIVTLFDLIEKKVT A YLR355C MLRTQAARLICNSRVITAKRTFALATRAAAYSRPAARFVKPMIT TRGLKQINFGGTVETVYERADWPREKLLDYFKNDTFALIGYGSQGYGQGLNLRDNGLN VIIGVRKDGASWKAAIEDGWVPGKNLFTVEDAIKRGSYVMNLLSDAAQSETWPAIKPL LTKGKTLYFSHGFSPVFKDLTHVEPPKDLDVILVAPKGSGRTVRSLFKEGRGINSSYA VWNDVTGKAHEKAQALAVAIGSGYVYQTTFEREVNSDLYGERGCLMGGIHGMFLAQYD VLRENGHSPSEAFNETVEEATQSLYPLIGKYGMDYMYDACSTTARRGALDWYPIFKNA LKPVFQDLYESTKNGTETKRSLEFNSQPDYREKLEKELDTIRNMEIWKVGKEVRKLRP ENQ YLR356W MSVCLAITKGIAVSSIGLYSGLLASASLITSTTPLEVLTGSLTP TLTTLKNAATALGAFASTFFCVSFFGAPPSLRHPYLLYGMLVAPLSSFVLGCASNYQS RKYSKVSKESSLFPEDSKLAASELSDSIIDLGEDNHASENTPRDGKPAATTVSKPAEA LHTGPPIHTKNLIAATAIAIVGFVQAVIGVYGEGQFI YLR357W MMPDDNSNSSTQNSSALYKDLRKEYESLFTLKEDSGLEISPIFN VLPPKKDYPDYYAVIKNPVSFNTLKKRIPHYTDAQQFMNDVVQIPWNAKTYNTRDSGI YKYALVLEKYLKDTIYPNLKEKYPQLVYPDLGPLPDEPGYEEFQQKLREKAEEVARAN AARAESSSSMNSTEAARRLRKTRTSVKRESEPGTDTNNDEDYEATDMDIDNPKDADFP DLIRKPLININPYTRKPLRDNRSTTPSHSGTPQPLGPRHRQVSRTQVKRGRPPIIDLP YIQRMKNVMKVLKKEVLDSGIGLTDLFERLPDRHRDANYYIMIANPISLQDINKKVKT RRYKTFQEFQNDFNLMLTNFRISHRGDPESIKISNILEKTFTSLARFELSKPDRSFIP EGELRYPLDEVIVNNISYHVGDWALLRNQNDPQKPIVGQIFRLWKTPDGKQWLNACWY YRPEQTVHRVDRLFYKNEVMKTGQYRDHLVSNLVGKCYVIHFTRYQRGNPDMKLEGPL FVCEFRYNESDKIFNKIRTWKACLPEEIRDLDEATIPVNGRKFFKYPSPIRHLLPANA TPHDRVPEPTMGSPDAPPLVGAVYMRPKMQRDDLGEYATSDDCPRYIIRPNDSPEEGQ VDIETGTITTNTPTANALPKTGYSSSKLSSLRYNRSSMSLENQNAIGQQQIPLSRVGS PGAGGPLTVQGLKQHQLQRLQQQQHQYQQQKRSQASRYNIPTIIDDLTSQASRGNLGN IMIDAASSFVLPISITKNVDVLQRTDLHSQTKRSGREEMFPWKKTKGEILWFRGPSVI VNERIINSGDPHLSLPLNRWFTTNKKRKLEYEEVEETMEDVTGKDKDDDGLEPDVENE KESLPGPFVLGLRPSAKFTAHRLSMLRPPSSSS YLR358C MRNGKILCCHCFYNKGDHEDDEGGRSIESLCAVNLAEGLNPRTN GPGKDSFSFSTSGSKPSSSLSFPVTSSMVSSTSSYSSFLFLLVVNHLFSGRLRCGSPE FIIRSFTITLGPLNHNISPFVFFHGNISSLPDLLVWLCRSVRCKTSTFLVIEIGKTNE EAASIIILPKLPLDACDVKSSIIVGIL YLR359W MPDYDNYTTPLSSRYASKEMSATFSLRNRFSTWRKLWLNLAIAE KELGLTVVTDEAIEQMRKHVEITDDEIAKASAQEAIVRHDVMAHVHTFGETCPAAAGI IHLGATSCFVTDNADLIFIRDAYDIIIPKLVNVINRLAKFAMEYKDLPVLGWTHFQPA QLTTLGKRATLWIQELLWDLRNFERARNDIGLRGVKGTTGTQASFLALFHGNHDKVEA LDERVTELLGFDKVYPVTGQTYSRKIDIDVLAPLSSFAATAHKMATDIRLLANLKEVE EPFEKSQIGSSAMAYKRNPMRCERVCSLARHLGSLFSDAVQTASVQWFERTLDDSAIR RISLPSAFLTADILLSTLLNISSGLVVYPKVIERRIKGELPFMATENIIMAMVEKNAS RQEVHERIRVLSHQAAAVVKEEGGENDLIERVKRDEFFKPIWEELDSLLEPSTFVGRA PQQVEKFVQKDVNNALQPFQKYLNDEQVKLNV YLR360W MKRFLLSRRQRHLRMICFHNISLFRANGDSKLIKEYGDGFIPCF FILESIRGELLYVSEVQSGSLRKLSFQELPKLTGASTMIVLKLVGLVPSDILCTISSD KNGIIDDKWCVLCTYTIDLNKLQPINEDTVLITGTNAPVLDLIDGSYTLAAEKIKPLK GLVSSHKRNISQVKIKFSLAYSSLLKLNKLLEYSSQVHEEINEISSKIEDDFLSLKNQ NHWYMRTVQKSIETLEKEVLQRKKSKKNIEMAQLESNDTINHSKTELSLMSQDESIND DYGSIYSRFVQIKDRLDQLRFKKLYQLIGIFHSTDLFNSDRGYIYFEKPSSVNDVINR LKLKPLNIEILLRQAGESTKHREYVNSQLGYYLLFLHLTAIQIFKAPLPYRLMYYGST SVIDSQYPLYFTDQMISKHQAKLIKAIHYFNADILQFKQILENYRPT YLR361C MIRLPRLYQRYLLYLVVFVVIALFYFLQAPRVEEHIGFDLALPI SHVDNLWFQNKGLEGFSNDDKLVVNIGYDECFHIGRFYEGCFNRHELKSTLTDGHQYL QRKRIHKDLRGSFGRRWFGKSEYLYYDVLYPALVDYFGSNLEKLNVEAVTGISKYPKD KSLPFMDVSITFEPISIELLQKRSYISDINILFGVDCIQPIANWTLQKEFPLVKYRYS EPAYLTYKFVGTRPVDTGAQRLQETDEGKFKIVQLADLHLGVGESECIDEYPKHEACK ADPKTETFVQQVLDIEKPQLVVFTGDQIMGDRSIQDSETVLLKAVAPVIARKIPWAMV WGNHDDEGSLTRWQLSEIASVLPYSLFKFSPHDTHDNTFGVGNYIYQIFSNNDTEVPV GTLYFLDSHKYSTVGKIYPGYDWIKESQWKYIEDYHDVNLKFKTGLSMAFFHIPLPEY LNIESKTHPGEKNPLIGMYKEGVTAPKYNSEGITTLDRLSVDVVSCGHDHCNDYCLRD DSTPNKIWLCYGGGGGEGGYAGYGGTERRIRIYEINVNENNIHTWKRLNGSPKEIFDF QSMLDGNSPESV YLR361C-A MAVSNNNNNNNSKERTQNIKEVEEKLGENPKITLKGGGKTKIMD FEQLRKPHCVRPSARFPVEDTAGGLLRTGGHRPQISDEEVSKRHHEQSHGQEDH YLR362W MEQTQTAEGTDLLIGDEKTNDLPFVQLFLEEIGCTQYLDSFIQC NLVTEEEIKYLDKDILIALGVNKIGDRLKILRKSKSFQRDKRIEQVNRLKNLMEKVSS LSTATLSMNSELIPEKHCVIFILNDGSAKKVNVNGCFNADSIKKRLIRRLPHELLATN SNGEVTKMVQDYDVFVLDYTKNVLHLLYDVELVTICHANDRVEKNRLIFVSKDQTPSD KAISTSKKLYLRTLSALSQVGPSSSNLLAQNKGISHNNAEGKLRIDNTEKDRIRQIFN QRPPSEFISTNLAGYFPHTDMKRLQKTMRESFRHSARLSIAQRRPLSAESNNIGDILL KHSNAVDMALLQGLDQTRLSSKLDTTKIPKLAHKRPEDNDAISNQLELLSVESGEEED HDFFGEDSDIVSLPTKIATPKNWLKGACIGSGSFGSVYLGMNAHTGELMAVKQVEIKN NNIGVPTDNNKQANSDENNEQEEQQEKIEDVGAVSHPKTNQNIHRKMVDALQHEMNLL KELHHENIVTYYGASQEGGNLNIFLEYVPGGSVSSMLNNYGPFEESLITNFTRQILIG VAYLHKKNIIHRDIKGANILIDIKGCVKITDFGISKKLSPLNKKQNKRASLQGSVFWM SPEVVKQTATTAKADIWSTGCVVIEMFTGKHPFPDFSQMQAIFKIGTNTTPEIPSWAT SEGKNFLRKAFELDYQYRPSALELLQHPWLDAHII YLR363C MTQYNFIIDASAFEKGLGNIKRWCSDCTEAVTLNFYIPTFTLNE LDFLQQRRKSFAARESLKFIDRLDDSKFANLKVFIEFPEVLDIILWSDVMEHNDSSGK INIAKLPKRLKNLLKSCIYKCYLEGNEGLHWFLISEDPQIREMAMQCNIPSCSIVDVD SILSKDMNDKSFRESEKFNNMMLKNGTKEESENGREIIRTNFNKTVYASRGTGELWSP YLR363W-A MPQKPLKVTKKAKDPRRVTKKQKNLRKAAPLQLKSKKKSLQHLK KLKKSSSLTETTERLVASKVGHLELLRGTRKELEKGKKNSK YLR364W MSAFVTKAEEMIKSHPYFQLSASWCPDCVYANSIWNKLNVQDKV FVFDIGSLPRNEQEKWRIAFQKVVGSRNLPTIVVNGKFWGTESQLHRFEAKGTLEEEL TKIGLLP YLR365W MVKGRHSKRKGNFHIILRNASLLQRQKLDKSRSTGSLLESRRQP CVLLSFAKLFLLCIVFSPKLDYTKKKTKILKLHQSFGTATILTIFHLHGSRSVAAQNF ILFHDYLH YLR367W MTRSSVLADALNAINNAEKTGKRQVLLRPSSKVIIKFLQVMQKH GYIGEFEYIDDHRSGKIVVQLNGRLNKCGVISPRFNVKIGDIEKWTANLLPARQFGYV ILTTSAGIMDHEEARRKHVSGKILGFVY YLR368W MTKRRNLFMVGSSFTIDHLPPEIWLCISKLVGTSDLHNLCLINR RLYLTITSDEIWKRRCYDRWINRESLDILTGNDYDSIPVSQWYSYYLRRAKWENKIFC LLWGLTEETNPQHFREKYLHILQFRHYKLATFLHRIIKQGYIPDKRPLDLITYANYLL KNVRHKYVFPLFYPTNAAELKNLNNMASRDAEMIYLRLSAIDTSFDDLLDAREFILNG ICSDLLQKYKKIEEFLKLRPVTRVSKLISISTDYLDCFTQPHDSVGQTNDRATGRELH REDFMLLRVYSREGRGYKTIILAIIQAITKRYNVDSYLARDHLVVSEPDFPDGRAFVT VNEDFQPYIFDKEDLLSVWSNNFHNAENFESTVLPALLEPISIQHLLTEFFRELLRCK PRPFEGYPNRAHGLRDMFPYGKVEVPRDVTMYFAFIYDLFDGMFESGMTSLRGQMLRD LLNYVNANNFGDLNIIIGQNALKEPNDCWSNKRDYVLLDDNNKIGYFYTDIETEDTLC ALNQYEVDGKVFITTIDILGDIRVRLAEGLTPFQGDNDKLWESFSSVVPRTDWGLFFK GYDKERRRMQLNPYIEEKLSNLANDEQPLHNL YLR369W MLKSGRLNFLKLNINSRLLYSTNPQLTKKVIGIDLGTTNSAVAY IRDSNDKKSATIIENDEGQRTTPSIVAFDVKSSPQNKDQMKTLVGMAAKRQNAINSEN TFFATKRLIGRAFNDKEVQRDMAVMPYKIVKCESNGQAYLSTSNGLIQSPSQIASILL KYLKQTSEEYLGEKVNLAVITVPAYFNDSQRQATKDAGKLAGLNVLRVINEPTAAALS FGIDDKRNNGLIAVYDLGGGTFDISILDIEDGVFEVRATNGDTHLGGEDFDNVIVNYI IDTFIHENPEITREEITKNRETMQRLKDVSERAKIDLSHVKKTFIELPFVYKSKHLRV PMTEEELDNMTLSLINRTIPPVKQALKDADIEPEDIDEVILVGGMTRMPKIRSVVKDL FGKSPNSSVNPDETVALGAAIQGGILSGEIKNVLLLDVTPLTLGIETFGGAFSPLIPR NTTVPVKKTEIFSTGVDGQAGVDIKVFQGERGLVRNNKLIGDLKLTGITPLPKGIPQI YVTFDIDADGIINVSAAEKSSGKQQSITVIPNSGLSEEEIAKLIEEANANRAQDNLIR QRLELISKADIMISDTENLFKRYEKLISSEKEYSNIVEDIKALRQAIKNFKANENDMS IDVNGIKKATDALQGRALKLFQSATKNQQNQGK YLR370C MPAYHSTFPVDPNTDRMVGNFALLPLNTKFRGPAYPSNSDYDII DECLDLFRANSFFKNFEIKSPADRVLIYGILFINDCLAHLKITTSFNEAVKVLTNVAL DNFTLPGTPGFPLNNVYQVPVQDHNSMDLLKTYIQQFRQELAMRLLERVYSSTDSKEY PSKFWLAFTRRRFMNKSL YLR371W MSETNVDSLGDRNDIYSQIFGVERRPDSFATFDSDSHGDISSQL LPNRIENIQNLNVLLSEDIANDIIIAKQRRRSGVEAAIDDSDIPNNEMKGKSSNYILS QQTNIKEVPDTQSLSSADNTPVSSPKKARDATSSHPIVHAKSMSHIYSTSNSASRQAK HYNDHPLPPMSPRNEVYQKNKSTTAFVPKRKPSLPQLALAGLKKQSSFSTGSASTTPT QARKSPLQGFGFFSRPSSKDLHEQHQHHQHIQHNNINNHNNNNTNNNGAHYQVGSSNS NYPQHSHSISSRSMSLNSSTLKNIASSFQSKTSNSRKATQKYDITSNPFSDPHHHHHH HHSSNSHSSLNNVHGSGNSSSVMGSSSNIGLGLKTRVSSTSLALKRYTSVSGTSLSSP RRSSMTPLSASRPVMSASSKKPQVYPALLSRVATKFKSSIQLGEHKKDGLVYRDAFTG QQAVDVICAIIRTSDRNLALLFGRSLDAQKLFHDVVYEHRLRDSPHEVYEFTDNSRFT GTGSTNAHDPLMLLPNSSSFNSGNHSYPNSGMVPSSSTSSLNSDQATLTGSRLHMSSS LSQQKNPAAIHNVNGVFTLLAECYSPTCTRDALCYSISCPRRLEQQARLNLKPNGGLK RNISMALDDDDEEKPSWTSSVSKEDWENLPKKEIKRQEAIYEVYITEKNFVKSLEITR DTFMKTLAETNIISADIRKNFIKHVFAHINDIYSVNRRFLKALTDRQRSSPVVRGIGD IVLRFIPFFEPFVSYVASRPYAKYLIETQRSVNPYFARFDDDMMSSSLRHGIDSFLSQ GVSRPGRYMLLVKEIMKSTDPEKDKSDYEDLSKAMDALRDFMKRIDQASGAAQDRHDV KLLKQKILFKNEYVNLGLNDERRKIKHEGILSRKELSKSDGTVVGDIQFYLLDNMLLF LKAKAVNKWHQHKVFQRPIPLPLLFACPGEDMPALRKYIGDHPDCSGTVIQPEYNTSN PKNAITFLYYGAKQRYQVTLYAAQYAGLQTLLEKIKQGQAAIISKTEMFNVTKMSDRF FDYTNKINSVTSCDGGRKLLIATNSGLYMSNIKRQQNKDHRHKSSAFFSTPIQLVQRN NITQIAVLEEFKSIILLIDKKLYSCPLSLIEAEGNGTSFFKKHHKELINHVSFFAEGD CNGKRLIVTAHSSSHSIKYFEHEHPLLAEKNGSGSGNKKSLKKKITEVIFDSEPVSIS FLKANLCIGCKKGFQIVSISQNAHESLLDPADTSLEFALRDTLKPMAIYRVGNMFLLC YTEFAFFVNNQGWRKKESHIIHWEGEPQKFAIWYPYILAFDSNFIEIRKIETGELIRC VLADKIRLLQTSTQEILYCYEDYRGYDTVASLDFWG YLR372W MNTTTSTVIAAVADQFQSLNSSSSCFLKVHVPSIENPFGIELWP IFSKVFEYFSGYPAEQFEFIHNKTFLANGYHAVSIIIVYYIIIFGGQAILRALNASPL KFKLLFEIHNLFLTSISLVLWLLMLEQLVPMVYHNGLFWSICSKEAFAPKLVTLYYLN YLTKFVELIDTVFLVLRRKKLLFLHTYHHGATALLCYTQLIGRTSVEWVVILLNLGVH VIMYWYYFLSSCGIRVWWKQWVTRFQIIQFLIDLVFVYFATYTFYAHKYLDGILPNKG TCYGTQAAAAYGYLILTSYLLLFISFYIQSYKKGGKKTVKKESEVSGSVASGSSTGVK TSNTKVSSRKA YLR373C MRAMDTQVQSAERGLVLPPMNSTVSSATAATTATNTDTDTDGDR DEERESLAEDGSEWVPAYMLTRDRSRYLGHFLGVDKMLEAVKCKYCGVIIRRQGNSIS MAEASQTHLWSTHKIDPNANYYSGWTGVEAGSTFMVRPPLKNHQGGSATTNSIANLLE IDEDFLKRTREKEMALPLVQSLAIIIASENLPLSFVDNTAVRLLINQNANSLSFIDHD LILNAIRSIAYNLDRIIQRTALRNNSDLSLIIDKNYLLMDPTDRSNQLSNRLKNQLFE MQKINFFSLSHSVWNNTISILSIQYYDDFHSQVKTLPLIIQNLHEYNNDPKLSIPAQL LKISQELPGLQNTVISITLPRSQIVDLLNVMDSQPFFPNTYTNAKNYYHNCIISIINS AILPLFGTPKSADITHPRQSSFSKEPLTLLDSLIDLSNIDISNSIFSRINSFLDDLQS NSWQLDKFRSLCEKFGFEFVCSKFDLSRYSTATVSLQTFLNLRPIIEEYQSSIQIEKF NEIDFQIIDYLLITLNSINRILKFFTSSKSLNFTYVLFAIMSIEKHLLSTLGSLQFQR LIAPFETFLSKIQEFKTILFSDDMNLLAMFLCPAILFEREVLEYSFHTISLSEIVDKL STSIFSLLKRFLNLHTIGNVNNSHNTSNHSNMNIHTDNQTNNINNRSGNNSDNNDNEH DNDNDNHSNSNTPASRIDIDPTGGENSVLPEQQPQNSNNNLSFGSLSDTHHLSDSTIS KEIDSIFLQIIQEDLYDYLSTVNSIVPISYRSYCEQSNFIRDSGRFKKRIITEDSIIG ELEQPMNFIEELLDIHVPVCNAFWSQYLDNDAGPIIRILFKIMQCQSSSSIRGEYSFL NDFIPRVHPDLTQEIIKIKLFNDQFVASKVDYDLDTLQTASQYLP YLR375W MSNANNSAMNHITLPPISSFDNLIKAAERQYNGEASSASTHPTL PNMNISNGSGSAGASSSMLSYQLLPHSNDVSRSNSSSSFLPSVQQPTEGSASASETSS SASPSRSISPILKVAGPSSVGGAGVSTPHSTKINKPRKKKQCPICRNFYANLTTHKAT HLTPEDRPHKCPICHRGFARNNDLLRHKKRHWKDEILSQSGVLSNHNDGKGGSVSPND DDTHEKMTPMNSVTDYAQLKSLHQIKGTFKCPFNSTLIQLDMDMYPYKLKPLNFETSN CHQTGVFSRCDTFKNHLKALHFEYPPGTKKKDRNVVPGRCKHCGLKFENVDVWLNEHV GKQCGYKYH YLR376C MEVLKNIRIYPLSNFITSTKNYINLPNELRNLISEEQESKLGFL HIIESDFKPSVALQKLVNCTTGDEKILIIDIVSIWSQQKQRQHGAIYMNSLSCINITG LIVFLELLYDSPMDALRRCQVDNFNFQLRGIVIDNLSFLNFESDKNYDVINLSKFEKL FKILRKLREFLGCWIITKSFPTDFYNGIENTLVDKWSIKRKSGVTLYPTKLPDSYMKG MDLIIYREVVDGRPQYRRIAALEE YLR377C MPTLVNGPRRDSTEGFDTDIITLPRFIIEHQKQFKNATGDFTLV LNALQFAFKFVSHTIRRAELVNLVGLAGASNFTGDQQKKLDVLGDEIFINAMRASGII KVLVSEEQEDLIVFPTNTGSYAVCCDPIDGSSNLDAGVSVGTIASIFRLLPDSSGTIN DVLRCGKEMVAACYAMYGSSTHLVLTLGDGVDGFTLDTNLGEFILTHPNLRIPPQKAI YSINEGNTLYWNETIRTFIEKVKQPQADNNNKPFSARYVGSMVADVHRTFLYGGLFAY PCDKKSPNGKLRLLYEAFPMAFLMEQAGGKAVNDRGERILDLVPSHIHDKSSIWLGSS GEIDKFLDHIGKSQ YLR378C MSSNRVLDLFKPFESFLPEVIAPERKVPYNQKLIWTGVSLLIFL ILGQIPLYGIVSSETSDPLYWLRAMLASNRGTLLELGVSPIITSSMIFQFLQGTQLLQ IRPESKQDRELFQIAQKVCAIILILGQALVVVMTGNYGAPSDLGLPICLLLIFQLMFA SLIVMLLDELLSKGYGLGSGISLFTATNIAEQIFWRAFAPTTVNSGRGKEFEGAVIAF FHLLAVRKDKKRALVEAFYRTNLPNMFQVLMTVAIFLFVLYLQGFRYELPIRSTKVRG QIGIYPIKLFYTSNTPIMLQSALTSNIFLISQILFQKYPTNPLIRLIGVWGIRPGTQG PQMALSGLAYYIQPLMSLSEALLDPIKTIVYITFVLGSCAVFSKTWIEISGTSPRDIA KQFKDQGMVINGKRETSIYRELKKIIPTAAAFGGATIGALSVGSDLLGTLGSGASILM ATTTIYGYYEAAAKEGGFTKNLVPGFSDLM YLR380W MSFDRQLTEDQEVVLKQIWTHLFHLWQVPVDGTHIFPNNSLHSS STPAKKKKSSWFSKLQSSDHTQDSSEAAEAAHLYEKGKIHKALANLDPQTTKKQFWHD IKNETPDATILKFIRARKWNADKTIAMLGHDLYWRKDTINKIINGGERAVYENNETGV IKNLELQKATIQGYDNDMRPVILVRPRLHHSSDQTEQELEKFSLLVIEQSKLFFKENY PASTTILFDLNGFSMSNMDYAPVKFLITCFEAHYPESLGHLLIHKAPWIFNPIWNIIK NWLDPVVASKIVFTKNIDELHKFIQPQYIPRYLGGENDNDLDHYTPPDGSLDVHLKDT ETRAMIEKEREELVEQFLTVTAQWIEHQPLNDPAYIQLQEKRVQLSTALCENYSKLDP YIRSRSVYDYNGSLKV YLR381W MSLILDDIILSLTNANERTPPQALKTTLSLLYEKSKQYGLSSPQ LQALVRLLCETSIIDTVTKVYIVENCFLPDGYLTKELLLEIINHLGTPTVFSRYRIQT PPVLQSALCKWLVHVYFLFPVHSEREHNISSSIWLHLWQFSFLQKWITPLVIWQATTP VDVKPWKLSIIKRCAMHPGYRDAPGSATLILQRFQCLVGASSQITESIITINCNRKTL KSHRNLKLDAHFLSILKRILSRAHPANFPADTVQNTIDMYLSEIHQLGADSIYPLRLQ SLPEYVPSDSTVSLWDVTSLEQLAQNWPQLHIPNDVDYMMKPSLNSNVLLPRKVMSRD SLKHLYSSIILIKNSRDESSSPYEWCIWQLKRCFAHQIETPQEVIPIIISVSSMDNKL SSRIIQTFCNLKYLKLDELTLKKVCGGILPLWKPELISGTREFFVKFMASIFMWSTRD GHDNNCTFSETCFYVLQMITNWVLDDKLIALGLTLLHDMQSLLTLDKIFNNATSNRFS TMAFISSLDILTQLSKQTKSDYAIQYLIVGPDIMNKVFSSDDPLLLSAACRYLVATKN KLMQYPSTNKFVRMQNQYIMDLTNYLYRNKVLSSKSLFGVSPDFFKQILENLYIPTAD FKNAKFFTITGIPALSYICIIILRRLETAENTKIKFTSGIINEETFNNFFRVHHDEIG QHGWIKGVNNIHDLRVKILMHLSNTANPYRDIAAFLFTYLKSLSKYSVQNS YLR382C MLSRPSSRFLSTKRGPGPAVKKLIAIGEKWKQKTTRGLPKQDTL NSGSKYILCQFPYPSGALHIGHLRVYVISDSLNRFYKQKGYNVIHPMGWDAFGLPAEN AAIERSINPAIWTRDNIAKMKQQMQSMLANFDWDREITTCDPEYYKFTQWIFLKLFEN GLAYRKEAEINWDPVDMTVLANEQVDAQGRSWRSGAIVEKKQLKQWFLGITKFAPKLK KHLNQLKDWPSNVKQMQKNWIGESVGAELVFKVADPKFENLIVFTTRPETLFAVQYVA LALDHPIVQKYCEEMPDLKEFIQKSDQLPNDTKEGFQLPNIKAVNPLTKEEVPIFAAP YVVSSYGSAPSAVMGCPGHDNRDFEFWQTNCPGEHIKTCIAPFFDDASKVTEQERQRI IDTVPFTSTDGVLTKECGEHSGVLTVVARKSIMGMLNSEGLSKSVVRYKIRDWLISRQ RYWGTPIPIIHCDNCGPVPVPESDLPVKLPELEGLDTKGNPLSTIDEFVNVACPSCGS PAKRETDTMDTFIDSSWYYFRFLDPKNTSKPFDREIASKNMPVDIYIGGVEHAILHLL YSRFIAKFLGSINAWSDPAGIFEPFKKLVTQGMVQGKTYVDPDSGKFLKPDELTFVND SPDGNTVIIKSNGKVPVVSYEKMSKSKYNGADPNECILRHGPDATRAHILFQSPIADA LNWDESKIVGIERWLQKVLHLTKNILSLEKDLAISKDYKTPTDLNDAEVKFHNDFQRF LKSITESFEVNLSLNTVISDYMKLTNILESALKKGEVRNEMIVQNLQKLVTVIYPAVP SISEEAAEMINSQMEWNQYRWPEVERTTESKFKKFQIVVNGRVKFMYTADKNFLKLGR DAVIETLMNLPEGRMYLMNKKIKKFVMKFNVISFLFHK YLR383W MISTTISGKRPIEQVDDELLSLTAQQENEEQQQQRKRRRHQFAP MTQFNSNTLDEDSGFRSSSDVATADQDNFLEESPSGYIKKVILRNFMCHEHFELELGS RLNFIVGNNGSGKSAILTAITIGLGAKASETNRGSSLKDLIREGCYSAKIILHLDNSK YGAYQQGIFGNEIIVERIIKRDGPASFSLRSENGKEISNKKKDIQTVVDYFSVPVSNP MCFLSQDAARSFLTASTSQDKYSHFMKGTLLQEITENLLYASAIHDSAQENMALHLEN LKSLKAEYEDAKKLLRELNQTSDLNERKMLLQAKSLWIDVAHNTDACKNLENEISGIQ QKVDEVTEKIRNRQEKIERYTSDGTTIEAQIDAKVIYVNEKDSEHQNARELLRDVKSR FEKEKSNQAEAQSNIDQGRKKVDALNKTIAHLEEELTKEMGGDKDQMRQELEQLEKAN EKLREVNNSLVVSLQDVKNEERDIQHERESELRTISRSIQNKKVELQNIAKGNDTFLM NFDRNMDRLLRTIEQRKNEFETPAIGPLGSLVTIRKGFEKWTRSIQRAISSSLNAFVV SNPKDNRLFRDIMRSCGIRSNIPIVTYCLSQFDYSKGRAHGNYPTIVDALEFSKPEIE CLFVDLSRIERIVLIEDKNEARNFLQRNPVNVNMALSLRDRRSGFQLSGGYRLDTVTY QDKIRLKVNSSSDNGTQYLKDLIEQETKELQNIRDRYEEKLSEVRSRLKEIDGRLKST KNEMRKTNFRMTELKMNVGKVVDTGILNSKINERKNQEQAIASYEAAKEELGLKIEQI AQEAQPIKEQYDSTKLALVEAQDELQQLKEDINSRQSKIQKYKDDTIYYEDKKKVYLE NIKKIEVNVAALKEGIQRQIQNACAFCSKERIENVDLPDTQEEIKRELDKVSRMIQKA EKSLGLSQEEVIALFEKCRNKYKEGQKKYMEIDEALNRLHNSLKARDQNYKNAEKGTC FDADMDFRASLKVRKFSGNLSFIKDTKSLEIYILTTNDEKARNVDTLSGGEKSFSQMA LLLATWKPMRSRIIALDEFDVFMDQVNRKIGTTLIVKKLKDIARTQTIIITPQDIGKI ADIDSSGVSIHRMRDPERQNNSNFYN YLR384C MVEHDKSGSKRQELRSNMRNLITLNKGKFKPTASTAEGDEDDLS FTLLDSVFDTLSDSITCVLGSTDIGAIEVQQFMKDGSRNVLASFNIQTFDDKLLSFVH FADINQLVFVFEQGDIITATYDPVSLDPAETLIEIMGTIDNGIAAAQWSYDEETLAMV TKDRNVVVLSKLFEPISEYHLEVDDLKISKHVTVGWGKKETQFRGKGARAMEREALAS LKASGLVGNQLRDPTMPYMVDTGDVTALDSHEITISWRGDCDYFAVSSVEEVPDEDDE TKSIKRRAFRVFSREGQLDSASEPVTGMEHQLSWKPQGSLIASIQRKTDLGEEDSVDV IFFERNGLRHGEFDTRLPLDEKVESVCWNSNSEALAVVLANRIQLWTSKNYHWYLKQE LYASDISYVKWHPEKDFTLMFSDAGFINIVDFAYKMAQGPTLEPFDNGTSLVVDGRTV NITPLALANVPPPMYYRDFETPGNVLDVACSFSNEIYAAINKDVLIFAAVPSIEEMKK GKHPSIVCEFPKSEFTSEVDSLRQVAFINDSIVGVLLDTDNLSRIALLDIQDITQPTL ITIVEVYDKIVLLRSDFDYNHLVYETRDGTVCQLDAEGQLMEITKFPQLVRDFRVKRV HNTSAEDDDNWSAESSELVAFGITNNGKLFANQVLLASAVTSLEITDSFLLFTTAQHN LQFVHLNSTDFKPLPLVEEGVEDERVRAIERGSILVSVIPSKSSVVLQATRGNLETIY PRIMVLAEVRKNIMAKRYKEAFIVCRTHRINLDILHDYAPELFIENLEVFINQIGRVD YLNLFISCLSEDDVTKTKYKETLYSGISKSFGMEPAPLTEMQIYMKKKMFDPKTSKVN KICDAVLNVLLSNPEYKKKYLQTIITAYASQNPQNLSAALKLISELENSEEKDSCVTY LCFLQDVNVVYKSALSLYDVSLALLVAQKSQMDPREYLPFLQELQDNEPLRRKFLIDD YLGNYEKALEHLSEIDKDGNVSEEVIDYVESHDLYKHGLALYRYDSEKQNVIYNIYAK HLSSNQMYTDAAVAYEMLGKLKEAMGAYQSAKRWREAMSIAVQKFPEEVESVAEELIS SLTFEHRYVDAADIQLEYLDNVKEAVALYCKAYRYDIASLVAIKAKKDELLEEVVDPG LGEGFGIIAELLADCKGQINSQLRRLRELRAKKEENPYAFYGQETEQADDVSVAPSET STQESFFTRYTGKTGGTAKTGASRRTAKNKRREERKRARGKKGTIYEEEYLVQSVGRL IERLNQTKPDAVRVVEGLCRRNMREQAHQIQKNFVEVLDLLKANVKEIYSISEKDRER VNENGEVYYIPEIPVPEIHDFPKSHIVDF YLR385C MDCPSNVVLLLLQLVLQRQQTLAHRDKSVDLQTLLKDPVIDNDV LVEFKTHKLVQLYGPQYCRDISLRGLKTMVTDIFANGIPKNAQSSGNDQPVTVVDLAN YYYMQRINELQNTELPQLKEALLTRLEHMI YLR386W MEKSIAKGLSDKLYEKRKAAALELEKLVKQCVLEGDYDRIDKII DELCRDYAYALHQPMARNAGLMGLAATAIALGINDVGRYLRNILPPVLACFGDQNDQV RFYACESLYNIAKIAKGEILVYFNEIFDVLCKISADTENSVRGAAELLDRLIKDIVAE RASNYISIVNNGSHGLLPAIKTDPISGDVYQEEYEQDNQLAFSLPKFIPLLTERIYAI NPDTRVFLVDWLKVLLNTPGLELISYLPSFLGGLFTFLGDSHKDVRTVTHTLMDSLLH EVDRISKLQTEIKMKRLERLKMLEDKYNNSSTPTKKADGALIAEKKKTLMTALGGLSK PLSMETDDTKLSNTNETDDERHLTSQEQLLDSEATSQEPLRDGEEYIPGQDINLNFPE VITVLVNNLASSEAEIQLIALHWIQVILSISPNVFIPFLSKILSVLLKLLSDSDPHIT EIAQLVNGQLLSLCSSYVGKETDGKIAYGPIVNSLTLQFFDSRIDAKIACLDWLILIY HKAPNQILKHNDSMFLTLLKSLSNRDSVLIEKALSLLQSLCSDSNDNYLRQFLQDLLT LFKRDTKLVKTRANFIMRQISSRLSPERVYKVISSILDNYNDTTFVKMMIQILSTNLI TSPEMSSLRNKLRTCEDGMFFNSLFKSWCPNPVSVISLCFVAENYELAYTVLQTYANY ELKLNDLVQLDILIQLFESPVFTRMRLQLLEQQKHPFLHKCLFGILMIIPQSKAFETL NRRLNSLNIWTSQSYVMNNYIRQRENSNFCDSNSDISQRSVSQSKLHFQELINHFKAV SEEDEYSSDMIRLDHGANNKSLLLGSFLDGIDEDKQEIVTPISPMNEAINEEMESPND NSSVILKDSGSLPFNRNVSDKLKK YLR387C MSSTFFTCNCCVIQFKTSDLQRYHMKTEWHRYNLKRRIANLPPI GAEQFAEKLQISEKEQAENQVDEFGFPVLKPVMNQSNALPQKQKKPIKSKRGRKVGTN LLKRKDRDIAKEKQNRSVSPSGSISSQLSNLTVGTENTNTDYGEDTVSEYGFTSDSNY EYATSDEELDIADKPSDKENEKITITECIYCGKDNKEVERNVKHMFSEHGLFIPERSY LIDLNGLLEFLIKMIVIDHNCLCCNFHGSGLESIRAHMASKRHCRLPYETKEERQLFA PFYDFTYDDHSISKNLQNDRAITSKLSSVYGAKNDEEDGEVDITLVSSENDINANYTT VSIDESGLELTLPTGARLGHRAGQRYYRQNLPSQPNPNESRRTITAADRRMVSGVTEK QYKKGMKKMQQLEKNAINTQIRREIKRVNFQTHYRDELLQ YLR388W MAHENVWFSHPRRYGKGSRQCRVCSSHTGLIRKYGLNICRQCFR EKANDIGFNKFR YLR389C MGVSLLASSSAFVTKPLLTQLVHLSPISLNFTVRRFKPFTCLSR YYTTNPYNMTSNFKTFNLDFLKPDLDERSYRFIELPNKLKALLIQDPKADKAAASLDV NIGAFEDPKNLPGLAHFCEHLLFMGSEKFPDENEYSSYLSKHGGSSNAYTASQNTNYF FEVNHQHLFGALDRFSGFFSCPLFNKDSTDKEINAVNSENKKNLQNDIWRIYQLDKSL TNTKHPYHKFSTGNIETLGTLPKENGLNVRDELLKFHKNFYSANLMKLCILGREDLDT LSDWTYDLFKDVANNGREVPLYAEPIMQPEHLQKIIQVRPVKDLKKLEISFTVPDMEE HWESKPPRILSHLIGHEGSGSLLAHLKKLGWANELSAGGHTVSKGNAFFAVDIDLTDN GLTHYRDVIVLIFQYIEMLKNSLPQKWIFNELQDISNATFKFKQAGSPSSTVSSLAKC LEKDYIPVSRILAMGLLTKYEPDLLTQYTDALVPENSRVTLISRSLETDSAEKWYGTA YKVVDYPADLIKNMKSPGLNPALTLPRPNEFVSTNFKVDKIDGIKPLDEPVLLLSDDV SKLWYKKDDRFWQPRGYIYLSFKLPHTHASIINSMLSTLYTQLANDALKDVQYDAACA DLRISFNKTNQGLAITASGFNEKLIILLTRFLQGVNSFEPKKDRFEILKDKTIRHLKN LLYEVPYSQMSNYYNAIINERSWSTAEKLQVFEKLTFEQLINFIPTIYEGVYFETLIH GNIKHEEALEVDSLIKSLIPNNIHNLQVSNNRLRSYLLPKGKTFRYETALKDSQNVNS CIQHVTQLDVYSEDLSALSGLFAQLIHEPCFDTLRTKEQLGYVVFSSSLNNHGTANIR ILIQSEHTTPYLEWRINNFYETFGQVLRDMPEEDFEKHKEALCNSLLQKFKNMAEESA RYTAAIYLGDYNFTHRQKKAKLVANITKQQMIDFYENYIMSENASKLILHLKSQVENK ELNENELDTAKYPTGQLIEDVGAFKSTLFVAPVRQPMKDFEISAPPKLNNSSESE YLR390W MDWLKNTTIVVLFSHSTDKSNKHKKRQVQCNMRKNTLDMVTIGI ACLVGVYTGTRFFEPIVIDRLRKDGNLRTDIPIPEYDEDGNLLKVTPSLSSTPAAPPT PPTPPTPPQQ YLR390W-A MRATTLLSSVVSLALLSKEVLATPPACLLACVAQVGKSSSTCDS LNQVTCYCEHENSAVKKCLDSICPNNDADAAYSAFKSSCSEQNASLGDSSSSASSSAS SSSKASSSTKASSSSASSSTKASSSSASSSTKASSSSAAPSSSKASSTESSSSSSSST KAPSSEESSSTYVSSSKQASSTSEAHSSSAASSTVSQETVSSALPTSTAVISTFSEGS GNVLEAGKSVFIAAVAAMLI YLR392C MAPKISISLNPPYNGEFYSSNDQMSGIVSLQLTKALSIRKISVI LKGFSETLTKIDQEYMFQQNGMMMPGQDNKSFHTLMKFEQRVFPPDNVWNALDGSSKP FKVKPGSYNYSFQFDKFPRKPECLKNHTAKTVAFVTRSNARLPPTFNSHWQEFNKIDN LDLYFYSFGKVIYMVQVQLELGKSSSWFKPFHKLIREIETFEFIPEPKDLIIEPDEDD NEELNAFSNNSRGNSMVTNNEFFNSSNLKVPSKDVKVVNGVGYIKSDRNFSQANSILI ENGDIRSRPVSSVTSTRQSTRLVNGMKVFPSTYKMGLPDGESNMRIEVRSRDLKQIYR KDYLFRSGSQNFDKVYVVMEGNIASLSKMQITPLKLQLNLLETTTYLSQGIANGNYSS LKLIEIDLNQLKSNKPLLDLNEIRENFDGSMFECELRLKDHPILRKLVFNEEDYRHRG NRLYSFKTCTIKRTFSLQLLIEWGINGIRKQSEVNIDPVQIFCQVREHVEAEALPRYV PPPTYTEMAS YLR393W MQGTFKRFYHPTLTRMSFLDKFLKPMMATASPKEYQIKQLVKPI GLTQAPRKSTKYSQGNSLRDMFDSEKTNHRVKELAVEFSKSGLYDVQVFQKTKGKLFI APVSYWKEDKALFFPHLIGTAMDGTKQQNIEDMLRGKTSIVRLFSTASGDKLSSSYFQ GIVDDNKKTDYLTEADARLSLNDSNVQIIEVNLVENAVKSALVKTLARWANRVPSWRQ PFYFECSRGQWPFSVREELFCNNVFSGYVFLVDQQLKIRWAACGEATPSEKEALWKFA KRL YLR394W MGGYLAIVFIPQTNTKSMREKKQKCLKQVRRLSLISPKKYIMPD SIFEQPFVYCGVCHRRTSHGDPLRLTSCAHILCSQHSPLTSKVCPICRSSDISIINLV ESKQLPTDIRIFFEPLPPLLESLYNVSQFQLNGLSKQCQYYQNHCLKLREKCARQQQL LYQAKIELDSMAILKKRIQELESVLNHNNVSSMSVGVLPTRNSHQNHYQPPPTVDLTV DDNSLEEFEAKSFIKKLKKNSSLRNSSKNNNGTVTPSTSGRVNKNQPLFMETLNNPNR NSIPPPGMNPNANSNLPNISTIAESTNLNRFSFSPVRVAKGFDGKLPNLDILTNNGSV SSKNISRLSSASLQPSSPLSSSSNRLILPNSNLKELHHSNTPLTSTSTQFPSALEKLK ITRKRNNTISGSNRITHNLSSHVRSSGLAFSSSSNSLQQSKLPKSNILKRSNSTQQLT NTHLKSDNHLPPRSSNTVLGSSKKNNKFRRIR YLR395C MLCQQMIRTTAKRSSNIMTRPIIMKRSVHFKDGVYENIPFKVKG RKTPYALSHFGFFAIGFAVPFVACYVQLKKSGAF YLR396C MNRFWNTKKFSLTNADGLCATLNEISQNDEVLVVQPSVLPVLNS LLTFQDLTQSTPVRKITLLDDQLSDDLPSALGSVPQMDLIFLIDVRTSLRLPPQLLDA AQKHNLSSLHIIYCRWKPSFQNTLEDTEQWQKDGFDLNSKKTHFPNVIESQLKELSNE YTLYPWDLLPFPQIDENVLLTHSLYNMENVNMYYPNLRSLQSATESILVDDMVNSLQS LIFETNSIITNVVSIGNLSKRCSHLLKKRIDEHQTENDLFIKGTLYGERTNCGLEMDL IILERNTDPITPLLTQLTYAGILDDLYEFNSGIKIKEKDMNFNYKEDKIWNDLKFLNF GSIGPQLNKLAKELQTQYDTRHKAESVHEIKEFVDSLGSLQQRQAFLKNHTTLSSDVL KVVETEEYGSFNKILELELEILMGNTLNNDIEDIILELQYQYEVDQKKILRLICLLSL CKNSLREKDYEYLRTFMIDSWGIEKCFQLESLAELGFFTSKTGKTDLHITTSKSTRLQ KEYRYISQWFNTVPIEDEHAADKITNENDDFSEATFAYSGVVPLTMRLVQMLYDRSIL FHNYSSQQPFILSREPRVSQTEDLIEQLYGDSHAIEESIWVPGTITKKINASIKSNNR RSIDGSNGTFHAAEDIALVVFLGGVTMGEIAIMKHLQKILGKKGINKRFIIIADGLIN GTRIMNSIS YLR397C MAPKSSSSGSKKKSSASSNSADAKASKFKLPAEFITRPHPSKDH GKETCTAYIHPNVLSSLEINPGSFCTVGKIGENGILVIARAGDEEVHPVNVITLSTTI RSVGNLILGDRLELKKAQVQPPYATKVTVGSLQGYNILECMEEKVIQKLLDDSGVIMP GMIFQNLKTKAGDESIDVVITDASDDSLPDVSQLDLNMDDMYGGLDNLFYLSPPFIFR KGSTHITFSKETQANRKYNLPEPLSYAAVGGLDKEIESLKSAIEIPLHQPTLFSSFGV SPPRGILLHGPPGTGKTMLLRVVANTSNAHVLTINGPSIVSKYLGETEAALRDIFNEA RKYQPSIIFIDEIDSIAPNRANDDSGEVESRVVATLLTLMDGMGAAGKVVVIAATNRP NSVDPALRRPGRFDQEVEIGIPDVDARFDILTKQFSRMSSDRHVLDSEAIKYIASKTH GYVGADLTALCRESVMKTIQRGLGTDANIDKFSLKVTLKDVESAMVDIRPSAMREIFL EMPKVYWSDIGGQEELKTKMKEMIQLPLEASETFARLGISAPKGVLLYGPPGCSKTLT AKALATESGINFLAVKGPEIFNKYVGESERAIREIFRKARSAAPSIIFFDEIDALSPD RDGSSTSAANHVLTSLLNEIDGVEELKGVVIVAATNRPDEIDAALLRPGRLDRHIYVG PPDVNARLEILKKCTKKFNTEESGVDLHELADRTEGYSGAEVVLLCQEAGLAAIMEDL DVAKVELRHFEKAFKGIARGITPEMLSYYEEFALRSGSSS YLR398C MSEGFSSSSIQELYQSLKEITNNADVELFEDRITKLDFESTDEP KHANDIIKDRFLRPSNALPWSLLDMVQDVPHTSSPEDCSGKLDYKELLKVPDPINRTS YQFKRTGLEGKISGYKEEVDLKEVANANASNSLSITRSINHNQNSVRGSTAQLPFTPG GIPMKSVKTDSEQNGSSTMANATKLLHKDGQGLFDIPEGMNRGIKPMDSPAENEDQNG QFKELKQLNEIDNELDIRIEANEAKLKEEEKSAKSISEEIMEEATEETTADNADDAEI DELLPIGIDFGRTKPVSKSVPVKKEWAHVVDLNHKIENFDELIPNPARSWPFELDTFQ KEAVYHLEQGDSVFVAAHTSAGKTVVAEYAIAMAHRNMTKTIYTSPIKALSNQKFRDF KETFDDVNIGLITGDVQINPDANCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVN DQDRGVVWEEVIIMLPQHVKFILLSATVPNTYEFANWIGRTKQKNIYVISTPKRPVPL EINIWAKKELIPVINQNSEFLEANFRKHKEILNGESAKGAPSKTDNGRGGSTARGGRG GSNTRDGRGGRGNSTRGGANRGGSRGAGAIGSNKRKFFTQDGPSKKTWPEIVNYLRKR ELLPMVVFVFSKKRCEEYADWLEGINFCNNKEKSQIHMFIEKSITRLKKEDRDLPQIL KTRSLLERGIAVHHGGLLPIVKELIEILFSKGFIKVLFATETFAMGLNLPTRTVIFSS IRKHDGNGLRELTPGEFTQMAGRAGRRGLDSTGTVIVMAYNSPLSIATFKEVTMGVPT RLQSQFRLTYNMILNLLRIEALRVEEMIKYSFSENAKETLQPEHEKQIKVLQEELQTI EYKSCEICDNDIEKFLELMLAYKEATVNLMQEMVKSPSILHILKEGRLVAFRDPNDCL KLGFVFKVSLKDAVCVIMTFTKPYKLPNGEPNHLIYFPKADGYRRRNFPKFQKTDFYM EEVPVTAIEVITKRKFAAPLGKVIKKDVAALNEFNAETNNILDGKTLKEAINIEKQGL KIHQILLDRTNIRDEIFKLKSIKCPNLSQHIVPKFKAHVIKKKIEELYHLMSDQNLSL LPDYEKRLAVLKDTEFIDQNHNVLLKGRVACEINSGYELVLTELILDNFLGSFEPEEI VALLSVFVYEGKTREEEPPIVTPRLAKGKQRIEEIYKKMLCVFNTHQIPLTQDEAEFL DRKRFAMMNVVYEWARGLSFKEIMEMSPEAEGTVVRVITWLDEICREVKTASIIIGNS TLHMKMSRAQELIKRDIVFAASLYL YLR399C MTDITPVQNDVDVNGNNVNDDVSSNLKRPIDQGDPSNGLAEEEN PANNQLHLKKARLDGDALTSSPAGLAENGIEGATLAANGENGYNATGSGAEDEQQGLK KEEGGQGTKQEDLDENSKQELPMEVPKEPAPAPPPEPDMNNLPQNPIPKHQQKHALLA IKAVKRLKDARPFLQPVDPVKLDIPFYFNYIKRPMDLSTIERKLNVGAYEVPEQITED FNLMVNNSIKFNGPNAGISQMARNIQASFEKHMLNMPAKDAPPVIAKGRRSSAQEDAP IVIRRAQTHNGRPKRTIHPPKSKDIYPYESKKPKSKRLQQAMKFCQSVLKELMAKKHA SYNYPFLEPVDPVSMNLPTYFDYVKEPMDLGTIAKKLNDWQYQTMEDFERDVRLVFKN CYTFNPDGTIVNMMGHRLEEVFNSKWADRPNLDDYDSDEDSRTQGDYDDYESEYSESD IDETIITNPAIQYLEEQLARMKVELQQLKKQELEKIRKERRLARGSKKRGKRSKGRSG SKNASSKGRRDKKNKLKTVVTYDMKRIITERINDLPTSKLERAIDIIKKSMPNISEDD EVELDLDTLDNHTILTLYNTFFRQYESSSGASNGLDGTSGVTRDASSLSPTSAGSRKR RSKALSQEEQSRQIEKIKNKLAILDSASPLSQNGSPGQIQSAAHNGFSSSSDDDVSSE SEEE YLR401C MEQNAEKRSIVGDDNSTVKRQDTSPSKGIAHIKPEYIVPLKQNE NQKVAIYDEEMSSDRMTNEFAGGTNKKNKNGRGKKRGQNKNRDNRQVKEQNVLCPRLI HGDISKCSFGDNCRFVHDINLYLSTKKPEVESNIFPSCPVFNSLGFCPMGFKCRFLSS HLNKEDNILISKKEIDPDAQTIWSVKGEVNHISPERKLDLIKRRFPFTKSNEILEIID SFQQECRDSMKPEEEVESTPQLKKQDPDVEQPVAPQVEQRNKELSEHRMKQREVYLKY KDTRYFAQEKKPLDLYHKKIVSPLTTVGNLPYRRLMRKLGADVTYSEMALAVPLIQGT NSEWALPKAHTSEFPGFGVQVACSKAWQAAKAAEALANSVSEISEINLNSGCPIDLLY RQGSGSALLDNPARMIRCLNAMNYVSKDIPITVKIRTGTKEGHPIAEGLVKRLVNETD VAAITLHGRSRQQRYTKSADWDYVSQVADTLRSAEADFIETEQGKEGRDSKNRIQFVG NGDVNNFEDWYRYLNGNENIDSVMVARGALIKPWIFEEVESQQYLDKTSTERLDILRD YAQFSMEHWGTDEYGISQCRRFFCEFMSFFHRYVPMGICERYPVKLNERPPNWCGRDE LETLMGSTDVNDWIKLSDLFFGKTDENFVFVPKHKSSSYANRDS YLR403W MDFTTMTMASNMATSTTTTATSAHASINSSSNFNIDIDSNQNTP SILINNNSDSSNGKNTDFNGVNNIHQKNIMNNTNNVHLYSPNIMDQTLLTPQDIAKLR RESIAHSQGMGGVSWGSISVGSWLRDEIISRRNSIVPASANGAASAAASATTTATNTL QIQQPTKRPSVSNPPYHRGYSISPQIAYTAYLPNLEKQYCKDYSCCGLSLPGLHDLLR HYEEAHISTSPNTTNMSQIPMNSAGNTSSSVRMTNNTSSANYNLQNNMAANTKNAGHK TNTMQAHSSNATNNTSINNMHANLQSNMDSNSTIRQSQHPHHQQNIIQQQLQSNSVNH TSGAVPTPSVMGSATASSTTANPNVISITGAPNSGLSMANHSQQLHLNGNLVDAVSTN DVFLRTSNSPSRHVPHNKQINSNNNSGININNNTSHNSNINMGSKNAMVNRPHTFNNY SLNKTSRNPIQHQSRKIDPHQTDLSPLVLVQDIDLSFMDDDILGPSNHNSMNSVVNPT TGSHNYNTFHSSVHAKSSQNMVEDQDIDDIDDDDDVDDDDDDDDDDDTENGSSSNGKS VHNNNYKMPQQAYIDDPARRLYVMDHEEQKPFKCPVIGCEKTYKNQNGLKYHRLHGHQ NQKLHENPDGTFSVIDPDSTDSFGDGMGSAKDKPYRCEVCGKRYKNLNGLKYHRGHST H YLR404W MKINVSRPLQFLQWSSYIVVAFLIQLLIILPLSILIYHDFYLRL LPADSSNVVPLNTFNILNGVQFGTKFFQSIKSIPVGTDLPQTIDNGLSQLIPMRDNME YKLDLNLQLYCQSKTDHLNLDNLLIDVYRGPGPLLGAPGGSNSKDEKIFHTSRPIVCL ALTDSMSPQEIEQLGPSRLDVYDEEWLNTIRIEDKISLESSYETISVFLKTEIAQRNL IIHPESGIKFRMNFEQGLRNLMLRKRFLSYIIGISIFHCIICVLFFITGCTAFIFVRK GQEKSKKHS YLR405W MHTMHIPSGDVLIPKPKLITEETDPLHIIKTRQKTHGRPVTIAG PMVRYSKLPFRQLCREYNVDIVYSPMILAREYVRNEHARISDLSTNNEDTPLIVQVGV NNVADLLKFVEMVAPYCDGIGINCGCPIKEQIREGIGCALIYNSDLLCSMVHAVKDKY GDKLRIETKIRIHEALDETVELCRKLCDAGVDWITIHGRTRRTRSSQPANLDAIKYII ENISDKNVPVIANGDCFKLSDLERITKYTGAHGVMAVRGLLSNPALFAGYTTCPWGCI EKFCYWALEFGGLPFQLAQHHLYCMLENMELKKSLLKKMMNLKNYISLIDWFNKTFEF KRYGEDGFGMGVEIPYKANSCVQRSASVVERQE YLR406C MAGLKDVVTREYTINLHKRLHGVSFKKRAPRAVKEIKKFAKLHM GTEDVRLAPELNQAIWKRGVKGVEYRLRLRISRKRNEEEDAKNPLFSYVEPVLVASAK GLQTVVVEEDA YLR406C-A MIQKPILLSSFLFLYIRALLHSIHPYIRTSVHLYTKKITSYNFL GVPFK YLR407W MTVSTSKTPKKNIKYTLTHTLQKWKETLKKITHETLSSIDDSSG SDEKIEALFTVSQPAVVASKGIDRDSGASMSQVGGGVNSTLEMKLTDESEESSSANNT TTTASHTLSNSKKSTQNFENYNVVEERIKLAQKSKAPFCNAEKIWKRRRQLWTQPTEQ SESANNDGVTRREIFQAIPQEYYARVYKKLVVDDKPLREPLNLEDALQVINAGWTETR KWANAAKGMP YLR408C MFLTFSMCVNWIIVKMPNRSEELDRLLDKIINSPHRTEASKTLQ EIENNQSYILNVQLKKLLRLHDDSFKNKCVSPINYMLEKYTPYMGHTEALQKEAELVD RDLRILEMTYQLIEKNRNSK YLR409C MSIDLKKRKVEEDVRSRGKNSKIFSPFRIIGNVSNGVPFATGTL GSTFYIVTCVGKTFQIYDANTLHLLFVSEKETPSSIVALSAHFHYVYAAYENKVGIYK RGIEEHLLELETDANVEHLCIFGDYLCASTDDNSIFIYKKSDPQDKYPSEFYTKLTVT EIQGGEIVSLQHLATYLNKLTVVTKSNVLLFNVRTGKLVFTSNEFPDQITTAEPAPVL DIIALGTVTGEVIMFNMRKGKRIRTIKIPQSRISSLSFRTDGSSHLSVGTSSGDLIFY DLDRRSRIHVLKNIHRESYGGVTQATFLNGQPIIVTSGGDNSLKEYVFDPSLSQGSGD VVVQPPRYLRSRGGHSQPPSYIAFADSQSHFMLSASKDRSLWSFSLRKDAQSQEMSQR LHKKQDGGRVGGSTIKSKFPEIVALAIENARIGEWENIITAHKDEKFARTWDMRNKRV GRWTFDTTDDGFVKSVAMSQCGNFGFIGSSNGSITIYNMQSGILRKKYKLHKRAVTGI SLDGMNRKMVSCGLDGIVGFYDFNKSTLLGKLKLDAPITAMVYHRSSDLFALALDDLS IVVIDAVTQRVVRQLWGHSNRITAFDFSPEGRWIVSASLDSTIRTWDLPTGGCIDGII VDNVATNVKFSPNGDLLATTHVTGNGICIWTNRAQFKTVSTRTIDESEFARMALPSTS VRGNDSMLSGALESNGGEDLNDIDFNTYTSLEQIDKELLTLSIGPRSKMNTLLHLDVI RKRSKPKEAPKKSEKLPFFLQLSGEKVGDEASVREGIAHETPEEIHRRDQEAQKKLDA EEQMNKFKVTGRLGFESHFTKQLREGSQSKDYSSLLATLINFSPAAVDLEIRSLNSFE PFDEIVWFIDALTQGLKSNKNFELYETFMSLLFKAHGDVIHANNKNQDIASALQNWED VHKKEDRLDDLVKFCMGVAAFVTTA YLR410W MSGIKKEPIESDEVPQQETKNNLPSAPSEMSPLFLNKNTQKAMQ SIAPILEGFSPKTSASENMSLKLPPPGIQDDHSEENLTVHDTLQRTISTALGNGNNTN TVTTSGLKKADSESKSEADPEGLSNSNIVNDADNINSISKTGSPHLPQGTMDAEQTNM GTNSVPTSSASSRKSSTSHPKPRLPKVGKIGVCAMDAKVLSKPMRHILNRLIEHGEFE TVIFGDKVILDERIENWPTCDFLISFFSSGFPLDKAIKYVKLRKPFIINDLIMQKILW DRRLCLQVLEAYNVPTPPRLEISRDGGPRANEELRAKLREHGVEVKPVEEPEWKMVDD DTLEVDGKTMTKPFVEKPVDGEDHNIYIYYHSKNGGGGRRLFRKVGNKSSEFDPTLVH PRTEGSYIYEQFMDTDNFEDVKAYTIGENFCHAETRKSPVVDGIVRRNTHGKEVRYIT ELSDEEKTIAGKVSKAFSQMICGFDLLRVSGKSYVIDVNGFSFVKDNKAYYDSCANIL RSTFIEAKKKMDMEKKNLPIIREEKEQKWVFKGLAIIIRHADRTPKQKFKHSFTSPIF ISLLKGHKEEVVIRNVNDLKIVLQALRIALDEKAGNPAKIKVLANALEKKLNFPGTKI QLKPVLNKENEVEKVQFILKWGGEPTHSAKYQATELGEQMRQDFDLLNKSILQNIKIF SSSERRVLHTAQYWTRALFGADELGSDEISIRKDLLDDSNAAKDLMDKVKKKLKPLLR EGKEAPPQFAWPSKMPEPYLVIKRVVELMNYHKKIMDNNFAKKDVNSMQTRWCTSEDP SLFKERWDKLFKEFNNAEKVDPSKISELYDTMKYDALHNRQFLENIFDPGLPNEAIAD ELGSHSLVDRYPINVLAKNNFKIIDSHSMNNSGKNSSNSVGSLGWVLESGKTSTARNP KSSSQFDEPRFMQLRELYKLAKVLFDFICPKEYGISDAEKLDIGLLTSLPLAKQILND IGDMKNRETPACVAYFTKESHIYTLLNIIYESGIPMRIARNALPELDYLSQITFELYE STDASGQKSHSIRLKMSPGCHTQDPLDVQLDDRHYISCIPKISLTKHLDMDYVQQKLR NKFTRVIMPPKFTPVNITSPNLSFQKRKTRRKSVSVEKLKRPASSGSSSSTSVNKTLD YLR410W-B MESQQLHQNPHSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDLKNQHSEIPQAKTK VGNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTHTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQDNQSKLDQFNYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSMNPLQLDHEPVQKVRAP KEVDADISEYNILPSPVRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAVCDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGERDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YLR410W-A MESQQLHQNPHSLHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDLKNQHSEIPQAKTK VGNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYADILTVLCKSVSKMQTNNQELKDWIALANLEYDGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YLR411W MNMGGSSSTAAKKATCKISMLWNWYTIDTCFIARSWRNDTKGKF AGSCIGCFALVVVAQWLTRFSRQFDVELLKRQKIKHLASYSPEEYVVKCGEEDAKSDI EELQGFYNEPSWKTTLISLQKSFIYSFYVWGPRRLNEPEDDLLKKVLSCCTLITPVDL YPTFLDHMIRVTIFVLQWGLSYIIMLLFMYYNGYIIISCLIGAIVGRFIFCYEPLGSL GANGSAQGTVSYDKESDDRKCCL YLR412W MDFKASGTKFKKTGKKVVPAKAFEEIVQTNRDVLKKSAFLSDLL ENLQPHLNNIKKIRCVAIGNFKEDFPATYQFALLLEITDYIKSEDERDVVVSLYDPIF TKEEIQYLKSLGSKWLIEEEFSENDAIDYESVLYFLPHAPLDLTENILSSQRPHLWLA NNMISHTDRYTKAKLCENYPNLSKLVHYLQTNAPPEVKKAHDVDGFATFIPKRKRKNR NNSSKLKVTPSDIDYDSIAPKFKSCQILTDFDEGKYLKEKPWINSFSDLTLHAIEY YLR412C-A MHLCQNGHYYKPHRASAEKVPYLKKKKKNSRNEGKAKKKNEKKK IGTVEFFQQKKKEKKRVLNAVCGL YLR413W MNFKILLPICALLTLTTFLLTIIATAGSTSNYKPITNIYIGDAD ISKINVTKVMPQVGPILTVLGSALTAPNTTVDTIFGALKAIASTEALSPLLHLLSNAA NTSATLSSLTQLAPMALLGTNTATTTTFSALDELLTTSKNTTELLDGFSTLMSSMSTN TSSTSASLENTVLTLLVDSTNPIGTTESLITLNNMTTEEKTKLSPVFELFASSKNITA TCDALETIMNSTIPTSTVSSLFSSLKTSLAEGGNATETIMQLGSLVPSSLKPAVQAVV TLFDETTSQNVTLSVLSTMIAENITQSSSAKAAMGALTDLLNYTTNQTELLTSVESLA LSKEAASSTNQLVALDEILSASANASTVVSIIPTLESQLANNTVLLKYVPYLFSLLAA SSDPVSSFSSLVNITKWAETNAATFMPMLKILNSAVNMTTITPEQLKEMTPSILEYLH IPVIYRLSIFTMCRAHLNRTMYSCSKSHAVQNMDFRSIVYNNIEGSDFKPYMDALNIG KDDLHLDGELQDRQHMYVPAVKAALAMNLMCIITSFFLMVFLLLLSRRSVVSQKLWLA LGFISCWICIFSGLGSTIFSVILNMMKSGSKKDNYDVIISGSSPFYGLMWSGFVFAVL VFLCIAYCWWSSRKGAAIVEAEKAVQESDSTTSRIIEEHESPIDAEKNFAR YLR414C MRNFFTLFFAAIFSLGALILAIVACAGSTKNYSPINKIYCAELD LSQMKVSTVLPSLSSATLSSLGLPSYINIGLWSYCTVDSSHNIQSCSSPHGIQNFNLS SLVYDNINNNEALELMDSVASVVLPEKLKSKMTYYNNLVKCMFITILIGIVLTFVNLV FNVLRWIIHIRPLTWFGAFFSFFAFAALLVSIGSCLGTYSYIKYILKHNYSDYGISMS IGRNYQGLMWGAVVGALLNFILWCSVRSRPTVIYANAPIEEKPLI YLR415C MYLSAQLMRTVTASHLTLRALSTPPLFQHRQIAAVEWCGTTRPG LARQKRTQHASSVISKSGVLSAKPSSVFLALLAGKLAEKYIYARMLLFHVSVVNECPV VFHSGPVVWK YLR417W MEYWHYVETTSSGQPLLREGEKDIFIDQSVGLYHGKSKILQRQR GRIFLTSQRIIYIDDAKPTQNSLGLELDDLAYVNYSSGFLTRSPRLILFFKDPSSKDE LGKSAETASADVVSTWVCPICMVSNETQGEFTKDTLPTPICINCGVPADYELTKSSIN CSNAIDPNANPQNQFGVNSENICPACTFANHPQIGNCEICGHRLPNASKVRSKLNRLN FHDSRVHIELEKNSLARNKSSHSALSSSSSTGSSTEFVQLSFRKSDGVLFSQATERAL ENILTEKNKHIFNQNVVSVNGVDMRKGASSHEYNNEVPFIETKLSRIGISSLEKSREN QLLNNDILFNNALTDLNKLMSLATSIERLYKNSNITMKTKTLNLQDESTVNEPKTRRP LLILDREKFLNKELFLDEIAREIYEFTLSEFKDLNSDTNYMIITLVDLYAMYNKSMRI GTGLISPMEMREACERFEHLGLNELKLVKVNKRILCVTSEKFDVVKEKLVDLIGDNPG SDLLRLTQILSSNNSKSNWTLGILMEVLQNCVDEGDLLIDKQLSGIYYYKNSYWPSHI YLR418C MANSLDRLREHLKNGDKLVLKNNEGQSTDDITKATMVETLSSDG STQDSFPLNEETEIEIDGSLVQLRIIVHCWMNKDSSAADYLADCQNKQLTNVSFLQRT DLINWLSGNTESSQYLKAPGQKGETSDKVDIENKTLAGELSTVKSTTSASLENDSEVS DPVVVETMKHERILVDHNSALRGAKPINFGYLIKDAELKLVQSIKGSLRGSKLPPGHK GAHGRISKTNGSSGGPRKDPIILIPSAASSILTVANIKQFLLESKYVNPRNLPSVPNG LVNIEKNFERISRPIRFIIVDNTRMFTKPEYWDRVVAIFTTGHTWQFNNYQWNSPQEL FQRCKGYYFHFAGDSVPQHVQQWNVEKVELDKNKRFKDVEVVRYFWHSLEKELISRGY R YLR419W MAKKTKNNSKSSTPVNDVPTTAGKKKAKGKKGQEPEPEDDKRAK QQSNRAKVTSTASWTGKLPHTILHETCQKRKWNKVEYDMKKIGDKGFIAIAVLSFTDP KTKETLTARMNDPTYDKASGKGLVIPQETPIEARHMASTIALYRIAYNTNLHMMLPPN HRKTWYALDDFRKDNLKTDEKRINKLFDLDPFKTMVEDRKLKAQREKEQVAQNNQAQK EQVARTILSSHGGISSSGKDRQERKVASHKNSHNPSLVRFPKKVWENSIFVDLDESSR QLIETSLKEKIDWQAKKISHKNETIAENREDLKAKLLTLQFRPKHVEEAMLYKDPLSF LLFNLPEDDLPPFFHKKKGDTKNKVEITNLPLSTRMIVERLTEIGVSSDEALLALQQN DMNENEAAGFLTREILPTLNSNTNEPVSETESIECWNQELESLESIYEGCVMDAKEDS HYTLNLIEKLKIKLKVYRTKNYPASLPGIVVSTFDKNYKLPDYIKKQILTRLLHYLQE GNLIGDMLVYHIYEWLKENISKIIDNPGPLIPDSDSKGAINKRNISNGKRSINNSSSR KFTKTTISEDTLSVLREEYTKRIKSSEYKSMQLVREQLPAWKKQKVIIDIINKNEVVL ITGETGSGKSTQVVQFILDFLQKEKGDFGKTKIVCTQPRRISAIGLAERVSDERCVTC GEEVGYVIRGVNKTKASTRIKFMTTGVLVRLLQNARTMLENTIVVIDEVHERSIDTDL IVTLMKNLLHRVRGMKIVLMSATVNVDLFKKFFPGLATCHIEGRTFPITDYFLEDILS DLDFKIKREKALSYDDDSVDERNNDDQYLKPRADSKFFTSGQINYDLLCQVVEYVHKR LKAANDNGSIIVFLPGVGEINKCCNLLANKSNEADFMVLPLHSALTPEDQKRVFKKYH GKRKVVVSTNIAETSITIDDCVATIDTGRAKSMFYNPKDNTTKLIESFISKAEVKQRR GRAGRVREGLSYKLFSKNLYENDMISMPIPEIKRIPLESLYLSVKAMGIKDVKAFLST ALDAPPLPALQKAERILTTIGLVDESDKSLTQLGQFISLMPVMDSKHGKLLIYGILFG CTDISVLLVSILGIGVLPFIGGFENREKIKKLLCKYESRGDLFAVLEIVRDYFKIKDS SIKRKYLRDNLLSYNKINEIKSSTAQYYSILKDVGFLPMDYKVGSISDLNRNERNFDI LRAILTGAFYPHIARVQLPDVKYLSTSSGAVEKDPEAKMIKYWIRSEEYQDKLEEYKT KISQETQKVDLEDLPLPATRAFIHPSSVLFSTNSVNLEDAKLLSEVDGPISRQSKIPT VVKYPFVLFTTSQVTNKLYLRDLTPTTTLSLLLFGGAISYDIGGTIHSPGIVVDNWLP IRTWCKNGVLIKELRTQLDEAIRKKLESPDYAKKSQIDNSGADKTLKIVEKIIASEQ YLR420W MVQEIDLGLTCDMHVHVREGAMCELVTPKIRDGGVSIAYIMPNL QPPITTLDRVIEYKKTLQKLAPKTTFLMSFYLSKDLTPDLIHEAAQQHAIRGVKCYPA GVTTNSAAGVDPNDFSAFYPIFKAMQEENLVLNLHGEKPSVHDGDKEPIHVLNAEEAF LPALKKLHNDFPNLKIILEHCTSESAIKTIEDINKNVKKATDVKVAATLTAHHLFLTI DDWAGNPVNFCKPVAKLPNDKKALVKAAVSGKPYFFFGSDSAPHPVQNKANYEGVCAG VYSQSFAIPYIAQVFEEQNALENLKGFVSDFGISFYEVKDSEVASSDKAILFKKEQVI PQVISDGKDISIIPFKAGDKLSWSVRWEPR YLR421C MSMSSTVIKFRAGVCEYNEDSRLCTPIPVQGEIEIKPNEEEELG FWDFEWRPTEKPVGRELDPISLILIPGETMWVPIKSSKSGRIFALVFSSNERYFFWLQ EKNSGNLPLNELSAKDKEIYNKMIGVLNNSSESDEEESNDEKQKAQDVDVSMQD YLR422W MSQQDSQRWLPTDRLIYGVLVKSFLPLQRYPELVYENSNYANVY VGAEVYVFEESVDKKWCRAYQCLRPFPEEFISNMNSANDVLPDVKPKVVIFPRKYVHF EAEKAVSTMPFFKAPSAEDFKPLISKECESRSFCDSLYVSSTDDISTGKPRKTPRPPF PFFRYQKRSFKDEMGPILSLISSHVYSMYSIGEFSIYRKMIKLYYDLDTIRFRLSMNL TTEAEKINLIRAATSLRTKIAKFLSSTYRKNKLIANSTPRNPDPYGFEGIFARDIDTG ELLSYEIDKLRTLVSSSMLCGLTNNFPTVPVVESDDESSSNGLFGTVRSSILVNLKDL AWDPSISDPKYQDLSICVYLRTKDEVLTESFTMTKSSNMESALDEIPAMLFKNILETI VHKNKVYLVVVLKETIAITTETAPEISSYNISTEESSSHSPFSPFNSSTENKIDHVKK GLAAGVINISPVFKFYNGLSVANKAQRFNLYLYSSDSSDSQNFNSSKDADLGWGGLIN KIIKDSSEGVSVNPRAVSLSVTVKEIIGKQEAEKVLSTSLVPIRSIPTYFYDTMFSQA ERIYLNLGRVSLYGLPAADTNIENVTVQISCRNKAVKFCKNKLEERSGDWKFVSVRPN ESIGESIRIEGVENMNEDETLRVLVYLNGFLMAKSNIHIKKKNEIIEYRKGTVFQIMS SKSVPLIHLELEASYFGRRYNINPAITNFLVLQTKNVEFDQQLKEHYSVTLKQLNNVS FKDLLKHFDTILAHYLLLLESVNEATDKKGPSSSLPNIVFSEFVKFLNLMLTHQENSR YWFNRLYKKVMSKELECPNVAPILIKHMTTIFDRSHSSWTRTGTAICRTILYIIVLAI GSSHSDEMPNFSHFFRSLHKFLMLADEPIMADQILLIESIPSMLETMTNHCKVEDLVR FAIGLFECCQEKEMNQKMYSRPLSVREEEYLNTKFNCLLKLINKKVLQNYLTNTESVD KLRLQFLSKTLEWLLTPYTPGDDKCFHVESLRLVNSVFITIIEDYKFDMLQRNLIRLL PYLCKSFVHLRRYCKKARLMRPRRVFTMLFPREIPCNYIPVDSIVNDEVVVEVLLELA IIICEITKIASSRFPSYQSFSEIINLCDKDTLFQSNFYSRQITNENVYTITKTVFLFF KQDWFPGMKWLGVSALLGRSSLILLSLCKDYIIENNSPSPSKESEKRVDMRLWAEYVK VILLVSNHKSASLTKLAITPRKAVYLISGDLKKISAYILNECWDALATGHYNITYAKK YGLGALSDCQFELFVHNQFLIREIFIFAFHRHIDATRICCKILWGLGLNFWRIFGSLQ PAVNACIPELFSAYQIGKLRLNDYELERFVSCLFFMMHVPDSDTFFPACMDFLRDLLG FLHIVNEIYKIPNQEEFDDDRTARHIEMFEYLLEANRPELFHKMIYDLFIHFIQKKDF VQAALSLELLAGTYAWDSNDTLEAISFPPLPEQSSFERKEYLLKESARNFSRGQKPEK ALAVYKDLIKAYDEINYDLNGLAFVHDQIAGIYTRLQSIDRLVPTYFKVSFMGFGFPK SLRNKSFVFEGLPFEHITSMHDRLLRSYHGSNIVHSQEEVDMLLMNPPMGKYIHVASV EPCLSISDNYNSSDKKSSINNKVRMYIENRDLRTFSNSRRLPGAKGVTDLWVEEYTYH TMNTFPTLMNRSEIVKVTKSKLSPLENAIRSLQVKIQELYGLENMCNKTLKDHGDVND LFTELSTNITGTISAPVNGGISQYKAFLEPSTSKQFSTDDLGRLTLAFDELVAVLGRC LTLHAELLPSKDLKPSHDLLVRLFEENFAEEIERYSRTLSEANRSRNNMITARIISHK NPNKKASFSGRDHHTSGSNHSQFVLEHSDSFGPNSLLFGKYLTRTLSHSSTTSSLDKS GIVSGTSSTFLAGSQPNTNTDSQHKHDYSHSG YLR423C MNEADVTKFVNNARKTLTDAQLLCSSANLRIVDIKKKLSSWQLS ISKLNFLIVGLRQQGKFLYTILKEGIGTKLIQKQWNQAVLVVLVDEMKYWQYEITSKV QRLDGIVNELSISEKDDTDPSKLGDYISRDNVNLLNDKLKEVPVIERQIENIKLQYEN MVRKVNKELIDTKLTDVTQKFQSKFGIDNLMETNVAEQFSRELTDLEKDLAEIMNSLT QHFDKTLLLQDKKIDNDEREELFKVVQGDDKELYNIFKTLHEVIDDVDKTILNLGQFL QAKIKEKTELHSEVSEIINDFNRNLEYLLIFKDISNLIDSFKNSCTQDIQTTKELCEF YDNFEESYGNLVLEAKRRKDVANRMKTILKDCEKQLQNLDAQDQEERQNFIAENGTYL PETIWPGKIDDFSSLYTLNYNVKNP YLR424W MEDSDSNTDKKFFFKKRRIDSYNYSDEEDNNSSMNSDMTYTNDA LKTSSGNAPTISKLTKTYGIGAKLLSSMGYVAGKGLGKDGSGITTPIETQSRPMHNAG LGMFSNTNSSNYHSENEDYLSSEDEVVEGIEQVKFNKTSTEVLGEALLNDSGDMTIVR TLRELRLAGVQLPESILKELDPLNAVPKPKKDVVVEILQELLGIEKSLEAIRQRTSPL EVQVKEYYGQERLLSELEVTLRDESKHVSLYDKIGAILKLSDDELIDRLTSCLLRKEL LIEFDLDHLEKPNDILDELTQIIELLAYRMDTTSKFLNRTQTTIFKVIYPKLKKFWEG FDMTKSKIDSAITLLLDFQQVLSFIGCKEHIMEEFVYPKLLQELDNWELHDEVDHVSP RIWVLDFMVLIDDKIKDTIVDKIEAKFFAYCKNWYHRESFCITNSDIIFIKELICERR YYKILCKEFLPKFLDELWERHNDPIYELEDWKEKQEWKEKDSGFFYFMKKLRSYTHYF HPKQYELMMRGTFNNINKILYQWHLYSTVEDLHKSKWWLNWLMNTVFEHSLPTEIELS EIRKSYNIFAMSHRYHLDKSTLDEDFDLRQGLRNLMETQVIDDISQSEQEPTYTVQNI PLGKVSSSFKDVVEDYCLEKGYLISKIPNRYTQLPYGRDQDCIVPLFEIRNGKKKMEV ALKHDILWVEDSSGTFKPIYLWALDL YLR425W MYRYNRSSPFERTPEKRVSRQESQRKSIELPKLPPLNTRNSFLD DSDNGTDNISIGWTPISDTQQFQSPVPQAFTFTSKHSARGNGTSSSESTPKSTKYVKE RRPPPPPPLLYSTESIRIDSPMVSPSSQSRERSPNKLSFIGNSEERHHMEYISNHSRI LKSPFANGFSPNSPKSPRDSSKQQAHFSDESDLRCHEREKALPPIPFTTTLLLSPFDD EDSEFFTKPPPPLSTSRNVSGNSRVSEALESVYSDSDYTFNNSNARQSSFNSLLGAKP LELAPSITAPTQPFSIQSIDEHKLYQCDNVYKLSAIYEWILKVYFEWFNECVFTKIDL FQIVQLLLEFQMPTNFDQDTIDSNVDNIMASFISQKAVRFDIINDEEVAVVVGGLDIT GVFTELLPCYSFIDNTYGSTNSLICYSNVCTHGQSSGFRKEIKLSEIINKSVGLWTEY WHLTPDDLAEINPREVQRQSFIFDLIILEERSLNMATAAVEIYGKRFDKSLLPDEPEF KALAFDIFEPLIQLHTEFLLTPIFWKLKTRGKFIDGVGKIYSKWCGEAKNIYLNYAKA MATVHEIIMWEKKNKTKFVTWLKEIDNSVEITRSKMYHDVIFFGGFFKSLQNMPVTLR SILKNTDPSMEDYEYLKIVIKEVEKLNFEVNQVHGLAIDHRKLVRFSKQLVLSTNSSN ATSYVNVGGSTNANDDDAIQDKLALGLTYPERKLVLSGTVYKKRDLWLDPTPVYIALL DNCLLITEEISKGETQKYKLIERPIPIDYLSLEKRKIPGTSKQPLRNYSQKEHKSPMH NFSTPINSMRPLLKSSGNHMSTAYGDRKTSNTEISNANPNTDEFSFKIRNTATGESFK FFTESAEVLNQWIDAIMESFKRNAENHDLNAFEFTVLSSEFAYFDKDAPVNLPVAPEG SEIDVALKAYAQKANKDSCSWSKTTRILCCEDVKFEGRIYLFVATTDGIYVKYRDDYG SGFVKILELNDVKRMEANVKLGLLFVLDNRKLCYFNISTVVSRYLAQGNTLDENCIVG TVIRDKVRFFKIADDFGNSKHLFFERKGKIVILTPEFDQLTNQVKYFKFYKEYKLPSS SNNILNNEIEDIAIFRKSFAVCTKKTVILYQDSFEDNGIVLPSFLNDKDMMAHLRHPH LNSLPFKSATDSKKRPSIESLTEEAKKDIATCKAIPVNFFQISQSSFFALVYDEAVVK INCYGEMSDWRKDILLLDFCCTGASFHGNHLILVGDNLIQIYDLKNVEQNLGELVPVQ IIKGKKIKLASSERREKTILVLSHPNILNRQLLVACNPVAMADHQ YLR426W MNIDCLCRWVVLPLLRYPLLVALVLRWSLSDSISICLTIYTLLI NAFLIANSYIKRSGQVAWKSLREFKNGIVLITGGSKGLGRAIVSQLLQDYSNLTILNV DICPSSVRNTRVKDLICDLSDDEEVAALLNLLKRKYKNEIRLIVNNAGVRANFTGFNG MERDNLDKIFKINTFAPLQFIQELAPSRHSTRQCYIVNIASILGILTPAKVAAYAASK AALIAFHQSYSFELQNEGVRNIRTLLVTPGQLNTEMFAGFKPPRQFFAPVIDITTLAA KIVRYCELGQRGQLNEPFYCSFAHLLMCVPYSLQRIVRSFSRIDCCLPDE YLR427W MVEPDMQKKASGGSGGSEMDTLNATSNSSKQGVSNNKRNPVSKK KPGNKVSDGRDNAHNYHGEGRRKSSKQQRSRTPYKETSTRINDQDIDLSIQEEILGGN FKLRGRKTQVSINHLLNFQLPEVEREKSRSSSSKKSNRRRDEHVHLHGDTFVNVNYRL LVDDRFDYPEQNCNPNVPVDQEKILRVIVPKGQNCSICLSEEPVAPRMVTCGHIFCLS CLLNFFSIEETVKNKETGYSKKKKYKECPLCGSIIGPKRVKPVLYEDDFDVTRLNQKP EPGATVHLQLMCKPHGSLLPLPVALHLDPLKCGNFPPANLGSIKHYAHIMKCGVSYSL ELYQKDIVAIQEQYEIDKAIYNDSGKFVKQSIENINDQISTLLAATTDLSPLSNDINN GLDNFHFDDDLLTKYDDSSAYFFYQTLVASSTKYFLSPLDVKILLTIFHYYSKFPESI ETTVENIHYDTVVTEQLIRRYKYIGHLPIGTEIALLDLDWRKIPFLPKEIYEQFAHEL KQRRRKFTMKKQKEDKEKKLYEKRLEQEHAEFYRKENGNSLKFEDSVQMATHYESIVS SSIPLNSLGISMLGPPTNSCSTPQKQAPSHTKRTIWGTSIAVTEDEKASKENKEFQDM LLQRIRQEDSSDVTDSTDSPPTSNGKRGRKKKGKVMLFSSNHQALG YLR429W MSGKFVRASKYRHVFGQAAKKELQYEKLKVTNNAWDSNLLKTNG KFIAVNWNASGGGAFAVIPIEEVGKAPDQVPLFRGHTAQVLDTDFDPFNDHRIASGSD DSKIGIWDIPENYKFHDHVDEDGEPIDIKPVKFLTGHARKVGHVLYHPVAENVLASSS GDYTVKLWNVETGKDMITLKHPDMVTSMSFSYDGNYLATVARDKKLRVWNIREEKIVS EGPAHTGAKNQRVVWLGNSDRLATTGFSKLSDRQIGIWDAFNIEKGDLGGFYTVDQSS GILMPFYDEGNKILYLVGKGDGNIRYYEFQNDELFELSEFQSTEAQRGFAVAPKRMVN VKENEVLKGFKTVVDQRIEPVSFFVPRRSEEFQEDIYPDAPSNKPALTAEEWFSGKSV EGPILVSMRSIYDGSAPSFHEAKRPQQPTTQETALEEKKEQPKVEKPISESEKEVKQE APKSPSPLKSASSSSTINHVLKEDNSINKLLKKSSDIDQVNNAEDPSRDTSGWEEADD EPAPIKIETPVTPTETKKDRTPKVEPSKELKPEPVSIATDRKQEQSLPQEEKSSEKTK SPEQEKSATPPSSITAAKTAITASSKEEPSAARTSPKSLGLKKSVEKLSTLVLQLEDV VDKLTKANLDKDERLLKLEQKIGELSK YLR430W MNSNNPDNNNSNNINNNNKDKDIAPNSDVQLATVYTKAKSYIPQ IEQVYQGTNPNIQEAKLLGELLQVLAEVPKGTHLFCDPILEPISIFSLTIFSFNEEAT ATWLKNHFNPILSVCDKCILNFARGKCKMLQHFAIQRHVPHEHVAKFNDIVCQWRVEA VFPILRNISVNDNTGINITNEIETAMYECLCNPHMLRLNKQLKATFEAIFKFFYDTKH RLLDVTNPLSIKTFISGVIFCWCEGSKEENEWSRAFLKDLYSRNFHINLSNLTPDIIE EVYIHILFLQNPANWTEIVVSQFWSRLLPVFNLFDKDVFIEYFQVPKNVESLKKTFKF PLEPIFKMWYNHLSKSYHDKPLDFLLRGLTMFLNKFGSEFWSKIEPFTFHSILDIIFN RDSFPIKLIKIQDNPIVEHQTEVYFQLTGSVTDLLSWTLPFYHALSPSKRIQMVRKVS MAFLRIIANYPSLKSIPKACLMNSATALLRAVLTIKENERAMLYKNDEFETVLLTKTD SRALLNNPLIQDIIIRSASNPNDFYPGLGAASASVATSTMMVLAECIDFDILLLCHRT FKLYSGKPISEIPISTNVLENVTNKIDLRSFHDGPLLAKQLLVSLKNINGLLIVPSNT AVAEAHNALNQKFLLLSTRLMEKFADILPGQLSKILADEDASQGFWSCIFSSDKHLYQ AATNILYNTFDVEGRLEGILAILNSNLTVNLKNINVMLQRLINCEFYEPCPRAVRVLM DVVSAFVDPISGVFANFQTLKSQNTEKEFLKFWESCWLFLDTIYKFTLKWASKYDYSE LENFTKDTLDLSRSLVDSFREFSDILHDQTKNLLLNVLETFKNMLYWLRLSDEVLLES CVRLIISTSDLAHEKHVKVDDSLVEMMAKYASKAKRFSNKLTEQQASEILQKAKIFNK ALTEEVATEAENYRKEKELSRLGKVIDLTDSVPASPSLSPSLSSTIASSSAESRADYL QRKALSSSITGRPRVAQPKITSFGTFQSSANAKLHRTKPVKPLSKMELARMQLLNNRV VHPPSAPAFHTKSRGLSNKNDDSSSEESDNDIESARELFAIAKAKGKGIQTVDINGKV VKRQTAAELAKQELEHMRKRLNVDMNPLYEIILQWDYTRNSEYPDDEPIGNYSDVKDF FNSPADYQKVMKPLLLLESWQGLCSSRDREDYKPFSIIVGNRTAVSDFYDVYASVAKQ VIQDCGISESDLIVMAYLPDFRPDKRLSSDDFKKAQHTCLAKVRTLKNTKGGNVDVTL RIHRNHSFSKFLTLRSEIYCVKVMQMTTIEREYSTLEGLEYYDLVGQILQAKPSPPVN VDAAEIETVKKSYKLNTSQAEAIVNSVSKEGFSLIQGPPGTGKTKTILGIIGYFLSTK NASSSNVIKVPLEKNSSNTEQLLKKQKILICAPSNAAVDEICLRLKSGVYDKQGHQFK PQLVRVGRSDVVNVAIKDLTLEELVDKRIGERNYEIRTDPELERKFNNAVTKRRELRG KLDSESGNPESPMSTEDISKLQLKIRELSKIINELGRDRDEMREKNSVNYRNRDLDRR NAQAHILAVSDIICSTLSGSAHDVLATMGIKFDTVIIDEACQCTELSSIIPLRYGGKR CIMVGDPNQLPPTVLSGAASNFKYNQSLFVRMEKNSSPYLLDVQYRMHPSISKFPSSE FYQGRLKDGPGMDILNKRPWHQLEPLAPYKFFDIISGRQEQNAKTMSYTNMEEIRVAI ELVDYLFRKFDNKIDFTGKIGIISPYREQMQKMRKEFARYFGGMINKSIDFNTIDGFQ GQEKEIILISCVRADDTKSSVGFLKDFRRMNVALTRAKTSIWVLGHQRSLAKSKLWRD LIEDAKDRSCLAYACSGFLDPRNNRAQSILRKFNVPVPSEQEDDYKLPMEYITQGPDE VKSNKDTKKRRVVDEGEEADKAVKKKKKEKKKEKKKSKADDKKKNNKKAESPSTSSGT KKKSSIFGGMSVPSAVVPKTFPDVDSNKKAAAVVGKKKNNKHVCFSDDVSFIPRNDEP EIKVTRSLSSVLKEKQLGLKETRTISPPEISNNEDDDDEDDYTPSISDSSLMKSEANG RNNRVASHNQNFSASIYDDPQVSQAKQTQVPAAITKHRSSNSVLSGGSSRILTASDYG EPNQNGQNGANRTLSQHVGNANQYSTAPVGTGELHETLPAHPQDSYPAEAEDPYDLNP HPQPQSSAFKGPGSGPTGTRNSSRRNASSSPFIPKKRKPRS YLR431C MELNQVLEKKEQILQYLGTLVGLHEKALSDVNSASQVTSIRKDI TICLNDLCRINDLLVSHDGLLKREIGSLLRDKQELLELNEREQLLWKERKSWHIKQET DAAPADYVIDKDAIITISSHHRTSLNKYIESVGAENTILSNTDDSDAMIEEVQNAESS ADQMIRNYKLLQLSHKQAKSEIIRLETLLRDFKKDNKFIEEELKRQSGRIRSEMGNID FHLSKIEESKHQLMKRIGFESPLTQEKSLSEKIFNLRLSSADEDYNERQTINMKNFVH MKDLIELKIEDLQEQLMRNKNESSTVLTQRELWLDCQKKVGDLESKLITKLRSSSNSK IPPNEMSEMINSTIQYLNNLLDSSDEKLTTTLISNERDVLSKACEELHSESTTAQDGS SALPSKPIDIHKSHKGSNASSNLKQPSTPSFLVASKSPPKIGISESVVNANKNDAISK KVE YLR432W MAAVRDYKTALEFAKSLPRLDGLSVQELMDSKTRGGLTYNDFLV LPGLVDFPSSEVSLQTKLTRNITLNTPFVSSPMDTVTESEMAIFMALLGGIGFIHHNC TPEDQADMVRRVKNYENGFINNPIVISPTTTVGEAKSMKERFGFSGFPVTEDGKRNGK LMGIVTSRDIQFVEDNSLLVQDVMTKNPVTGAQGITLSEGNEILKKIKKGKLLIVDDN GNLVSMLSRTDLMKNQNYPLASKSATTKQLLCGAAIGTIDADKERLRLLVEAGLDVVI LDSSQGNSIFQLNMIKWIKETFPDLEIIAGNVATREQAANLIAAGADGLRIGMGSGSI CITQEVMACGRPQGTAVYNVCEFANQFGIPCMADGGVQNIGHITKALALGSSTVMMGG MLAGTTESPGEYFYQDGKRLKAYRGMGSIDAMQKTGTKGNASTSRYFSESDSVLVAQG VSGAVVDKGSIKKFIPYLYNGLQHSCQDIGYKSLTLLKENVQSGKVRFEFRTASAQLE GGVHNLHSYEKRLHN YLR433C MSKDLNSSRIKIIKPNDSYIKVDRKKDLTKYELENGKVISTKDR PIASVPAITGKIPSDEEVFDSKTGLPNHSFLREHFFHEGRLSKEQAIKILNMSTVALS KEPNLLKLKAPITICGDIHGQYYDLLKLFEVGGDPAEIDYLFLGDYVDRGAFSFECLI YLYSLKLNNLGRFWMLRGNHECKHLTSYFTFKNEMLHKYDMEVYDACCRSFNVLPLAA LMNGQYFCVHGGISPELKSVEDVNKINRFREIPSRGLMCDLLWADPVENYDDARDGSE FDQSEDEFVPNSLRGCSFAFTFKASCKFLKANGLLSIIRAHEAQDAGYRMYKNNKVTG FPSLITMFSAPNYLDTYHNKAAVLKYEENVMNIRQFHMSPHPYWLPDFMDVFTWSLPF VGEKVTSMLVSILNICSEQELDPESEPKAAEETVKARANATKETGTPSDEKASSAILE DETRRKALRNKILAIAKVSRMFSVLREESEKVEYLKTMNAGVLPRGALARGTEGLNET LSTFEKARKEDLINEKLPPSLSEVEQEKIKYYEKILKGAEKKPQL YLR435W MSTQYIDETAFVQAEQGKTNLMFSDEKQQARFELGVSMVIYKWD ALDVAVENSWGGPDSAEKRDWITGIVVDLFKNEKVVDAALIEETLLYAMIDEFETNVE DDSALPIAVEVINIYNDCFNLNYNKVEKLYLEWQEKQRTKKSKRVVHIEGDDDEDDED VEDYDDEDEDEEMDEVVPDLVSSKPEPIVDEDGFELVQPKGRRKH YLR436C MGNTDSKSSSILLNHCIALVRPEDADASSPSRTSSPSPSLSVDA DPLSLNLSIFKLDSGPDVEALFSDKPNVPLDTVFNDFYLDFISVDVQDFSINSSFKKI LHIISSLNPPNFNNLIVFLSLYIILSANSLPASRTGLHSSRLINAIKTLSILIPIYFD RVKSSTQDHYDVFWATQHEIEGLPLQNIPLGERLLLAILKLAFQDNFTTAVTAHPSEL WEIGILTNSNKYRSLLNMHHQWHLFANRLLLLRLLAALFSSDLYTSGGKQDINMFLVY WCTQMPKDKSIQFTSSLLNCTMRFILNNNKDFQSLKANFFSSDATASNWQTLYFQFVQ SCLHVLNLSMSYKAQDNVITIFLTQLQREYDLKLILSSFIKIFKYPIDLAIEQESNIF NFTNNKHIDASRRRAVSTSSHDNSSSSHASLPSSSSAAYHTKPQTKPQLPEIHPLLIP MTILMTNLIDCNKCFQNYFADKFASRFIIFSIYYLKYYDYSSLSSSSSTTRSNSSTTS NGTSNDTSNERSIVELNENSVSQILLPLLNHLLLILTSKKLVLFKMLQTFNLNYYTNN LPNFYKLSNINGDINNLTFRDFTVIQLSNLILDNIKFNLQPNPIFYELIYNLLPINDE ILTSSHKNDDSHDDLILLSAKKKSASPSAATSSHTSSSKLSYNAAMSLLYVLSKSSNK VYLTTYATPVFKTKDIPYMISPGFKMDLLALLLRSITIFFTLYFDDAENLLFAMVRHQ SITHQINDSINSISKALDMNPNLNSHIMTLKQMGFNRKVQWKDFYQFEEITDLPQVNL YSSANQQHQNQQQGQNDNRGQNQNEDPGQENESPTPYLLFNPASLENETPGTVKHFSS TNHDKNYQVIAFIDFKSDSNLNLQHQLEYWPHRPQWPTPLTFTHKCKNPKYENFNEVW SGTVYLQILLRVIKQILSKVPEIPRIKSVQYFETLSKLSALRSDILTTIHPRLPLDVR RLTTFQPLSMHTNDKLLMWFHIATWANIFTQTSFKYEETFSHELRQFESLLDISIDEC EGNTISKPTTDRLGYIRRSRGQSSVSLERTISAGSGVSTPTMALNRTKSNGSGNLMNY FFQNTAQNHFQHLRSSSSSSSITLEKTTSNSSSIRTRPNSHHVAPETNNNNSTNGNSN NSSNGGFSFFKWKWGGNNSNGGSDDTKASQRDPNVSTSIITDNLNSYMFEEEISPGVV NNIIENNIWVGTDIRLFKIANFRKESFSFLEMTSSFFKKFKFINSDNDNYNNNEFDDN TQLRYTSRGLYR YLR437C MDAQLEWASSLVPKRQLQQQQQQQEQQQQQQQDFHKDQLMTVGM RIRQRVDQGYASRTPSTSDASLQPGVIRDYSSVIVPQFTRSPLPTANSLPPMLINQRT MSTEASSLEKWDVAEPAAEHETMVNGSKRRL YLR438W MSEATLSSKQTIEWENKYSAHNYHPLPVVFHKAKGAHVWDPEGK LYLDFLSAYSAVNQGHCHPHIIKALTEQAQTLTLSSRAFHNDVYAQFAKFVTEFFGFE TVLPMNTGAEAVETALKLARRWGYMKKNIPQDKAIILGAEGNFHGRTFGAISLSTDYE DSKLHFGPFVPNVASGHSVHKIRYGHAEDFVPILESPEGKNVAAIILEPIQGEAGIVV PPADYFPKVSALCRKHNVLLIVDEIQTGIGRTGELLCYDHYKAEAKPDIVLLGKALSG GVLPVSCVLSSHDIMSCFTPGSHGSTFGGNPLASRVAIAALEVIRDEKLCQRAAQLGS SFIAQLKALQAKSNGIISEVRGMGLLTAIVIDPSKANGKTAWDLCLLMKDHGLLAKPT HDHIIRLAPPLVISEEDLQTGVETIAKCIDLL YLR438C-A METPLDLLKLNLDERVYIKLRGARTLVGTLQAFDSHCNIVLSDA VETIYQLNNEELSESERRCEMVFIRGDTVTLISTPSEDDDGAVEI YLR439W MWKRSFHSQGGPLRARTKFTKPKPKQPVLPKDKIRPPTQLTHHS NNLRITEPIPPTTSNLRCPDDHPLWQFFSNKKFIRSADDLPPSSHIRPWSIPELRHKS FNDLHSLWYNCLREQNVLARENHLLKNIVGSTHDEFSELSNSIRTTMWQIRHVLNERE LAYSASREFLQDESERKKFLDTLANDYFLNKDIPDDEVASMLTRFQLAIFGISETIQD NTVDINFIDGIKFLANLKLQRFKDSNDLISEISQEPITDVGESFILFTSDFEPHAVQE ACVAIKDLRKSPDNKVPKLDELPTVRKYLKQLIHASSVEQATA YLR440C MLEEQLYLLACIFASRADTRNIKKLSTRLGSQSKYLEILCVLWP ELDDPKNLLFLRELEEEVQSPEGEETTDEDVIVELLESDSSLIPLIESDTTTRSNRYH ELQEFISKKLNNKTLENFEEWLRERILICNEMIPETPLLYSVLWETAKSKVLSTKFIG WVEGVLKPLDHLNKRLHLIFKINEWEKMPDSELFKIIFDGVEDMQGYIGIADVIEDEL APTLSYGKKWETFITEFFNKQQFSLKSDTNYQLFIKLYYSLEKGVKDNSEASRKLQSN VVDILFHNSENLFNLSSLTHKLDELWSILSGFPDEITIEEQKTITALEMKQFMEFFIK CSTKFSFKEIFAITQEEESAQLAHFSSLCHEEFNKANEISSFLQAMYETVLDISKDDK IFTRISMDEKLYSILEILLQMNEFAYIEAIIERFDYSNNTQIYELLVKFFWHFFNNAS NGLRKEPEMKKASQTLQIIQKHMSQRAGTNLTKLEVLLEISDKLSHYSINLNKSHNGA RDTAFKPSNILEYRDCPLDIISNLLELNPRLYKDLPTTKSLLFGIYDSLSINREGQTG KVEVDLMVLHIDYALVNLDFGTAYELGKQVFEICQEAGQHMMKALGDEHWLTFYQMGK FVDPNWVDNEIPTEIIVLQMSILGRLLEVCPLEEVEIVTSQWSTLELELSARDLVKDK YALDGQNDNKSKVGGIAREIFHNVTNF YLR441C MAVGKNKRLSKGKKGQKKRVVDPFTRKEWFDIKAPSTFENRNVG KTLVNKSTGLKSASDALKGRVVEVCLADLQGSEDHSFRKIKLRVDEVQGKNLLTNFHG MDFTTDKLRSMVRKWQTLIEANVTVKTSDDYVLRIFAIAFTRKQANQVKRHSYAQSSH IRAIRKVISEILTKEVQGSTLAQLTSKLIPEVINKEIENATKDIFPLQNIHVRKVKLL KQPKFDVGALMALHGEGSGEEKGKKVTGFKDEVLETV YLR442C MAKTLKDLDGWQVIITDDQGRVIDDNNRRRSRKRGGENVFLKRI SDGLSFGKGESVIFNDNVTETYSVYLIHEIRLNTLNNVVEIWVFSYLRWFELKPKLYY EQFRPDLIKEDHPLEFYKDKFFNEVNKSELYLTAELSEIWLKDFIAVGQILPESQWND SSIDKIEDRDFLVRYACEPTAEKFVPIDIFQIIRRVKEMEPKQSDEYLKRVSVPVSGQ KTNRQVMHKMGVERSSKRLAKKPSMKKIKIEPSADDDVNNGNIPSQRGTSTTHGSISP QEESVSPNISSASPSALTSPTDSSKILQKRSISKELIVSEEIPINSSEQESDYEPNNE TSVLSSKPGSKPEKTSTELVDGRENFVYANNPEVSDDGGLEEETDEVSSESSDEAIIP VNKRRGAHGSELSSKIRKIHIQETQEFSKNYTTETDNEMNGNGKPGIPRGNTKIHSMN ENPTPEKGNAKMIDFATLSKLKKKYQIILDRFAPDNQVTDSSQLNKLTDEQSSLDVAG LEDKFRKACSSSGRETILSNFNADINLEESIRESLQKRELLKSQVEDFTRIFLPIYDS LMSSQNKLFYITNADDSTKFQLVNDVMDELITSSARKELPIFDYIHIDALELAGMDAL YEKIWFAISKENLCGDISLEALNFYITNVPKAKKRKTLILIQNPENLLSEKILQYFEK WISSKNSKLSIICVGGHNVTIREQINIMPSLKAHFTEIKLNKVDKNELQQMIITRLKS LLKPFHVKVNDKKEMTIYNNIREGQNQKIPDNVIVINHKINNKITQLIAKNVANVSGS TEKAFKICEAAVEISKKDFVRKGGLQKGKLVVSQEMVPRYFSEAINGFKDETISKKII GMSLLMRTFLYTLAQETEGTNRHTLALETVLIKMVKMLRDNPGYKASKEIKKVICGAW EPAITIEKLKQFSWISVVNDLVGEKLVVVVLEEPSASIMVELKLPLEINYAFSMDEEF KNMDCI YLR443W MVMSRIRDTIARPFQNLTALEKVVQWLRLGTTLLIISFGLALTV GPLSSPRTLYMSRLDTYSADITTGLFTVLRESMEQSTSTEENNGVGLTTSELYILTAY TESQIKNVPQYITVSLYGRCDSTYTMVEVFDSEGNMHSVKNSTTKSTCSSIGTDYLFD YREVLESLGLDIILDYAYNKIGSQQAESSAYTTYMRSLKHKKANVLHLLYAVISFQVC MLFFMIWYYYIKGRFMNALKERALVHINSLLSLVVFIGGLISSISLAWVNYTIQSRIN TELEAFGFSYHLGVTWFALLWCFAGLISVSCLAWSGLEWCISDNGTSYGGGIDDKFLG YQAGVFTDADLDDETSYSQRYPQRQSTSGEAELMRNSDTMATIRKTSDVDLNSENDAN TSLDHGNPTANISNGGKHEPFATREEFELQDIRFRSSNDSEESMQRVIKPSSALQF YLR445W MSDTTEVPRQSSENDQDNNLERTNSLKSPDVTNNIPSLFKLAAE WQINNPQETFQNHILENDVLKKINEITHLIRESYKDLSSQDGMMSKQQQEKMDWDLFC TVPVNIIEQYTKDMDEIYEKMERLAKQQRLWCESAFQIDVERCGDSILNAETWMKKKE RHLEYKNIEMERSANEIKETIQRLTDDR YLR446W MTIESTLARELESLILPADSIVNVVDQFQEELLSRLQTNTISML PQCLVPDKRSRWNPEDKILTIDFGGTRLKFAIISLPQIVIEYNDAFELTYNIVDSNFF NQIIYTICTRLAANGYIKKKNESSEASKFFVSVTFSFPLNPEGEVVAMGKGFVMTDTL QGSTVKQLIQSSFHRIISENIEEFFCTMNVCHVINDAIAVSLTSKFICENDSISLIIG TGTNACFEVPYGYLPPFKRDALRETLPSSYNKETLNFKHVLINSEIGFIGKNVIALQP FDIHGAISYEMPLECVTSGKWLPLSLKNILLQYNIIPKNFPVEFNGELVCQLAEDCTN AWFENEHYALICQIARLLIKRAAFYVAAIVQAIDIITGCKNYNFIHIGYVGSFLHNSN FYREQIKYYSSIHIKLQFLNHSNLLGAAIATYLNKSDNQVQ YLR447C MEGVYFNIDNGFIEGVVRGYRNGLLSNNQYINLTQCDTLEDLKL QLSSTDYGNFLSSVSSESLTTSLIQEYASSKLYHEFNYIRDQSSGSTRKFMDYITYGY MIDNVALMITGTIHDRDKGEILQRCHPLGWFDTLPTLSVATDLESLYETVLVDTPLAP YFKNCFDTAEELDDMNIEIIRNKLYKAYLEDFYNFVTEEIPEPAKECMQTLLGFEADR RSINIALNSLQSSDIDPDLKSDLLPNIGKLYPLATFHLAQAQDFEGVRAALANVYEYR GFLETGNLEDHFYQLEMELCRDAFTQQFAISTVWAWMKSKEQEVRNITWIAECIAQNQ RERINNYISVY YLR448W MTAQQAPKWYPSEDVAAPKKTRKAVRPQKLRASLVPGTVLILLA GRFRGKRVVYLKHLEDNTLLVTGPFKVNGVPLRRVNARYVIATSTKVSVEGVNVEKFN VEYFAKEKLTKKEKKEANLFPEQQTKEIKTERVEDQKVVDKALLAEIKKTPLLKQYLS ASFSLKNGDKPHLLKF YLR449W MSDMLPLATYSLNVEPYSPTPALNFKIPVTIRITMAAIDPEPFD DDKKPSTLRIIKRNPELTRGEYYNQDNNDGLEEDESESEQEADVPKRSVKSKKGKAVE QSESEDSEDENEIDDEFEECVLLTLSPKGQYQQALDITIAPEEDVQFVVTGSYTISLT GNYVKHPFDNSSDSDEDEEDYYSDEESSNGEEEEEEEEEDDEELSSGDDDLDDLVDAS DIESRLDELVKKDEKKKNNKKDSKRKHEEDEEESAKPAEKKQTTKKDKKAEKVKDSEE SKPKPKTKLLEGGIIIEDRVTGKGPHAKKGTRVGMRYVGKLKNGKVFDKNTKGKPFVF KLGQGEVIKGWDIGVAGMAVGGERRIVIPAPYAYGKQALPGIPANSELTFDVKLVSMK YLR450W MSLPLKTIVHLVKPFACTARFSARYPIHVIVVAVLLSAAAYLSV TQSYLNEWKLDSNQYSTYLSIKPDELFEKCTHYYRSPVSDTWKLLSSKEAADIYTPFH YYLSTISFQSKDNSTTLPSLDDVIYSVDHTRYLLSEEPKIPTELVSENGTKWRLRNNS NFILDLHNIYRNMVKQFSNKTSEFDQFDLFIILAAYLTLFYTLCCLFNDMRKIGSKFW LSFSALSNSACALYLSLYTTHSLLKKPASLLSLVIGLPFIVVIIGFKHKVRLAAFSLQ KFHRISIDKKITVSNIIYEAMFQEGAYLIRDYLFYISSFIGCAIYARHLPGLVNFCIL STFMLVFDLLLSATFYSAILSMKLEINIIHRSTVIRQTLEEDGVVPTTADIIYKDETA SEPHFLRSNVAIILGKASVIGLLLLINLYVFTDKLNATILNTVYFDSTIYSLPNFINY KDIGNLSNQVIISVLPKQYYTPLKKYHQIEDSVLLIIDSVSNAIRDQFISKLLFFAFA VSISINVYLLNAAKIHTGYMNFQPQSNKIDDLVVQQKSATIEFSETRSMPASSGLETP VTAKDIIISEEIQNNECVYALSSQDEPIRPLSNLVELMEKEQLKNMNNTEVSNLVVNG KLPLYSLEKKLEDTTRAVLVRRKALSTLAESPILVSEKLPFRNYDYDRVFGACCENVI GYMPIPVGVIGPLIIDGTSYHIPMATTEGCLVASAMRGCKAINAGGGATTVLTKDGMT RGPVVRFPTLIRSGACKIWLDSEEGQNSIKKAFNSTSRFARLQHIQTCLAGDLLFMRF RTTTGDAMGMNMISKGVEYSLKQMVEEYGWEDMEVVSVSGNYCTDKKPAAINWIEGRG KSVVAEATIPGDVVKSVLKSDVSALVELNISKNLVGSAMAGSVGGFNAHAANLVTALF LALGQDPAQNVESSNCITLMKEVDGDLRISVSMPSIEVGTIGGGTVLEPQGAMLDLLG VRGPHPTEPGANARQLARIIACAVLAGELSLCSALAAGHLVQSHMTHNRKTNKANELP QPSNKGPPCKTSALL YLR451W MEGRSDFVATSQSGSEMSHSETRNRTGMNARKRKFACVECRQQK SKCDAHERAPEPCTKCAKKNVPCILKRDFRRTYKRARNEAIEKRFKELTRTLTNLTSD EILKKIEEEQEIVLDNSNFTKEKVKQLRKSAFETTEIEPRSYKTLRGEPISYSTNRRH TDSSPLTLLSSSTNFDPVHSTNVMTDDQLKCLPKSLGDVYLSSSDIAELFQEFATKYH QFLPVVDLSKGAERIYHLSPCLFWVILLIGLRRKFGATDLMTRLSVLVKSVLSEITIS PIIRYTPSDKDEPVLNVASVYSVQAFLLYTFWPPLTSSLSADTSWNTIGTAMFQALRV GLNCAGFSKEYASANSELVNEQIRTWICCNVVSQTVASSFGFPAYVSFDYLVISSIRV PNSKSQVDIPNELRQMAQIARFENQIVNTMNSTPASVTGMVSQEEKQPLLHVLNQQLS QLEISLEENNLDDIRKFLLLVAKVHLLTYYFTDVTSQSAGKSNGNIYEGSYSIMELDT SFETKRGLVKVYNAAVNFLIHANSMWEHDPTIIKYFPGLFVLNIWQSACIISKLIHSS LHSMLDVNSGKKAYNNAISLTFNASVLKYDMAYRSSGIMRSIWSLFANMYDAWKNDQK EGGGRLNNDFNLGITIKSRMSVNVFFDCLYILKEKCGMAKLERETKVSTAYNVDEEEE EDEDEEGEEEEEEEELSSKVPENMDSQQLRTRKFTNVRHPEKKARKIIETIPLDPNPI NAGSTSSGSSLTTPNSQVANTISYRGILNKMSPREQLNHANLDSSVSTDIKDTEAVNE PLPIGRNAEHPANQPPLSITQMQENTLPATQANSSLLETYPIVQSNPVTTTIKESPNS IMAGWDNWESDMVWRDVDILMNEFAFNPKV YLR452C MVDKNRTLHELSSKNFSRTPNGLIFTNDLKTVYSIFLICLDLKE KKHSSDTKSFLLTAFTKHFHFTFTYQEAIKAMGQLELKVDMNTTCINVSYNIKPSLAR HLLTLFMSSKLLHTPQDRTRGEPKEKVLFQPTPKGVAVLQKYVRDIGLKTMPDILLSS FNSMKLFTFERSSVTDSIIHSDYLIHILFIKMMGAKPNVWSPTNADDPLPCLSSLLEY TNNDDTFTFEKSKPEQGWQAQIGNIDINDLERVSPLAHRFFTNPDSESHTQYYVSNAG IRLFENKTFGTSKKIVIKYTFTTKAIWQWIMDCTDIMHVKEAVSLAALFLKTGLIVPV LLQPSRTDKKKFQISRSSFFTLSKRGWDLVSWTGCKSNNIRAPNGSTIDLDFTLRGHM TVRDEKKTLDDSEGFSQDMLISSSNLNKLDYVLTDPGMRYLFRRHLEKELCVENLDVF IEIKRFLKKMTILKKLIDSKHCDKKSNTSTSKNNIVKTIDSALMKQANECLEMAYHIY SSYIMIGSPYQLNIHHNLRQNISDIMLHPHSPLSEHFPTNLYDPSPASAESAASSISS TEADTLGEPPEVSLKPSKNLSNENCSFKKQGFKHQLKEYKPAPLTLAETHSPNASVEN SHTIVRYGMDNTQNDTKSVESFPATLKVLRKLYPLFEIVSNEMYRLMNNDSFQKFTQS DVYKDASALIEIQEKC YLR453C MEHVDSDFAPIRRSKKVVDSDKIVKAISDDLEQKNFTVLRKLNL VPIKKSVSSPKVCKPSPVKERVDHVFYQKFKSMALQELGTNYLSISYVPSLSKFLSKN LRSMKNCIVFFDKVEHIHQYAGIDRAVSETLSLVDINVVIIEMNDYLMKEGIQSSKSK ECIESMGQASYSGQLDFEASEKPSNHTSDLMMMVMRKINNDESIDHIVYFKFEQLDKL STSTIIEPSKLTEFINVLSVLEKSNNIAFKVLIYSNNVSISSLLSTSLKKKLNTKYTV FEMPILTCAQEQEYLKKMIKFTFDSGSKLLQSYNSLVTCQLNNKESNLAIFFEFLKVF PHPFTYLFNAYTEIIVQSRTFDELLDKIRNRLTIKNYPHSAYNFKKNQRLPLKLTRKV HDR YLR454W MMFPINVLLYKWLIFAVTFLWSCKILLRKLLGINITWINLFKLE ICGLSLEDGTVRLKSVRFAVFERKLFIKGLRIDSKKSSTNDLHKELPREEERTFIETP EDNGGGFISKILSLSQYWLNGVTIILEDTQLVNNDITIEKFGFFLSIDNSKHIKSLRF DSFLRKLLWNGQTIIADAIFIVNTNLLIGEIMNPLKDGLQVGLDLKLGDLNIPMNLLN LFINKENVDLMSNEKLLQRLADTTKANEELKDEDIAKMKDDLVYAMEKFVDRIKPLKE MNVTVDKLQIKDFPLTNHPELLGMNKYISYNVLVSNINFNTNRFRNEMPGYTLIFEER DSPFKFSIIMARFNIYLNLNRKHQSHAKQLKIIEIPNVSIFGETNLFSQKFRLSNNLH AKELENAIFNIKGNISSLTIDMDPVNISFIKCFLSNIKVFTSSCPKNKILKENSHVKF LTRRRVLFDYFKCFLPLINMKFTLDDPKFVINDKDDLIIGKFSVFMISHHSKRYTLGN NLMEEKEETQHIFYESHWNVELLDMKLQHIIKHQKYEHTILRVDSIAIEEKVQLLPDI LCSANADIDTLMLDLSELPTMVMLSELVHNLDSQLANVEENYFKEFYEKFASNLQNMK AECSNMAKCLRQKEILPSDFMFQQLPDFFDYIKINIRDISSTLGARSVFMPRDVFSSV DSQSSKDLIDGKLRKYCNTVEKLQIALFGDKTQWHNKIGSNHATMVRSGQLTNFSKDN KQNPNHKSSIADLDDISTSDATEVNHLWNINLLVNDITTSIIGETPEVSEELSTKTVS KVSNLSIKLFPDTESFSSNESDSKIILQINHSRGTSVVSLMSIFLAVSGIHTLNQIFG HCIHQKMRQSKTKQYFLALSESKKKSCIKSIKWGQLKELLEINFSSEYISQIIALPNG LRTKFEPTSTFITVKNCNTISVSGQYFRMMVESPTQPNFWERMICINGFKVMIHIDLL KQQMKKLNSLQNWEKLPSAITLENDSWHFSIPHHFEMFKIIDSIPTIFKSIKQMLYSL KTSKDDLIIFPHKIETPLSLPKIKLKSKRWLFSISDDPLEAELNTIFQIGLQEQRERL AKLQEFNKRISEDLIKSQKNAKEMKDDFEAIDNAILKHRTGLWAKDGKKRLRKSATDS EIPLTPAALNINGKRDDRPDRTQFISPEIENAYNTLLANFSDSWIKRVKEYKVKERRE FDKNFSFLWGFIDYTKLPKDINKKVLPFSTNPFLMNLIIENIDIDIIRPSCGIENIPN FIHDVGKGVPKNTEYSIMIPMHLDAKFSEVRWHLRDYPLPFVSIPPLSSTQSKETIPM RIYGDFMITEDMLQSDRELRTLFVPLIPSVTVENTDRYYSLFVPRTMTSAKIFTDLNF EINSNHTTRVTWGGSYQPAIQQTMQCLDNFSKPPLDPSVKLGFWDKTRYLFHGKINIV WKKRGKFEISLKGAKSPYMLGGESAGFIVGFDGNVNLKCNEDNDPKKFLSCSADKVHF SIPNYFAKPLLVWSRPSTNTMFIPNQDDTNMQRYASFYYLLNTTSSKNEKADKEIMGK SFIEKTGIKLSGGMTLDMGILFERLGPSLNERTFESKKHYLTRLCNPIYVQDLSKHDS YAGFRSDFIHMSFGLSSNSNSAYNAMQLSPNGFKAFFVWWKSFSGNFPVRRGPLFGLQ SISPKFGEHLYTISYHADVSPLFINYMYHNADADQILRKNYLEVAEFAGLKAKSSHFI MDLHQRKEVLTEYQAGLNVRRRVMKLKFLAGDVVCQDVDIRTVSGEFSKLNYIEEKED AEYDIFDNDMSWLDITDFQDAFFINPDNYLPKIKIMPFAFSPQFAYQKRASYGDKYQV DPKTCKPITPFDNRVSHGCTLGHNVSLRTDLVEKRVTVLKKFREKLQEGIRKNKSAGV SEENLNDLLSKANSSVENAELLLKDFQKIFKQHEAGQTEQPFHFDSLNLLKNTKKTLK QFEHRFFIFNVLLKWNEDARSAIFKFFYYANLSNEFASLASGKGLREFEDVIKQREMT DDTTSMEAIPEGTDKANTTKQCHSCDDTEFTTENLLNIFEKNITQLSCDIKNKIHHKF FVQFITPQIQLTSLENPEACVLVSSPFFMLKTLEFDANTTSNTYMQDIFLKRHGILFG NANAFLFNKKDYQEFFELYFGSSSYGQDKKEQWPPWLGLELGFEPSALKKKAVVRNIS ALLHHQKLAPFSAKYDSLKDKIEDNICGYVPQVNVQVNSDEYLMLTKMALKLFLYVEP EDEELKKYIEKLIIGYDIYDTAQTRKFVNDLHDSEQILAVVEKELLFKRSLLDDIGKL DLSNIHNERMHQLLRLYILRKVFTSNGNNYINRTLVWNIKVNETILHLLDKIDKPFLD IAVAKLNFQRIQHTMGLRKNTVTVKMMQIFDLGENVNYHCILGPLITSSGNDTVGLAS DVPLVQITWDVDKPVGGIKVVKNVETTLSSLTIKLEEDRLNKLFEWLSLKELIYDGNG DDDDGASSIFDMVSSESEEGKIEFSEDISSDFNEMLKRSSDYMIVEDLKLNSFKLCIS YKGKGKMRLANVTNFVFNFPTLRLSNQTLRVTDLLLALKKVLIKVLIKHTGRFIGNKL KRNSKENKIADDTSPLKQLTTYNSYTEPEELR YLR455W MTKDIRTGDLVLCKVGSFPPWPAVVFPQRLLRNDVYRKRKSNCV AVCFFNDPTYYWEQPSRLKELDQDSIHNFILEHSKNANQRELVNAYKEAKNFDDFNVF LQEKFEEENRLSDLKAFEKSEGSKIVAGEDPFVGRTKVVNKRKKNSISIKEDPEDNQK SNEEESKPNIKPSKKKRPTANSGGKSNSGNKKKVKLDYSRRVEISQLFRRRIQRNLIQ RETPPTEHEIKETHELLNRIYENSDTKRPFFDLKALRESKLHKLLKAIVNDPDLGEFH PLCKEILLSWADLITELKKEKLQALPTP YLR456W MKLNEQIPKDLLRLIKSSKYVHVATCSSNCIPSVSLMHYIFVSS AETFHKHEYSIEIDCNDYIIFTVFEKSVTFRNVMSNPNVALLFHDWITAKNLTLRKKS VHSKDDFSFVESESTKFNNFLRDLNQSELNQVSATINGIADIVNPNSEESTYYRRLLL TVNPDADIFILGEDTAIIKVNIQKIKVSDMENNTSTYGQTVQPV YLR457C MLKSVQGLWKDFFGIRDDGRKREYGSLDEVRKRSALRSRRKQMR PTGKSVLKRPRKVTDRKTEEKIRTNRRKTPKRRLTKIFQTIRDVFSNDNENMSKMQNV CGDMTRILKKRSQGRPSYMDTDTAKSRILRSDAFKRKISELKYNKQRISELRSGSSDG SSGKDRNQSLYLDREILLQRQIKKRDEKIKALESKLQSLQEALNYSNEKYRILEDLLD SSNIHPSYTKSRRTMSNLARENDEIKPLKIDLSPSPIRRTNSLFTSSPMKTYNRDGNI PEMQPLQENISPACPTPPYRSRETEKEDETLSPISVDFSSYLS YLR459W MDSTALKVALGCIAIRLAVNSLFPSLQQQLDQSVEFSTPVTSFR SLQEGIYLLRNNIQVYNHGVVHHPPILIFFLSLFNSDRLISLIYALIDGLIAYQLTEV TKAFKNLKLKVWLPGLLYAVNPLTLLSCISRSSIIFTNFAISSSLYCILAEGNVLLSS VMISISGYLSVYPILLLIPLLGMLKSWRQRILSAIVSILSLLILLLFSYSILGSQSWS FLTQVYGSIITFEKVFPNLGLWWYFFIEMFDTFIPFFKAVFNIFIAVFITPFTLRYHK QPFYAFILCIGWIVLTKPYPSLGDAGFFFSFLPFFTPLFGYLRYPIISALLFLHAIVL APIFYHLWVVLGSGNSNFFYAISLVYALAIASILVDLNWAMLRIEYDNGIPNFKLKVT QI YLR460C MQVAIPETMKAVVIEDGKAVVKEGIPIPELEEGFVLIKTLAVAG NPTDWAHIDYKIGPQGSILGCDAAGQIVKLGPAVNPKDFSIGDYIYGFIHGSSVRFPS NGAFAEYSAISTVVAYKSPNELKFLGEDVLPAGPVRSLEGVATIPVSLTTAGLVLTYN LGLDLKWEPSTPQRKGPILLWGGATAVGQSLIQLANKLNGFTKIIVVASRKHEKLLKE YGADELFDYHDIDVVEQIKHKYNNISYLVDCVANQDTLQQVYKCAADKQDATIVELKN LTEENVKKENRRQNVTIDIIRLYSIGGHEVPFGNITLPADSEARKAAIKFIKFINPKI NDGQIRHIPVRVYKNGLCDVPHILKDIKYGKNSGEKLVAVLN YLR461W MVKLTSIAAGVAAIAATASATTTIAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRL KPAISKALSKDGIYTIAN YLR462W MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKVVISVMVGKNVKKFLTFVEDEPDFQGGPI PSKYLVPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAAYT YLR464W MQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDR LGDILHIILRACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTP RRLRKTLDAVKALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETTLKDSYR ITLVPSSDGISDTLTVIQSFSYSLLPVLSATYTSMIQQDASNCTLITTRTVHRSLD YLR466W MWKTLGRVEQLLPYASLILRNREVLFREPKRGIDEYLENDSFFQ MIPVKYREIVLPKLRRDTNKMTAALKNKVAVAIDELTVPLMWMIHFAVGYPYRYPELQ LLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVFYFVTVLRQMQ ICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFLNTAKGCLVEYATFR QYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMANHSVQTGRN IYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAEDVVAGEAAS SDHHQKISRVTRKRPREPKSTNDILVAGRKLFGSSFEFRDLHQLRLCHEIYMADTPSV AVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLSRCGCLNVAP VRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEF HNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDI NELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFE IEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMRVL IGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRK GELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEK QATASMSIIALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATTNSSTNATT TASTNVRTSATTTASINVRTSAITTESTNSSTNATTTASTNVRTSATTTASINVRTSA TTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATTTASTNSST NATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLERKKLKAQFP NTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCKGCQKMFELCVCWAG QKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSVKRGEIYSQIQ RNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQ YFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHR QLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQIS EVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDIILLCRDSSR EVGE YLR467W MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVISVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDILHIILRACALNF GAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALLVS SCACTARDLDIFDDTNGVAMWKWIKILYHEVAQETTLKDSYRITLVPSSDGISVCGKL FNREYVRGFYFACKAQFDNLWGELNNCFYMPTVVDIASLILRNREVLFREPKRGIDEY LENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMVHFAVGY PYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVFYF VTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFLNTAKGC LVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMAN HSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAED VVAGEAASSDHDQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEI YMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLSR CGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKL GYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTG LAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEAL KLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFV TDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKN SWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIE RMDRLAEKQATASMSIIALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATT NSSTNATTTASTNVRTSATTTASINVRTSAITTESTNSSTNATTTASTNVRTSATTTA SINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATT TASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLER KKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCKGCQKMF ELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSVKR GEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLR ESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVK LQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKL EYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDII LLCRDSSREVGE YML133C MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRRYGYNLAPHMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDVLATAQRIRRRYN KNSSSEPRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDHHQKIS RVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQAPPGY GKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLSRCGCLNVAPVRNFIEEG CDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFETEVY RQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKRSED LSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEPESKAI VVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMRVLIGTKLVTE GIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGELPPIKE GCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQATASMSI VALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATTNSSTNATTTASTNVRT SATTTASINVRTSATTTESTNSSTNATTTASTNVRTSATTTASINVRTSATTTESTNS NTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATTTASTNSSTNATTTEST NASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLERKKLKAQFPNTSENMNV LQFLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQKMFELCVCWAGQKVSYRRM AWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKFFSVKRGEIYSQIQRNYAWYLA ITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQYFLNWDEK KCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRSRYEL SLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQISEVWLLPHW VDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDIILLCRDSSREVGE YML132W MKENELKNEKSVDVLSFKQLESQKIVLPQDLFRSSFTWFCYEIY KSLAFRIWMLLWLPLSVWWKLSNNCIYPLIVSLLVLFLGPIFVLVICGLSRKRSLSKQ LIQFCKEITENTPSSDPHDWEVVAANLNSYLYENNVWNTKYFFFNAMVCQEAFRTTLL EPFSLKKDKAAKVKSFKDSVPYIEEALGVYFTEVEKQWKLFNTEKSWSPVGLEDAKLP KEAYRFKLTWFLKRISNIFMLIPFLNFLCCIYVSRGMCLLLRTLYLGWILFMLVQGFQ NIRVLIMSMEHKMQFLSTIINEQESGANGWDEIARKMNRYLFEKKAWKNEEFFFDGID CEWFFNHFFYRVLSAKKSMWPLPLNVELWPYIKEAQLSRSEVLLV YML131W MVLAKQWVLKNLPTPGEPFNFHFHDPACTFELIEKELSSEQLKD GELLLETTYLSNDPAQKFWISSMDKNYAKGVQPGEIIPARGIGKVLASRNKAFSPGDY VSAVTGWTTHAIISQENVQGLRKLDKNKVGKLWWYLSVLGGTSLTAYFIFFTYAQLQE REEDYGKVYLISGAAGAVGTVCIQLALNVFKASKVIAIAGGPEKVAFVESFGDNVVGV DYKDPSFKQKLIEAAGGENTVDYFIDNVGSNVLEAGVLLLKQRAMLIACGAISAYNDP SKFVFKGYSFILTKRLVVKGVLVTDNIDDFPKALDKLGSLVKHGKIDLLKSATLEDGT GDKFKNVPLIWKGLFSGVNKGKLITKVNNEE YML130C MRLRTAIATLCLTAFTSATSNNSYIATDQTQNAFNDTHFCKVDR NDHVSPSCNVTFNELNAINENIRDDLSALLKSDFFKYFRLDLYKQCSFWDANDGLCLN RACSVDVVEDWDTLPEYWQPEILGSFNNDTMKEADDSDDECKFLDQLCQTSKKPVDIE DTINYCDVNDFNGKNAVLIDLTANPERFTGYGGKQAGQIWSTIYQDNCFTIGETGESL AKDAFYRLVSGFHASIGTHLSKEYLNTKTGKWEPNLDLFMARIGNFPDRVTNMYFNYA VVAKALWKIQPYLPEFSFCDLVNKEIKNKMDNVISQLDTKIFNEDLVFANDLSLTLKD EFRSRFKNVTKIMDCVQCDRCRLWGKIQTTGYATALKILFEINDADEFTKQHIVGKLT KYELIALLQTFGRLSESIESVNMFEKMYGKRLNGSENRLSSFFQNNFFNILKEAGKSI RYTIENINSTKEGKKKTNNSQSHVFDDLKMPKAEIVPRPSNGTVNKWKKAWNTEVNNV LEAFRFIYRSYLDLPRNIWELSLMKVYKFWNKFIGVADYVSEETREPISYKLDIQ YML129C MSKYAWYTRVTDTLHRLTVLTLVGGTLYMSGGLAYTLYMNGKKY EQQVTQQKALEEDNQQLQSPTAPPTE YML128C MKQFKLVNAVSASFVLIGLVLANSDSVFDKWTQEDLADYLRDNK KSLEKYATDSIEDLKTEASQVWDKHAQPKPWWQVWSSDSSSVSNSNPGWFGYTGSSDH PVSDWLFDTWSTDSLRNFLKKNGVDVDDAKASKDSLVKTAKENFNKISKSLKSSGYYP SSSYFDSWSTKDLQNWLNDNGIDYDKAVQSKDELVQKVKENIYRTSEKAEQQRLGLLE SLDLAHQQILDTSGQIKDTVFDKWSSDQLTNWLESHKVNIDKNMAKKHDYLVRMAKEN SANLKDDIYWYLDYMKRESSPFLTKTPEYVGSVWDSSKNFLTNLYSKFRGKTDNVIND TFLVGLDSWPKDKLKMFLDARGIKYSMLSTEHQLRELVKKSRNEKLKILPKDYQKYFD NSNWSLDDIKGWFADKKDDFQDSQTYSTIMQDFDKVSKNTNDAKDQIAKTWSNTFQSW SQEDLLQYLKSFGVPVKQTSTKDDLINLAKQNTQWLFGTVKEPAYKRYLHNVKNWSKS ILGFN YML127W MNSLASNTPLNGTPVSEAPATSSEPVNMFETMVANPIKVSRLQS NGVLTGPAANTKSIHYSLANFNVFQSLPKETARGVDDLTRMEMALLSGIPEEIKWSLK KYLTYSNKAPYMISLRTLPDLLPLFKTFILPLERIVEGLNKSSICDSKAMDSLQMGLN ALLILRNLAQDTDSVQILVKDREIKSFILFILKKFQCVATGDNKWQLYEGNATFFNEL THYTLDLMEAISSYIAPAMKDDHYFQTLVSILNYTKDRYMVISILRSLSRLLVRSKAN EESAADNLDHKTLSLIVSFLLLECDSELIIASLDFLYQYILPGSQRITELFKSKECSL ILEATLPNLLSYNIATPDYHLLQKHKIRLIKRLKPPAPKEPPNLSEDLFQQLFKLNEP LRSTAWLRCCFEPVQEAEFTQISLWRSYESKFGQPVRESGRKLLPAVEFIKNVSNAFN NAAAIVITDPVTGKKRFVIKGIQPRFKALGIADGERESQVPISALKSKFLNDSKEITP ARQNSIPEVKFPQELSDVSKVACTFLCLLSNDTDDGAGSAFCQRIRPLVLHKLADIPP LTLALSEYMENTSGL YML126C MKLSTKLCWCGIKGRLRPQKQQQLHNTNLQMTELKKQKTAEQKT RPQNVGIKGIQIYIPTQCVNQSELEKFDGVSQGKYTIGLGQTNMSFVNDREDIYSMSL TVLSKLIKSYNIDTNKIGRLEVGTETLIDKSKSVKSVLMQLFGENTDVEGIDTLNACY GGTNALFNSLNWIESNAWDGRDAIVVCGDIAIYDKGAARPTGGAGTVAMWIGPDAPIV FDSVRASYMEHAYDFYKPDFTSEYPYVDGHFSLTCYVKALDQVYKSYSKKAISKGLVS DPAGSDALNVLKYFDYNVFHVPTCKLVTKSYGRLLYNDFRANPQLFPEVDAELATRDY DESLTDKNIEKTFVNVAKPFHKERVAQSLIVPTNTGNMYTASVYAAFASLLNYVGSDD LQGKRVGLFSYGSGLAASLYSCKIVGDVQHIIKELDITNKLAKRITETPKDYEAAIEL RENAHLKKNFKPQGSIEHLQSGVYYLTNIDDKFRRSYDVKK YML125C MSKEDIEGTNILDEPVHGIYIPAALFVVGVAITTYMSGELKILW SLPILFMIIFVRAYSAYKRRRSLYPDRWTSLELEDQTIISKNTALYRFKLKTRLESLD IPAGHHVAVRVPIDGKQEVRYYNPISSKLESGYLDLVVKAYVDGKVSKYFAGLNSGDT VDFKGPIGTLNYEPNSSKHLGIVAGGSGITPVLQILNEIITVPEDLTKVSLLYANETE NDILLKDELDEMAEKYPHFQVHYVVHYPSDRWTGDVGYITKDQMNRYLPEYSEDNRLL ICGPDGMNNLALQYAKELGWKVNSTRSSGDDQVFVF YML124C MREVISINVGQAGCQIGNACWELYSLEHGIKEDGHLEDGLSKPK GGEEGFSTFFHETGYGKFVPRAIYVDLEPNVIDEVRTGRFKELFHPEQLINGKEDAAN NYARGHYTVGREIVDEVEERIRKMADQCDGLQGFLFTHSLGGGTGSGLGSLLLENLSY EYGKKSKLEFAVYPAPQLSTSVVEPYNTVLTTHTTLEHADCTFMVDNEAIYDICKRNL GISRPSFSNLNGLIAQVISSVTASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVSYAPI LSKKRATHESNSVSEITNACFEPGNQMVKCDPTKGKYMANCLLYRGDVVTRDVQRAVE QVKNKKTVQMVDWCPTGFKIGICYEPPSVIPSSELANVDRAVCMLSNTTAIADAWKRI DQKFDLMYAKRAFVHWYVGEGMEEGEFTEAREDLAALERDYIEVGADSYAEEF YML123C MSSVNKDTIHVAERSLHKEHLTEGGNMAFHNHLNDFAHIEDPLE RRRLALESIDDEGFGWQQVKTISIAGVGFLTDSYDIFAINLGITMMSYVYWHGSMPGP SQTLLKVSTSVGTVIGQFGFGTLADIVGRKRIYGMELIIMIVCTILQTTVAHSPAINF VAVLTFYRIVMGIGIGGDYPLSSIITSEFATTKWRGAIMGAVFANQAWGQISGGIIAL ILVAAYKGELEYANSGAECDARCQKACDQMWRILIGLGTVLGLACLYFRLTIPESPRY QLDVNAKLELAAAAQEQDGEKKIHDTSDEDMAINGLERASTAVESLDNHPPKASFKDF CRHFGQWKYGKILLGTAGSWFTLDVAFYGLSLNSAVILQTIGYAGSKNVYKKLYDTAV GNLILICAGSLPGYWVSVFTVDIIGRKPIQLAGFIILTALFCVIGFAYHKLGDHGLLA LYVICQFFQNFGPNTTTFIVPGECFPTRYRSTAHGISAASGKVGAIIAQTALGTLIDH NCARDGKPTNCWLPHVMEIFALFMLLGIFTTLLIPETKRKTLEEINELYHDEIDPATL NFRNKNNDIESSSPSQLQHEA YML122C MPRNDSNQYYARWCCYRRPIRAAFARKGPFNSSSGYEENVARLK NTRSSHCRTARCQFNSSTWTCYFQHVGRKLATAIDYGSPQSIEISEIGAVMHQMSCER LSLSLFSRFACLLARLKTCVLLIN YML121W MSSNNRKKLLLMGRSGSGKSSMRSIIFSNYSAFDTRRLGATIDV EHSHLRFLGNMTLNLWDCGGQDVFMENYFTKQKDHIFQMVQVLIHVFDVESTEVLKDI EIFAKALKQLRKYSPDAKIFVLLHKMDLVQLDKREELFQIMMKNLSETSSEFGFPNLI GFPTSIWDESLYKAWSQIVCSLIPNMSNHQSNLKKFKEIMNALEIILFERTTFLVICS SNGENSNENHDSSDNNNVLLDPKRFEKISNIMKNFKQSCTKLKSGFKTLILNNNIYVS ELSSNMVCFIVLKDMNIPQELVLENIKKAKEFFQ YML120C MLSKNLYSNKRLLTSTNTLVRFASTRSTGVENSGAGPTSFKTMK VIDPQHSDKPNVLILGSGWGAISFLKHIDTKKYNVSIISPRSYFLFTPLLPSAPVGTV DEKSIIEPIVNFALKKKGNVTYYEAEATSINPDRNTVTIKSLSAVSQLYQPENHLGLH QAEPAEIKYDYLISAVGAEPNTFGIPGVTDYGHFLKEIPNSLEIRRTFAANLEKANLL PKGDPERRRLLSIVVVGGGPTGVEAAGELQDYVHQDLRKFLPALAEEVQIHLVEALPI VLNMFEKKLSSYAQSHLENTSIKVHLRTAVAKVEEKQLLAKTKHEDGKITEETIPYGT LIWATGNKARPVITDLFKKIPEQNSSKRGLAVNDFLQVKGSNNIFAIGDNAFAGLPPT AQVAHQEAEYLAKNFDKMAQIPNFQKNLSSRKDKIDLLFEENNFKPFKYNDLGALAYL GSERAIATIRSGKRTFYTGGGLMTFYLWRILYLSMILSARSRLKVFFDWIKLAFFKRD FFKGL YML119W MSPSPSVSPRRTLNNKSSYINNSGGLVLPPTQFNLNQQPVLSFQ QKATFDSNQQFFYYPESPTKNLRPRFNSISQVNKGVNEDHYTGGGSSNNNRPSRYTNT MGAANTNVNSHPHHQSVSHLNSKSLKFNQTKEVSSINEIIFPSRTCTKKRYFTKPIDL YGTRSSTSVAPKLTNSPTKSKTNFNIKKCILPRSVVTTYKLPSPVHETIDDISKKIII LLISLKFEKNYHFLQPIQLSTNSKTRISKSLDELCGVQLTSTLRQQKQLQGNSKPVKN LPNSNAKQRAGASVSTNANESFELSFDGKAMDRSDIFRMVDSFSIAISDEDEEDEEED SFQQRSANNRILPAEILSNEPLK YML118W MDSQVEGKISPSQKESSSTSGLVSPSEDGPAHQKIHRDQLSVDQ IKKIREERAQKRQVRRNSLISQGKDPDFPTPDLQFIERPFLPINHDNSKGLTPATIQV TQDSLDVKIMTYNTLAQTLIRRDFFPESGPALKWHKRSKVLVHELKKYRPDVVSLQEV DYNELNFWQENFHKLGFDVIFKRHEGKTHGLLVAWNNKKFQLDNDWMLDYDNILAGNV ISARTRTKNIALIISLYFKGITDSSSRGIIVANTHLFWHPFGVFERLRQSYLVLQKIQ EIKACSKYNGWHSLLMGDFNTEPEEPPYLAITKRPLILKGPIRAMVECSLAYRYSKKR NGEESDQDDEECDEKSRGEGHSDQPQNPKPESFTATKEEKALVNQLVALHNSLHVKGV SLYGIGYGKVHPENANGSHGEPGLSNWANTWCGLLDYIFYIEGDHNQDTRQKEPLNAF EGNNNVKIIGYLRMPCAQEMPKHSQPFEGEYASDHISLMCQIRLFFGGEKVHSLK YML117W MSNSNSKKPVANYAYRQQQDYNGMNAMVGNPMMYHPVDFVNGAG QYGPSQHPAYYTNSPLPNIPPTPFDTAYGASLFPSHLLMGSPFVSSPNMQSGYNSARS SNLKRKAYSRPVSNHNGYNGNSNSNQNNTNNGMVTPSNYYRMGRNSFSRNNNSTRNVT HNNNKGCDTRNNSGRRTFARNNIFDDILPEMLLQRPFCINYKVLPTGDDAYRTRSLLI ENVDHSIDLHSIVKNFVKSNTLESAYLIEGGKSDDSKDVETKNLSILISFLTKGDCLN FYNNILQRLSEFKTFLKSEALNLKFVCLNYDPKCLPTFIESEALTENAEEADITNGST MISASLHHNIANKDATRSIIIEFKSPVEKSDLFKKKLQFLDRSKNKRYILESIDLVNT DVPSNQFPENYAVLTFLNISMAIEVLDYLKKYSKNLGISKCFYVSLAPLVVSSARSSV ANIYEGKTSTHRLSVPSVTAGNNNDSNNNGNNNKSNMSGITTLNNNSSIGVSVYGHSN MSLTSLSSSVSLNEEIDMLATKLQGVELDGTYLEINYRDYQTPTIEEHSTHLSNVKIS KTTENSRQFSQDIPSPLPLNEHMFMNDSNQSNGAIIPQQLIATPSPVSPNLQMNQRVL PNPITQSLEQNFNVSAKVASSMGSDIGNRTIYIGNINPRSKAEDICNVVRGGILQSIK YIPEKKICFVTFIEAPSAVQFYANSFIDPIVLHGNMLRVGWGHYSGPLPKLISLAVTI GASRNVYVSLPEFAFKEKFIHDPQYKKLHETLSLPDAEQLREDFSTYGDIEQINYLSD SHCCWINFMNISSAISLVEEMNKESTVQNESGEVTLKRATEEKFGGRYKGLLINYGKD RCGNINKNLIAGKNSRFYKKVKRPSYNIRLSKLEEKRRQNEIDEKEKAFDKPLNLESL GISLDAHKDNGGGETGTANNTGHENESELEAENENGNETGSFGGLGLAVASSDVKRAT SDETDYEDIFNKSSGSSDSSSDVEVIMHSPSDPEYALKSQTLRSSSQTVINSKRPVKI EDEEEAVGMSQLNYRSSLRQAPPRAPSTLSYNHSKNNETPMQDIFTNGETANNRKKKR GSFARHRTIPGSDVMAQYLAQVQHSTFMYAANILGASAEDNTHPDE YML116W MGNQSLVVLTESKGEYENETELPVKKSSRDNNIGESLTATAFTQ SEDEMVDSNQKWQNPNYFKYAWQEYLFIFTCMISQLLNQAGTTQTLSIMNILSDSFGS EGNSKSWLMASFPLVSGSFILISGRLGDIYGLKKMLLVGYVLVIIWSLICGITKYSGS DTFFIISRAFQGLGIAFVLPNVLGIIGNIYVGGTFRKNIVISFVGAMAPIGATLGCLF AGLIGTEDPKQWPWAFYAYSIAAFINFVLSIYAIPSTIPTNIHHFSMDWIGSVLGVIG LILLNFVWNQAPISGWNQAYIIVILIISVIFLVVFIIYEIRFAKTPLLPRAVIKDRHM IQIMLALFFGWGSFGIFTFYYFQFQLNIRQYTALWAGGTYFMFLIWGIIAALLVGFTI KNVSPSVFLFFSMVAFNVGSIMASVTPVHETYFRTQLGTMIILSFGMDLSFPASSIIF SDNLPMEYQGMAGSLVNTVVNYSMSLCLGMGATVETQVNSDGKHLLKGYRGAQYLGIG LASLACMISGLYMVESFIKGRRARAAAEYDCTVA YML115C MGMFFNLRSNIKKKAMDNGLSLPISRNGSSNNIKDKRSEHNSNS LKGKYRYQPRSTPSKFQLTVSITSLIIIAVLSLYLFISFLSGMGIGVSTQNGRSLLGS SKSSENYKTIDLEDEEYYDYDFEDIDPEVISKFDDGVQHYLISQFGSEVLTPKDDEKY QRELNMLFDSTVEEYDLSNFEGAPNGLETRDHILLCIPLRNAADVLPLMFKHLMNLTY PHELIDLAFLVSDCSEGDTTLDALIAYSRHLQNGTLSQIFQEIDAVIDSQTKGTDKLY LKYMDEGYINRVHQAFSPPFHENYDKPFRSVQIFQKDFGQVIGQGFSDRHAVKVQGIR RKLMGRARNWLTANALKPYHSWVYWRDADVELCPGSVIQDLMSKNYDVIVPNVWRPLP TFLGTEQPYDLNSWMESQEALALAKTLDEDDVIVEGYAEYPTWRVHLAYIRDAEGDPN EAVDLDGVGGVSILAKAKIFRNGVQFPAFTFENHAETEAFGKMAKKMGYRVGGLPHYT IWHIYEPSDDDLKEIASREREKRRQSE YML114C MTSKTSESGTGTQSTIVQLRNLPDLTEISHLEIDAPVVEILKKT VLFQLNSLNICISNFALDELVNLVTVQMDGMFRNLHNLTLLQRRSQASQADLKLLLRE FNLDAPSLYQQFQASEFIKSKHSTEYEKLMSWSSLAALPHNEEDEEDELNNIEEQQNE INVLLPPSNPLEKQIPSWLPNFPPDHTYKFTPEFNHPITDLKTIKKEIVKESQESEKA LLNLNKSLSHISSASNTPQPPGLDDEDAIEQQLEIWGNALEERKPTITEKSFNENNIE QYAKYRVELARERVTKFEVNQLKRTKNPFLKISETLYLPESPHQSHKTIQKTIELQFR KSMTLFMHNLPKVQKLKKEKIRMAKEERAKSLKRRQEELISQRTKREQDEGHDLELLL NNEHARDAADDTTTPNALNNSTIVINTNAEDEDDDINLFGILGSSEDENEMSSMPAEN LVAESEPPTMTAQDTTNTTPVAHNTTNIDATTSHSPHSTPNENAPTSPPADIATDHDI TM YML113W MAKTLAQGRKPGSGRKPGKGKTLREGRKPGSGRRRRQDTGGKET DGSQQDQESRLISSRDMEAVDALRELTHSPSSHSAHNSSAAPPPHAAAASTSLPPSLD YTHQSFMDQQQQQQQQQQQQLLQQQRVDVVPPKPFITHKILLSSTGNSGGHVNSNYNA DHSINHNSNHNLNSNVNVNMNFTINGSNQDPSSSFLMGPYNYLQRPFIVKPYLDLSTS TAASNQPRTQPSPAAHITKNSDSTEKNATI YML112W MDSLEARLQFIQVLKNLQKTLHKTRDSITSSSTTTPPSSQQKLN NDPIQFYLRNYRHHYEDFHQCLFDTTMKMDPLDRLDVVIYYVRIIRNLYPHSHSNTNV TKVLNEVLLMDIDLVFELCLPCQDWKSLTNQATCKELFLDLSKLIHYDATSVTHTPSD TTLIDATTWYSVKTERTTKDYKESLQRTESLLKDRDLKKLAFFQQFNSDTTAINPDLQ TQPTNANILLHRMEADRELHKRSKETSWYIERPSNDILDESEFKSLWTHFETTDSGFD KDDYKNIKALNDIAKASYIY YML111W MTFTFSTSSRKNGRPPLKSVSTEDNIHLLRKRRQQQLSSNSTDN SLHPNSGQTPRASDSQDDDIRSASTTNLDRLRQEREENSLEMDCTQSRLSHRANMLVD VLPSFEMYNALHRHIPQGNVDPDRHDFPPSYQEVRTQRMTILPSNDNSVERSQLTAVP GSENACNNATAHSLTNLHPLQTQHLTINSTRSGGQSLHSSSDTNISQIPFEDDLNDSD NIFIDKLYTLPKLSTPIEIDIRITKTASIPHERPEEQSILKEYTSGDIIHGYCLIENR SSQPLKFEMFYVTLEAYISVIDRQKGKRTLKRFLRMVDLSASWSYTNITPSTGINIVP GERDFDDAIIGLSNSRELKPNTKYKKFFMFKLPTQLLDVTCKQEQFSHCLLPPSFGID KYKNNCKYSGIKVNSVLGCGHLGTKGSPILTLDMADDNLSINYTIDAKIVGKDKRTSK LNIMKEKEYNLRVMPFPFAGVTNQQNEKTCLRQLKNLESLIEDRFEALNKIFKKLELN EAISNVDIHDTDISGTLDGNEDLDSDEILRRKLDQLHINNRIDDTASQSPSYDSKNMA PKENLVETELRYKFKNKNKSNSSLFSHFLSSSETGSSSTGPHVYNSGLIVLSVKKPQS TLPYWSPSLLRKTNKFEAKSEQEKENWQRLMGMLPEGVKTPLTKLDVHLTCIQSNNSA GHKPPEISSVTTEFVVITAKSDNSIPIKFCTELLMNENRLNKLKTKFLTYQKKVHEYR KKFEENHAKLNELYNRNRDHFTPKELLFTNFISDQINNDIDSLAGLKVNIIDLHDIFK KQIHTFEEENEDIISKKGSSNPPSASSSNNNFLQATFSNGASTATKFTQQIVHEWEKV KPLQYKRDVTVNLKLNPNIKETLVPNLETCLCCRFYCVRVNIKFDNHLGSMKVDIPVD VKKLQI YML110C MLISSRIVRSSLVNVPLRLSRCFTQAHRACKEEEVNSPLSSAAE QPEQKYTHFGSKTVLKSTKQKLVGDVFSSVANRYDLMNDVMSLGIHRLWKDHFINKLD AGKRPNSTTPLNFIDVAGGSGDIAFGLLDHAESKFGDTESTMDIVDINPDMLKEGEKR AMEQGKYFKDPRVRFLVSNGEKLEEIDSDSKDIYTVSFGIRNFTDIQKGLNTAYRVLK PGGIFYCLEFSKIENPLMDFAYQQWAKVLPVMGSMIANDYDSYQYLVESIERFPDQET FKSMIEKAGFKSAGYESLTFGICAIHWGIKV YML109W MVLMEDMQNKDGHNTVENSSGGTDSNNNIQMRRMRKTQLSKKEL FEKRKSDVLIAAKSLDTEIQNVKNLKRLSIGSMDLVIDPELEFKVNSRNSYSSDSSKE SLQESLHEENIIRSEQKEEQGSEDNDAYEEGDATNVDDSIDITQTEYLHDEETLEKEK IIRNASSSTSSSARVTSRNRRLSGVKTLAHDVVLDVENDHDSKMVDLTQNLLWVPADQ HPNVKPENYLELIQDTLQNIQISTNQDIDENKLELGNNHVISNRKRTGSVVRRPSRLK TSYTKFDDEPPLADKPQEGEIQVDKRISSSDIKTIRSVSLKEITEELTKISNNAGLTD SDAVTLARSLSMSGSFTNESLHLNGNHTENDNEFASNMFNETGLTIPERSSLRRSKFN TYKIRLEGSSLPQAVKLNSLMNIQTNDNRRSASSPASYTQVPQEQASLNDFHEIFDHY RRTSTDWSTENEKYVDSTNYYSDEEDLTHASISQESSLLSTDSSNNSVLIKPHNTGSM ISEKLDQHVSSSEKSNTNNSEANHGWSWLNSSNGSLNANEQTYQQLTDDEDDEECVDN EKADFVNLSVSRRAKSTKRASERINHSKNRHSPIFQIHSEEAKSVVITPSVVSSSESQ PSKPTAPAVVEKKVELPTDTQASTHKKNSLEKRLAKLFKRKQHNGTCKSDVKVIKKSV KKELKKKASHSSLSKFRKSPKKKPQEAEVERPSSPTKTITTEDIDTASVIEPEVRSSN ASTLLPDSHTSHSSEFVVETISELDGDDSFDISGGDVNYDVEVHSSISRDTTAGLEED IGAEREDNTSPTAPQISTLPPRKLTFEDVVKPDYSNAPIKFTDSAFGFPLPMITNSTV IMFDHRLGINVERAIYRLSHLKLSDPGRELRQQVLLSNFMYSYLNLVNHTLYMEQVGT GDIAFNGDSALGMMDKNDSDGTILIPDI YML108W MSKSNTYRMLVLLEDDTKINKEDEKFLKGKPGKMHEFVDELILP FNVDELDELNTWFDKFDAEICIPNEGHIKYEISSDGLIVLMLDKEIEEVVEKVKKFVE ENN YML107C MEKDALEVRLKSIRHSLDKNTKLLPGKYRNTLGERLITKWRYKK KSHNGSSMLPEKCKSHVQLYDDLVQESSKHFVGFRLHDLRALLKRICSIQNYTRHVLI EWDVRWVNPLTLASKGWEPYQSASQSQVPFKCCCCHAIMTIPLLKNGDDVADYTMKLN EKIWNSNIIGNHLQKCPWRENQVDLNKEYYLSSQNLIREIERIHTEIDRIVSGSNEFS LKRNSSRIFHYLSEKEIQKLAFFFDCKDYSLVGLLLLGYTKFQKDDLVQCTACFHRAS LKKLEYTEFNGHALWCRYYNKELLPTMLLELIGKEDKLITKLGVGERLNKLEAVLQTL YML106W MPIMLEDYQKNFLELAIECQALRFGSFKLKSGRESPYFFNLGLF NTGKLLSNLATAYAIAIIQSDLKFDVIFGPAYKGIPLAAIVCVKLAEIGGSKFQNIQY AFNRKEAKDHGEGGIIVGSALENKRILIIDDVMTAGTAINEAFEIISNAKGQVVGSII ALDRQEVVSTDDKEGLSATQTVSKKYGIPVLSIVSLIHIITYLEGRITAEEKSKIEQY LQTYGASA YML105C MPRLEEIDDFNDIDDLDMELAELDPSLRTPIAPKITPKVVRSQD QENPAFLPGTNNNSNSNNNSSNEKEQLSFINPKTGKVERSEAISKKDLEEVKRFQVLY PCYFDINRSHKEGRRVPKELAVENPLAKTMADAVRELGILCIFEGEKCHPQDFGNPGR IRVLFKENGQLIGAATKFKGGKRQLMKAVGEYMKRHPTTIESLREIPYGPDFDNIEFK KIPRVKGFKMNEIVPLHSPFLMGHPMTKSVYETPKITAAEKSFKPPKNKYKVVRR YML104C MPKFPQFRLILVLFYLISMIQWSVITFSLGFFLNVCIFAYFVFF KSLPDLPKPQPRFVDIVPESSNTVDVDKELKSVEGLIQDGNAQIGKELESIVNLIIKD FVQPWFTKIDKNSDAEFLKVIKWRLLQTLLVVKDKLMKNDSASLIVLKLLPIFNKHFS TFCDAREAVLSDLTLERHKSANIDLQIAVEFNKNYKIHKSLSLKPNALQKEIEKSIRK TVIGLLPHLFDNDELDSLLVFTLMTEVLTTCIISPLIFKFTDPDSWNLRIVSLSQNYF EEKHKVHKIRRMLSKELQDHRKVMNDVANKDVGEPSSEKLELNAEYTGKQFEHYLNQL DSLLDLSDIKYVAYSLALKIYQLKENEHLTKENLKYKKRLLLSLNLIESKLSFPGSEI DTASKKLAREANYPDLNMDNGIVLKEMASFLTSITLKDIVDDSEFLPFFESFLGSVPE TQGSTFLEYSQTIESFKNPLEDATSEDIISGYSGISTMQLQEISSKFFHNNNLQNMKL LDEGLVKNIILFRNSFQINNDEDTFILARKSVLLLQTEAIKYLDDRFLPLFKKTPSFL KMLSTSHIISTDIYAHFLSRIGGVNNPEQNKIIKDNVKTDFMNPVRIFANPGITDALD NIVNGSGSKPHKSRISSNPRYSQLFGSENDNIFKDKLFDDENDNTSEISVVEDQLDHP RNMEKVSVSSGNSGLNPSQFYGSNNFRDNIASLTISIDQIEKELELLRHLILKADLTN NQMQLKILKKSQRTLLKELEMKELLKQQYMVQENGNSLFRKTKIYIRSYFSENSSNGL KEITYYIINIHHFNNGQVSSWDMARRYNEFFELNTYLKKNFRDLMRQLQDLFPSKVKM SLKYHVTKTLLYEERKQKLEKYLRELLSISEICEDNIFRRFLTDPTPFKLNKEYMHDD ILEEPLHEPIGSSNSTSNSSSVVDLQSSEDGGELNFYEDERHFFTDSGYPFYSQNKSF VKQICDLFISLFALNKANAGWLRGRAIITVLQQLLGSTIEKYIKVSIQKLRSEDQVFE AIVTFKNMLWGDNGLFERKRNETAEATRSEGERLRTEQLALTSLQRLFADTCGRVVGL RDSHEAAGRVHAMLQNPYLNASLLLEALDAILLDIICND YML103C MATPSFGNSSPQLTFTHVANFMNDAAADVSAVDAKQLAQIRQFL KANKTNLIESLNTIRQNVTSSGDHNKLRSTIANLLQINVDNDPFFAQSEDLSHAVEFF MSERSSRLHIVYSLLVNPDIDLETYSFIDNDRFNVVGKLISIISSVIQNYDIITASSL AHDYNNDQDMFTIVSLVQLKKFSDLKFILQILQILNLMILNTKVPVDIVNQWFLQYQN QFVEFCRNINSTDKSIDTSSLQLYKFQNFQDLSYLSETLISRISSLFTITTILILGLN TSIAQFDIQSPLYMDTETFDTVNSALENDVATNIVNEDPIFHPMIHYSWSFILYYRRA LQSSESFDDSDITKFALFAESHDVLQKLNTLSEILSFDPVYTTVITVFLEFSLNFIPI TASTSRVFAKIISKAPEQFIENFLTNDTFEKKLSIIKAKLPLLNESLIPLINLALIDT EFANFELKDICSFAVTKSSLNDLDYDLIADTITNSSSSSDIIVPDLIELKSDLLVAPP LENENSNCLLSIPKSTKGKILTIKQQQQQQQQQNGQQPPTTSNLIIFLYKFNGWSLVG RILQNLLHSYMEKGTQLDDLQHELMISIIKLVTNVVDPKTSIEKSSEILSYLSNSLDT SASTINGASIIQVIFEIFEISLQRKDYTSIVQCCEFMTMLTPNYLHLVSSYLNKSDLL DKYGKTGLSNMILGSVELSTGDYTFTIQLLKLTKVFIRESLSLKNIHISKRSKIDIIN KLILHAIHIFESYYNWKYNNFLQKFEIAFHLTLIFYDVLHDVFTINPHQKDQLIISSS ANKLLQLFLTPMDSIDLAPNTLTNILISPLNTTTKILGDKILGNLYSKVMNNSFKLCT LLIAIRGSNRDLKPSNLEKLLFINSSKLVDVYTLPSYVHFKVQIIELLSYLVEAPWND DYPFLLSFLGEAKSMAFLKEVLSDLSSPVQDWNLLRSLYIFFTTLLESKQDGLSILFL TGQFASNKKINDESSIDKKSSILTVLQKNSLLLDSTPEEVSCKLLETITYVLNTWTNS KIFIKDPKFVNSLLAKLKDSKKLFQKKENLTRDETVSLIKKYKLISRIVEIFALCIYN STDSNSEILNFLNQEDLFELVHHFFQIDGFNKTFHDELNLKFKEKWPSLELQSFQKIP LSRINENENFGYDIPLLDIVLKADRSWNEPSKSQTNFKEEITDASLNLQYVNYEISTA KAWGALITTFVKRSTVPLNDGFVDLVEHFLKLNIDFGSDKQMFTQIYLERIELSFYIL YSFKLSGKLLKEEKIIELMNKIFTIFKSGEIDFIKNIGKSLKNNFYRPLLRSVLVLLE LVSSGDRFIELISDQLLEFFELVFSKGVYLILSEILCQINKCSTRGLSTDHTTQIVNL EDNTQDLLLLLSLFKKITNVNPSKNFNVILASSLNEVGTLKVILNLYSSAHLIRINDE PILGQITLTFISELCSIEPIAAKLINSGLYSVLLESPLSVAIQQGDIKPEFSPRLHNI WSNGLLSIVLLLLSQFGIKVLPETCLFVSYFGKQIKSTIYNWGDNKLAVSSSLIKETN QLVLLQKMLNLLNYQELFIQPKNSDDQQEAVELVIGLDSEHDKKRLSAALSKFLTHPK YLNSRIIPTTLEEQQQLEDESSRLEFVKGISRDIKALQDSLFKDV YML102W MEASHLQIYWHDSQPVYSLTFQKNSANDKLFTAGGDNKVRIWKL NRDENGQNGGVRKIESLDFLGSLTHHEQAINVIRFNSKGDVLASAGDDGQVLLWKQED PNTQQESVVRPFGMDAETSEADENKEKWVVWKRLRGGSGATAAAEIYDLAWSPDNRNI VVACMDNSIRLFDVGAGMLVCGQSDHGHYVQGVAWDPLNQFILSQSADRSLHVYGVIL SSAGVVTGLKLRSKIAKAELPCPGDVLRTNYLFHNETLPSFFRRCSISPCGGLVVIPS GVYKVAGDEVANCVYVYTRSGILNSAGGVKNRPAIRIPSLKKPALMAAFSPVFYETCQ KSVLKLPYKLVFAIATTNEVLVYDTDVLEPLCVVGNIHYSPITDLAWSEDGSTLLISS TDGFCSYVSIDTETQFGSRIEPPAMHAEPLDTDESAVAAKNQREAGGIVNMLPVKKIP CNSSDSKKRRIHPTPVDL YML101C MDGSTIVFILTMVCLFVYTVKHRGAKQVPSRTVQDAKPAPSVAT NDPSPEPVPSAPEERVARLNRHGSDRKRAVNSDMVEIVMTMAPHVPQEKVVQDLRNTG SIEHTMENIFAGKLD YML100W-A MYKCVPSYYSKAGTIVVTYCEISALLVSLKNRVQGKRGETEGQI EISRKAGHPAPAF YML100W MALIVASLFLPYQPQFELDTSLPENSQVDSSLVNIQAMANDQQQ QRALSNNISQESLVAPAPEQGVPPAISRSATRSPSAFNRASSTTNTATLDDLVSSDIF MENLTANATTSHTPTSKTMLKPRKNGSVERFFSPSSNIPTDRIASPIQHEHDSGSRIA SPIQQQQQDPTTNLLKNVNKSLLVHSLLNNTSQTSLEGPNNHIVTPKSRAGNRPTSAA TSLVNRTKQGSASSGSSGSSAPPSIKRITPHLTASAAKQRPLLAKQPSNLKYSELADI SSSETSSQHNESDPDDLTTAPDEEYVSDLEMDDAKQDYKVPKFGGYSNKSKLKKYALL RSSQELFSRLPWSIVPSIKGNGAMKNAINTAVLENIIPHRHVKWVGTVGIPTDEIPEN ILANISDSLKDKYDSYPVLTDDDTFKAAYKNYCKQILWPTLHYQIPDNPNSKAFEDHS WKFYRNLNQRFADAIVKIYKKGDTIWIHDYHLMLVPQMVRDVLPFAKIGFTLHVSFPS SEVFRCLAQREKILEGLTGADFVGFQTREYARHFLQTSNRLLMADVVHDEELKYNGRV VSVRFTPVGIDAFDLQSQLKDGSVMQWRQLIRERWQGKKLIVCRDQFDRIRGIHKKLL AYEKFLVENPEYVEKSTLIQICIGSSKDVELERQIMIVVDRINSLSTNISISQPVVFL HQDLDFSQYLALSSEADLFVVSSLREGMNLTCHEFIVCSEDKNAPLLLSEFTGSASLL NDGAIIINPWDTKNFSQAILKGLEMPFDKRRPQWKKLMKDIINNDSTNWIKTSLQDIH ISWQFNQEGSKIFKLNTKTLMEDYQSSKKRMFVFNIAEPPSSRMISILNDMTSKGNIV YIMNSFPKPILENLYSRVQNIGLIAENGAYVSLNGVWYNIVDQVDWRNDVAKILEDKV ERLPGSYYKINESMIKFHTENAEDQDRVASVIGDAITHINTVFDHRGIHAYVYKNVVS VQQVGLSLSAAQFLFRFYNSASDPLDTSSGQITNIQTPSQQNPSDQEQQPPASPTVSM NHIDFACVSGSSSPVLEPLFKLVNDEASEGQVKAGHAIVYGDATSTYAKEHVNGLNEL FTIISRIIED YML099C MGISSKNGPKKMGRAKTFTGCWTCRGRKVKCDLRHPHCQRCEKS NLPCGGYDIKLRWSKPMQFDPYGVPIPQNSPATTTNLSGSVDEPQYQRRNIDFVRYDE EYVYHEDMDDELTMLHTPPIEKISDNKTWIIKKFGVFKGTDKIDKQYAPRKKRNRKRV AKSLESSASISLSSLPSSSTISFPIRHIEDKLRNKGHVKTGILSANDGVPPTPNLLDY DWNNLNITGYEWISSELRDDALLSAVTLQGHHLGHTQPQEISLEENSNVVSGEEHVNA KEHGCAFEADNQGSSTLPNKAASANDKLYQQNLKLLFQKNSSNSEEPDPQALIDDVFV NIEPRSLPASDLNKITLAPPNEESRMPKSMLELTSYSSDLPPELVDIIPKTDLTVHGL ARFLLNHYFNNVADKMTVVVLEKNPWKTLYFPRALMALGDLAGLGQSSNSRNALLNAL LAVSCFHLQSKYPRNYKLQKYFLGLGIELRNQASNFLRLCLNTKSSIPEKYKDVLTAI LSMNSIDVVWGTMADCQDHLALCEDFVESRMKLRPNISEKTKTLHRIFSFLKLIQDST ALDKVRAKEIVILPSEEDDNYKPLDTSNATTSSSEPRVDVVQEGLFREALNENDGKIH IEFVKEPITNVSADSTPSSTTPPIFTNIATESYYNKSDISKLVSKTDENIIGTDSLYG LPNSLILLFSDCVRIVRHNEYYNLTYLPVPRKFNELSLNFEKRLLKWKSEWNFHQENS EGKSFINSTAEALYHHTMSFYFSLIIYYFTMARSLNCQFLQNYVAKVLDHLNAMEELV DQKKVKIVPLIWQGFMAGCACTDENRQQEFRRWAAKLAESGVGSYWGARQVMLEVWRR RKEDEPGDNWYSVYKDWEMNLMLS YML098W MSRKLKKTNLFNKDVSSLLYAYGDVPQPLQATVQCLDELVSGYL VDVCTNAFHTAQNSQRNKLRLEDFKFALRKDPIKLGRAEELIATNKLITEAKKQFNET DNQNSLKRYREEDEEGDEMEEDEDEQQVTDDDEEAAGRNSAKQSTDSKATKIRKQGPK NLKKTKK YML097C MTDDEKREILKEFDPFSQLEQANGNPDKDVKFKKDDPNRAAAEE TNRDISAQDKGDEEPFYDFQIFIKQLQTPGADPLVKYTKSFLRNFLAQRLLWTVSEEI KLISDFKTFIYDKFTLYEPFRSLDNSKMRNAKEGMEKLIMGKLYSRCFSPSLYEILQK PLDDEHMKDLTNDDTLLEKIRHYRFISPIMLDIPDTMPNARLNKFVHLASKELGKINR FKSPRDKMVCVLNASKVIFGLLKHTKLEQNGADSFIPVLIYCILKGQVRYLVSNVNYI ERFRSPDFIRGEEEYYLSSLQAALNFIMNLTERSLTIEDHEDFEEAYQRNFKQLAEEK EEEEKKKQLEIPDELQPNGTLLKPLDEVTNIVISKFNELFSPIGEPTQEEALKSEQSN KEEDVSSLIKKIEENERKDTLNTLQNMFPDMDPSLIEDVCIAKKSRIGPCVDALLSLS E YML096W MCGILLHYCPNNNYLNDELIEFPEGTEFGDTTCTNESSIFNKII PYIAARGPNYSSLRAVKAYRISWFSSVLSLRQPFTKQSINVDDRYFLQFNGELYNKEI SQGDNDSLYIASMLQNLKEGMGVIDVIKSLEGEYAYTIYDVNSSKLYFGRDPIGRRSL SYSVTPDNELYVASVTGSAGSFQDCIGGVIYEYDTRTKLLNSNQRSHLPYEVTSEIDL NFTSLSEVSKNLYAVLRDSVKKRVESIHPRHIENSPIAVLFSGGIDCSVIVALICEVL QENDYKCGKPVIELLNVSFENPRTGLFPSDTPDRKLSINSAKTLQNLYPNVDIKLVEV DVPYDEYLKWKPFVINLMYPKQTEMDLSIAIAFFFASRGRGFLTSLNGERTPYQRHGI VLFSGLGADELYGGYHKFANKPPHELVEELTRQINNIYDRNLNRDDKVIAHNGVEVRY PFLDEYVIKLSTAEIPINFKVNKLILRKVASQYLKLDGISSEPKRAIQFGAKSAKMTK DGNKHGTDLLKENRNCS YML095C MNNTDPTSFESILAGVAKLRKEKSGADTTGSQSLEIDASKLQQQ EPQTSRRINSNQVINAFNQQKPEEWTDSKATDDYNRKRPFRSTRPGKTVLVNTTQKEN PLLNHLKSTNWRYVSSTGINMIYYDYLVRGRSVLFLTLTYHKLYVDYISRRMQPLSRN ENNILIFIVDDNNSEDTLNDITKLCMFNGFTLLLAFNFEQAAKYIEYLNL YML094W MSSQKIDLTKLNPEQLNAVKQQFDQELQHFTQSLQALTMAKGKF TECIDDIKTVSQAGNEGQKLLVPASASLYIPGKIVDNKKFMVDIGTGYYVEKSAEAAI AFYQKKVDKLNKESVQIQDIIKEKTQYSLSIEAQIRQAAIRQHEAMSKQQQQQQKKES STA YML093W MAKKKSKSRSKSSRRVLDALQLAEREINGEFDNSSDNDKRHDAR RNGTVVNLLKRSKGDTNSDEDDIDSESFEDEELNSDEALGSDDDYDILNSKFSQTIRD KKENANYQEEEDEGGYTSIDEEDLMPLSQVWDMDEKTAQSNGNDDEDASPQLKLQDTD ISSESSSSEESESESEDDEEEEDPFDEISEDEEDIELNTITSKLIDETKSKAPKRLDT YGSGEANEYVLPSANAASGASGKLSLTDMMNVIDDRQVIENANLLKGKSSTYEVPLPQ RIQQRHDRKAAYEISRQEVSKWNDIVQQNRRADHLIFPLNKPTEHNHASAFTRTQDVP QTELQEKVDQVLQESNLANPEKDSKFEELSTAKMTPEEMRKRTTEMRLMRELMFREER KARRLKKIKSKTYRKIKKKELMKNRELAAVSSDEDNEDHDIARAKERMTLKHKTNSKW AKDMIKHGMTNDAETREEMEEMLRQGERLKAKMLDRNSDDEEDGRVQTLSDVENEEKE NIDSEALKSKLGKTGVMNMAFMKNGEAREREANKETLRQLRAVENGDDIKLFESDEEE TNGENIQINKGRRIYTPGSLESNKDMNELNDHTRKENKVDESRSLENRLRAKNSGQSK NARTNAEGAIIVEEESDGEPLQDGQNNQQDEEAKDVNPWLANESDEEHTVKKQSSKVN VIDKDSSKNVKAMNKMEKAELKQKKKKKGKSNDDEDLLLTADDSTRLKIVDPYGGSDD EQGDNVFMFKQQDVIAEAFAGDDVVAEFQEEKKRVIDDEDDKEVDTTLPGWGEWAGAG SKPKNKKRKFIKKVKGVVNKDKRRDKNLQNVIINEKVNKKNLKYQSSAVPFPFENREQ YERSLRMPIGQEWTSRASHQELIKPRIMTKPGQVIDPLKAPFK YML092C MTDRYSFSLTTFSPSGKLGQIDYALTAVKQGVTSLGIKATNGVV IATEKKSSSPLAMSETLSKVSLLTPDIGAVYSGMGPDYRVLVDKSRKVAHTSYKRIYG EYPPTKLLVSEVAKIMQEATQSGGVRPFGVSLLIAGHDEFNGFSLYQVDPSGSYFPWK ATAIGKGSVAAKTFLEKRWNDELELEDAIHIALLTLKESVEGEFNGDTIELAIIGDEN PDLLGYTGIPTDKGPRFRKLTSQEINDRLEAL YML091C MAFKSFIYSKGYHRSAAQKKTATSFFDSSYQYLRQNQGLVNSDP VLHASHLHPHPVVVANVNYNNVDDILHPHDLDSSINNTNNPLTHEELLYNQNVSLRSL KQQQSTNYVNNNNNNQHRYYSTGPTLPTNQYDPLNFSNRNFQDLSLKTSQPSVQQPQN EYSLLKDENAPVWKEDTEPCLNKSTYLQTHIDEINRCYEQKNYNKINSLYQSLKRNDI VPPLEIFTKVLDSLCKRPLDNNDLDNKMYELLTCYQDMINNRLKPPDEIYNIVLLSLF KGSILAYQFENPNGSDFYKIAIELFNTTTNDPKQKSVVKFRNFSKDVLDYNLLAMNIY PGHITLSKAQQVIKSSPAFIKDSFYFIACFSYAKLTNDKFAIKELYEDFRLSLSSGSP DQGLFDDQFEIYSVILSSFIETGEVELATNLLDDLVSKIQSSNGLASNISLLLSSFLI SMSKVDPSKAYEIWFKFHNLNWIPEFSYEFYLVFMANSFQDWNLTKKIYDYIFPMERN LSPLKKQKLSDYLLHPIGVDSITTSLLDYSLQLKDNEVIMKILEESIVKNFSFDIGIY PFVFNYLREIQCGEDYLMRFIESHAEFIKKSNSINKFQFLNMIVDNFQSQSLLNKISH AKFFKNFVEDFNLENCELVSYNGLISCINNFIKIPKTIKDFPYILEIHAILVTKLFDF DTYPILQNGNNEVLLKFRDQIEHQFKMLAQNFCRLNLDPNLLAGVVSQAMKMVNLDDT ANGQDLLNFFNHPGDWDKSYPLSLGSFIRNSPRGGIREFTKLSKEGYCFDYDTYKELI IKRAINKQIIDKCLEVCPDSIELKNIVNLMISKIPGRNLTQLIINNPKFSKVFVPNLR NDSMLKLIENCESLSNFIRICDFPEKFKSIAIQAENKNAIELIYERLFDGGKYADILR YNNIVPVLNLELLLKSCIRSGEFKKYESLSKKFNDKISESSKIDIQLEYLINKNDLKG AFTLFEKTPRELRTPHKTMDLYTFALFLDSFNRNITYYESPENTLQFANILSSQTSFI NLLSTYNLIAHSDHLMNFNVGGMAAKVKKEILNQMLNNLYDSIRLLSPSIENDKSMKE KLREKVKNYCRFKAYLKSPELDMDELKTLVSVESFLNPFTPSMLFNNLIETIYINEHA SSLVLQNGLIYSLQQKGLNKILSYLEESFITSGNDANIEKVREFRSLLRKSKPLQA YML088W MERPGLVLQDLPPEILINIFSHLDEKDLFTLQELSTHFRNLIHD EELWKNLFKSRVHTTHFPTFSQSSKFSVEYIERTRGLHHWQHNKAIRTKYTIIPTRNW DQPSIERIVFDYPRVAAYNDGTITILQLQNHKRQKKFKKLIYIPCTTPQGCSTMDFNI NAAVFGRFDGRVFGKLLSNKSYLTPVMEFTGRHSAGVTAICNSESWDTSREDWSVSGS ENGEIIWWCENKLVKMWKVSNRVIWKLAFFKDWTLIMDDEKLYIIHQMQELHSIDIPK DLDEQPMRVRFFKMDFGSMTLVLADLNNVYTISVNPNGNFGNLRKLEMPEQICAVEID EKTSQREQNWQFAGDDGCYISLLTTQNTLYIINIRDLSSSGLKVQCKISFDEQVYVSQ VTNLIVVVALPNVLQILNAMTGELIKTVLKTEKFPEFLKVSQDKIIMGSGNVLNYLKF VSSDSKKHHHSTKGKNTVSNKWNETLNTELQYYDEDEDLRRKRQSEISRLIDAYGGDL ELSGDTDEENDIQLRIALLESQEAQARNQAEAGEPVGDDEDEQLRRALEESQLIYETQ TNSSANHGNNTNDEIDEDDEEFLRAIRQSRVEDERRRHLRNHTTGRRNGPLSDDNFAT YGAAESSERTSTENTIGSSVGVDASNNVDEDLQLAIALSLSEIN YML087C MSIVETCISFVSTNPFYPFCTGLLLNCVVTPLYFWKTQNGRIVV VSLLQFVVLYATAFISIGTDKSLYRNKWVALPLSKKTRISRNTSLYCFKLKYPFERLH IPMGYHLAVRVTINGERLVRYYTPVNVPNTEGHLELVVKTYKHGVVSKYFDKLKIRQY VEFKGPLGELEYDQDTATELGIIAGGSGITPVLQVLQEIIPSPEDLTHISLIYANETE DDILMKSQLDHMAKEYPHFKVHYVIHKPNGKWNGDVGYVTLEEMKRYLPKQAEDHRLL ICGPPKMNEMVLNYAKELGWSNGFHKGNGTDKVFVF YML086C MSTIPFRKNYVFKNWAGIYSAKPERYFQPSSIDEVVELVKSARL AEKSLVTVGSGHSPSNMCVTDEWLVNLDRLDKVQKFVEYPELHYADVTVDAGMRLYQL NEFLGAKGYSIQNLGSISEQSVAGIISTGSHGSSPYHGLISSQYVNLTIVNGKGELKF LDAENDPEVFKAALLSVGKIGIIVSATIRVVPGFNIKSTQEVITFENLLKQWDTLWTS SEFIRVWWYPYTRKCVLWRGNKTTDAQNGPAKSWWGTKLGRFFYETLLWISTKIYAPL TPFVEKFVFNRQYGKLEKSSTGDVNVTDSISGFNMDCLFSQFVDEWGCPMDNGLEVLR SLDHSIAQAAINKEFYVHVPMEVRCSNTTLPSEPLDTSKRTNTSPGPVYGNVCRPFLD NTPSHCRFAPLENVTNSQLTLYINATIYRPFGCNTPIHKWFTLFENTMMVAGGKPHWA KNFLGSTTLAAGPVKKDTDYDDFEMRGMALKVEEWYGEDLKKFRKIRKEQDPDNVFLA NKQWAIINGIIDPSELSD YML085C MREVISINVGQAGCQIGNACWELYSLEHGIKPDGHLEDGLSKPK GGEEGFSTFFHETGYGKFVPRAIYVDLEPNVIDEVRNGPYKDLFHPEQLISGKEDAAN NYARGHYTVGREILGDVLDRIRKLADQCDGLQGFLFTHSLGGGTGSGLGSLLLEELSA EYGKKSKLEFAVYPAPQVSTSVVEPYNTVLTTHTTLEHADCTFMVDNEAIYDMCKRNL DIPRPSFANLNNLIAQVVSSVTASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVSYSPV LSKSKAFHESNSVSEITNACFEPGNQMVKCDPRDGKYMATCLLYRGDVVTRDVQRAVE QVKNKKTVQLVDWCPTGFKIGICYEPPTATPNSQLATVDRAVCMLSNTTSIAEAWKRI DRKFDLMYAKRAFVHWYVGEGMEEGEFTEAREDLAALERDYIEVGADSYAEEEEF YML083C MDYLHVYNNNQAHVPRTPPGRQCLSPVLPPIILALDQQHSLTFG YSSPRCLPTPILPSMSTNTPVHWSQQVVTIPVAVRNHAAMMPIITPMTSPRPQFEATA PSPPLAPVAIKLPDLKLPPSPVPSTVEDRVSHPILPKIVIGNGGGRDVERDSTEELIR KIPNYIGCAKTKAQLSKVRSGKQLIACAQEYHHPVNKDEIENINNILNFRDFIFKHPK SSFEFLCTLSFEQFVRVYSFISFIYRTKKINKNKYELVCEMNVHEQLSNKRIQRTRTP EKYKIHLICESKLILTFNHCTKTVKFESINGGHCHPISANHIIKPSLFLTHCINKCYQ TVSDPTDLKLALRDALEALDHERIGLSFLKRRHFKCSHQASSLSNASATLKKQEDHDT FGVHTGIIHTANFFMFNASSDIFQRN YML082W MVSAQVATELGQPIPLDTQHAVSVCFPTWKSVISYVEKDPKVLG CLKSGYPRFWIHPSIQKLRDILIEKYAKENETCFCFPSYRVAKRCREYVRRKCAHRNG KVRILQLATAKPINEEQKTWKRECKIAVVFVDGAYENILKQYWQYTGEIISSRLAEYV LHELFMVEKKSSPAEEKEYIEMRYGRNLNFAFADRAKELIKKRIATKVIDKDEHDEEE NYHFLAGNQDEQDFQDTFLDSSLNEANHGEDHDGGISGEVDSQEEPHNGLVSTIPPEP IEMSTIEEEQSVEEDAGRCALRVCPERDVFLFPSGMASIFTAHRLLLQWDSLRLNRSR NGSDVTSSPPNKKTVIFGFPYADTLHVLQEFNETYFLGEGDESSMKELTKILHSGEQI LAVFIETPSNPLLKMGNLLELKRLSELFGFFIIIDETVGGIVNIDGLPFADIVCSSLT KTFSGDSNVIGGSMVLNPQSRVYEFASRFMQLEDEYEDLLWCEDAIYLERNSRDFIAR TIRINYSTEYLLDKILKPHVGENKLFKKIYYPNLTSKETLTNYDMVRCKKEGGYGGLF SLTFHDEDHAAAFYDNLKLNKGPSLGTNFTLAFPYTLMTYYHELDMAEKFGVERNLLR ISVGLESQSILGKIFQEAIDKTVEI YML081C-A MLKRFPTPILKVYWPFFVAGAAVYYGMSKAADLSSNTKEFINDP RNPRFAKGGKFVEVD YML081W MSSEEFKGLPIKRDISSTIYADRPPALSAPPCVGATGNDKIQVL PIPKKSRTIKTDKPRPFLCHICTRGFVRQEHLKRHQRAHTNEKPFLCVFCGRCFARRD LVLRHQHKLHSALVSKESINSKDKTEIDAINDKNIIQIQGNKQTILPTPSNPLAKTAV QLKKAAKEKKNGKQGKLDLSPSYGANNHSTDVSPSVGNSSTPAVIEETDSSSHFPLPD TNIPTKSKRHASFSASSAFTYSSDNFQKLHQQAKSDFDELQESIPHQVGFSTPQLTAQ QLIENAIESGVVDLETLDLPPFLSLDGLPPASSSAAVAASEQIDICPSSATDTISGAN STPNQAATAPPFQLPIARESSSLFLANTPYLSDFLTMGSSYGGSGGFAKSITADPSLD YFNYKNHSHPDSRHNNSSSGINYSNNKNNNESIEKSQNNSNVINETIDHTDIHAHHAD AHDDSFIESEEWLSKFIMDSQIDNDLKLNINHFNDIGFNNLHPQNPTTHSEPRNMHNE NRDMHRSASKFQSVSENISPREQMSLFKTKQNKAISKFLSDEKIPSTASPSSSASPVQ FDKKNVDINEFLLDESVSNLFTTRQIDLFKKNVNLYSPLFQNQKDAVSSTSLTPSLTT QTATTQSGPGWTDSSQKLTFFTEQLRNLIIKENNLKSNLFPTVDELNHYVDLYQVEFH KYFPFIHLYSIIPSSENYPLVISISMIGALYGFHSTHALLLSKIARTRVRMFLENTRS NHDKTPIWLMQSLVLLTFTSIFSNDMNAFRTVNTQIMILVQLIKISKLNYPLENFIKP PIESDHVLEYQDNPAVLNQFKAQYNTREQINRNFKYFILAQSRIRICHIVLLISNLFK SLVDFDCCFHSIDLKCGVPCYNEVLFFCENSRTWNENLTRFNIVLDSKFSLIEVSNGE SNYEKCLMYLSNGNPYLYKNAKISFKTLLSLLISIHEKINIERDALKDSYESDFHAKN VQWRMHSRPLVATMLKHWELLYIKNGGILALSDENLPIINTNPSFRLIIPLYFFAKLR KCLDIAPTLRCIWNQDWNSMNSSLEKVCYERESLREATEYAVSVITFWIDTVSVMKGK STQTPIFTITCIFVSILVIAGYMRRLEDFAQNKNSDCMIGSLKSTDRILWLKAFKTLK RIESHLSEREYKLQTFAEFLRVPDNGSLDIESLDSSLIENTLNSHDVTNQALDIITRT RLSSRTLYCGARILGDTPVWPVSLLFAHALQSRAIYNINHRKSVNSV YML080W MTEPALSSANNALMQKLTGRQLFDKIGRPTRIVAPMVDQSELAW RILSRRYGATLAYTPMLHAKLFATSKKYREDNWSSLDGSSVDRPLVVQFCANDPEYLL AAAKLVEDKCDAVDLNLGCPQGIAKKGHYGSFLMEEWDLIHNLINTLHKNLKVPVTAK IRIFDDCEKSLNYAKMVLDAGAQFLTVHGRVREQKGQKTGLANWETIKYLRDNLPKET VFFANGNILYPEDISRCMEHIGADAVMSAEGNLYNPGVFNVGQTKNKEKIFPRVDKII REYFQIVKECQESKASKTAMKSHFFKILRPFLPHHTDIRSTLATMNAKATWEEWEEQV VKPVEKVVQEIFEQPDIAIKDEITIGEKQSWGGSYRTVPYWRCQPYFRPVNGITGDKR VMQGLIDESVNKKRKADVPLESADKKKDVKA YML079W MSANVQEAANAAIEPASFVKVPMPEPPSSLQQLINDWQLIKHRE GGYFKETDRSPYTMEVEKPVNGGSGNTEMVTRNQSTLIYYLLTPDSPIGKFHKNINRI IHILQRGKGQYVLVYPDGQVKSFKVGFDYKNGEVSQWVVPGGVFKASFLLPNEEFDNG FLISEVVVPGFDFEDHTFLKGEDELKHLVGPEKAAELAFLA YML078W MFKRSIIQQSRLFSNSASRLGKKVFFDPAVNGTKIGRIEFELYD NVVPKTAENFRALCTGEKGWGYKGVPFHRIIPDFMIQGGDTDLTNGFGGKSIYGSKFA DENFVKKHDKAGLLSMANAGPNTNGSQFFITTVPCPWLDGKHVVFGEVTKGMDIVKAI ESYGTASGKPRAEIVIEEAGEL YML077W MGIYSFWIFDRHCNCIFDREWTLASNSASGTINSKQNEEDAKLL YGMIFSLRSITQKLSKGSVKNDIRSISTGKYRVHTYCTASGLWFVLLSDFKQQSYTQV LQYIYSHIYVKYVSNNLLSPYDFAENENEMRGQGTRKITNRNFISVLESFLAPMVNQ YML076C MDTQIAITGVAVGKEINNDNSKTDQKVSLPKADVPCIDKATQTI IEGCSKDDPRLSYPTKLETTEKGKTKRNSFACVCCHSLKQKCEPSDVNDIYRKPCRRC LKHKKLCKFDLSKRTRKRKPRSRSPTPFESPMVNVSTKSKGPTDSEESSLKDGTSYLA SFPSDPNAKQFPNSRTVLPGLQQSLSDLWSTLSQPPSYGAREAETTSTGEITTNNHTK SNGSVPTNPAVLASNDEHTNISDAPVIYSTYNSPVPISSAPTSINSEALFKHRPKIVG DEETQNVKVKRQKKSYSRHMTRSFRKQLQSLIISQKGKIRDISMKLDTWSKQWNDLVE KSMFLPTIADPVSVGIISHEEATLRLHLYKTEISYLSKLPFIKVEENVSVDELRKKKP ILFSVIMSCVSIVLTPKQTTRGTIMKLDSFVLNLITNQIFKANNKSIEIIESLSTLCL WYNFFEWSSKTRYHIFNYICCCLTRDLGPTYVNRSFGMFSDEDPKRFKSPLELYSNGA SLTLLVYISALNISIFLRQSIQARWSHVTEKACEDLVKETKKSRHYDNDKLLLDSADD PILVQFAKMNHVLENIHTHLHERDLNDDEFDDPIFTKKYLNKLMEKYHKQLQEIFTKL DRNRPRVIAFYYSVEAYLYQYKLAVFIGEMSHTINEKVELPREIMDDFVKCYHCCKSA LEEFSKLEPILITSLPLFHTSRIIYTVGMLLLKLRYSVVAIPSFHDLMPLTDDAIALV IGVNNLLEKTSELYPFNNSLYKFRYVIALFCQTYANKVIDVADRYNAEREKLKEKQVI DEVSNGHDGTKPINAYVTESQKMPTEEDPIIDNNTNQNITAVPDEMLPVYSRVRDDTA AMNLNINSTSYMNESPHEHRESMTGTTLLPPPFISNDVTNSADSTNIKPSPSSSVDNL NDYLTDINSLAWGVNSLNDEFWTDLFMNDI YML075C MPPLFKGLKQMAKPIAYVSRFSAKRPIHIILFSLIISAFAYLSV IQYYFNGWQLDSNSVFETAPNKDSNTLFQECSHYYRDSSLDGWVSITAHEASELPAPH HYYLLNLNFNSPNETDSIPELANTVFEKDNTKYILQEDLSVSKEISSTDGTKWRLRSD RKSLFDVKTLAYSLYDVFSENVTQADPFDVLIMVTAYLMMFYTIFGLFNDMRKTGSNF WLSASTVVNSASSLFLALYVTQCILGKEVSALTLFEGLPFIVVVVGFKHKIKIAQYAL EKFERVGLSKRITTDEIVFESVSEEGGRLIQDHLLCIFAFIGCSMYAHQLKTLTNFCI LSAFILIFELILTPTFYSAILALRLEMNVIHRSTIIKQTLEEDGVVPSTARIISKAEK KSVSSFLNLSVVVIIMKLSVILLFVFINFYNFGANWVNDAFNSLYFDKERVSLPDFIT SNASENFKEQAIVSVTPLLYYKPIKSYQRIEDMVLLLLRNVSVAIRDRFVSKLVLSAL VCSAVINVYLLNAARIHTSYTADQLVKTEVTKKSFTAPVQKASTPVLTNKTVISGSKV KSLSSAQSSSSGPSSSSEEDDSRDIESLDKKIRPLEELEALLSSGNTKQLKNKEVAAL VIHGKLPLYALEKKLGDTTRAVAVRRKALSILAEAPVLASDRLPYKNYDYDRVFGACC ENVIGYMPLPVGVIGPLVIDGTSYHIPMATTEGCLVASAMRGCKAINAGGGATTVLTK DGMTRGPVVRFPTLKRSGACKIWLDSEEGQNAIKKAFNSTSRFARLQHIQTCLAGDLL FMRFRTTTGDAMGMNMISKGVEYSLKQMVEEYGWEDMEVVSVSGNYCTDKKPAAINWI EGRGKSVVAEATIPGDVVRKVLKSDVSALVELNIAKNLVGSAMAGSVGGFNAHAANLV TAVFLALGQDPAQNVESSNCITLMKEVDGDLRISVSMPSIEVGTIGGGTVLEPQGAML DLLGVRGPHATAPGTNARQLARIVACAVLAGELSLCAALAAGHLVQSHMTHNRKPAEP TKPNNLDATDINRLKDGSVTCIKS YML074C MSDLLPLATYSLNVEPYTPVPAIDVTMPITVRITMAALNPEAID EENKPSTLRIIKRNPDFEDDDFLGGDFDEDEIDEESSEEEEEEKTQKKKKSKGKKAES ESEDDEEDDDEDDEFQESVLLTLSPEAQYQQSLDLTITPEEEVQFIVTGSYAISLSGN YVKHPFDTPMGVEGEDEDEDADIYDSEDYDLTPDEDEIIGDDMDDLDDEEEEEVRIEE VQEEDEEDNDGEEEQEEEEEEEQKEEVKPEPKKSKKEKKRKHEEKEEEKKAKKVKKVE FKKDLEEGPTKPKSKKEQDKHKPKSKVLEGGIVIEDRTIGDGPQAKRGARVGMRYIGK LKNGKVFDKNTSGKPFAFKLGRGEVIKGWDIGVAGMSVGGERRIIIPAPYAYGKQALP GIPANSELTFDVKLVSMKN YML073C MSAQKAPKWYPSEDVAALKKTRKAARPQKLRASLVPGTVLILLA GRFRGKRVVYLKHLEDNTLLISGPFKVNGVPLRRVNARYVIATSTKVSVEGVNVEKFN VEYFAKEKLTKKEKKEANLFPEQQNKEIKAERVEDQKVVDKALIAEIKKTPLLKQYLS ASFSLKNGDKPHMLKF YML072C MTGIKAQVHPPPDSTLFHEEEKKKVGGNLPQKVINQQERGSDHA PSGHHQYHQLINHDANDTKTSNSVSDVSKGQKTADSNPEGKKQSSKDIFVASSAQKTN QLPGPNPQGSIGAVPLEGLRPKEFRSAPSRKPNKFDTSITKPGVLDDLGKLDEKDIKE KFHLDSDDKLFPWQNVGEFHASGKGSPNTKMSRVIKAYILENFYNDWYCNIATVLGTC FFSWLFAYIGFSWWSMIFIFLGTATVYNAEYTRFNRNIRDDLKRVTVEETLSDRVEST TWLNSFLSKFWVIYMPVLSQQVKDNVNPQLAGVAPGYGIDALAIDEFTLGSKAPTIKG IKSYTKTGKNTVEMDWSFAFTPSDVSDMTATEAREKINPKISLGVTLGKSFVSKTMPI LVEDINVAGKMRIKVEFGKAFPNIKIVSLQLLEPPLIDFALKPIGGDTLGLDVMSFLP GLKSFVKNIINSNIGPMLFPPNHLDINVEDIMAAQSKEAIGVLAVTIASADSLKGSDF ITNTVDPYIVMTTEDAVPGTDEEVRTSIKSNVKNPRWNETKYLLLNTLEQKLNLKCFD FNDVRKDTVIGDLQLDLADLLQNPVLDNQTAELRSGTKSKGILHYSLHWFPVKEDKSE EKAVERAEAKAKGKKEDENEDTTEKEEDENEESSQTDVGIAKITLQKVKYLDTTSSMT GSLSPCAELFIDGQKVKSYRTLRRINEPSWNETIEVLVPSKSNSKFVLKIFDDRMNGK ALICEYSSSLDDIMTTLDTAQEFVKGSPQGDIYLDVSWKSIEMTGAFAAANSVSEPIG CIKLDVKDAIIKGDLSGVGDVDPYYTVSLNRRVLYKSIYHSDTDHPIFDNSTYVPIFS PNQILTLEFHDYQKIGKDRFIGSVQIPTSNVFKKDPKSGKYVGNNGKEEISKLKLKDH EHKVTESIVNVSTTFIPINLVYSPEELVNVEKLEKELKEKKKKFEATQEENEQEMEKN PKEWEVAEIEDPFDSDEKKINRKAKLSLNELIKQKSGILSMQILEGTLSPSSAYLEIL ADDISYPVFICMKPSQGKLNSEMANIFIRDLNYSKLHFRVSKKHIAKDSDDVISETSY STLKLLKQAYEEPMWLNFNGSKMKVRFLYTPTSVKLPSSESVEDTGYLNIKLISGHGL KSADRNGYSDPFVHIFVNDKKVFKSNIKKKTLDPVWNEDAKIPILSRSKNQVIFNVLD WDRAGDNDDLGQASLDVSSLEVGKTYNWNLNLNTQGSIKLQGSFNPEYIKPSFDIVKG GITDKPMKIASGAAHATVGIAGTGIGAATGVATGGLKKGGHLLKSLGGNPMKRSKSSN GNESNGAKKSSEKKSFDRRSPSNLNSTSVTPRASLDYDPSVPNTSYAPVQSASPVVKP TDNTSSSSNKKDTPSSNSRGHSRASSFARTLAPHGTYNGFITVVAAENVAKHVQIKIS LTQGGRLKHIYKTKSQKANNDGVAVFDEECSFKASPEANLVLGAISHQRLSRDKDLGI AQINLGDPQIQQDGQISVKLGDGHLIVKINYGKDKNGQVPPVPEVPQEYTQ YML071C MELILNSLISDDLTEEQKRLSLDFLQDILQSNTKDYESYFSSRA VPGSITEDIAEIDAELSALDRKIRKTLLDNTSQIIGNILENDDRAQLDDIAKSLEQLW ELDTNINKAADRNVTNDDINNESVSIDDFLEDDKEDNDTGRIMTTESNNLARKKKEDE FHKALSRLRNRISTKEDDKDDIRSDTLVTVLENLDSITDLMELPFLARTCIRTGHYQE AVMLYTHTTSLRSRFPGSTIVDEVCEKVLNEISTTMLSGLVKLLSTNVSVNSLKKILQ YLNSIPPFDGKTNKSLLSVFLAMRYKFITDEIASYPLDVESSNESLIEMMVKRKIEVL REHVYMSLNVFLKSFLYDTNDLEIPFPEELESTVLRINGTNEEKEIEEKEKETKKEEY QKQDSVANNEEDVTENKSIEDVQEEVQGKVEGEDDGAERKTENEIENETVNKTEDKAE KEKEEEVNTKDNKAEKEEEEINKVEVTPEEPSKSIDNKAEKEEEEINKVEVTPEEPSK KIRTSKRENKIPTNAVMLQFVDKCITYVLKDLTRGLNSIKLSDSVCLQLVYCSFRLCD LNRNYHHLFLKKINDTSLFTTEQLARAIDKRAELASKYIYS YML070W MSAKSFEVTDPVNSSLKGFALANPSITLVPEEKILFRKTDSDKI ALISGGGSGHEPTHAGFIGKGMLSGAVVGEIFASPSTKQILNAIRLVNENASGVLLIV KNYTGDVLHFGLSAERARALGINCRVAVIGDDVAVGREKGGMVGRRALAGTVLVHKIV GAFAEEYSSKYGLDGTAKVAKIINDNLVTIGSSLDHCKVPGRKFESELNEKQMELGMG IHNEPGVKVLDPIPSTEDLISKYMLPKLLDPNDKDRAFVKFDEDDEVVLLVNNLGGVS NFVISSITSKTTDFLKENYNITPVQTIAGTLMTSFNGNGFSITLLNATKATKALQSDF EEIKSVLDLLNAFTNAPGWPIADFEKTSAPSVNDDLLHNEVTAKAVGTYDFDKFAEWM KSGAEQVIKSEPHITELDNQVGDGDCGYTLVAGVKGITENLDKLSKDSLSQAVAQISD FIEGSMGGTSGGLYSILLSGFSHGLIQVCKSKDEPVTKEIVAKSLGIALDTLYKYTKA RKGSSTMIDALEPFVKEFTASKDFNKAVKAAEEGAKSTATFEAKFGRASYVGDSSQVE DPGAVGLCEFLKGVQSAL YML069W MSTDFDRIYLNQSKFSGRFRIADSGLGWKISTSGGSAANQARKP FLLPATELSTVQWSRGCRGYDLKINTKNQGVIQLDGFSQDDYNLIKNDFHRRFNIQVE QREHSLRGWNWGKTDLARNEMVFALNGKPTFEIPYARINNTNLTSKNEVGIEFNIQDE EYQPAGDELVEMRFYIPGVIQTNVDENMTKKEESSNEVVPKKEDGAEGEDVQMAVEEK SMAEAFYEELKEKADIGEVAGDAIVSFQDVFFTTPRGRYDIDIYKNSIRLRGKTYEYK LQHRQIQRIVSLPKADDIHHLLVLAIEPPLRQGQTTYPFLVLQFQKDEETEVQLNLED EDYEENYKDKLKKQYDAKTHIVLSHVLKGLTDRRVIVPGEYKSKYDQCAVSCSFKANE GYLYPLDNAFFFLTKPTLYIPFSDVSMVNISRAGQTSTSSRTFDLEVVLRSNRGSTTF ANISKEEQQLLEQFLKSKNLRVKNEDREVQERLQTALGSDSDEEDINMGSAGEDDESV DEDFQVSSDNDADEVAEEFDSDAALSDAEGGSDEERPSKKPKVE YML068W MALTQFENDLEILRDMYPELEMKSVKVEEEGEFPQRINGKLLFK ISLLADVNIEFGEQHMLLSNLSNECVEFTIYSCHYPDIRRCVVMDIKSLWISTDEKKM LIDKALRLVEETVDMSIEFADSFTSILILIFGFLIDDTAILLFPNGIRKCLTQDQYDL FKQISEEATLQKVSRSNYHCCICMEMEKGVRMIKLPCENANVEHYLCRGCAKSYFTAM IQENRISSVRCPQCEYKELKLEDFKSYKKMLKALFTPLIPVSFLKEVIDTELCERYEK MFYNQAATRLSKYCPYACVTCRRCDSWCTKEDLDDAMIQCQKCHFVFCFDCLHAWHGY NNKCGKKVSLSTDIIEEYLDDTVTSYERKRKLEAKYGRRVLELEVNDYLAEKMLDLAI KKEGSNLQRCPKCKVVVERSEGCNKMKCEVCGTLFCFICGVLLYPEDPYEHFREAYSG CYGRLFEGMPGTET YML067C MAGLKTFDAFPKTEEQYKKKSTKGGLTSLLTYLFLLFIAWTEFG EYFGGYIDQQYVVDSQVRDTVQINMDIYVNTKCDWLQINVRDQTMDRKLVLEELQLEE MPFFIPYDTKVNDINEIITPELDEILGEAIPAEFREKLDTRSFFDESDPNKAHLPEFN GCHVFGSIPVNRVSGELQITAKSLGYVASRKAPLEELKFNHVINEFSFGDFYPYIDNP LDNTAQFNQDEPLTTYVYYTSVVPTLFKKLGAEVDTNQYSVNDYRYLYKDVAAKGDKM PGIFFKYNFEPLSIVVSDVRLSFIQFLVRLVAICSFLVYCASWIFTLLDMALITIMGP KWSLRYQPDDKTKGILDR YML066C MLFPKRLIVWGVLLILSLSQFVLYLPATTCTNSKGLRLCAPQFT ITVIGGSSTANEFIASVREFLRLISYLTIDMGWSNEFTDPSVYEDENLVDTFQPDKVF ELNYFGFCKRSNKSKVYCTSNENYGMDVLEVLVRDVGIQLGNISTTRSNETKKFGDSL VLTYRLALTSIRDFLKHDKHTGNALSKALIGSPDPNVKGVSPTKNYLKGVNLAFILMM FNGMVFYFAVLEIIVGFLSICVVSAFGGALSVGKRHRLFPMLLKSSSSILVVIATLTI LCNIVYLIALKTLEPEEVTDVGSDNAAVHTTGWELLKVNVGSGFIMGLARYAIQWVLL VLAFLAANHYKAKPKKSDKYTEDTSNSPSPDLMEK YML065W MAKTLKDLQGWEIITTDEQGNIIDGGQKRLRRRGAKTEHYLKRS SDGIKLGRGDSVVMHNEAAGTYSVYMIQELRLNTLNNVVELWALTYLRWFEVNPLAHY RQFNPDANILNRPLNYYNKLFSETANKNELYLTAELAELQLFNFIRVANVMDGSKWEV LKGNVDPERDFTVRYICEPTGEKFVDINIEDVKAYIKKVEPREAQEYLKDLTLPSKKK EIKRGPQKKDKATQTAQISDAETRATDITDNEDGNEDESSDYESPSDIDVSEDMDSGE ISADELEEEEDEEEDEDEEEKEARHTNSPRKRGRKIKLGKDDIDASVQPPPKKRGRKP KDPSKPRQMLLISSCRANNTPVIRKFTKKNVARAKKKYTPFSKRFKSIAAIPDLTSLP EFYGNSSELMASRFENKLKTTQKHQIVETIFSKVKKQLNSSYVKEEILKSANFQDYLP ARENEFASIYLSAYSAIESDSATTIYVAGTPGVGKTLTVREVVKELLSSSAQREIPDF LYVEINGLKMVKPTDCYETLWNKVSGERLTWAASMESLEFYFKRVPKNKKKTIVVLLD ELDAMVTKSQDIMYNFFNWTTYENAKLIVIAVANTMDLPERQLGNKITSRIGFTRIMF TGYTHEELKNIIDLRLKGLNDSFFYVDTKTGNAILIDAAGNDTTVKQTLPEDVRKVRL RMSADAIEIASRKVASVSGDARRALKVCKRAAEIAEKHYMAKHGYGYDGKTVIEDENE EQIYDDEDKDLIESNKAKDDNDDDDDNDGVQTVHITHVMKALNETLNSHVITFMTRLS FTAKLFIYALLNLMKKNGSQEQELGDIVDEIKLLIEVNGSNKFVMEIAKTLFQQGSDN ISEQLRIISWDFVLNQLLDAGILFKQTMKNDRICCVKLNISVEEAKRAMNEDETLRNL YML064C MATPSTGANNSIPAVRNQVEVQVGLVGDAQVGKTSLMVKYVQNI YDKEYTQTLGVNFLKRKVSIRSTDIIFSIMDLGGQREFINMLPIATVGSSVIIFLFDL TRPETLSSIKEWYRQAYGLNDSAIPILVGTKYDLLIDLDPEYQEQISRTSMKYAQVMN APLIFCSTAKSINIQKIFKIALAKIFNLTLTIPEINEIGDPLLIYKHLGGQQHRHHNK SQDRKSHNIRKPSSSPSSKAPSPGVNT YML063W MAVGKNKRLSRGKKGLKKKVVDPFTRKEWFDIKAPSTFENRNVG KTLVNKSTGLKNASDALKGRVVEVCLADLQGSEDHSFRKVKLRVDEVQGKNLLTNFHG MDFTTDKLRSMVRKWQTLIEANVTVKTSDDYVLRIFAIAFTRKQANQVKRHSYAQSSH IRAIRKVISEILTREVQNSTLAQLTSKLIPEVINKEIENATKDIFPLQNIHVRKVKLL KQPKFDVGALMALHGEGSGEEKGKKVSGFKDEVLETV YML062C MPLSQKQIDQVRTKVHYSEVDTPFNKYLDILGKVTKLTGSIING TLSNDDSKIEKLTEQNISQLKESAHLRFLDLQSSIDTKKVADENWETCQQETLAKLEN LKDKLPDIKSIHSKLLLRIGKLQGLYDSVQVINREVEGLSEGRTSLVVTRAEWEKELG TDLVKFLIEKNYLKLVDPGLKKDSSEERYRIYDDFSKGPKELESINASMKSDIENVRQ EVSSYKEKWLRDAEIFGKITSIFKEELLKRDGLLNEAEGDNIDEDYESDEDEERKERF KRQRSMVEVNTIENVDEKEESDHEYDDQEDEENEEEDDMEVDVEDIKEDNEVDGESSQ QEDNSRQGNNEETDKETGVIEEPDAVNDAEEADSDHSSRKLGGTTSDFSASSSVEEVK YML061C MPKWIRSTLNHIIPRRPFICSFNSFLLLKNVSHAKLSFSMSSRG FRSNNFIQAQLKHPSILSKEDLDLLSDSDDWEEPDCIQLETEKQEKKIITDIHKEDPV DKKPMRDKNVMNFINKDSPLSWNDMFKPSIIQPPQLISENSFDQSSQKKSRSTGFKNP LRPALKKESSFDELQNNSISQERSLEMINENEKKKMQFGEKIAVLTQRPSFTELQNDQ DDSNLNPHNGVKVKIPICLSKEQESIIKLAENGHNIFYTGSAGTGKSILLREMIKVLK GIYGRENVAVTASTGLAACNIGGITIHSFAGIGLGKGDADKLYKKVRRSRKHLRRWEN IGALVVDEISMLDAELLDKLDFIARKIRKNHQPFGGIQLIFCGDFFQLPPVSKDPNRP TKFAFESKAWKEGVKMTIMLQKVFRQRGDVKFIDMLNRMRLGNIDDETEREFKKLSRP LPDDEIIPAELYSTRMEVERANNSRLSKLPGQVHIFNAIDGGALEDEELKERLLQNFL APKELHLKVGAQVMMVKNLDATLVNGSLGKVIEFMDPETYFCYEALTNDPSMPPEKLE TWAENPSKLKAAMEREQSDGEESAVASRKSSVKEGFAKSDIGEPVSPLDSSVFDFMKR VKTDDEVVLENIKRKEQLMQTIHQNSAGKRRLPLVRFKASDMSTRMVLVEPEDWAIED ENEKPLVSRVQLPLMLAWSLSIHKSQGQTLPKVKVDLRRVFEKGQAYVALSRAVSREG LQVLNFDRTRIKAHQKVIDFYLTLSSAESAYKQLEADEQVKKRKLDYAPGPKYKAKSK SKSNSPAPISATTQSNNGIAAMLQRHSRKRFQLKKESNSNQVHSLVSDEPRGQDTEDH ILE YML060W MSYKFGKLAINKSELCLANVLQAGQSFRWIWDEKLNQYSTTMKI GQQEKYSVVILRQDEENEILEFVAVGDCGNQDALKTHLMKYFRLDVSLKHLFDNVWIP SDKAFAKLSPQGIRILAQEPWETLISFICSSNNNISRITRMCNSLCSNFGNLITTIDG VAYHSFPTSEELTSRATEAKLRELGFGYRAKYIIETARKLVNDKAEANITSDTTYLQS ICKDAQYEDVREHLMSYNGVGPKVADCVCLMGLHMDGIVPVDVHVSRIAKRDYQISAN KNHLKELRTKYNALPISRKKINLELDHIRLMLFKKWGSYAGWAQGVLFSKEIGGTSGS TTTGTIKKRKWDMIKETEAIVTKQMKLKVELSDLHIKEAKID YML059C MRSMNCTTNNTNNTGQNTKNSLGSSFNSSNYTSYRFQTCLTDQI ISEAQTWSLSSLFNFSWVVSYFVMGASRMIFRYGWYLATLSLLRIPKWIFFKLHHVQF TLSFWLILFALAVIVFVTYTIMKERILSQYKRLTPEFLPLENTGKSGSSANINAASTQ SANAPPAIGSSTTGASSIIDSKKHSLKDGNENETFLSSYLDQFLSAIKIFGYLEKPVF HDLTKNMKTQKMDEGEILLLDSTIGFAIVVEGTLQLYHEVDHSDKDHGDETDHSDTDG LDDQDRDEEDEEEDDDIDNYDTKSCSSNLIDEEDESVGYIHLKNGLGNFQLLNTVKPG NPLTSLVSILNLFTHSMSSYGNSNFPSELSSPIDTTVSVNNMFCSSEQNFSNTDSMTN STNSFPTFPSSMPKLVARAATDCTIGIIPPQSFAKLTAKYPRSASHIIQMVLTKLYHV TFQTAHDYLGLTKEIMDIEVLLNKSIVYELPYYLKEAVIRKFKTVDKSSGSADLEPKP KNSNASSKLKKPPKAKPSDGIIQSLKIANANANTSSNSLSLKPEFTHHPSSRHVVLGS RDQFNPGDLLSNVPLSRTMDILSPNPIHNNNRNKSNGINTSTSNQHKRSSRSSSNNAS VHSKKFSSLSPELRNAQLSTSPLSLDNTSVHDHIHPSPVHLKGRVSPRPNLLPTTSFS AAQEETEDSALRMALVEAMLTYLGVNKSNMSVSSSSIANMSSLNSPQLNEMYSRRPSN ASFLMSPHCTPSDISVASSFASPQTQPTMLRILPKEYTISNKRHNKSKSQDKKKPRAY KEELTPNLDFEDVKKDFAQGIQLKFFKKGTTIVEQNARGKGLFYIISGKVNVTTNSSS SVVSSMSKPEQVSAQSSHKGENPHHTQHLLYSVGSGGIVGYLSSLIGYKSFVNIVAKS DVYVGFLSSATLERLFDKYFLIYLRISDSLTKLLSSRLLKLDHALEWVHLRASETLFS QGDSANGIYVVLNGRLRQLQQQSLSNSNTSSEEVETQNIILGELAQGESFGEVEVLTA MNRYSTIVAVRDSELARIPRTLFELLALEHPSIMIRVSRLVAKKIVGDRTVPALTGDP LSIKENDFTSLIPPTKASYSSSLSHKPQNITSGTITFRTITILPITSGLPVEAFAMKL VQAFKQVGRTTIGLNQRTTLTHLGRHAFDRLSKLKQSGYFAELEEMYQTVVYISDTPV KSNWTRTCIAQGDCILLLADARSPSAEIGEYEKLLLNSKTTARTELILLHPERYVEPG LTHKWLRYRPWVHSHHHIQFSLTGTTLMNEGKMHVLNNGALALMDKLIQTEFSRKTQQ NISKLLPDSIKNTVENFSSRFMKSKRQYYTPVHRHKNDFLRLARILSGQAIGLVLGGG GARGISHLGVIQAIEEQGIPVDVIGGTSIGSFVGGLYAKDYDLVPIYGRVKKFAGRIS SIWRMLTDLTWPVTSYTTGHEFNRGIWKTFGDTRIEDFWIQYYCNSTNITDSVQEIHS FGYAWRYIRASMSLAGLLPPLEENGSMLLDGGYVDNLPVTEMRARGCQTIFAVDVGSA DDRTPMEYGDSLNGFWIIFNRWNPFSSHPNIPNMAEIQVRLGYVASVNALEKAKNTPG VVYVRPPIEEYATLDFSKFEEIYHVGVDYGRIFLQGLIDDDKMPYIPGSQETTLNSQV PEFLLHRRNSI YML058W-A MTMDQGLNPKQFFLDDVVLQDTLCSMSNRVNKSVKTGYLFPKDH VPSANIIAVERRGGLSDIGKNTSN YML058W MQNSQDYFYAQNRCQQQQAPSTLRTVTMAEFRRVPLPPMAEVPM LSTQNSMGSSASASASSLEMWEKDLEERLNSIDHDMNNNKFGSGELKSMFNQGKVEEM DF YML057W MSSDAIRNTEQINAAIKIIENKTERPQSSTTPIDSKASTVAAAN STATETSRDLTQYTLDDGRVVSTNRRIMNKVPAITSHVPTDEELFQPNGIPRHEFLRD HFKREGKLSAAQAARIVTLATELFSKEPNLISVPAPITVCGDIHGQYFDLLKLFEVGG DPATTSYLFLGDYVDRGSFSFECLIYLYSLKLNFNDHFWLLRGNHECKHLTSYFTFKN EMLHKYNLDIYEKCCESFNNLPLAALMNGQYLCVHGGISPELNSLQDINNLNRFREIP SHGLMCDLLWADPIEEYDEVLDKDLTEEDIVNSKTMVPHHGKMAPSRDMFVPNSVRGC SYAFTYRAACHFLQETGLLSIIRAHEAQDAGYRMYKNTKTLGFPSLLTLFSAPNYLDT YNNKAAILKYENNVMNIRQFNMTPHPYWLPDFMDVFTWSLPFVGEKVTEMLVAILNIC TEDELENDTPVIEELVGTDKKLPQAGKSEATPQPATSASPKHASILDDEHRRKALRNK ILAVAKVSRMYSVLREETNKVQFLKDHNSGVLPRGALSNGVKGLDEALSTFERARKHD LINEKLPPSLDELKNENKKYYEKVWQKVHEHDAKNDSK YML056C MSAAPLDYKKALEHLKTYSSKDGLSVQELMDSTTRGGLTYNDFL VLPGLVNFPSSAVSLQTKLTKKITLNTPFVSSPMDTVTEADMAIYMALLGGIGFIHHN CTPKEQASMVKKVKMFENGFINSPIVISPTTTVGEVKVMKRKFGFSGFPVTEDGKCPG KLVGLVTSRDIQFLEDDSLVVSEVMTKNPVTGIKGITLKEGNEILKQTKKGKLLIVDD NGNLVSMLSRADLMKNQNYPLASKSATTKQLLCGAAIGTIEADKERLRLLVEAGLDVV ILDSSQGNSVFQLNMIKWIKETFPDLEIIAGNVATREQAANLIAAGADGLRIGMGSGS ICITQEVMACGRPQGTAVYNVCQFANQFGVPCMADGGVQNIGHITKALALGSSTVMMG GMLAGTTESPGEYFYKDGKRLKAYRGMGSIDAMQKTGNKGNASTSRYFSESDSVLVAQ GVSGAVVDKGSIKKFIPYLYNGLQHSCQDIGCESLTSLKENVQNGEVRFEFRTASAQL EGGVHNLHSYEKRLYN YML055W MSSAKPINVYSIPELNQALDEALPSVFARLNYERSYALLDAKLY IGYSIAVVAGLSFFLDKKFERDQIVTYQKLLVGAYFVLSLLFWYFSRFIEKGTVYVGK RRGTKEEIYVKTKFEKNEPLYLVELVQKKKGENSKKELKAKLEVNKVFNESGYLQNDA YFKWFSEQHNVLDTKKNE YML054C MLKYKPLLKISKNCEAAILRASKTRLNTIRAYGSTVPKSKSFEQ DSRKRTQSWTALRVGAILAATSSVAYLNWHNGQIDNEPKLDMNKQKISPAEVAKHNKP DDCWVVINGYVYDLTRFLPNHPGGQDVIKFNAGKDVTAIFEPLHAPNVIDKYIAPEKK LGPLQGSMPPELVCPPYAPGETKEDIARKEQLKSLLPPLDNIINLYDFEYLASQTLTK QAWAYYSSGANDEVTHRENHNAYHRIFFKPKILVDVRKVDISTDMLGSHVDVPFYVSA TALCKLGNPLEGEKDVARGCGQGVTKVPQMISTLASCSPEEIIEAAPSDKQIQWYQLY VNSDRKITDDLVKNVEKLGVKALFVTVDAPSLGQREKDMKLKFSNTKAGPKAMKKTNV EESQGASRALSKFIDPSLTWKDIEELKKKTKLPIVIKGVQRTEDVIKAAEIGVSGVVL SNHGGRQLDFSRAPIEVLAETMPILEQRNLKDKLEVFVDGGVRRGTDVLKALCLGAKG VGLGRPFLYANSCYGRNGVEKAIEILRDEIEMSMRLLGVTSIAELKPDLLDLSTLKAR TVGVPNDVLYNEVYEGPTLTEFEDA YML054C-A MIPFPAQHEIFHAYIGRITPHSSRCIANMWHSAHFFHENSLSIM KTLVPWTL YML053C MLSYYEHNTAFQTNNCNSGSNAATTYNSDANNDTIMNKRKNDHF EFDTHTFYQRSKRTKRDSVSTKFSVGSGCANLNNNNNNIIINNNNNNNNNNNNHNHNN SNNTATYNNIHYKKNIEICPLKPVSMHHTMNSRLLNESEFYSETEEYMIHGYFGNTNR DITGTSPTGSASIIQHQYHLLPSQSIIASQAPGTAMAALTNNNIANDYMDID YML052W MVKVWNIVLRLVVLLFLAGNTLLLILMIISGATDHYPVNRFYWV QGNTTGIPNAGDETRWTFWGACLQDKDGSDTCTSNLAPAYPISPVDNFNTHINVPHQF ISKRDAFYYLTRFSFCFFWIALAFVGVSFILYVLTWCSKMLSEMVLILMSFGFVFNTA AVVLQTAASAMAKNAFHDDHRSAQLGASMMGMAWASVFLCIVEFILLVFWSVRARLAS TYSIDNSRYRTSSRWNPFHREKEQATDPILTATGPEDMQQSASIVGPSSNANPVTATA ATENQPKGINFFTIRKSHERPDDVSV YML051W MDYNKRSSVSTVPNAAPIRVGFVGLNAAKGWAIKTHYPAILQLS SQFQITALYSPKIETSIATIQRLKLSNATAFPTLESFASSSTIDMIVIAIQVASHYEV VMPLLEFSKNNPNLKYLFVEWALACSLDQAESIYKAAAERGVQTIISLQGRKSPYILR AKELISQGYIGDINSIEIAGNGGWYGYERPVKSPKYIYEIGNGVDLVTTTFGHTIDIL QYMTSSYFSRINAMVFNNIPEQELIDERGNRLGQRVPKTVPDHLLFQGTLLNGNVPVS CSFKGGKPTKKFTKNLVIDIHGTKGDLKLEGDAGFAEISNLVLYYSGTRANDFPLANG QQAPLDPGYDAGKEIMEVYHLRNYNAIVGNIHRLYQSISDFHFNTKKIPELPSQFVMQ GFDFEGFPTLMDALILHRLIESVYKSNMMGSTLNVSNISHYSL YML050W MLRITVKTLQQRASFHHSFKHISVPDLHTRAQNDQTNCYCQEIN ARLPSKTDPLDPHIKLPHRTPNYNKHVLLLSPGDRFAQPWKVAWNHNLDTNTNRPYNA ISKLRSHLGGSPGILINAVHLQNEFIPRPKQHDEWLYFFVIPDMKLYVIKETDIEEFA SFLDEGAIQAPKLSFQDYLSGKAKASQQVHEVHHRKLTRFQGETFLRDWNLVCGHYKR DAKCGEMGPDIIAAFQDEKLFPENNLALISHIGGHIFAGNVIFYKLFGREKMQNKLDS LWFGKVYPHNLKLLCENLENGKIIDEMYRGGISMN YML049C MWGGGKMAVVSLSPHTAKMRKLFGQASTTMAYDGLKREAERRTR SDHNITMVAKDDELYLYHLTLKKQTNFVHSCIGHFVDLEAGSKREQSQLCVATETHLE LYDTADGELKLIAKFQNLFATITSMKSLDLPHSGSRAKASNWPTFLALTSDSGNLSIV QIIMHAGALRLKTLVNQPLTRTTLRRVSPISYMEIDPNGRCIILSSVEQNKLCFLVDY AQKLRISSPLEIIRPHMVTLDMAVVDVNFNNPCFVTLEIDNAATQLSVHLIFYVLELG LNHIVKKADYLVNPSANFVLSLPDLSRYNITTSLSDNNYDADYDTLFNPFVVIGFENH ILVKDMNGFFSLKVEIPKRSITNSRHKNVTIISGIVQKLKNDFFVLLQSNHGDLFKLT VSPDTNDRNRPLVQLSYFDTIQNSHQLHIFKNGYLFALSEMNNNFLFQFEKLGVEKND FSNVLTSKDPNKSLVFEPSIKLQNLSILSQQLNLNPSIKSQIVSDSPLSIATKHFTNN KIITLTNAVNYSNLISTSLPPNATKLWLIPDPATTGDNNTLLFITFPKKTMILQIDNE SMEELTPDEATRSAFKLSQDTTIHTCLMGSHSIIQVCTAELRHIVPTGKSRYSNKLTW VPPAGIRIVCATSSKTQLIISLSNYELVYFKIDVSSDSLIELTTHPELDTMPSKVAIV QDTQHADLLAIADNEGMIKIMSLKDQKEDFLTVISLQLVSEKISDMIMVRDSSIGQLN LHVGLENGVYMKFHIGDVDGSFTDIKRRFLGLKPVSLSYLREISVSLNNEEEEEEEED DDDEKEEEEINSSGAKWMSCVVCHSSSTWVSYTWKNVWTIRQLKDQNMLSCSKFVNAD VAINGVCSISSSGRLNIGRVSNFPTLDNWFHVHESSVNKQENGGGDESNEEEEDEMEE EMEMLQISTFRPRTILSFPNNPKSILFIDNHSGKKQCRISLQIDGECLKFGSSDHLYK ILDDIDCVSAAIIDFTRQADHLIICAGDKRLLTYKILVNKDKLSFDIELLHQTEIISP IHAMLKFKNFLLTAMGSTIVLYGLGKKQLLRRSVTQTPVSITKIVSMHQWNYERLAVG DIHESVTLFIWDPAGNVFIPYVDDSVKRHVTVLKFLDEATVIGADRYGNAWTLRSPPE CEKIMSNHDPSELSNGAIKYPLDVITLQQKLPNTYDCKFKFQLLNHFFVNDIITDFHI LDSLSNSDRPGCIYMGLQGTVGCFIPLLSKGNVFMMGNIENIMAEADDTFYLDYESRK KNNNMRKEDDEEESGSVVLQGRHGIEDEIICEGSCSILGRDHQEYRSYYAPVRKVIDG DLCENFLRLSLNEQEFLAKNLKSVQVEDIIQTINEVRTNYM YML048W MEIYIRLNADVEHDYAFQVSNEDTINNKIKKIFPSKTGLADLMV LRPSIFHEKEPVKFYKSIHPGYLSEGGCLMFHYEADNEENLEELNDSKPLIDQLWPGQ LVVPEWKLSKKNIWVYTIIMLAWLYTDLPDAISPTPGICLTNQLSRLLIPVAKHMDLP EIAAKLEQEVQANYSSLVAQWLFFVMHIFKVGIITLFLKLGIANPISFNPYKLWSLRD LTSPSANGAKNSGGNNNTTDLKTRLRSLGWIGAKRATYDDYQTNYYNYVIDKMGGAVA AYRAGAIRKAAAPGIQLVAGEGFQSPLEDRFTASTFTAIKTERKFILSEEYFVELENN LKKILEEYDGDIGKMNAEIRRFRRFGIYEPDEKLASLVKLRREIADEKEKASNNDATF GIKKNDLKKSN YML047C MESSLQKLKFQDIDINLIPTAKWTTKLQYILYTWCQSILHVAMF FSDIYTCIKLLAFNTWSNNIIQPFLEFRISKWLFSGCILCSSLILIWELVIGLRVYRK KEITSNYMNGISRLINCLFNFKKYQIFELIVLTDEKKFSKWLFFSYFEISGCLRLLFG DSPRQIINGLTLWSVLLTVSNETSSGTHSTQSLGNLDDLNGIINKIKHIAKTNYEESV ILSFMLFSFIIWVILISKLILSIIIFIIFIRPRFLSSKRKVKGYELKLRKYVSKVIDE NLSRTVYELGILIDDEEEGTICGDNKTQKKFDYDSPDYGDESTIPSYYCYSDVETYER VYTPIKAYFPQKYKHKYI YML046W MPDETNFTIEDIEPRPDALRGLDTQFLQDNTALVQAYRGLDWSD ISSLTQMVDVIEQTVVKYGNPNDSIKLALETILWQILRKYPLLFGFWKRFATIEYQLF GLKKSIAVLATSVKWFPTSLELWCDYLNVLCVNNPNETDFIRNNFEIAKDLIGKQFLS HPFWDKFIEFEVGQKNWHNVQRIYEYIIEVPLHQYARFFTSYKKFLNEKNLKTTRNID IVLRKTQTTVNEIWQFESKIKQPFFNLGQVLNDDLENWSRYLKFVTDPSKSLDKEFVM SVFDRCLIPCLYHENTWMMYIKWLTKKNISDEVVVDIYQKANTFLPLDFKTLRYDFLR FLKRKYRSNNTLFNNIFNETVSRYLKIWPNDILLMTEYLCMLKRHSFKNSLDQSPKEI LEKQTSFTKILETSITNYINNQIDAKVHLQTLINDKNLSIVVVELIKTTWLVLKNNMQ TRKYFNLYQKNILIKNSVPFWLTYYKFEKSNVNFTKLNKFIRELGVEIYLPTTVMNDI LTDYKTFYLTHSNIVTYESSIIDSNTFDPILYPELKMSNPKYDPVLNTTANVDWHKKT EWKEAGHIGITTERPQISNSIIECNSGTLIQKPISLPNFRNLEKINQVKINDLYTEEF LKEGK YML045W MESQQLSNYPNISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENLHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSH LVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YML045W-A MESQQLSNYPNISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENLHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YML043C MFEVPITLTNRKFAQRRKLKYQYINYISRRFDRISKKSTTTDSL PTPENSAAENNDEEEGQNSEAGTYRRSVLQQKKRRRERHWRSVVGEIYSTTESETDSQ EEETEEGGEHDTGIDKEDSDEERKFWKKYEKPEKSFEIWRTVSSQNKQPINKQKMTYH NFKKIEKIPLRKMEIPLLHCTKENKLYFQSISRGLEPLKTSTSEVRNYRTRHIVTLTD LLHLNVSRHNWSLAYKIFATLIRIPGVQIKSLWGIGVEILDNLSNSSSGLDFLQWMCQ IYSSKSRFVQNINYRSIVPPFQTGSRTHTAKFAITYLWSSLINCQKSMEPSSNIIDKP FDTENDLLQELIDKISEWVLTPPFMEDAEVWFIYASCHLLKADTLSRQFVNDNKNNDL IGLDRDIKINQVIKHIHYVRTFLKICLDKGGFAVPSRLIENQLKSFESRLYGEAQDIQ ERDVANVYDSIDNSSVENSFGDVYETNAEFLDTQLMDLSPEDNGLDEMHYSDEDSSE YML042W MRICHSRTLSNLKDLPITSRRAMHSAIVNYSTQKAQFPVETNNG EHYWAEKPNKFYQNKRPNFQGITFAKQQDLPSLPVPELKSTLDKYLQTIRPFCNDVET FERQQLLCKDFSEHMGPILQDRLKEYANDKRNWMAKFWDEQSYLQYNDPIVPYVSYFY SHMPLPNHLSKIDNDPLIKATAIISTVVKFIEAIKDESLPVEIIKGMPFCMNSFSLMF NTSRLPGKPEDNQDTNIFYSVYENNFVTIAYKGKFYKLMTHDGNDKPLSENEIWRQLY SVVFQGSQSDPKLGGIGSLTSLPRDQWREVHLELMKDPISQDSLETIHKSSFMLCLDL DQSPVTLEEKSRNCWHGDGINRFYDKSLQFLVTGNGSSGFLAEHSKMDGTPTLFLNNY VCQQLNKLDVDDFMRKVITPSSTVAMKPMELPFIITPKIHKAIESAQLQFKETIGEHD LRVWHYNKYGKTFIKRHGMSPDAFIQQVIQLAVFKYLKRQLPTYEAASTRKYFKGRTE TGRSVSTASLEFVSKWQNGDVPIAEKIQALKHSAKEHSTYLKNAANGNGVDRHFFGLK NMLKSNDDQIPPLFKDPLFNYSSTWLISTSQLSSEYFDGYGWSQVNDNGFGLAYMLNN EWLHINIVNKPAKSGASVNRLHYYLSQAADEIFDALENENKRKAKL YML041C MKALVEEIDKKTYNPDIYFTSLDPQARRYTSKKINKQGTISTSR PVKRINYSLADLEARLYTSRSEGDGNSISRQDDRNSKNSHSFEERYTQQEILQSDRRF MELNTENFSDLPNVPTLLSDLTGVPRDRIESTTKPISQTSDGLSALMGGSSFVKEHSK YGHGWVLKPETLREIQLSYKSTKLPKPKRKNTNRIVALKKVLSSKRNLHSFLDSALLN LMDKNVIYHNVYNKRYFKVLPLITTCSICGGYDSISSCVNCGNKICSVSCFKLHNETR CRNR YML039W MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDRSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YML040W MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YML038C MNRTVFLAFVFGWYFCSIALSIYNRWMFDPKDGLGIGYPVLVTT FHQATLWLLSGIYIKLRHKPVKNVLRKNNGFNWSFFLKFLLPTAVASAGDIGLSNVSF QYVPLTIYTIIKSSSIAFVLLFGCIFKLEKFHWKLALSVIIMFVGVALMVFKPSDSTS TKNDQALVIFGSFLVLASSCLSGLRWVYTQLMLRNNPIQTNTAAAVEESDGALFTENE DNVDNEPVVNLANNKMLENFGESKPHPIHTIHQLAPIMGITLLLTSLLVEKPFPGIFS SSIFRLDTSNGGVGTETTVLSIVRGIVLLILPGFAVFLLTICEFSILEQTPVLTVSIV GIVKELLTVIFGIIILSERLSGFYNWLGMLIIMADVCYYNYFRYKQDLLQKYHSVSTQ DNRNELKGFQDFEQLGSKKIAPYSISVDLTNQEYELDMIAQNVSRSSQQV YML037C MDENKIIDQLFSKEYTPQDDSEQAKNGDVSLYGLLDEVANGRRL MNCLFHSPMQMGNKLSTDKLDGKCRQIQRDWIDEEKTITMNSGALQLDGPVLFSWSHN VAPTSHQETINTTFKQGSPSRGSNKPKITTTSQLFDRASAEIDKCIKPNSKSWMVEER FERNEAHTADGKKPSTWANSDFKVDPLQKFVVKELPKEKKKSDGDKTKKNKSKRKSFF GFWGHSGSKSGSKKKSEKPIEAKNEIQDEVSQKSGLSPDDDTTFSDKNTIQSKQESMS DQQAEPKVHEPAVTNTGCSEHDDGDGFEQVPAQSSYHPSSEPSIASTPSLTLDSFIPL QPKKKI YML036W MVVSIIPQFPDIKVSLALFEQVKNAKEIRSKMSELSTSFAFIDP RLVCSGEQMYSAIYKTLIEVKYNKMRTRNLNSECVLCLSPTSNISDAFLKFGIKDDSS QLICLKFHTNTDDVDKEQLRTIMTSIVKGQEIEFNDDNLSRFYDEALIRKIYKLSDDF KPQDVNGLSRALVDAIQLRGV YML035C MDNQATQRLNDLSLEPAPSHDEQDGSGLVIDIDQRKIGDEQAGV VVDDETPPLEQQDSHESLAADSRNANFSYHENQQLLENGTKQLALDEHDSHSAILEQP SHSTNCSSSNIAAMNKGHDSADHASQNSGGKPRTLSASAQHILPETLKSFAGAPVVNK QVRTSASYKMGMLADDASQQFLDDPSSELIDLYSKVAECRNLRAKYQTISVQNDDQNP KNKPGWVVYPPPPKPSYNSDTKTVVPVTNKPDAEVFDFTKCEIPGEDPDWEFTLNDDD SYVVHRSGKTDELIAQIPTLRDYYLDLEKMISISSDGPAKSFAYRRLQYLEARWNLYY LLNEYQETSVSKRNPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKHKLRHSKDEKVIF RDGKLLTLDEVFRSLHLTGYDLSIDTLDMHAHKDTFHRFDKFNLKYNPIGESRLREIF LKTNNYIKGTYLADITKQVIFDLENSKYQNCEYRISVYGRSLDEWDKLASWVIDNKVI SHNVRWLVQIPRLYDIYKKTGIVQSFQDICKNLFQPLFEVTKNPQSHPKLHVFLQRVI GFDSVDDESKVDRRFHRKYPKPSLWEAPQNPPYSYYLYYLYSNVASLNQWRAKRGFNT LVLRPHCGEAGDPEHLVSAYLLAHGISHGILLRKVPFVQYLYYLDQVGIAMSPLSNNA LFLTYDKNPFPRYFKRGLNVSLSTDDPLQFSYTREPLIEEYSVAAQIYKLSNVDMCEL ARNSVLQSGWEAQIKKHWIGKDFDKSGVEGNDVVRTNVPDIRINYRYDTLSTELELVN HFANFKRTIEEK YML034W MNSDLEYLEDGFDPNSMKVATLRRILVENNVDFPSNARKNALVG LFDEKVKPQIPQLRKMYLNVRPSDEGIVKMDRPSSSPSIASPRRSRRARREKSASPMA KQFKKNRILDDVSNDDDDDDDDDDDNDKKDDPLIVPSGTDTDEVDDEEDDVITSSSNK SDTNDFQQNSDTRKKRKDPDSDDWSESNSKENKIDNKHLNLLSSDSEIEQDYQKAKKR KTSDLNQEHGNGSAILGKLSVKTPIKNTNRKPVSMDNFNDSLTSSGTENDPFVPNIRH NPKELGTANGTGHSTPLSKLKVSASFADKLPQKEVPSTILVPEVEQQEPSQSERTPSL FSSEGSGSESEAPLLPEITTPGPHQPMGNTSNNVVEMIDTDSSNLVSDEDEVLVPTRI ETPQLPTEKDVEKCEARVQELQEEVNEQLEHENGSEFDVKQGSGKVGNRHKFKRALKF LSKSLLALFLFCIFIVIPLLFGLWYREQRLLIGYCGHEVPSHRVSGNSFEFIQKLDNL LQDYRPKCIPCPPNGICYPYLKLKCKPDYKLAPSRLDFLEIIPAQGKCVKDDKKQQLV SEVVEKSLEFLRAKNAQISCGDGKDDIESGMTEDALYQIFNEARAPWIRDDEFEDLWI QVIKDLTEEPEILWRQLSPTDNNIGGNSNNIIKTNDVPRQKRHLPEKFISKTRNFRST SKKYIGMKCRFEREIYQTYKKFQRPIWLMFLLIVISKVIEIKLKNYYRKKARIEELVT QTMEKLKFQKIKSMSDPKENAYLSIVQLRDIFLSDIVDLKYKNQLWSEVVKYLEHNNS NIKSNLTEIRGEIMKCWEWIGPMELNEPKDSAENKI YML032C MNEIMDMDEKKPVFGNHSEDIQTKLDKKLGPEYISKRVGFGTSR IAYIEGWRVINLANQIFGYNGWSTEVKSVVIDFLDERQGKFSIGCTAIVRVTLTSGTY REDIGYGTVENERRKPAAFERAKKSAVTDALKRSLRGFGNALGNCLYDKDFLAKIDKV KFDPPDFDENNLFRPTDEISESSRTNTLHENQEQQQYPNKRRQLTKVTNTNPDSTKNL VKIENTVSRGTPMMAAPAEANSKNSSNKDTDLKSLDASKQDQDDLLDDSLMFSDDFQD DDLINMGNTNSNVLTTEKDPVVAKQSPTASSNPEAEQITFVTAKAATSVQNERYIGEE SIFDPKYQAQSIRHTVDQTTSKHIPASVLKDKTMTTARDSVYEKFAPKGKQLSMKNND KELGPHMLEGAGNQVPRETTPIKTNATAFPPAAAPRFAPPSKVVHPNGNGAVPAVPQQ RSTRREVGRPKINPLHARKPT YML031W MIQTPRELLNPRYTYHTIFSDVCKTRFNHLVTRLFFICSIIQTV VISLLALPHSPLWELALAFIPNILALNLVSLLIIVTRKNYMHVKNFGFANSLTFILGQ LLSVKFLVYQGVYSMGSILLSFVLGVVFGRGGSGWKPYYKLFIWLVVPTIYNLQHHVT DADKLSFNCENFFQAPQDYVLERVKRIMEKSVILSVISMFVLPIFTTVFFSRQKSGLF DSFTNGVLAVTNLLIISCIIFITFEFINIAFDAHMSIGCLHKGKLISNLSSTPMETLL SGLSADKPFTRLTAYQELAYRATSLDPSLRAPIYHSKFRSSSGNTWSLILNECLKTIQ INNEKVVQYLRSVQDLGGSATARHKKKVENLDYMYENGKLTSANERLFGNRPSMMAPL RDNGLLDESPNRLRVRTDDSVLLNRGNKKRHRSSYYDNDLDETTQTFNGSIFTHETTF MTAMRLMLKKLKNSIMSFIFPSYAERQSSDESDNYRLLPNGSNKAQISIIDIWSISKK RQAEKLVPLPICHANSVVALTGLLIRSKTEDPKGGIIASVGDILKTLERSICALGEFA DWDPESMAYTAFQTQRTAQDRVQQDSEDEDSMKDTTDMISVLYQLSTSAFMEIVLEYN VALNDVYLDADVAKLANWFLEVYASGNPNAT YML030W MSRMPSSFDVTERDLDDMTFGERIIYHCKKQPLVPIGCLLTTGA VILAAQNVRLGNKWKAQYYFRWRVGLQAATLVALVAGSFIYGTSGKELKAKEEQLKEK AKMREKLWIQELERREEETEARRKRAELARMKTLENEEEIKNLEKELSDLENKLGKK YML029W MSEYLAQTPCKFTIWSSEIDLIRTNLLVNAHPLSTVGRLLQYIH YQIYKQLRAIYQPEEQCTNSEIPHTPLNSINTYFLSYEGRELSATCLLKDITSSSHPD SNHFIRLQLEKRTSPSGSAFDLEYDMEGEFNSMNIQFEINTLSSQRIFNSMEPNLPIG TTLARLEKLALERIKDFEKSAGNLCGIKEDHSVSDLQGFIIKGKQTPMFLNYGSDSDY YKDLNLVDLIGIDFAPAHNSFFTFLFKMNHEQNSHIANDEERFVLEFISDATLSITQM NVKPDTTVKQVKDFICSVYTHSLNLRRNDIKLIYKGQLLHENNFAGNSSKISEYIKEP HEVKVHVQINQEYTESGPGFWNEVFNNPNIFQFMPPDTRSQSPVSFAPTQGRSPAAIR GEERGIPYVTESGNDIVPTDELYRKCIINGDEVVFIPVSELNPQSSYLSVIKGDYGEI KIPISSNDYRINGDNILLSPSAIEQLESALNFKIERPRDSTLLHPSGEHVRAADNTSS ANDNNTVENDESAWNRRVVRPLRNSFPLLLVLIRTFYLIGYNSLVPFFIILEFGSFLP WKYIILLSLLFIFRTVWNTQEVWNLWRDYLHLNEIDEVKFSQIKEFINSNSLTLNFYK KCKDTQSAIDLLMIPNLHEQRLSVYSKYDIEYDTNTPDVGQLNLLFIKVLSGEIPKDA LDELFKEFFELYETTRNMNTLYPQDSLNELLLMIWKESQKKDINTLPKYRRWFQTLCS QIAEHNVLDVVLRYIIPDPVNDRVITAVIKNFVLFWVTLLPYVKEKLDDIVAQRARDR EQPAPSAQQQENEDEALIIPDEEEPTATGAQPHLYIPDED YML028W MVAQVQKQAPTFKKTAVVDGVFDEVSLDKYKGKYVVLAFIPLAF TFVCPTEIIAFSEAAKKFEEQGAQVLFASTDSEYSLLAWTNIPRKEGGLGPINIPLLA DTNHSLSRDYGVLIEEEGVALRGLFIIDPKGVIRHITINDLPVGRNVDEALRLVEAFQ WTDKNGTVLPCNWTPGAATIKPTVEDSKEYFEAANK YML027W MSQETKMLPSLSSLLSGTEISSSPVSPSFTNPRTSFHLDDRGTI KLPPLNTSINRPRSVESALRHTVTSLHENSSAYGDDMLKHTQSDSALSSQLNSSQETV DESHENLLLTPLNSKKRDYSVSSKKNDILTPLSAAKSIIIPSASKEKRRAFAFITHSQ ETFPKKEPKIDNAPLARRKRRRTSSQELSILQAEFEKCPAPSKEKRIELAESCHMTEK AVQIWFQNKRQAVKRQRIATSKSTTIIQTVSPPSPPLDVHATPLASRVKADILRDGSS CSRSSSSSPLENTPPRPHHSLNRRSSTPSIKRSQALTFHLNPQKKTLTPVKTSPNSRV NKLINSIDHSPSKAKRPVSNPSGSPKRKRKFGFKIVDQQPLKDLDPNAFRG YML026C MSLVVQEQGSFQHILRLLNTNVDGNIKIVYALTTIKGVGRRYSN LVCKKADVDLHKRAGELTQEELERIVQIMQNPTHYKIPAWFLNRQNDITDGKDYHTLA NNVESKLRDDLERLKKIRAHRGIRHFWGLRVRGQHTKTTGRRRA YML025C MTIKRNLVKTLQSIRYQATTATAHAESTLNPLPNAAIPPKYALV TVRSFPSLEPLTFVPVPTSTVAAPLRRDILWRAVVYENDNRRVGASNPPGRSENGFSR RKLMPQKGSGRARVGDANSPTRHNGGRALARTAPNDYTTELPSKVYSMAFNNALSHQY KSGKLFVIGGEKVDLISPTPELDLNRLDLVNTNTVEGKEIFEGEVIFRKFLEEFQLKG KRLLFITDKTREGLIKSSDPYKQKVDVIQKELVEVNDILRAQAVFIELEALEYLAMAH QKEILHSVSN YML024W MGRVRTKTVKRASKALIERYYPKLTLDFQTNKRLCDEIATIQSK RLRNKIAGYTTHLMKRIQKGPVRGISFKLQEEERERKDQYVPEVSALDLSRSNGVLNV DNQTSDLVKSLGLKLPLSVINVSAQRDRRYRKRV YML023C MDGALINSVLYVSPRNGAHYFVELTEKHLLAFEMLNSMCLLENY DHVLLFLECQFGKSHNLAVIPFDIILVLFTLSTLSEYYKEPILRANDPYNTSRETLSR RALKLLQKYLAILKEFDSEQYNLYDLELLRCQFFLAIDTLTPKKQKWGFDRFRRTKSE SGVTYRQNASVDPELDQAKTFKNPYRSYISCLEQRNTILGNRLLNLKLNEPGEFINMI LWTLSNSLQESTPLFLSSHEIWMPLLEILIDLFSCRQDYFIQHEVAQNVSKSLFVQRL SESPLAVFFESLNTRNFANRFSEYVFLNCDYKLPSDNYATPVHPVYNGENTIVDTYIP TIKCSPLYKSQKSLALRRKLIGSCFKLLLRVPDGHRLITPRIVADDVIQGISRTLASF NDILQFKKFFMTENLSQESYFIPLLAEGTLSEILKDTQECVVILTLVENLSDGVSFCN EVIGLVKSKCFAFTEQCSQASYEEAVLNIEKCDVCLLVLLRYLLHLIGTEAILDAKEQ LEMLHAIEKNDSGRRQWAKALNLGNDPPLLYPIVSQMFGVHDKSVIIE YML022W MSIASYAQELKLALHQYPNFPSEGILFEDFLPIFRNPGLFQKLI DAFKLHLEEAFPEVKIDYIVGLESRGFLFGPTLALALGVGFVPVRKAGKLPGECFKAT YEKEYGSDLFEIQKNAIPAGSNVIIVDDIIATGGSAAAAGELVEQLEANLLEYNFVME LDFLKGRSKLNAPVFTLLNAQKEALKK YML021C MWCMRRLPTNSVMTVARKRKQTTIEDFFGTKKSTNEAPNKKGKS GATFMTITNGAAIKTETKAVAKEANTDKYPANSNAKDVYSKNLSSNLRTLLSLELETI DDSWFPHLMDEFKKPYFVKLKQFVTKEQADHTVFPPAKDIYSWTRLTPFNKVKVVIIG QDPYHNFNQAHGLAFSVKPPTPAPPSLKNIYKELKQEYPDFVEDNKVGDLTHWASQGV LLLNTSLTVRAHNANSHSKHGWETFTKRVVQLLIQDREADGKSLVFLLWGNNAIKLVE SLLGSTSVGSGSKYPNIMVMKSVHPSPLSASRGFFGTNHFKMINDWLYNTRGEKMIDW SVVPGTSLREVQEANARLESESKDP YML020W MVTTPLHSSPKSSLKSSTTSLRSVHTQSGRMGPSEPKHRTTKSW SIWGGNDEEEPELSNKNKGKETAQDNSQENDNVSNEGTRLHDNQQTTILKETTRIEKG EKDKKDRNAAIVEKNTRPRAWPFFWGRNKKDPEPTHNIPTDADNNTLSRLANSLNPAP LTNTYIPYKPDAILIRDKGVKTAKKLTDDIGNQFPNIVVPSFDILPKQTIWNTVTSTI WKWKTEYWDRRPSSRVREGEEQVQHSQNQLKEETNTVANEAKDEERLEHNRGSLFRVD PWKKINLLSDYQSRPIRVLIVGVHGFFPTKIIRPFIGEPTGTSTKFVTEAEEIVKEYF DQHKVPIEISKIALEREGEIFDRVDFFYEVMKHWSKEINNSDFIYFVSHSQGCPVTIM LLAKLIKNGIINLDNSQFFNDEIQFCSSKKIISVLAMAGINNGPFYGADQTLFVRAYQ TIEKDSLRELFEFQKFDSKQSQSFIEGLRTIISNNVKITFVGSINDQLVPLYSSTCLF ANHPNIFRAIFIDRGSQTPAFITRIVKIAGSLLNLGYNDHGIIKEISGSLAGTLTGGG HSTIYNEKQVYHLGIKFALETTDLSEMYPIEYSPYKLSELGANPYRLPWCMRGLMYES NKHFSNEEIKMLFKEFEEWEPETKQLKDIKNRLNGLKYRL YML019W MKWCSTYIIIWLAIIFHKFQKSTATASHNIDDILQLKDDTGVIT VTADNYPLLSRGVPGYFNILYITMRGTNSNGMSCQLCHDFEKTYHAVADVIRSQAPQS LNLFFTVDVNEVPQLVKDLKLQNVPHLVVYPPAESNKQSQFEWKTSPFYQYSLVPENA ENTLQFGDFLAKILNISITVPQAFNVQEFVYYFVACMVVFIFIKKVILPKVTNKWKLF SMILSLGILLPSITGYKFVEMNAIPFIARDAKNRIMYFSGGSGWQFGIEIFSVSLMYI VMSALSVLLIYVPKISCVSEKMRGLLSSFLACVLFYFFSYFISCYLIKNPGYPIVF YML018C MVSKDQTSFNKRWTLGLLMLGLVIILWVLSSFLINLIFEDDSYR KPFFITYTNTAAFIFYLFPTAKAVVVNYKDTGRANVHRELIMEEEGTGSDSNRSVDMT SPLLTNLEAGTHANQKKRLTLYETIKLSAEFCILWFTANLVTNASLAFTSVASQTILS TTSSFFTLFIGAICHVESLSKSKVLGSFISFVGIIMVTKSDSHQRYQRHIADVSGDDN DAVQVLIGNLLALAGAVLYGVYSTLLKREVGDETRVNMKIFFGFVGLFNLLFLWPSLI VLDFFGWEPFSLPKDPKVVVIIFVNCLITFVSDFCWAKAMLLTSPLTVTVGLSITIPL AMFGDVIFKHKTMSALYLFGATLILGSFFIINKSSEEEHFENSITASNYESVEVPAAN N YML017W MGTNNTSNNNGTTKKMSLEEFLGNDTLGESVWDEEDINLDAISN TTNIDILKQTKAGEHQRDGHQQHPHGGHGPMNRSRFSNAGPFGGGSMGDFANHHHPLQ HQQGPPYIVKFSDLPPRFSNFDIEDLFQAKFTKFIKFKLFWEINKNPSISTLKSGSIF DQNFKRDSKVAFVELYTSRDMDKILNYWTTPLKEIYHITTAPAEFEDFKDYSTKVKLL TDPKDDAGKPFITKTQRSKSNPFGSAKPVDTQSKILDIEEKMENLHVEDTTTLRASLI PSSDSMATTATGSKITILKKQTPTEEESHSATPTPKPLSYSEVVERSVVNETSKKGTP LSKLDSPALELQSKPDKSDEFKGGDEQGFEKGGDDKAQLDVSNDKDKGSETDVDKQFT FKNVEREHSMSRTKYNGNHNNNNGNFRGSNRYRGGPNGSSYKGGHNNRGNRGGYRGGS SYNNNNNNTNDNNNNNNNSSSNNNNGSRYHDRQNNEEGLTSDSSLDASGNKKNDFTNS TSNTQQYSIFKPASGFLGQGNNDSIRNNGRGNYNSSGMNGGSRGRGFGRGRGFGRGAY NNRGSRGGRGSSGNYSNYNNRTTDMPL YML016C MGNSSSKSSKKDSHSNSSSRNPRPQVSRTETSHSVKSAKSNKSS RSRRSLPSSSTTNTNSNVPDPSTPSKPNLEVNHQRHSSHTNRYHFPSSSHSHSNSQNE LLTTPSSSSTKRPSTSRRSSYNTKAAADLPPSMIQMEPKSPILKTNNSSTHVSKHKSS YSSTYYENALTDDDNDDKDNDISHTKRFSRSSNSRPSSIRSGSVSRRKSDVTHEEPNN GSYSSNNQENYLVQALTRSNSHASSLHSRKSSFGSDGNTAYSTPLNSPGLSKLTDHSG EYFTSNSTSSLNHHSSRDIYPSKHISNDDDIENSSQLSNIHASMENVNDKNNNITDSK KDPNEEFNDIMQSSGNKNAPKKFKKPIDIDETIQKLLDAGYAAKRTKNVCLKNNEILQ ICIKAREIFLSQPSLLELSPPVKIVGDVHGQYGDLLRLFTKCGFPPSSNYLFLGDYVD RGKQSLETILLLFCYKIKYPENFFLLRGNHECANVTRVYGFYDECKRRCNIKIWKTFI DTFNTLPLAAIVAGKIFCVHGGLSPVLNSMDEIRHVVRPTDVPDFGLINDLLWSDPTD SPNEWEDNERGVSYCYNKVAINKFLNKFGFDLVCRAHMVVEDGYEFFNDRSLVTVFSA PNYCGEFDNWGAVMSVSEGLLCSFELLDPLDSAALKQVMKKGRQERKLANQQQQMMET SITNDNESQQ YML015C MTEPQGPLDTIPKVNYPPILTIANYFSTKQMIDQVISEDQDYVT WKLQNLRTGGTSINNQLNKYPKYKYQKTRINQQDPDSINKVPENLIFPQDILQQQTQN SNYEDTNTNEDENEKLAQDEQFKLLVTNLDKDQTNRFEVFHRTSLNKTQVKKLASTVA NQTISENIRVFLQAVGKIYAGEIIELAMIVKNKWLTSQMCIEFDKRTKIGYKLKKYLK KLTFSIIENQQYKQDYQSDSVPEDEPDFYFDDEEVDKRETTLGNSLLQSKSLQQSDHN SQDLKLQLIEQYNKLVLQFNKLDVSIEKYNNSPLLPEHIREAWRLYRLQSDTLPNAYW RTQGEGQGSMFR YML014W MEINQAAEKEQEYVHKVYNEIAPHFSQTRYKPWPIVTQFLKTRP MGSIGIDVGCGNGKYLGVNPDIYIIGSDRSDGLIECARGINPSYNLLVADGLNLPHKN ETFDFAISIAVVHHWSTRERRVEVIRHVLSKLRQGGQALIYCWALEQGSSRRGYHEGM EQDVFVPWVLPKSKSKPKTKSTPPAKVKTRPKPNLMNIPPKERSEYLQRWKEEQQRSK SLDDNDEKQQQDQEQEREEVKYRYYHLYREGELAEDCRQAGAAVHSEGFERDNWWVVA QKR YML013W MPVVNHEDSEFHLSHTEEDKLNEFQVITNFPPEDLPDVVRLLRN HGWQLEPALSRYFDGEWKGEPDQMGEPTQTSTPMAETLVPPALGPRPLLFTASLPVVR PLPANFRNDFRTIGLNGRSNTVWSMFESFSYDGNPFLFILLLIPRIINRLSATIFTFF CTLLSLHSISGGGNSGKPKISKVPKAPTRETHIPLAEILGDTKDKDAFCELKSFKPDI SFNEALRIAKEEFKFMLLILVGDTYDTDTDTVDVNSKLLLEKILLNKKTLQYLRKIDN DLIIYLKCVHELEPWLVARQLGVRNTPEIFLIANVANKASHSETLPSQRLSILGKLKV NSLNRFLQSLTNVVEKYTPELVVNKTEMHELRMSREIKKLQEDAYKKSLEMDRIKAIE KEKSLKHAQDLKLNSTARQLKWLKACIDEIQPFETTGKQATLQFRTSSGKRFVKKFPS MTTLYQIYQSIGCHIYLAVYSSDPAEWSNALQDKIRQLSADDDMLCFKEGQLETATAT TIEELGHIINNELTSFDLERGKLEFDFELVSPFPKYTVHPNEHMSVDQVPQLWPNGSL LVEALDEEDEEDEENEEQ YML012W MQVLQLWLTTLISLVVAVQGLHFDIAASTDPEQVCIRDFVTEGQ LVVADIHSDGSVGDGQKLNLFVRDSVGNEYRRKRDFAGDVRVAFTAPSSTAFDVCFEN QAQYRGRSLSRAIELDIESGAEARDWNKISANEKLKPIEVELRRVEEITDEIVDELTY LKNREERLRDTNESTNRRVRNFSILVIIVLSSLGVWQVNYLKNYFKTKHII YML011C MSKSTNVSYERVELFENPKVPIEVEDEILEKYAESSLDHDMTVN ELPRFFKDLQLEPTIWKLVRNEDVIIEGTDVIDFTKLVRCTCQLLILMNNLTVIDDLW SMLIRNCGRDVDFPQVALRDHVLSVKDLQKISNLIGADQSSGTIEMISCATDGKRLFM TYLDFGCVLGKLGYLKM YML010W MSDNSDTNVSMQDHDQQFADPVVVPQSTDTKDENTSDKDTVDSG NVTTTESTERAESTSNIPPLDGEEKEAKSEPQQPEDNAETAATEQVSSSNGPATDDAQ ATLNTDSSEANEIVKKEEGSDERKRPREEDTKNSDGDTKDEGDNKDEDDDEDDDDDDD DEDDDDEAPTKRRRQERNRFLDIEAEVSDDEDEDEDEEDSELVREGFITHGDDEDDEA SAPGARRDDRLHRQLDQDLNKTSEEDAQRLAKELRERYGRSSSKQYRAAAQDGYVPQR FLLPSVDTATIWGVRCRPGKEKELIRKLLKKKFNLDRAMGKKKLKILSIFQRDNYTGR IYIEAPKQSVIEKFCNGVPDIYISQKLLIPVQELPLLLKPNKSDDVALEEGSYVRIKR GIYKGDLAMVDQISENNLEVMLKIVPRLDYGKFDEIDPTTQQRKSRRPTFAHRAPPQL FNPTMALRLDQANLYKRDDRHFTYKNEDYIDGYLYKSFRIQHVETKNIQPTVEELARF GSKEGAVDLTSVSQSIKKAQAAKVTFQPGDRIEVLNGEQRGSKGIVTRTTKDIATIKL NGFTTPLEFPISTLRKIFEPGDHVTVINGEHQGDAGLVLMVEQGQVTFMSTQTSREVT ITANNLSKSIDTTATSSEYALHDIVELSAKNVACIIQAGHDIFKVIDETGKVSTITKG SILSKINTARARVSSVDANGNEIKIGDTIVEKVGSRREGQVLYIQTQQIFVVSKKIVE NAGVFVVNPSNVEAVASKDNMLSNKMDLSKMNPEIISKMGPPSSKTFQQPIQSRGGRE VALGKTVRIRSAGYKGQLGIVKDVNGDKATVELHSKNKHITIDKHKLTYYNREGGEGI TYDELVNRRGRVPQARMGPSYVSAPRNMATGGIAAGAAATSSGLSGGMTPGWSSFDGG KTPAVNAHGGSGGGGVSSWGGASTWGGQGNGGASAWGGAGGGASAWGGQGTGATSTWG GASAWGNKSSWGGASTWASGGESNGAMSTWGGTGDRSAYGGASTWGGNNNNKSTRDGG ASAWGNQDDGNRSAWNNQGNKSNYGGNSTWGGH YML009C MVKVKSKNSVIKLLSTAASGYSRYISIKKGAPLVTQVRYDPVVK RHVLFKEAKKRKVAERKPLDFLRTAK YML008C MSETELRKRQAQFTRELHGDDIGKKTGLSALMSKNNSAQKEAVQ KYLRNWDGRTDKDAEERRLEDYNEATHSYYNVVTDFYEYGWGSSFHFSRFYKGESFAA SIARHEHYLAYKAGIQRGDLVLDVGCGVGGPAREIARFTGCNVIGLNNNDYQIAKAKY YAKKYNLSDQMDFVKGDFMKMDFEENTFDKVYAIEATCHAPKLEGVYSEIYKVLKPGG TFAVYEWVMTDKYDENNPEHRKIAYEIELGDGIPKMFHVDVARKALKNCGFEVLVSED LADNDDEIPWYYPLTGEWKYVQNLANLATFFRTSYLGRQFTTAMVTVMEKLGLAPEGS KEVTAALENAAVGLVAGGKSKLFTPMMLFVARKPENAETPSQTSQEATQ YML007C-A MVHFIFIALRSMRFMRRLVRNLQYLLLPITSSLLFI YML007W MSVSTAKRSLDVVSPGSLAEFEGSKSRHDEIENEHRRTGTRDGE DSEQPKKKGSKTSKKQDLDPETKQKRTAQNRAAQRAFRERKERKMKELEKKVQSLESI QQQNEVEATFLRDQLITLVNELKKYRPETRNDSKVLEYLARRDPNLHFSKNNVNHSNS EPIDTPNDDIQENVKQKMNFTFQYPLDNDNDNDNSKNVGKQLPSPNDPSHSAPMPINQ TQKKLSDATDSSSATLDSLSNSNDVLNNTPNSSTSMDWLDNVIYTNRFVSGDDGSNSK TKNLDSNMFSNDFNFENQFDEQVSEFCSKMNQVCGTRQCPIPKKPISALDKEVFASSS ILSSNSPALTNTWESHSNITDNTPANVIATDATKYENSFSGFGRLGFDMSANHYVVND NSTGSTDSTGSTGNKNKKNNNNSDDVLPFISESPFDMNQVTNFFSPGSTGIGNNAASN TNPSLLQSSKEDIPFINANLAFPDDNSTNIQLQPFSESQSQNKFDYDMFFRDSSKEGN NLFGEFLEDDDDDKKAANMSDDESSLIKNQLINEEPELPKQYLQSVPGNESEISQKNG SSLQNADKINNGNDNDNDNDVVPSKEGSLLRCSEIWDRITTHPKYSDIDVDGLCSELM AKAKCSERGVVINAEDVQLALNKHMN YML006C MQKSVRVGDYFDNDDNGLWSWYLTNLRLGDFEELIGNQLKYTLL KRFLNSHFYGDNNISARPNKKILLVSIPENVHEDISILEIFLKDYFHLEKLEHIQISK LTHSHCYNHENHYLLTDNLNNFQDPTFLEFASTSWQVQKNSKALNNNNRNSIPPPTIS SSKASNGKLESNVSDDQWSNINTQTSTATRTNTNTRTLTSPDTVDINVTSVNSQSNNN DTPQDNENEVDEEDATSSIVLNFSHSRTVDSKPNRLPKIFPSYTNEDYTPSHSEIMSI DSFAGEDVSSTYPGQDLSLTTARREDESGQDEVEDHYSRVSHDLGDESIDQASYSMES SVSYTSYSSSSNSSSAHYSLSSSSRGNPKRENIDHTNATYVSELSSITSSIDNLTTST TPEEEDNLIHHNYDAQGYGSGEDDGEEVYDDEDLSSSDYSVLSILPSISICDSLGYFR LVLQSILIQDPDTKEIFTAIRQSNNKPTMASVTDDWLLYDSNFSMNNLQILTLQDLLD IKRSFPKILFYTMVIVTNSGKQVEEEFKNPNYDNREGISKEQPLDSELSLTNDPQQYF PTAYNNGYNDYIDDEDDEDDGDDASLSEQSGPQMYIPTRMESNVTTAHRSIRTVNSIG EWAFNRHNSVTKIDKSNSNELDNSKTGESTVLSSEPHPMTQLSNSNTTSSNFSHSLKT KNSHKPNSKGNNESNSKNELKKIKSSINAMSAVERSKSLPLPTLLKSLSGIDNPTHAT NKDRKRWKFQMNRFKNHKNSGSAGTDKSQRCAIM YML005W MSDETMPVEFLVSDKRLLKTIKVKLETNGLFVTPIYSDNDNKVI KSSIEDLNHPLAVEINNIAGVKARFHESGNLERSEGHLKHQSNSITEFTKSFLKDHGL ANDKIFLSHLLDHLPLKYTIYPPVVLFNNSTVRSFNHPIWQKAFQLKLFDPNEYYREL LCFLSPGKPSKGTSLHPNNRLLTHLAINNPITEADVLRRPFNIQPLYGKLIDDSILDD NDNTLWENPSQEQLNSSIWCKVIQNGVTQIWSPVFTMFSRGNIKEKKRVLTTFPDICN NDVVDLYAGIGYFTFSYLTKGARTLFAFELNPWSVEGLKRGLKANGFNKSGNCHVFQE SNEMCVQRLTEFLSQNPGFRLRIRHINLGLLPSSKQGWPLAIKLIYLQGASLEKVTMH IHENVHIDAIEDGSFEKNVIVELDAINESIALIRNRGIKLQFVRSKLERIKTFAPDIW HVCVDVDVIVST YML004C MSTDSTRYPIQIEKASNDPTLLLNHTCLRVKDPARTVKFYTEHF GMKLLSRKDFEEAKFSLYFLSFPKDDIPKNKNGEPDVFSAHGVLELTHNWGTEKNPDY KINNGNEEPHRGFGHICFSVSDINKTCEELESQGVKFKKRLSEGRQKDIAFALGPDGY WIELITYSREGQEYPKGSVGNKFNHTMIRIKNPTRSLEFYQNVLGMKLLRTSEHESAK FTLYFLGYGVPKTDSVFSCESVLELTHNWGTENDPNFHYHNGNSEPQGYGHICISCDD AGALCKEIEVKYGDKIQWSPKFNQGRMKNIAFLKDPDGYSIEVVPHGLIA YML003W MSVYHLPTLLNPLVNAIFNCPEPERSPLKKLFANLKTRRFILLA PPSEYLLNYHDVKSKLPLHDLCYNAEFINSYILLMTENSYINTNSRDSHYETLDGKTV VIQWKNNVIHALNGFHIRRRLKILETKILPNFNDYFEGAADFIILFIDQPLNCEFVPN DYLQCFHNYEKIPKNAHAMPNLSIDSFQQERSSFENILHIHPARLTQLGQLFSSYRTL APGDDPSRSIFESIVQQAFDGMKSDSLFKNFSNLYDLIHDYFELNLYDDIWSRLTTHF KGHEVDTEKINIFQ YML002W MDSHQLELPDGLNNMTMDADTLISLFVLVVCRSEQKHLKSHLYY LQNFSNNSSSTKFGILGYAVSTLEAVVCYFEDFNKNTGNVAKANTLCEKTKNLLDKLS CENPTNEVEDLATYKDILTYRNEQGQSILSICITNHKNYILLDILSEYENDFPVEDLL EDETIDGSTLLIESIKAGNLEAAKVLIKIMLFNCTEEELVSYINKTDKYARTVAHYLT HEMDILKSIGNYIDWKRKNSSGQTPLFSIFRSYDQPNYEEMVKTAFDIANTWYRKHNS LFDYLDHTDNKGNSLLHVLKTNIPILLQLTKLDINEENYKGLTPLMVYVKYKRLSNID AITKDRRLILEKVQNSTFFTCFDYAKDHSVLSKIGERGVKDSLFGLIYFHSLRYHNLN ATTNITSVSNAEKPFATTVINMKTIQGLLRSILKDNPFTFLPLNTYIDEISHLNRSDL TIIGKTDVTSLLHQLTNCFNVLLFLKKIPENLFTDEASILYWMRINTSKRNQKPSGKE NPKTMEPEEINMIQSFLRFNFDEISSFKASLNILRKVLIFINLKSDDFEDAYKGLNEM GRKLINSEASSAFKGIITNHNMFSELSLAALLENVRFLEQCTIQLSSFVQIILFEKIP NWWKHYGEFLALHKSYRKAFPNMVKPKSASDTSSRAPLGGFIETKREQSEQRLAVQIK ASSKMLKELGSEIFVAHERLAEELSNYMEFRKACLDQRSLVAFATTNISVLQECV YML001W MSSRKKNILKVIILGDSGVGKTSLMHRYVNDKYSQQYKATIGAD FLTKEVTVDGDKVATMQVWDTAGQERFQSLGVAFYRGADCCVLVYDVTNASSFENIKS WRDEFLVHANVNSPETFPFVILGNKIDAEESKKIVSEKSAQELAKSLGDIPLFLTSAK NAINVDTAFEEIARSALQQNQADTEAFEDDYNDAINIRLDGENNSCSC YMR001C MSLGPLKAINDKQLNTRSKLVHTPIKGNTADLVGKENHFKQTKR LDPNNDHHHQPAQKKKREKLSALCKTPPSLIKTRGKDYHRGHFLGEGGFARCFQIKDD SGEIFAAKTVAKASIKSEKTRKKLLSEIQIHKSMSHPNIVQFIDCFEDDSNVYILLEI CPNGSLMELLKRRKVLTEPEVRFFTTQICGAIKYMHSRRVIHRDLKLGNIFFDSNYNL KIGDFGLAAVLANESERKYTICGTPNYIAPEVLMGKHSGHSFEVDIWSLGVMLYALLI GKPPFQARDVNTIYERIKCRDFSFPRDKPISDEGKILIRDILSLDPIERPSLTEIMDY VWFRGTFPPSIPSTVMSEAPNFEDIPEEQSLVNFKDCMEKSLLLESMSSDKIQRQKRD YISSIKSSIDKLEEYHQNRPFLPHSLSPGGTKQKYKEVVDIEAQRRLNDLAREARIRR AQQAVLRKELIATSTNVIKSEISLRILASECHLTLNGIVEAEAQYKMGGLPKSRLPKI KHPMIVTKWVDYSNKHGFSYQLSTEDIGVLFNNGTTVLRLADAEEFWYISYDDREGWV ASHYLLSEKPRELSRHLEVVDFFAKYMKANLSRVSTFGREEYHKDDVFLRRYTRYKPF VMFELSDGTFQFNFKDHHKMAISDGGKLVTYISPSHESTTYPLVEVLKYGEIPGYPES NFREKLTLIKEGLKQKSTIVTVD YMR001C-A MIFECTSFETRHILNSYSCATQLSYNTYRITEKVNPIQAAVSKN NKPFVSNSDSAFFPIFSSFNFVAYTTLTFKKT YMR002W MARSRGSSRPISRSRPTQTRSASTMAAPVHPQQQQQPNAYSHPP AAGAQTRQPGMFAQMASTAAGVAVGSTIGHTLGAGITGMFSGSGSDSAPVEQQQQNMA NTSGQTQTDQQLGRTCEIDARNFTRCLDENNGNFQICDYYLQQLKACQEAARQY YMR003W MSISLLGRIVSQQFSGIRAAEPGRSLYLPFTLLLKQPGAYKVSL HRYVHSTQTKSHLSFLMNNNDITPFQKFTVKVLKEQCKSRGLKLSGRKSDLLQRLITH DSCSNKKSSVKINEPKKKRILINDPIKITKKLVSDKTFRTIEKNISSLQNTPVIETPC DVHSHLQPRDRIFLLGFFMLSCLWWNLEPQESKPTIDH YMR004W MDNYEGSDPWNTSSNAWTKDDDHVVSTTNSEPSLNGISGEFNTL NFSTPLDTNEEDTGFLPTNDVLEESIWDDSRNPLGATGMSQTPNIAANETVIDKNDAR DQNIEESEADLLDWTNNVRKTYRPLDADIIIIEEIPEREGLLFKHANYLVKHLIALPS TSPSEERTVVRRYSDFLWLREILLKRYPFRMIPELPPKRIGSQNADQLFLKKRRIGLS RFINLVMKHPKLSNDDLVLTFLTVRTDLTSWRKQATYDTSNEFADKKISQEFMKMWKK EFAEQWNQAASCIDTSMELWYRITLLLERHEKRIMQMVHERNFFETLVDNFSEVTPKL YPVQQNDTILDINNNLSIIKKHLETTSSICKQETEEISGTLSPKFKIFTDILLSLRSL FERYKIMAANNVVELQRHVELNKEKLESMKGKPDVSGAEYDRIKKIIQKDRRSIIEQS NRAWLIRQCILEEFTIFQETQFLITRAFQDWAKLNSNHAGLKLNEWEKLVTSIMDMPI SRE YMR005W MANSPKKPSDGTGVSASDTPKYQHTVPETKPAFNLSPGKASELS HSLPSPSQIKSTAHVSSTHNDAAGNTDDSVLPKNVSPTTNLRVESNGDTNNMFSSPAG LALPKKDDKKKNKGTSKADSKDGKASNSSGQNAQQQSDPNKMQDVLFSAGIDVREEEA LLNSSINASKSQVQTNNVKIPNHLPFLHPEQVSNYMRKVGKEQNFNLTPTKNPEILDM MSSACENYMRDILTNAIVISRHRRKAVKINSGRRSEVSAALRAIALIQKKEEERRVKK RIALGLEKEDYENKIDSEETLHRASNVTAGLRAGSKKQYGWLTSSVNKPTSLGAKSSG KVASDITARGESGLKFREAREEPGIVMRDLLFALENRRNSVQTIISKGYAKIRD YMR006C MQLRNILQASSLISGLSLAADSSSTTGDGYAPSIIPCPSDDTSL VRNASGLSTAETDWLKKRDAYTKEALHSFLSRATSNFSDTSLLSTLFSSNSSNVPKIG IACSGGGYRAMLGGAGMIAAMDNRTDGANEHGLGGLLQSSTYLSGLSGGNWLTGTLAW NNWTSVQEIVDHMSESDSIWNITKSIVNPGGSNLTYTIERWESIVQEVQAKSDAGFNI SLSDLWARALSYNFFPSLPDAGSALTWSSLRDVDVFKNGEMPLPITVADGRYPGTTVI NLNATLFEFTPFEMGSWDPSLNAFTDVKYLGTNVTNGKPVNKDQCVSGYDNAGFVIAT SASLFNEFSLEASTSTYYKMINSFANKYVNNLSQDDDDIAIYAANPFKDTEFVDRNYT SSIVDADDLFLVDGGEDGQNLPLVPLIKKERDLDVVFALDISDNTDESWPSGVCMTNT YERQYSKQGKGMAFPYVPDVNTFLNLGLTNKPTFFGCDAKNLTDLEYIPPLVVYIPNT KHSFNGNQSTLKMNYNVTERLGMIRNGFEAATMGNFTDDSNFLGCIGCAIIRRKQESL NATLPPECTKCFADYCWNGTLSTSANPELSGNSTYQSGAIASAISEATDGIPITALLG SSTSGNTTSNSTTSTSSNVTSNSNSSSNTTLNSNSSSSSISSSTARSSSSTANKANAA AISYANTNTLMSLLGAITALFGLI YMR007W MRKKCPQGKKLLKKATRPFVGAFRRRIRAKKNLISQFGQTGCIG CDGKFSIGITIEKYVLNLVVRIIIVFALCRITELSVFDSSLRCKSRKTRLSKAARKKE TDHFHEQCRYVEVKFGLQMAYLQS YMR008C MKLQSLLVSAAVLTSLTENVNAWSPNNSYVPANVTCDDDINLVR EASGLSDNETEWLKKRDAYTKEALHSFLNRATSNFSDTSLLSTLFGSNSSNMPKIAVA CSGGGYRAMLSGAGMLAAMDNRTDGANEHGLGGLLQGATYLAGLSGGNWLTSTLAWNN WTSVQAIVDNTTESNSIWDISHSILTPDGINIFKTGSRWDDISDDVQDKKDAGFNISL ADVWGRALAYNFWPSLHRGGVGYTWSTLREADVFKNGEMPFPITVADGRYPGTTVINL NATLFEFNPFEMGSWDPTLNAFTDVKYLGTNVTNGKPVNKGQCIAGFDNTGFITATSS TLFNQFLLRLNSTDLPSFIANLATDFLEDLSDNSDDIAIYAPNPFKEANFLQKNATSS IIESEYLFLVDGGEDNQNIPLVPLLQKERELDVIFALDNSADTDDYWPDGASLVNTYQ RQFGSQGLNLSFPYVPDVNTFVNLGLNKKPTFFGCDARNLTDLEYIPPLIVYIPNSRH SFNGNQSTFKMSYSDSERLGMIKNGFEAATMGNFTDDSDFLGCVGCAIIRRKQQNLNA TLPSECSQCFTNYCWNGTIDSRSVSGVGNDDYSSSASLSASAAAASASASASASASAS ASGSSTHKKNAGNALVNYSNLNTNTFIGVLSVISAVFGLI YMR009W MVKVYIHDNKVDSDYRAPHNSGTELSLDELAKLGVIYKYCANEE EVNEIARQREYKNRDVVNICEGSFKSEAEFNEKLATFYQEHLHEDEEIRYCLEGAGYF DVRDASTPENWIRCLVESGDLLILPPGIYHRFTLTTSNHIKALRLFKDEPKWQAINRS NQADSLPVRKDYIALINQY YMR010W MSTTGPLDATLIRDVAVATATKASYDMSDTLYSYLPKVDQFYIP EWLTMQFIANNLISFTPLFSYGTTIISIEKCKTALGFSIDICATMLIASILRISYYLI TPYEITLLRQSLVMIFIQLILLRTSLKYRPDEYKYQNLTDVESLSHLIHDIWFEFFSC INRPKFLSEDWKNLIKSLSFTNLLKFSFKIFLAFFYKILKFFDPNFKRIGAFWQWDDD KNFWRFLALFATVQILVTFFISNILNWDSLAQGLGSIIGSLGLLVESLLPLPQIAILY KLKSVQGFKLILLVSWLCGDTLKITYLIFGAKNISALFVIFALFQMSLDFYIGGQYIY YRYYYPKLRHQHHPNDSNSPSDEDESEMYELDLFNTLQKDVEKALKQDSNDTSDSPQD DQVGKSQAQAVTL YMR011W MSEFATSRVESGSQQTSIHSTPIVQKLETDESPIQTKSEYTNAE LPAKPIAAYWTVICLCLMIAFGGFVFGWDTGTISGFVNQTDFKRRFGQMKSDGTYYLS DVRTGLIVGIFNIGCAFGGLTLGRLGDMYGRRIGLMCVVLVYIVGIVIQIASSDKWYQ YFIGRIISGMGVGGIAVLSPTLISETAPKHIRGTCVSFYQLMITLGIFLGYCTNYGTK DYSNSVQWRVPLGLNFAFAIFMIAGMLMVPESPRFLVEKGRYEDAKRSLAKSNKVTIE DPSIVAEMDTIMANVETERLAGNASWGELFSNKGAILPRVIMGIMIQSLQQLTGNNYF FYYGTTIFNAVGMKDSFQTSIVLGIVNFASTFVALYTVDKFGRRKCLLGGSASMAICF VIFSTVGVTSLYPNGKDQPSSKAAGNVMIVFTCLFIFFFAISWAPIAYVIVAESYPLR VKNRAMAIAVGANWIWGFLIGFFTPFITSAIGFSYGYVFMGCLVFSFFYVFFFVCETK GLTLEEVNEMYVEGVKPWKSGSWISKEKRVSEE YMR012W MSEKKEEVKNATVKVTVKLPKEDNHSHNTKHLKKTQSSKNNDIS FEIGKESKIQTVLDVLAMIPSSKYLTNVGLKTIEGDSQLSDEMSIKEIVGEKSELKLQ LILKPYSAREALKHVITVRDFIGFAQETSDGLSEFAISTGSSFSSLPLGPIKERSKQE EKDEKSDPEEKKNTFKDVTDEEKLKFNEMVHEVFSSFKNSSINKLLTSESNIITPCVR SLSFAPYNPVPPFYRSKGHLFYLQIVTLEGESFYITAIPSGFYVNKSNSTKFDPSPKE NTDENAHSSLIYYSLFDLIASRSKKFISHVQAFEKKLSALDSTSYVRPSNTFLHKPWF VSSLPPNNPDYLRLQTAALDTTPERNFNDEFQAIKDLTTSTLQDRIEMERLFSKVVHE FSVTAASGAMSIFYSDFVAMNPESPTRDQIFLKDNIFYSYVSDVSGNYEGKGGDEAAI AASNQDLKTINILNRLHMHEVRYLLTTVVEFAGRRILAQTPVPGLLATMGNKIVKDAN TGEEVTEDFVNDINVKYGLDEGLGKIVYDADFDSVLEKKFVKAFHLKKHKVNGTELAF SSQSKGIVGFDKRRYILDLANTYPLDINFARQNFDNIEETGNRYPHRQTLLRPELVEK WWNNKVEKEGVEFEKAYEENLFSYNPDAYQVEGIEDANVDEMSNYLQKEVIPSVIQDY LSGNLSTPYNGEHLADTLHKNGINMRYLGKIIELSQKELDSQIVHYEQNLKAVEQDNK EYEDWEKSYLQKIENMIKERQAKINKLVQEGKEVPKELTEDLKLNDEEIKKPTDGKPV VVAYDELVPLIKISELEIVSRSLKHVLKDLSKDVPVFLVPSLVAYVFNMLVGINYNAD PKPEPVDEFYPVNKCSFAKLTRSELLEAVSKQAFLRFRHQLPSNWIEAYMENPFTLIR SVSYKFGIQLLNKEYFFTREQLESYKQSLDKKIRNKFVEPPTTFSLSDLTIIPRVKFS EYTSSVSEEFWAQGASMINEDKQSALTLLAQSITVLEDVNNILHPAVAEKYLSLSAIY NKLALYPEAIAFCRKACTIYERVSGIDSFEMMRALTNLAILEFSNESPYNATVVYNRL AEILKVYELPKIHHPAPTSIFNHLEQLALGVQDTKLAIEVLGQLSSYVVELEGKDSLA YGYTESRLGNLFAALKDFHRALEHITVTQGIFTKQLGMNHTHSAQSRQWVNGLSSLIM DLKQKKQLAQDQMSTTGSNSAGHKKTNHRQKKDDVKPELANKSVDELLTFIEGDSSNS KSKNKTNNKKKHGKK YMR013C MVAIIPHASFTTIKLTQKTEGSQMPTEEICKINMRTRKFDVGGN SRDFECFYSNFVQTVILLGTFFYCVERLQPWSIVTADISYKQIFVNVFVVCLIMVGLI FTKYWQHGYKSLPKFDTIYSLYLPFMVSLLFDTSSTVINTILILSVLNSYRWRTQLVV IILQLCLIFFNFEAGDRLKNIISIVINSLLSLILKYIGQLKSLDNIDSNLFSILLTNI LYVSEAGTVHFRILKGIILALTTIISINYVLKKVMHFKPFMLSISFAIGLPLFANTFI HLEDGENPLLWLVKYILESTIRQKILFAWSSILILSIPSILIEKDSLSLNTSRKLWHF IIFLLIIPSFQMDSNFVKIALSGTIPVFLSIEYIRFQNLPPLGSAIELQLRRFADDRD HSGPLIISYLYLLFGISTPLLMNNSPMGLIGLGIGDSLASIIGKRYGRIRWKGTQKTL EGTLAFIVTSFIVCLVLLRFDKAAIFNHLTTLQLLTLCTLSGVLEGNSVLNDNILIPA FMMICEKLITL YMR014W MPSESSVSIYKLDQLEYQYHYLTKSLQKFEPRYPKTAKLYNCIG KKNKKKIEKLLNSLELKTLDKELDESYSKLLNNKIHYYETHLSKCIKEQIQKISKKNS SKVKDAQKNKSPSIDIEKMLATQLSLDDLALFMTRFRLIKILHQRIKQKSKKIEGDTN NKTWLNNNDYSGYINDKTSKWNPSNIWNEVITKLPSCEKLNALIGQSKIVQNLTESFD LSICLIFGFDVSAMKAKKYGAREKTANANQTHSNIDYDTDDGNEKNAIDSKSNAIGAQ TQSNKETTSDNEDLLIKEYEGMLGSSGDEGEGGGYLNPNINYNEVTDEEPSEASSDED DSDERFSDSEENEPRRKKPKLHNLPELMAGYYSGNDTEEESDEDNKNVKGKKKKRDTA EDRTAREQMSNEPKRKNRRGQRARRKIWEKKYGSQAKHVQRELEKEMEDRKQRQIEYE ARVAKREAKAASLEASRSREREDRRTETNNKKEKESASTGEEHPSWIAKRLAEEKLQK AKFEGKKIKFD YMR015C MSSVAENIIQHATHNSTLHQLAKDQPSVGVTTAFSILDTLKSMS YLKIFATLICILLVWDQVAYQIKKGSIAGPKFKFWPIIGPFLESLDPKFEEYKAKWAS GPLSCVSIFHKFVVIASTRDLARKILQSSKFVKPCVVDVAVKILRPCNWVFLDGKAHT DYRKSLNGLFTKQALAQYLPSLEQIMDKYMDKFVRLSKENNYEPQVFFHEMREILCAL SLNSFCGNYITEDQVRKIADDYYLVTAALELVNFPIIIPYTKTWYGKKTADMAMKIFE NCAQMAKDHIAAGGKPVCVMDAWCKLMHDAKNSNDDDSRIYHREFTNKEISEAVFTFL FASQDASSSLACWLFQIVADRPDVLAKIREEQLAVRNNDMSTELNLDLIEKMKYTNMV IKETLRYRPPVLMVPYVVKKNFPVSPNYTAPKGAMLIPTLYPALHDPEVYENPDEFIP ERWVEGSKASEAKKNWLVFGCGPHVCLGQTYVMITFAALLGKFALYTDFHHTVTPLSE KIKVFATIFPKDDLLLTFKKRDPITGEVFE YMR016C MPIGNPINTNDIKSNRMRQESNMSAVSNSESTIGQSTQQQQQQQ QYLGQSVQPLMPVSYQYVVPEQWPYPQYYQQPQSQSQQQLQSQPQMYQVQESFQSSGS DSNASNPPSTSVGVPSNATATALPNGSAITTKKSNNSTNISNNVPYYYYFPQMQAQQS MAYSYPQAYYYYPANGDGTTNGATPSVTSNQVQNPNLEKTYSTFEQQQQHQQQQQLQA QTYPAQPPKIGNAFSKFSKSGPPSDSSSGSMSPNSNRTSRNSNSISSLAQQPPMSNYP QPSTYQYPGFHKTSSIPNSHSPIPPRSLTTPTQGPTSQNGPLSYNLPQVGLLPPQQQQ QVSPLYDGNSITPPVKPSTDQETYLTANRHGVSDQQYDSMAKTMNSFQTTTIRHPMPL IATTNATGSNTSGTSASIIRPRVTTTMWEDEKTLCYQVEANGISVVRRADNDMVNGTK LLNVTKMTRGRRDGILKAEKIRHVVKIGSMHLKGVWIPFERALAIAQREKIADYLYPL FIRDIQSVLKQNNPSNDSSSSSSSTGIKSISPRTYYQPINNYQNPNGPSNISAAQLTY SSMNLNNKIIPNNSIPAVSTIAAGEKPLKKCTMPNSNQLEGHTITNLQTLSATMPMKQ QLMGNIASPLSYPRNATMNSASTLGITPADSKPLTPSPTTTNTNQSSESNVGSIHTGI TLPRVESESASHSKWSKEADSGNTVPDNQTLKEPRSSQLPISALTSTDTDKIKTSTSD EATQPNEPSEAEPVKESESSKSQVDGAGDVSNEEIAADDTKKQEK YMR017W MGFRKILASKSHHSRHHNQHHKNLKLQNHRYVLISNITGSHETK YLSPFRMDNCSGSRRRDRLHVKLKSLRNKIHKQLHPNCRFDDATKTSDDKCVSYEVPE RDGLATISLEEVFPKSNRCQIPEENLGETDSVIHRDLGNFANENDYPQWRKVESQYNL ENVQPEEDEIVDRLRSEIRSTKLKSVKTTSRTLEKAIEARCTGKRVLQQLSCQSNQLT KIESNCDMLKIQSNVADRKIDELAHENRSLLALKSPNPFRKKREREKRDQIYNLKLKH RHLQQETMKRAQDSDKNLAINLSSEYGRYGQGVERQRILRDAQKYQFEADEEDNQMEI DLYGNLEQIKAVSGDLKIMAHAFGREFEAQNTRMFDIENNVQQADNALQAKRYRLEKV IGKRW YMR018W MNEVTCSITGDNPIHKINNGLGLKWNNLGKFSDFQTNDSAARDA RTIDYIFTNCQTGSSIGKIDFRAALPADKSQHSGVSEKEFSRLENQWSKEFSCFPKNK NADVTKPSRNKHEKRSANLHNRYFAQYYSTAYQQNRIYPCRISYNEHSSVSNGWEFQF KSIENQLLNELKIENNVEEKTVGYEYVAEYEETIDFMHMLSSVPQTYQFLKSNIYITE RDPYKIGCVLMDNGSNLNEVVMAFEAAISQDPSHINAWLKLGIVNFENESESNGELAL RNCLNLDPNNTIALENLAIHHINQQNESESLKLFHKWILSKFSKVFQPSAGENKDSIN KIPKKAHLAHILESLLNMGIEKKDQYDIYSVLSILYYSDQKIKQSQKCLEFLLLEKPN NGTIWNRYGAILANTKSYHSAINAYNKCKQLRPNFTRVRYNLAIAYMNKGDYVKASKM LIEVILLRSKGYEHNKAKMQNKFMQNLKNALIASKNFDSLDLINGSHNTESLISTLKA IYNKMD YMR019W MSINHEIYYILVFEHRSVAIKLIIVVIVLLQFFLARSRQIDRTW AHTNRKERFREMTAIGNTDDALDTSTAASKENGKGRLRVQKACELCKKRKVKCDGNNP CLNCSKHQKECRYDFKATNRKRRRRQVASAVRDVSKTYAETSESFPRDLLSKSNIIIN APSDGVSSSASNSPNPNSHYHHISSTLPFMSGRPNHTFHSGSNLNGENNNNSFPEDHM AKLLLQLSSKLGNTTKESSIRTTRTNASDVNANPTVVNMKNSQEDCDTNHRSAICDSA EALHNNNINSKENKIINSQITNTVNDHFESPWQTFSLDKYRFHRRYQNILPYYLGVSI LKDLSPQTIEYAKLKRPRVQNYGWNLSGGHYLKYKGDFRSQEKNIRHESKFFDFDDPV HLSLINKLLRYYFDEINPVFSIIHEATFWQQYNNKFLRQGKQNNSSANLFTSMLYLIL STTLRFREGHLDGQKGQGTYSNTSLNITFEEKSILIKKPSIEENLFKYAYLIINTLTF EWESFELIQSWLLITFYFRTCYRQTACWNALSQAVNMCNGMSLYLNKFPEIHSTYDES KAWHCFWCCFIMDKLISFQMGRFYQLSLPASEMCEQMNLVKSKKFLQEEDDWFHEETF QMLDLSIIVTQFLKRDAQDLNLNETVQLRSQLGQWYDTFIVGSQTNAYDDNYRYFYQV QPFMTYLDIRLTFEVRQLFCLIAPSSTANNKSLEYVVDTELLISHCQMAIENLAEITR SNLFFVPWWLNLSQLFTVNLICIIYLHAGIAVTQNKAIMQSCQEIWRTLECSKPKNRP SMLPECLWCLKMLNHMFCIRLRDSALQLEATLGTDHGDDTPNRNKFEQFKKVGDNDAD VEVDAGEREENADERQENPHNNSKRVPLATRSHNTTNFDGSIAISPESAVANLGTDTG LPSDVLDTVSKIGNSPNVFDDDLFSNLLWFDQNFA YMR020W MNTVSPAKKKVIIIGAGIAGLKAASTLHQNGIQDCLVLEARDRV GGRLQTVTGYQGRKYDIGASWHHDTLTNPLFLEEAQLSLNDGRTRFVFDDDNFIYIDE ERGRVDHDKELLLEIVDNEMSKFAELEFHQHLGVSDCSFFQLVMKYLLQRRQFLTNDQ IRYLPQLCRYLELWHGLDWKLLSAKDTYFGHQGRNAFALNYDSVVQRIAQSFPQNWLK LSCEVKSITREPSKNVTVNCEDGTVYNADYVIITVPQSVLNLSVQPEKNLRGRIEFQP PLKPVIQDAFDKIHFGALGKVIFEFEECCWSNESSKIVTLANSTNEFVEIVRNAENLD ELDSMLEREDSQKHTSVTCWSQPLFFVNLSKSTGVASFMMLMQAPLTNHIESIREDKE RLFSFFQPVLNKIMKCLDSEDVIDGMRPIENIANANKPVLRNIIVSNWTRDPYSRGAY SACFPGDDPVDMVVAMSNGQDSRIRFAGEHTIMDGAGCAYGAWESGRREATRISDLLK YMR021C MIIFNGNKYACASCIRGHRSSTCRHSHRMLIKVRTRGRPSPMAI RDAILVDSTSQSTEYENGAQIEGDCCSAMNQQPILFVRASAVRKARMINGKLHILMEE GFTAHEPKDISTFTDDGNKYITETEFLRKHSPKAPATGTISPDSTKSSSSSEKKERSR LQQEPIRHFSNCCKKDKSQNPASNGKTNKAPSDDIFTPYGSLESTSAFNDILQENYNS SVPGAHDSSETLTPQSTTTIAAPHSSDVASKVEVLTHKGIFLSTQCSCEDESCPCVNC LIHRSEEELNSYIQQSGVPLTNIGEAQITDKMMDYLDDCKCTDKECICPPDNCTCDGC FSHSTNIIPFEKFFFYGILNARLTRKTQIKFKGKLVPSKYWWDFLKLQVPLMTDAQLE LLDIHAWFQKLVSNYAPHLSDATTS YMR022W MSKTAQKRLLKELQQLIKDSPPGIVAGPKSENNIFIWDCLIQGP PDTPYADGVFNAKLEFPKDYPLSPPKLTFTPSILHPNIYPNGEVCISILHSPGDDPNM YELAEERWSPVQSVEKILLSVMSMLSEPNIESGANIDACILWRDNRPEFERQVKLSIL KSLGF YMR023C MNSASFLQSRLISRSFLVRRSLKRYSGLAKPYTFQQPTIYALST PANQTSAIAIIRISGTHAKYIYNRLVDSSTVPPIRKAILRNIYSPSSCSVKPHDQKES KILLDTSLLLYFQAPYSFTGEDVLELHVHGGKAVVNSILKAIGSLHDRSSGKDIRFAL PGDFSRRAFQNGKFDLTQLEGIKDLIDSETESQRRSALSSFNGDNKILFENWRETIIE NMAQLTAIIDFADDNSQEIQNTDEIFHNVEKNIICLRDQIVTFMQKVEKSTILQNGIK LVLLGAPNVGKSSLVNSLTNDDISIVSDIPGTTRDSIDAMINVNGYKVIICDTAGIRE KSSDKIEMLGIDRAKKKSVQSDLCLFIVDPTDLSKLLPEDILAHLSSKTFGNKRIIIV VNKSDLVSDDEMTKVLNKLQTRLGSKYPILSVSCKTKEGIESLISTLTSNFESLSQSS ADASPVIVSKRVSEILKNDVLYGLEEFFKSKDFHNDIVLATENLRYASDGIAKITGQA IGIEEILDSVFSKFCIGK YMR024W MGIVLKRAIAAGMKPFPNSTWHWSRTIRPFSQHLSSTCFLQQSS RFTSKRYLHLSTLTQQEKRFLPESELAKYKEYYQGLKSTVNEIPESVASKSPSLRTLH KRLQLPNELTYSTLSRCLTCPSAKLPDKINNPTKGAAFVNTVPTNKYLDNHGLNIMGK NLLSYHVTKSIIQKYPRLPTVVLNAAVNAYISEAVLAHIAKYWGIEVETTSVLSRYLK MEPFEFTLGRLKFFNNSLNSKDGIELITGKNFSETSALAMSVRSIIAAIWAVTEQKDS QAVYRFIDDHIMSRKLDITKMFQFEQPTRELAMLCRREGLEKPVSKLVAESGRLSKSP VFIVHVFSGEETLGEGYGSSLKEAKARAATDALMKWYCYEPLAQQEPVIDPGTVVV YMR025W MDLLKFSSLAISEINFLHESSFDSIDHSWFLLIGCKLDQDDEIY IPINGNEAESQWYIEKVIRIPMQENDKINQERLERRINLTKVTQKDICILGILDLCQL EEDENITNKVTEKVLTQLTALALKYLIKYNVFRQHTSFQEAVNSLKGYKIENSVQIGA EIILDFLQDKVQIKDVNDRYQIPTPNNTVDPGFDEFQLIDMKDKEINIQKYNNNTIRK LLEKINRMIIFLKNYDATDKPFSSTQDVILRKISMLVTQLQRGGTSDMNYLLDNKINE IKLLEISCKQWEISNMLKK YMR026C MSFYSNLPSAGQSSRGSSTSGRNGVGLEPLYPTIFEIMSSQEID SLLPASIRYLLANHLVANFPNRYTLRLNKYFFEWFQAIKGFVEWYHLKTYNSTFIDRF YGLQLFSSRDRNLALTQCLNPKGQSEWPQGLQLNQQQKSVIFLEKIILPYITAKLDEI LEKISMNNIFSSDETENKWPKRAFLRIYPFIKKLLALSNLLVKLLFLTKRTGSVSLLQ YLFKIEYTTVRPLSSELSGLKETKGMDNRLRKTNISSIFALMQGQLSIIPRFLTFMGS QFFPTFIFVLRVYQWWTTQDMTTKLQKRVNDLDEDIPRPPFSSHSDKTEDKEGVSEAC PVCEKTVQNPCVLETGYVACYPCAISYLVNNEGHCPVTNKKLLGCTYNKHTNKWEVVT GIRKLLI YMR027W MTIPGRFMTIDKGTFGEYTASTRWPIIIQNAIDDLSKHQETEKS NGTKFEQGEVIKKELKEFRQEIIDRVPLRPFTEEEIKIANVPLSFNEYLKKHPEVNWG AVEWLFSEVYLYRRVNVLFQRQCEWAKFDIFNRLKQSTFESSFYGVVELALRYENLLP QLREMKQNPGNEIDDILKVLFKEFIEISLWGNATDLSLLTNATLEDIKSIQGAKARAA SESKIVVNDTEKAWEVLTKARADANSREIRVDFVLDNSGFELYADLMLAAFLLQSGLA TKCIFHAKDIPYMVSDVMLKDFDILVHDLRDREFFPSGEPSTKESRALDLFAGEMEKF VSSGKIEFREDSFWTTELDYWNLDANETKYHGSILHKDLQKSNLVIFKGDLNYRKLTG DRKWPRTTKWETAIGPLATNGITSLSLRTCKADVQVALPEGLDAKLSQEWEKENPGRG SWWCCSGKWAVICFCSGIHK YMR028W MASVTEQFNDIISLYSTKLEHTSLRQDSPEYQGLLLSTIKKLLN LKTAIFDRLALFSTNETIDDVSTASIKFLAVDYYLGLLISRRQSNDSDVAQRQSMKLI YLKKSVESFINFLTLLQDYKLLDPLVGEKLGNFKDRYNPQLSELYAQPKNNKDLSGAQ LKRKEKIELFQRNKEISTKLHCLELELKNNDEDHDHDELLRELYLMRLHHFSLDTINN IEQNLFECEMLSNFLKNSVHEVKSSGTQIRKESNDDDSTGFTDKLENINKPLIDKKGQ VLRNFTLVDKRQQLQQKVRGYGQYGPTMSVEEFLDKEFEEGRVLQGGEEPEQAPDEEN MDWQDRETYKAREWDEFKESHAKGSGNTMNRG YMR029C MAINQAHVHPHYTLPGVMHYLQTEFTKNERDRITWELERSEMKA RIAELEGENRDLKHQLNQIQSKAVSPEGEKEEKHVPDSLLQSKLAVQENVKEIIYLLK GPNTVNQLESLNSREAGSELHDLEKLNVNTPKEEGSAKTNGMDILNNALLDTKPNPKQ GPSESPSPTKVKSLFSTANKRENNETISKIHSELSKVDIISSYGDCMALYDADTKSLE IHQVDANLNSKLLKKISLGQDSDIMKFFWVSTSKLLVIEKSFHLKLFSISSASLISDV DLLQDSEQPFSSSDIINIDFKNKWLLIASKNKSQIRIWELDNIEAPEDVPINIKETYE ITHDNDDDDSNDSTNILDCILGITEKSLILLSSNPYQLTIYDFEGKLLQKIDLKIDTI LSGKPEEEGYHLFLDRKTSKLLIQLSNERLLVYSFDKKKVVLKEQLTPSSTLPIQLDL NDSIITVSYSNGDFEFRNLENLKPSIDEFVVADINFSERKEPVVFSSNLIVDSTPVLI TVNKNNEVLLHKIKI YMR030W MKDLNPEMGKFATTKGPPQDNRGMVDIATLPNFPANRSGTPREE MYLAPNKMETPRILNMNMVPDYLQKENFSPDFSSATVSAKSSPVNVTHDESLPLGTIE SNSTKDSKYAVQRQQQQVVDFIENNMQLLSSETLNFRSDIMKTLELPIPKRRDIKGNH LSKLLFAKSPLTINTYCQFYDRRTKRICNQEMIWKDKNSREKHGSRKYQRHLSKVHDV QLTPNNFTEFFDHNSPLFQECYDYQSRLMRDLLVEPDAKFKEKKKKKKGDVNGNHPET GSSLINHQVQQQNVRELQSKIAMNDLIEILIDLNIPFSVLDYQPMRNWLIKYSIISTD TLPDEVYFKTDPGVNELEHNSSNLNNSNSGTPHNHNQNQHTN YMR031C MSLISAVEDRDIHNIGKTSGGGSRTSSITSSKKSLKHGSKSLRK PKVYQTTGEPLSREALYKAKLKYGVYQSPAQSYSIGVSDAHAASDKAANLAHDNQTTV EAYKRMFIDPNATKAASKMGPKVVRNNSITSATSKTSKESQTKRKSKESPGAAASKAY SMTMETTSLSSQTNSRSYSITSASSVLSGASGSFNSTVNPKPKTLNLEKVLVGAEKKA ESRIKERWEPEKTNFQYGVKTDEHGNLNQFSFSNEMMNNIMAKVDAPKAQDLQKVKKV SAEKEAKSMKFALGAANAVKDMHPGEDIDKSIALKAQKRETYLSQLTSQQVLTLARAN VDRQLDIIEKSDMHRKLFTNMEYNKAAVAVAQSNHQKKTEFHNKINMGGGLFLSPEDI TKIASGLISPVLGEVSERAEAQRAMDEEIAERTEAYNKSSNEWETMERSIISNDAKVL TTTANRHQTEKKTSQEKIKASFDALVARMDTKVAERETLLEDTKSKEIEFKKQMQQEL KDEKARLDQDLEEWGKKCEQDITEARKEQEELLKPYHDDLANAEAEHKTLVEERDEIN AEISRLQDAIVDHKRKISGYGNDLDAQKNRNIREDDKLLELGQTKESLESHLNDDVII LANKAKEQAELSTKEARLKQLEVDSLINERKSELNATEIELKKEKLNLLEAMKDVASA RGDDKIDEEKVKKLIGMTSEEYLTQNKSVEKNVEDLPTQLEKIEEGDELKKEEIVGAE TKNSGGDGVPVSTAAKEATETSSAVQTKEPEEKISIGNKSSGKEDANDCKSAEHSKEI SVSQKAGNNKSLGVSPDSLEHTFSGFSQGSSIEDDQDAISNQEKK YMR032W MSYSYEACFWDPNDNGVNILLGHISQGIRSCDSMILFFKQRSEL EKDYARRLGAITGKLDKDIGTNMDYGKLNETFNVVLSVEKARAQSHSKQSEILFRQIY TDTKAFAANLQARYTTLSGKIERLRMDKFNKKKGCEVLQKKLQDAQIRFRDLQLNENN MIGAKRVEHNKRELLKWESNSQEYKVQLDVLKQEYKASQKFWIHEWAQLSCELQEMEN ARISFLQSKLQQFATSSMETYILEQTKMDMLTNHLNSFTAADEISTFSKENGTGRLKH KTSKGDMNSSANWAQMSSISTTSKKTESYMDNIRKLSSQLKETENKRKLASIDKYEKP LPSPEVTMATQFRNSTPVIRNETKVVANPTLSLRSSPVQLQSNVDDSVLRQKPDKPRP IVGEEQLKPDEDSKNPDEKGLMVHKRNQSLSSPSESSSSNPTDFSHIKKRQSMESMTT SVSSMANSIDDSQRFAKSWNSSNRKRKSMSHLQVPSSASSRSDDGGRTPNSAHNLNED DYNTRRDTSTSTILFKPPVAVRGTSRGHTHRQSMIMQDSSNPIEDALYEMERIQSSSK PGTKTGNIMDERGVVRDRGITVTLPIVTSEGFPVIEYAKAMYPLIGNEAPGLANFHKG DYLLITEIVNKDWYKGEVYDNDRIDRNHRIGLIPYNFIQLLHQGL YMR030W-A MYINFTSFLIKEKKYNVRFLLSRNRKIYAAVGEGHLSGFVTKNH KISRLSFIFSKKKKVFFTIFDTIITIIVRSGIPFPLLCSFGRNKIYILFNVL YMR033W MAPFRQDSILIIYPRSQTTLVQFGLNEETFTVPELEIPTQIYRT TRQDGSYTYHSTNKDNKAELIKPIQNGEIIDISAFTQFLRLIFVSILSDRANKNQDAF EAELSNIPLLLITHHSWSQSDLEIITQYVFESLEINNLIQLPASLAATYSMISLQNCC IIDVGTHHTDIIPIVDYAQLDHLVSSIPMGGQSINDSLKKLLPQWDDDQIESLKKSPI FEVLSDDAKKLSSFDFGNENEDEDEGTLNVAEIITSGRDTREVLEERERGQKVKNVKN SDLEFNTFWDEKGNEIKVGKQRFQGCNNLIKNISNRVGLTLDNIDDINKAKAVWENII IVGGTTSISGFKEALLGQLLKDHLIIEPEEEKSKREEEAKSVLPAATKKKSKFMTNST AFVPTIEYVQCPTVIKLAKYPDYFPEWKKSGYSEIIFLGAQIVSKQIFTHPKDTFYIT REKYNMKGPAALWDVQF YMR034C MKTQYSLIRKIWAHSVTEFLKSQWFFICLAILIVIARFAPNFAR DGGLIKGQYSIGYGCVAWIFLQSGLGMKSRSLMANMLNWRAHATILVLSFLITSSIVY GFCCAVKAANDPKIDDWVLIGLILTATCPTTVASNVIMTTNAGGNSLLCVCEVFIGNL LGAFITPALVQMFTNRAPFAYGNPATGNGIGALYGRVMKQVGLSVFVPLFVGQVIQNC FPKGTAYYLGFLKKYHIKIGSYMLLLIMFSSFSTAFYQDAFTSVSHVCIIFLCFFNLG IYIFFTGLSYLCARPWFILKLFPHEPIEGKSTRLYRYSYNIFRPFYYSKEDAICIMFC GPAKTAALGVSLITSQYGDKKEHLGKLLVPLVLYQVEQVMTANFFVSLFKRWIQKDAQ ADGSESSCANENEEVDLEKIISIGTGENQSVLSNNVPYTQPR YMR035W MFRAGSSKRFLRNTLIAISWVPVLLTINNNVVHIAQVKGTSMQP TLNPQTETLATDWVLLWKFGVKNPSNLSRDDIILFKAPTNPRKVYCKRVKGLPFDTID TKFPYPKPQVNLPRGHIWVEGDNYFHSIDSNTFGPISSGLVIGKAITIVWPPSRWGTD LKLSTGRDCISKRAILE YMR036C MNNIFHGTEDECANEDVLSFQKISLKSPFGKKKNIFRNVQTFFK SKSKHSNVDDDLINKENLAFDKSPLLTNHRSKEIDGPSPNIKQLGHRDELDENENEND DIVLSMHFASQTLQSPTRNSSRRSLTNNRDNDLLSRIKYPGSPQRSSSFSRSRSLSRK PSMNSSSNSSRRVQRQDGKIPRSSRKSSQKFSNITQNTLNFTSASSSPLAPNSVGVKC FESCLAKTQIPYYYDDRNSNDFFPRISPETLKNILQNNMCESFYNSCRIIDCRFEYEY TGGHIINSVNIHSRDELEYEFIHKVLHSDTSNNNTLPTLLIIHCEFSSHRGPSLASHL RNCDRIINQDHYPKLFYPDILILDGGYKAVFDNFPELCYPRQYVGMNSQENLLNCEQE MDKFRRESKRFATKNNSFRKLASPSNPNFFYRDSHQSSTTMASSALSFRFEPPPKLSL NHRRVSSGSSLNSSESTGDENFFPILSKSSMSSNSNLSTSHMLLMDGLDTPSYFSFED ERGNHQQVSGDEEQDGDFTFVGSDREDLPRPARRSLFPSLETEDKK YMR037C MTVDHDFNSEDILFPIESMSSIQYVENNNPNNINNDVIPYSLDI KNTVLDSADLNDIQNQETSLNLGLPPLSFDSPLPVTETIPSTTDNSLHLKADSNKNRD ARTIENDSEIKSTNNASGSGANQYTTLTSPYPMNDILYNMNNPLQSPSPSSVPQNPTI NPPINTASNETNLSPQTSNGNETLISPRAQQHTSIKDNRLSLPNGANSNLFIDTNPNN LNEKLRNQLNSDTNSYSNSISNSNSNSTGNLNSSYFNSLNIDSMLDDYVSSDLLLNDD DDDTNLSRRRFSDVITNQFPSMTNSRNSISHSLDLWNHPKINPSNRNTNLNITTNSTS SSNASPNTTTMNANADSNIAGNPKNNDATIDNELTQILNEYNMNFNDNLGTSTSGKNK SACPSSFDANAMTKINPSQQLQQQLNRVQHKQLTSSHNNSSTNMKSFNSDLYSRRQRA SLPIIDDSLSYDLVNKQDEDPKNDMLPNSNLSSSQQFIKPSMILSDNASVIAKVATTG LSNDMPFLTEEGEQNANSTPNFDLSITQMNMAPLSPASSSSTSLATNHFYHHFPQQGH HTMNSKIGSSLRRRKSAVPLMGTVPLTNQQNNISSSSVNSTGNGAGVTKERRPSYRRK SMTPSRRSSVVIESTKELEEKPFHCHICPKSFKRSEHLKRHVRSVHSNERPFACHICD KKFSRSDNLSQHIKTHKKHGDI YMR038C MTTNDTYEATYAIPMHCENCVNDIKACLKNVPGINSLNFDIEQQ IMSVESSVAPSTIINTLRNCGKDAIIRGAGKPNSSAVAILETFQKYTIDQKKDTAVRG LARIVQVGENKTLFDITVNGVPEAGNYHASIHEKGDVSKGVESTGKVWHKFDEPIECF NESDLGKNLYSGKTFLSAPLPTWQLIGRSFVISKSLNHPENEPSSVKDYSFLGVIARS AGVWENNKQVCACTGKTVWEERKDALANNIK YMR039C MSYYNRYRNKRRSDNGGGNLSNSNNNNGGMPSGLSASDAIFDLG KNKRVTVRQFRNINLIDIREYYLDSSTGEMKPGKKGISLTEDLYDELLKHRLNIDEAL RRLGSKRPKTKMVRLLSDDEYEDDNNNDSTNNDKDKNGKDKNSPKKRREDKSKASNES HDLEPRSKKKKPAPPTLLPHEENIQNAEREANATLIIPGQAGRKQQEERKQKEKEEAE EAKAKAVAEQEKEAKAKEKIAEPEPEPVPTLQAKKEDIVSNINESKDANSSDEEFAQS LEAEMNKAEDDISEEE YMR040W MGVYLAVLFSLLVIEMAILFILVLPLPQRMRRWLYIRYSIISTN KKFRTYMVGIMIFVGLLFIDSWKRSQIRVSTYRNQKNPYIINSVTPVDALASRAYNQR NVYISGFIIYFYICILTVMSILRRIVEWNDKMKAGDDILKEKLRRKQKYLEELQKKKF YMR041C MVNEKVNPFDLASVSPLVLGGAILNQQYTDEPESIPLEDIIKYA FSHGINAIDTSPYYGPSEVLYGRALSNLRNEFPRDTYFICTKVGRIGAEEFNYSRDFV RFSVHRSCERLHTTYLDLVYLHDVEFVKFPDILEALKELRTLKNKGVIKNFGISGYPI DFITWLAEYCSTEESDIGSLDAVLSYCNLNLQNNKLLNFRERLLRNAKLKMVCNASIL SMSLLRSQETRQFHPCSHELRECASQAAKYCQEQNVDLADLATRYAISEWVGKGPVVL GVSSMEELKLALDNYEIVKSNGNRLSSKDGQLVEYIQKNIFKEHFNEEWSSGIPHPEM I YMR042W MTSNSDGSSTSPVEKPITGDVETNEPTKPIRRLSTPSPEQDQEG DFDEEDDDDKFSVSTSTPTPTITKTKDSSDTSTVTRRKQPIRYIENKTRRHVTFSKRR HGIMKKAYELSVLTGANILLLILANSGLVYTFTTPKLEPVVREDEGKSLIRACINASD TPDATDTSPAQEQSPAN YMR043W MSDIEEGTPTNNGQQKERRKIEIKFIENKTRRHVTFSKRKHGIM KKAFELSVLTGTQVLLLVVSETGLVYTFSTPKFEPIVTQQEGRNLIQACLNAPDDEEE DEEEDGDDDDDDDDDGNDMQRQQPQQQQPQQQQQVLNAHANSLGHLNQDQVPAGALKQ EVKSQLLGGANPNQNSMIQQQQHHTQNSQPQQQQQQQPQQQMSQQQMSQHPRPQQGIP HPQQSQPQQQQQQQQQLQQQQQQQQQQPLTGIHQPHQQAFANAASPYLNAEQNAAYQQ YFQEPQQGQY YMR044W MSEAIFQPTDIVLAKVKGFSAWPAMIIPNELIPDNILKTKPVSV HKGKSGSDKKANEDIDADMESEARDREQSEEEEDIEDFGESEANPEKFIIYTPVLKFR KNDTLKSTYCVKFFCDDSYIWVKPMDMKILTSEDCRKWLSGKQRKNKKLIPAYEMAMR GKNGIDIWEFVEYGSYGKPDEEEYVEEEEEENEPEKKAIRPTRSSSRQRQKRASETEK SEGGNSNKRKRVTRSTRQQAIDASEEEEEEEEEKVQEAVRKRPQRTKTKKVVVSKTKP NPKTKAKKEKPKPPKPIKYHFEDDEDWSIVGLGPQDLSIEKTMDPIAKKLSQKKNLEK HVEIKLDLEDKLAGINKLLCDVLCSAINQAVSIKDDFEIILDELQIALDTRGSRNEFI TIFQSNNSLLLNFRILFNLRKRELNKWDLWDRFQDIFKHIYSYQFIPDTEDWQLEQNM EIEEMDREKPSFSEDVKEEESKVGA YMR045C MESQQLSQHSPISHGSACASVTSKEVQTTQDPLDISASKTEECE KVSTQANSQQPTTPLSSAVPENHHHASPQAAQVPLPQNGPYPQQRMMNTQQANISGWP VYGHPSLMPYPPYQMSPMYAPPGAQSQFTQYPQYVGTHLNTPSPESGNSFPDSSSAKS NMTSTNQHVRPPPILTSPNDFLNWVKIYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFAPSQFLPPWVKDILSVDYTDIMKILSKSINKMQSDTQEVNDITTLATLH YNGSTPADAFEAEVTNILDRLNNNGIPINNKVACQFIMRGLSGEYKFLRYARHRCIHM TVADLFSDIHSMYEEQQESKRNKSTHRRSPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTFNNSPGPDNDLIRGSTTEPIQLKNTHDLHLGQELTESTVNHTNHS DDKLPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQQSNDLNIESDHDFQSDIELHPEQLRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISDIESTGSGGMHRLDVPLLAP MSQSNTHESSHASKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKR IIHRSPSIDTSSSESNSLHHVVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTELSD SFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFENSQVTICLFVDDMVLFSKNL NSNKRIIDKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YMR046C MESQQLSQHSPISHGSACASVTSKEVQTTQDPLDISASKTEECE KVSTQANSQQPTTPLSSAVPENHHHASPQAAQVPLPQNGPYPQQRMMNTQQANISGWP VYGHPSLMPYPPYQMSPMYAPPGAQSQFTQYPQYVGTHLNTPSPESGNSFPDSSSAKS NMTSTNQHVRPPPILTSPNDFLNWVKIYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFAPSQFLPPWVKDILSVDYTDIMKILSKSINKMQSDTQEVNDITTLATLH YNGSTPADAFEAEVTNILDRLNNNGIPINNKVACQFIMRGLSGEYKFLRYARHRCIHM TVADLFSDIHSMYEEQQESKRNKSTHRRSPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTFNNSPGPDNDLIRGSTTEPIQLKNTHDLHLRPGTY YMR047C MFGVSRGAFPSATTQPFGSTGSTFGGQQQQQQPVANTSAFGLSQ QTNTTQAPAFGNFGNQTSNSPFGMSGSTTANGTPFGQSQLTNNNASGSIFGGMGNNTA LSAGSASVVPNSTAGTSIKPFTTFEEKDPTTGVINVFQSITCMPEYRNFSFEELRFQD YQAGRKFGTSQNGTGTTFNNPQGTTNTGFGIMGNNNSTTSATTGGLFGQKPATGMFGT GTGSGGGFGSGATNSTGLFGSSTNLSGNSAFGANKPATSGGLFGNTTNNPTNGTNNTG LFGQQNSNTNGGLFGQQQNSFGANNVSNGGAFGQVNRGAFPQQQTQQGSGGIFGQSNA NANGGAFGQQQGTGALFGAKPASGGLFGQSAGSKAFGMNTNPTGTTGGLFGQTNQQQS GGGLFGQQQNSNAGGLFGQNNQSQNQSGLFGQQNSSNAFGQPQQQGGLFGSKPAGGLF GQQQGASTFASGNAQNNSIFGQNNQQQQSTGGLFGQQNNQSQSQPGGLFGQTNQNNNQ PFGQNGLQQPQQNNSLFGAKPTGFGNTSLFSNSTTNQSNGISGNNLQQQSGGLFQNKQ QPASGGLFGSKPSNTVGGGLFGNNQVANQNNPASTSGGLFGSKPATGSLFGGTNSTAP NASSGGIFGSNNASNTAATTNSTGLFGNKPVGAGASTSAGGLFGNNNNSSLNNSNGST GLFGSNNTSQSTNAGGLFQNNTSTNTSGGGLFSQPSQSMAQSQNALQQQQQQQRLQIQ NNNPYGTNELFSKATVTNTVSYPIQPSATKIKADERKKASLTNAYKMIPKTLFTAKLK TNNSVMDKAQIKVDPKLSISIDKKNNQIAISNQQEENLDESILKASELLFNPDKRSFK NLINNRKMLIASEEKNNGSQNNDMNFKSKSEEQETILGKPKMDEKETANGGERMVLSS KNDGEDSATKHHSRNMDEENKENVADLQKQEYSEDDKKAVFADVAEKDASFINENYYI SPSLDTLSSYSLLQLRKVPHLVVGHKSYGKIEFLEPVDLAGIPLTSLGGVIITFEPKT CIIYANLPNRPKRGEGINVRARITCFNCYPVDKSTRKPIKDPNHQLVKRHIERLKKNP NSKFESYDADSGTYVFIVNHAAEQT YMR048W MDQDFDSLLLGFNDSDSVQKDPTVPNGLDGSVVDPTIADPTAIT ARKRRPQVKLTAEKLLSDKGLPYVLKNAHKRIRISSKKNSYDNLSNIIQFYQLWAHEL FPKAKFKDFMKICQTVGKTDPVLREYRVSLFRDEMGMSFDVGTRETGQDLERQSPMVE EHVTSAEERPIVADSFAQDKRNVNNVDYDNDEDDDIYHLSYRNRRGRVLDERGNNETV LNNVVPPKEDLDALLKTFRVQGPVGLEENEKKLLLGWLDAHRKMEKGSMTEEDVQLIQ SLEEWEMNDIEGQHTHYDLLPGGDEFGVDQDELDAMKEMGF YMR049C MMAKNNKTTEAKMSKKRAASEESDVEEDEDKLLSVDGLIDAEAS ESDEDDDEYESAVEEKESSSDKEAQDDSDDDSDAELNKLLAEEEGDGEEDYDSSEFSD DTTSLTDRLSGVKLQTIVDPNIYSKYADGSDRIIKPEINPVYDSDDSDAETQNTIGNI PLSAYDEMPHIGYDINGKRIMRPAKGSALDQLLDSIELPEGWTGLLDKNSGSSLNLTK EELELISKIQRNEQTDDSINPYEPLIDWFTRHEEVMPLTAVPEPKRRFVPSKNEAKRV MKIVRAIREGRIIPPKKLKEMKEKEKIENYQYDLWGDSTETNDHVMHLRAPKLPPPTN EESYNPPEEYLLSPEEKEAWENTEYSERERNFIPQKYSALRKVPGYGESIRERFERSL DLYLAPRVRKNKLNIDPNSLIPELPSPKDLRPFPIRCSTIYAGHKGKVRTLSIDPSGL WLATGSDDGTVRVWEILTGREVYRTTLIDDEENPDYHIECIEWNPDANNGILAVAVGE NIHLIVPPIFGYDIENNGKTKIEDGFGYDTFGTVKKSNLEVNENGDGDEDGENESAKN AVKKQVAQWNKPSQKQLEKDICITISCKKTVKKLSWHRKGDYFVTVQPDSGNTSVLIH QVSKHLTQSPFKKSKGIIMDAKFHPFKPQLFVCSQRYVRIYDLSQQILVKKLLPGARW LSKIDIHPRGDNLIASSFDKRVLWHDLDLASTPYKTLRYHEKAVRSVNFHKKLPLFSS AADDGTIHVFHATVYDDMMKNPMIVPLKKLTGHKVINSLGVLDAIWHPREAWLFSAGA DNTARLWTT YMR050C MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIQAYHKEVNQLL KMKTWDTDRYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDPGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSH LVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YMR051C MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YMR052W MNSGGSDSFDYLLQLTKALSAECRANRQETDRIELLLKRLAKQS GISYDNLSKNIIPDSWKDNASQKASPPTEAQKLISENFKLIYEIEKQEYFNTKAVALI NNINEHFSYIKNFIDEQNAIRERNIATFSSEKLDERNKSLQQNYESLKTENEETKKKL HSIIKQFEKLLKEVDWDRISKDSRDYSRFKKQLEYLQDTYQVLK YMR053C MVMADTIATGDKTSQFDGKHMQDLERIYSTRDTLDDNFFGERGQ KDANGDYYDELNNEETNYSGSSYYGHSDDSEVVGLIKNDTVSQLPPLDSFIFPDSRAL FLLDLGNYADLTYEEIIVHGFEIYIVEQWVACRNLSTLITSYTGNSQDTISGVRVVLP KDTSMWPGRFRLYFEELMEFARPKFTPKGTLFITNLSGVSFGLNLLHVECGDLRTIWK DFEVNFDLKNLHCGGRSANLLCPPTMASLDKFSQLFKIPTNGFIAQYPQMIQQQQPRL PEEEYKTVGNSKCRNTDSKSPVVEMVTLIQISLSYFNLLSKNYQTDGLLCEDTKRAID EWWETYGKLYLGTEKPRNECTLGPTTVAGLISLILCCYFKLMIENCISSKDPFDEAGF FQGIYNFQKKHGLNKRKSRVYLDPRTLEKLFEVTAKVSSKDIFKLKKMVTSTVQDIIG KGNPINLSHKILTTDLDTLIHNIHGGSVGLLWKGKGHPRKCCTDISNEEFLKFNYQRG DPDGQIREREMLLEKFRLERIAYAQKHASKKVSSSSLDTSEDIGRTNAMPSSATVSSM FPNYDNTKYAYNFGINKLYQGEYYRRNSFPYCKDRTHDNIYEDLSELKEKSSRLYRCN SSSAVQNIVEKWDLPFDPSVVRIARDLLRMKYDIQAQQHIQEMDEHYMGKLNKEGTVG QYSKFNERYKRLQELYKKYSDGAKVFEGRFEDIDNKQQLLLHEMQELNSLSSRLKYDM RILEVRVRDIESSVAQFDSKLIGLKSSLQGQGKTGICSAIDPKSDKDEYDRCVNDLMT TNNPTYEALCLKMLSRRYFKDLKNDTVGWFRWLFGNNSLHNNASEDDRGIRV YMR054W MNQEEAIFRSADMTYVQLYIPLEVIREVTFLLGKMSVFMVMDLN KDLTAFQRGYVNQLRRFDEVERMVGFLNEVVEKHAAETWKYILHIDDEGNDIAQPDMA DLINTMEPLSLENVNDMVKEITDCESRARQLDESLDSLRSKLNDLLEQRQVIFECSKF IEVNPGIAGRATNPEIEQEERDVDEFRMTPDDISETLSDAFSFDDETPQDRGALGNDL TRNQSVEDLSFLEQGYQHRYMITGSIRRTKVDILNRILWRLLRGNLIFQNFPIEEPLL EGKEKVEKDCFIIFTHGETLLKKVKRVIDSLNGKIVSLNTRSSELVDTLNRQIDDLQR ILDTTEQTLHTELLVIHDQLPVWSAMTKREKYVYTTLNKFQQESQGLIAEGWVPSTEL IHLQDSLKDYIETLGSEYSTVFNVILTNKLPPTYHRTNKFTQAFQSIVDAYGIATYKE INAGLATVVTFPFMFAIMFGDMGHGFILFLMALFLVLNERKFGAMHRDEIFDMAFTGR YVLLLMGAFSVYTGLLYNDIFSKSMTIFKSGWQWPSTFRKGESIEAKKTGVYPFGLDF AWHGTDNGLLFSNSYKMKLSILMGYAHMTYSFMFSYINYRAKNSKVDIIGNFIPGLVF MQSIFGYLSWAIVYKWSKDWIKDDKPAPGLLNMLINMFLAPGTIDDQLYSGQAKLQVV LLLAALVCVPWLLLYKPLTLRRLNKNGGGGRPHGYQSVGNIEHEEQIAQQRHSAEGFQ GMIISDVASVADSINESVGGGEQGPFNFGDVMIHQVIHTIEFCLNCISHTASYLRLWA LSLAHAQLSSVLWDMTISNAFSSKNSGSPLAVMKVVFLFAMWFVLTVCILVFMEGTSA MLHALRLHWVEAMSKFFEGEGYAYEPFSFRAIIE YMR055C MTSIEDLISNPPLLLHSSLSQLRYLILSEGLPISEDKQQQRTRC YVWTVLSQTSMEASTQRYLALLKLGPPSTTIYQKIKNDTSRTFQTDPNFRNRVSEDAL IRCLSCFAWQTQQRRQKTRFGRIPVSTYVQGMNVLLAPLLYSCPSEPMAYQLFTKLCY EMIPTYLTKNLNGAQNGAKLLDISLRIIDPKLSKFLSDNLLTAEIYGMPSILTLSSCN KPLDQVIKLWDFMFAYGFHMNILFVVAFLVKMRSKVFKSDSPVNLLRQFPDFDADEII RLGVGFIAKIPAQIYDLLVDHLTDPDIYIP YMR056C MSHTETQTQQSHFGVDFLMGGVSAAIAKTGAAPIERVKLLMQNQ EEMLKQGSLDTRYKGILDCFKRTATHEGIVSFWRGNTANVLRYFPTQALNFAFKDKIK SLLSYDRERDGYAKWFAGNLFSGGAAGGLSLLFVYSLDYARTRLAADARGSKSTSQRQ FNGLLDVYKKTLKTDGLLGLYRGFVPSVLGIIVYRGLYFGLYDSFKPVLLTGALEGSF VASFLLGWVITMGASTASYPLDTVRRRMMMTSGQTIKYDGALDCLRKIVQKEGAYSLF KGCGANIFRGVAAAGVISLYDQLQLIMFGKKFK YMR058W MTNALLSIAVLLFSMLSLAQAETHTFNWTTGWDYRNVDGLKSRP VITCNGQFPWPDITVNKGDRVQIYLTNGMNNTNTSMHFHGLFQNGTASMDGVPFLTQC PIAPGSTMLYNFTVDYNVGTYWYHSHTDGQYEDGMKGLFIIKDDSFPYDYDEELSLSL SEWYHDLVTDLTKSFMSVYNPTGAEPIPQNLIVNNTMNLTWEVQPDTTYLLRIVNVGG FVSQYFWIEDHEMTVVEIDGITTEKNVTDMLYITVAQRYTVLVHTKNDTDKNFAIMQK FDDTMLDVIPSDLQLNATSYMVYNKTAALPTQNYVDSIDNFLDDFYLQPYEKEAIYGE PDHVITVDVVMDNLKNGVNYAFFNNITYTAPKVPTLMTVLSSGDQANNSEIYGSNTHT FILEKDEIVEIVLNNQDTGTHPFHLHGHAFQTIQRDRTYDDALGEVPHSFDPDNHPAF PEYPMRRDTLYVRPQSNFVIRFKADNPGVWFFHCHIEWHLLQGLGLVLVEDPFGIQDA HSQQLSENHLEVCQSCSVATEGNAAANTLDLTDLTGENVQHAFIPTGFTKKGIIAMTF SCFAGILGIITIAIYGMMDMEDATEKVIRDLHVDPEVLLNEVDENEERQVNEDRHSTE KHQFLTKAKRFF YMR059W MATTDIISLVKNNLLYFQMWTEVEILQDDLSWKGNSLRLLRGRP PHKLSNDVDTEHENSLSSPRPLEFILPINMSQYKENFLTLECLSQTFTHLCSPSTERI LLAIINDDGTIVYYFVYKGVRKPKRN YMR060C MVKGSVHLWGKDGKASLISVDSIALVWFIKLCTSEEAKSMVAGL QIVFSNNTDLSSDGKLPVLILDNGTKVSGYVNIVQFLHKNICTSKYEKGTDYEEDLAI VRKKDRLLEYSLLNYVDVEISRLTDYQLFLNTKNYNEYTKKLFSKLLYFPMWYNTPLQ LRSQARENCEEIIGSLTLEDDEEFVESKAMESASQLAQSKTFKIAHKNKIKGKQELQQ VKYNLQFDNRLQSCVSNWLAARKKLDDSVILSSDLLFLANLYVQLGLPDGNRIRSKLE QTFGSELLNSMSNKIDDFVHRPSNNLEQRDPQFREQGNVVMSLYNLACKYI YMR061W MSSSTTPDLLYPSADKVAEPSDNIHGDELRLRERIKDNPTNILS YFQLIQYLETQESYAKVREVYEQFHNTFPFYSPAWTLQLKGELARDEFETVEKILAQC LSGKLENNDLSLWSTYLDYIRRKNNLITGGQEARAVIVKAFQLVMQKCAIFEPKSSSF WNEYLNFLEQWKPFNKWEEQQRIDMLREFYKKMLCVPFDNLEKMWNRYTQWEQEINSL TARKFIGELSAEYMKARSLYQEWLNVTNGLKRASPINLRTANKKNIPQPGTSDSNIQQ LQIWLNWIKWERENKLMLSEDMLSQRISYVYKQGIQYMIFSAEMWYDYSMYISENSDR QNILYTALLANPDSPSLTFKLSECYELDNDSESVSNCFDKCTQTLLSQYKKIASDVNS GEDNNTEYEQELLYKQREKLTFVFCVYMNTMKRISGLSAARTVFGKCRKLKRILTHDV YVENAYLEFQNQNDYKTAFKVLELGLKYFQNDGVYINKYLDFLIFLNKDSQIKTLFET SVEKVQDLTQLKEIYKKMISYESKFGNLNNVYSLEKRFFERFPQENLIEVFTSRYQIQ NSNLIKKLELTYMYNEEEDSYFSSGNGDGHHGSYNMSSSDRKRLMEETGNNGNFSNKK FKRDSELPTEVLDLLSVIPKRQYFNTNLLDAQKLVNFLNDQVEIPTVESTKSG YMR062C MRISSTLLQRSKQLIDKYALYVPKTGSFPKGFEVGYTASGVKKN GSLDLGVILNTNKSRPSTAAAVFTTNKFKAAPVLTSKKVLETARGKNINAIVVNSGCA NSVTGDLGMKDAQVMIDLVNDKIGQKNSTLVMSTGVIGQRLQMDKISTGINKIFGEEK FGSDFNSWLNVAKSICTTDTFPKLVTSRFKLPSGTEYTLTGMAKGAGMICPNMATLLG FIVTDLPIESKALQKMLTFATTRSFNCISVDGDMSTNDTICMLANGAIDTKEINEDSK DFEQVKLQVTEFAQRLAQLVVRDGEGSTKFVTVNVKNALHFEDAKIIAESISNSMLVK TALYGQDANWGRILCAIGYAKLNDLKSLDVNKINVSFIATDNSEPRELKLVANGVPQL EIDETRASEILALNDLEVSVDLGTGDQAAQFWTCDLSHEYVTINGDYRS YMR063W MVSMIHIVVFLLAITTMFEILPLITVPVTKYLSLSSFRNHYYGL FGWCVRGQNQELMCTKMKIGYDSTDVDSSGHVLTLPSNSKVVVSNLLVVHPISLAFTG TLLILAVIIMVTPLGDSPEMLLFTALFSLPTFMLCLLCFLVDILLFISKLDWPGWLML AATISVALCCSMLWVMRRVVSVKKYESQQSIAHACSMEQYSISDIYQSKQNGNSSEYE VAPTHTDSLIAPEVTYRGFIE YMR064W MITTVQEISKWRNLCFIRMQSRKWYPVLKKTPLVADGRKIIKHA DKVPHPEEIIHPFYQPTAIEQFTACATEYNPSLLDGKKIAPSLIKHPVSLKTILVDSK LKFDDIRGVNKWLMEFVARRQHQRNIVLTPASKSVRSFHVLHLSSTDIAKLRGLENIL SEIENTNDLQSRVESVNNELQNIFDRDSKQTRLFCENILAYLIKNYGNSTEKLILLIN VTEMQLYSRLDQMKAMNIILYNILCKVEANENPPYSPTLVTALENLLAAINNRFFPGR CENSLHPIVIEQLLSYFIKTGNLNESKNFLGHLIKKGILPEATIINRYLEAIDVHFDK STKIFDIRSKFAFIADLAPIIENYGTIDLFKFLIPMCRHFDELCSLLNIIRKSNNAKR AVDSTLPIFIKKVLTFTKDPMINSGNLSTVFNIVSPIYGQNVPSEFVEKFILSFALQG NYTMMAHMIDTYKIKLSHKYQLQIIRALKNSERNHALKNTGAVGYNKEFKKYFIEKYL NCTEREALRP YMR065W MFEMRYVYLFAICIKFVSSSELGKINNLLQGRLIYTDNSVATNV LESKFPFLKSTCVKDALKLFLPQCIANGLESIDAETRVETAIKLSICEFQASGLGEIP ENCMVDDLGSMMDCMFELESSSQWWTTYSGNYQRLSSICYENLLPFEKEQILKLFLNI TELYDSFGDDVDTKLNHLMFQMEQDSQNFLDDLARMFRNYDNELRNATESNRIILEND LSFFRNKVNDVLYETSEQLEVQIIEKNSQLMNEVDTVHHIMSDLADELAKNDIKSKIN DLKDDSLNNLQDLVEMSNDVKEYYSRNNKLVNTELENFSMGLKKQLGGMSKDLSESQM EAIELLQGFNSILHDSLLPSMTDEIVPEMTNFKNTLLQEWTAITSTLNGDFALWNEEI FSTFNDISEKLNGTKKKLDDIEIRVSLVHKNVMTMMRVLDFMWKTSKMIIRCGYLAVK NKYYWLLCSVVWIWSKYRTSRVNVKMIPIKRYYQWAALLLSIYLGAKTGSLIDF YMR066W MFKYNRSLCSSALIAKSQIRFYRLKRAPLNYASHIPEVLNKTII GPDEPEKCLILKGKTSEEIENNLLSNKKFQEINPLDTIQETFIQYLKFCNETNFKRSN KNLNRLKKTLESKDSNSTVKINAVFNYLLEECDLEIKRLNTTGQTQVYNEEKGNEDDL EQSIMNDIFRSAQEQFEDQEGHIPLRSTSFLLEILKSFNERFNGIIKPKESITEMVTF SQLAQAFEVVKLIPVQEMKEKGIYLVGNLLYGTGKVRLDPINESFYIESLLVFGNYKA AYSLFITNKDKVNERWWNELGLMITLRSNHLRNFRKLLAETDAKYSTKYSYLSPRVTK LSIRKYLSIGNVTEANILTDRFIKLVEEVGIIRMKDEQEELPTGVKNFQNEKHATEFL NELEIPSDHDYISIVDFHLYKRNIPMAAQLISKYMEIPGTTQEDAAFLLVKTKLNMLK DFEKLRNIFAQNKDYVVPENNVKMLQEAFESVITKYNTNSPIYNELLFENVSALTKSI VLTDFLEEFITKQASGQWMELNSVSRSRKFNGLLNILLGTGEEEKAYNILKKLEEASK KSKTDPDLLYNQFYSEVNAYHYAKFVEFYSLQIQNMKAQNTPSFRKKEFKQKVKSLLK RMQESEVIPNAVFLREILNFYDSMYDFNSSFEIINPLLESKQQVSSESSLSTSNPCRF YNRRIITKPLYHKIWSVYCHYYHVLQNNSRILSKKSSIVKKLIKRQIKIHPTCHPRVL FQMTAENGEILPDKTFSKLIVSTFMKSGDLEAIPAILTFLTKKFDLNIDYDLSMYILK GLKRQYLRDISNISKDACEYKLRKAELMNNESILKNIPQGTNQENTISHLIREILIFI KWKEKSDCSTFLMVEDAFKELGTEFTLLEELIEDVNKLKIKA YMR067C MPMVTVKYNFQLFKCKVSLNSTLNDVLHQSIQFFQLHTSSNDWS LIHLDKPVPLDLPWRLLNLPTGVNLELSKSSNFPVANKTNREDIPFNTIKIRFQIPGR DSVVKEMPSDQPIAPILRQMSGAAGDDFKIQVFSKIIEFKTIKDENLTLENLGIQEPS SVRLIFNNTSHSEGISANSAIHPKQTPPTMTNPETVASLPPHELHKPSVFLPSDEPLA VIKDQIEDEEDYELTVEQAKKYQKMLSSKAGTLGGPILTKRLREQSANNLPKKNKAIS ECLLRVKFPDRSHIQIAFKPNEDMRTVYNVVSQFLIDENMPFTLNQSHPFKPLAKDDK KLLDDLEFGSKTMLLFETNSNSNGPLIKAHLLEDAQKITHETRTTPSVNTINKSNPQG PSDNATSIKKTLNRVPKWMKLSKK YMR068W MLKEPSVRLREAIIEGNLLIVKRLLRRNPDLLTNIDSENGWSSL HYASYHGRYLICVYLIQLGHDKHELIKTFKGNTCVHLALMKGHEQTLHLLLQQFPRFI NHRGENGRAPIHIACMNDYYQCLSLLIGVGADLWVMDTNGDTPLHVCLEYGSISCMKM LLNEGEVSLDDNVRDKGNWKPIDVAQTFEVGNIYSKVLKEVKKKGPPLGAGKKPSSFR TPILNAKATFEDGPSPVLSMNSPYSLYSNNSPLPVLPRRISTHTTSGNGGNRRSSITN PVFNPRKPTLSTDSFSSSSNSSSRLRVNSINVKTPVGVSPKKELVSESVRHSATPTSP HNNIALINRYLLPNKSNDNVRGDSQTATINDDGGGGNGGDATIGMGLRKDPDDENENK YKIKVNNGEPRRRVSLLNIPISKLRNSNNTRAED YMR069W MRSSVYSENTYNCIRTSKEHLTERRRVAMAPMFQHFLNLCVEKF PESIEHKDTDGNGNFTTAILEREIIYIPEDDTDSIDSVDSLKCINYKLHKSRGDQVLD ACVQLIDKHLGAKYRRASRIMYGNRKPWKANKLAEMKSAGLVYVCYWDNGVLGAFTSF MLTEETGLVEGDALHEVSVPVIYLYEVHVASAHRGHGIGRRLLEHALCDGVARHTRRM CDNFFGVALTVFSDNTRARRLYEALGFYRAPGSPAPASPTIRHTRHGGGRVVVPCDPL YYVYCLHMP YMR070W MNADHHLQQQQQQRQQHQQQQHQQQQHQHQHQQQQHTILQNVSN TNNIGSDSLASQPFNTTTVSSNKDDVMVNSGARELPMPLHQQQYIYPYYQYTSNNSNN NNVTAGNNMSASPIVHNNSNNSNNSNISASDYTVANNSTSNNNNNNNNNNNNNNNIHP NQFTAAANMNSNAAAAAYYSFPTANMPIPQQDQQYMFNPASYISHYYSAVNSNNNGNN AANNGSNNSSHSAPAPAPGPPHHHHHHSNTHNNLNNGGAVNTNNAPQHHPTIITDQFQ FQLQQNPSPNLNLNINPAQPLHLPPGWKINTMPQPRPTTAPNHPPAPVPSSNPVASNL VPAPSSDHKYIHQCQFCEKSFKRKSWLKRHLLSHSQQRHFLCPWCLSRQKRKDNLLQH MKLKHTNYLLDELKKNNIIFNYNNSSSSNNNNDNNNNNNSNSASGSGGAGAAAAAATA PENEDGNGYDTNIKTLINDGVLNKDDVKRVLNNLIVSHNK YMR071C MALSLGQFINVGGMVKDLKSFNFSVYGRWFGYINIILCIALGIA NLFHVSGVIAFGIISIIQGLVILFIEIPFLLKICPLSDNFIEFIKRFETNGWRCLFYL AMAIIQYISIAVMATSLIVVAVGLTISSISYAVAYTKHQEFQNTNIIKNPTDDDFPHE AVVREML YMR072W MNSYSLLTRSFHESSKPLFNLASTLLKASKRTQLRNELIKQGPK RPTSAYFLYLQDHRSQFVKENPTLRPAEISKIAGEKWQNLEADIKEKYISERKKLYSE YQKAKKEFDEKLPPKKPAGPFIKYANEVRSQVFAQHPDKSQLDLMKIIGDKWQSLDQS IKDKYIQEYKKAIQEYNARYPLN YMR073C MSSDGMNRDVSNSKPNVRFAAPQRLSVAHPAISSPLHMPMSKSS RKPLVRTKIRLDPGHSALDWHSLTSNPANYYTKFVSLQLIQDLLDDPVFQKDNFKFSP SQLKNQLLVQKIPLYKIMPPLRINRKIVKKHCKGEDELWCVINGKVYDISSYLKFHPG GTDILIKHRNSDDLITYFNKYHQWVNYEKLLQVCFIGVVCE YMR074C MDPELQAIREARLAQLKNNSGGTNGDRNSGANNGGGENSAPVGA AIANFLEPQALERLSRVALVRRDRAQAVETYLKKLIATNNVTHKITEAEIVSILNGIA KQQNSQNNSKIIFERKDFSEDLNSFDKQNAKNDDDEDDDDFFD YMR075W MDTSKKDTTRSPSHSNSSSPSSSSLSSSSSKEKKRPKRLSSQNV NYDLKRRKIITSEGIERSFKNEHSNLAVEDNIPEEEPKELLEKDSKGNIIKLNEPSTI SEDSKVSVTGLPLNKGPSEKIKRESLWNYRKNLGGQSNNSEMTLVPSKRFTQVPKNFQ DLNRNDLKTFLTENMTEESNIRSTIGWNGDIINRTRDREPESDRDNKKLSNIRTKIIL STNATYDSKSKLFGQNSIKSTSNASEKIFRDKNNSTIDFENEDFCSACNQSGSFLCCD TCPKSFHFLCLDPPIDPNNLPKGDWHCNECKFKIFINNSMATLKKIESNFIKQNNNVK IFAKLLFNIDSHNPKQFQLPNYIKETFPAVKTGSRGQYSDENDKIPLTDRQLFNTSYG QSITKLDSYNPDTHIDSNSGKFLICYKCNQTRLGSWSHPENSRLIMTCDYCQTPWHLD CVPRASFKNLGSKWKCPLHSPTKVYKKIHHCQEDNSVNYKVWKKQRLINKKNQLYYEP LQKIGYQNNGNIQIIPTTSHTDYDFNQDFKITQIDENSIKYDFFDKIYKSKMVQKRKL FQFQESLIDKLVSNGSQNGNSEDNMVKDIASLIYFQVSNNDKSSNNKSASKSNNLRKL WDLKELTNVVVPNELDSIQFNDFSSDEIKHLLYLKKIIESKPKEELLKFLNIENPENQ SE YMR076C MAKGAVTKLKFNSPIISTSDQLISTNELLDRLKALHEELASLDQ DNTDLTGLDKYRDALVSRKLLKHKDVGIRAFTACCLSDILRLYAPDAPYTDAQLTDIF KLVLSQFEQLGDQENGYHIQQTYLITKLLEYRSIVLLADLPSSNNLLIELFHIFYDPN KSFPARLFNVIGGILGEVISEFDSVPLEVLRLIFNKFLTYNPNEIPEGLNVTSDCGYE VSLILCDTYSNRMSRHLTKYYSEIIHEATNDDNNSRLLTVVVKLHKLVLRLWETVPEL INAVIGFIYHELSSENELFRKEATKLIGQILTSYSDLNFVSTHSDTFKAWISKIADIS PDVRVEWTESIPQIIATREDISKELNQALAKTFIDSDPRVRRTSVMIFNKVPVTEIWK NITNKAIYTSLLHLAREKHKEVRELCINTMAKFYSNSLNEIERTYQNKEIWEIIDTIP STLYNLYYINDLNINEQVDSVIFEYLLPFEPDNDKRVHRLLTVLSHFDKKAFTSFFAF NARQIKISFAISKYIDFSKFLNNQESMSSSQGPIVMNKYNQTLQWLASGLSDSTKAID ALETIKQFNDERIFYLLNACVTNDIPFLTFKNCYNELVSKLQTPGLFKKYNISTGASI MPRDIAKVIQILLFRASPIIYNVSNISVLLNLSNNSDAKQLDLKRRILDDISKVNPTL FKDQIRTLKTIIKDLDDPDAEKNDNLSLEEALKTLYKASKTLKDQVDFDDTFFFTKLY DFAVESKPEITKYATKLIALSPKAEETLKKIKIRILPLDLQKDKYFTSHIIVLMEIFK KFPHVLNDDSTDIISYLIKEVLLSNQVVGDSKKEIDWVEDSLLSDTKYSAIGNKVFTL KLFTNKLRSIAPDVPRDELAESFTEKTMKLFFYLIASGGELISEFNKEFYPTPSNYQT KLRCVAGIQVLKLARISNLNNFIKPSDIIKLINLVEDESLPVRKTFLEQLKDYVANEL ISIKFLPLVFFTAYEPDVELKTTTKIWINFTFGLKSFKKGTIFERALPRLIHAIAHHP DIVGGLDSEGDAYLNALTTAIDYLLFYFDSIAAQENFSLLYYLSERVKNYQDKLVEDE IDEEEGPQKEEAPKKHRPYGQKMYIIGELSQMILLNLKEKKNWQHSAYPGKLNLPSDL FKPFATVQEAQLSFKTYIPESLTEKIQNNIKAKIGRILHTSQTQRQRLQKRLLAHENN ESQKKKKKVHHARSQADDEEGDGDRESDSDDDSYSPSNKNETKKGHENIVMKKLRVRK EVDYKDDEDDDIEMT YMR077C MGQKSSKVHITKTDRAILEVKRSKDEIHKFTRRTDNLILVEKSQ LKDLIRKNPENYKSNMKVRFLLKRIHYQEHLLQQASDQLINLENMVSTLEFKMVEKQF INGLKNGNEILKKLNKEFSNVDELMDDVQDQIAYQNEINETLSRSLVGTSNYEDDLDK ELDALESELNPEKMNNAKVANMPSTEGLPSLPQGEQTEQKEREEFATEERSDTKEPLA LLS YMR078C MVDTAPYIGSLGRSSLFDTGDIEQAPGNNAIGINEEDIHAFVSS TGETVQLKKKPAKLATGNISLYTNPDTVWRSDDTYGININYLLDKIEASGDDRTNAQK TSPITGKIGSDTLWVEKWRPKKFLDLVGNEKTNRRMLGWLRQWTPAVFKEQLPKLPTE KEVSDMELDPLKRPPKKILLLHGPPGIGKTSVAHVIAKQSGFSVSEINASDERAGPMV KEKIYNLLFNHTFDTNPVCLVADEIDGSIESGFIRILVDIMQSDIKATNKLLYGQPDK KDKKRKKKRSKLLTRPIICICNNLYAPSLEKLKPFCEIIAVKRPSDTTLLERLNLICH KENMNIPIKAINDLIDLAQGDVRNCINNLQFLASNVDSRDSSASDKPACAKNTWASSN KDSPISWFKIVNQLFRKDPHRDIKEQFYELLNQVELNGNSDRILQGCFNIFPYVKYSD NGIRKPANISDWLFFHDLMYQSMYAHNGELLRYSALVPLVFFQTFGDIANKDDIRMKN SEYEQRELKRANSDIVSLIMRHISVQSPLMASFTDRKSLIFEILPYLDSMISSDFNKI RNLKLKQAIMEELVQLLKSFQLNLIQNRSEGFDVRGGLTIDPPIDEVVLLNPKHINEV QHKRANNLSSLLAKIEENRAKKRHIDQVTEDRLQSQEMHSKKVKTGLNSSSSTIDFFK NQYGLLKQTQELEETQKTIGSDETNQADDCNQTVKIWVKYNEGFSNAVRKNVTWNNLW E YMR079W MVTQQEKEFLESYPQNCPPDALPGTPGNLDSAQEKALAELRKLL EDAGFIERLDDSTLLRFLRARKFDVQLAKEMFENCEKWRKDYGTDTILQDFHYDEKPL IAKFYPQYYHKTDKDGRPVYFEELGAVNLHEMNKVTSEERMLKNLVWEYESVVQYRLP ACSRAAGHLVETSCTIMDLKGISISSAYSVMSYVREASYISQNYYPERMGKFYIINAP FGFSTAFRLFKPFLDPVTVSKIFILGSSYQKELLKQIPAENLPVKFGGKSEVDESKGG LYLSDIGPWRDPKYIGPEGEAPEAFSMK YMR080C MVGSGSHTPYDISNSPSDVNVQPATQLNSTLVEDDDVDNQLFEE AQVTETGFRSPSASDNSCAYCGIDSAKCVIKCNSCKKWFCNTKNGTSSSHIVNHLVLS HHNVVSLHPDSDLGDTVLECYNCGRKNVFLLGFVSAKSEAVVVLLCRIPCAQTKNANW DTDQWQPLIEDRQLLSWVAEQPTEEEKLKARLITPSQISKLEAKWRSNKDATINDIDA PEEQEAIPPLLLRYQDAYEYQRSYGPLIKLEADYDKQLKESQALEHISVSWSLALNNR HLASFTLSTFESNELKVAIGDEMILWYSGMQHPDWEGRGYIVRLPNSFQDTFTLELKP SKTPPPTHLTTGFTAEFIWKGTSYDRMQDALKKFAIDKKSISGYLYYKILGHQVVDIS FDVPLPKEFSIPNFAQLNSSQSNAVSHVLQRPLSLIQGPPGTGKTVTSATIVYHLSKI HKDRILVCAPSNVAVDHLAAKLRDLGLKVVRLTAKSREDVESSVSNLALHNLVGRGAK GELKNLLKLKDEVGELSASDTKRFVKLVRKTEAEILNKADVVCCTCVGAGDKRLDTKF RTVLIDESTQASEPECLIPIVKGAKQVILVGDHQQLGPVILERKAADAGLKQSLFERL ISLGHVPIRLEVQYRMNPYLSEFPSNMFYEGSLQNGVTIEQRTVPNSKFPWPIRGIPM MFWANYGREEISANGTSFLNRIEAMNCERIITKLFRDGVKPEQIGVITPYEGQRAYIL QYMQMNGSLDKDLYIKVEVASVDAFQGREKDYIILSCVRANEQQAIGFLRDPRRLNVG LTRAKYGLVILGNPRSLARNTLWNHLLIHFREKGCLVEGTLDNLQLCTVQLVRPQPRK TERPMNAQFNVESEMGDFPKFQDFDAQSMVSFSGQIGDFGNAFVDNTELSSYINNEYW NFENFKSAFSQKQNRNEIDDRNLYQEEASHLNSNFARELQREEQKHELSKDFSNLGI YMR081C MIASEIFERGVQDPFCQDCDYEDETDVQSFLGSNDLNDFVNSKL ASFSFQNSSKSNNSHHSSSTNAGNTSRHIGNHTIGHHLRKIKTAPHHLYGFVPANSTN NSNEPIRPSPRRIRANSSTLIHQLSRQSTRQSSLGDAADSCFDHKCIKPRSRHSSCYG IPTHLYGLEKYVSSELDSLAVANDQSNDLTSPLTSVSTPASNSNSYLNLNSSSAAYPS SYLSNEKNNRLKLISHGKISSNNVPGHSGNLNHYHRERTPSNLRRESFSLLSNGSSSS PLQTRNNSYSNSLVKSPSNSSLNTSVASSNEESSPHTSNCLEERNPRRKSFIKLSLAS SFSN YMR082C MTIAEITIRQKFSYPFLFGNVLGHPWPRNVPRKEIKMLLFRTAN NSFPGFLLIFTRKRRNLPKQRDNEIPRTRALETYLRVFSAARKQREADATASFWRLVT SASRSHYHMWPIKRII YMR083W MLRTSTLFTRRVQPSLFSRNILRLQSTAAIPKTQKGVIFYENKG KLHYKDIPVPEPKPNEILINVKYSGVCHTDLHAWHGDWPLPVKLPLVGGHEGAGVVVK LGSNVKGWKVGDLAGIKWLNGSCMTCEFCESGHESNCPDADLSGYTHDGSFQQFATAD AIQAAKIQQGTDLAEVAPILCAGVTVYKALKEADLKAGDWVAISGAAGGLGSLAVQYA TAMGYRVLGIDAGEEKEKLFKKLGGEVFIDFTKTKNMVSDIQEATKGGPHGVINVSVS EAAISLSTEYVRPCGTVVLVGLPANAYVKSEVFSHVVKSINIKGSYVGNRADTREALD FFSRGLIKSPIKIVGLSELPKVYDLMEKGKILGRYVVDTSK YMR084W MCGIFGYCNFLIEKTRGEIIDTLIEGLQALEYKEYDSSGISIQG DELESLNIYKQTGKISSLKEEIDLYNLNKNLPFISHCGIAHTRRATHGGLRRANCHPH NSDPSNEFVVVHNGVITNFANLKALLMAKGYVFKSDTDTECIPKLYKHIYDTSIELGY NLDFHVLTNLVLKELEGSYGLLCTSSHFPDEVVAARKGSPLVIGVKGKTDMDVNFVEV EYLDQEEDYLKLNTQTKSSGNVLAAAPVKYNTCLRKSPPFVHNT YMR085W MEFYLSSDCASLARYVSKVVYLEDNDIAHIYDGELHIHCSKIGS EDFSFRTVQKLELELSKIKKGPYDNFMQKEIYEQCETTKNVMRGRVDAFTNRVVLGGL ENWLTELRRAKRIIMIASKASFHSCLAARPIFEELMEVPVNVELALDFVDRNCCIFRN DVCIFVSRSGETTDTINALNYCIKKEAVTIGVVNCSGSSISRFTHCGVHTNTGPEKGI ATTKSYTSQYIALVMIALWMSEDLVSKIERRKEIIQALTIVPSQIKEVLELEPLIIEL CDKKLKQHDTFLLLGRGYQFASALEGASKMKEISYVHSESILTNELGHRVLAVASDNP PIIAFATKDAFSPKIASCIDQIIERKGNPIIICNKGHKIWEQDKQKGNVVTLEVPQTV DCLQGILNVIPLQLISYWLAIKKDIGVDLPRDSAMSAPDI YMR086W MFRRRTTAPEMEQADPTAVAAAASIGKLLMKKGNQSDNEQRPTY RSASMTNLRKPSAPKRMSSISSISSESRRSDGKGRPGKINSLTQRSSMGKGDSLNSPL TKEPQHKTRSHNRTSSLPNQRGQQSRNSSGLQRQKSKTHQRISYDEAQRTFKDFGGPQ ARGILTGQHRTENPSGSIPLRTTRKYIPGPNGLVAIEVPVEKPSNANTSKLLRRSNSA HSALNARNGSLLRKKVSQESLHSQPKKTSSLGNTSSTQAKKGQKAVQERNLAKKHPIN SNVPLIETQVREETDQELKLDNSNSSESETVVNSENNLEKPSSLNIEKDDLSKLIHEN IELESFIEEKGKEKPLNSDQEDVFISEKTVKGDVQWPKNNRQASTLEKTFNYDNEEKR EGNKPVAEHPLPEAEVDDKSVEQLNNISSSGSYSAQGSVENSKPENGNKNLENDTTSS PTQDLDEKSRSIEEKDTLEGTESSKKVEKPDNCCEKISGANTSSKKGNVGDTKDEFFD TVEESDQKTSKSNSSKNTDHSNQTEPTPSLAQYLRTSNTYLSRKNQSKQAEQEKFHKP EAPMVPVTKVVTPIKSALKKSSGSSNHDSSMYSDNSPANGAYLSLTTAENTRLNAQMT MSDSVSRRASLKRSSIKRPQSVGQFRSIRSNSPSPPEKINNKRHSAIPLGTPEKGKPK RNSVMASLSKNSQQIQEPASVYESNGPNKPKNQINKNIKRGSQIAQNNKPSTKDMNSI LYPKEPPPRKSSFEKTRSNESHLGFKKLSLRNGNFEEALSESYNGQASQNSTNVNRTD TAQEFFKYLGHSSRFADSDSEDESQFFNQGPSKYNTETEGNKTSGNKNSNGGNGAFSL FKSKSKQKENNVVSPGVSSPNHTTTDPAITSKKVDKKFSGLSLRAASEAEPAKNSNPS MTNRLRFSSNPENGESRLPQAQEVSVTKEKKGSFGKKLKKIFGRKK YMR087W MTGSLNRHSLLNGVKKMRIILCDTNEVVTNLWQESIPHAYIQND KYLCIHHGHLQSLMDSMRKGDAIHHGHSYAIVSPGNSYGYLGGGFDKALYNYFGGKPF ETWFRNQLGGRYHTVGSATVVDLQRCLEEKTIECRDGIRYIIHVPTVVAPSAPIFNPQ NPLKTGFEPVFNAMWNALMHSPKDIDGLIIPGLCTGYAGVPPIISCKSMAFALRLYMA GDHISKELKNVLIMYYLQYPFEPFFPESCKIECQKLGIDIEMLKSFNVEKDAIELLIP RRILTLDL YMR088C MQTLDETSNLLPPPEEAEAPPLEQKFHEYNLALPKFPILFSLWL GSFLSSLDSTIVANIMNRVAEEFSESSKKQWIATSFLLTNTAFQPLYGKLSDITGRKS ALLTAQFFFGLGCLLTCFARNVTEFSIARAICGIGAGGLNAISSIAVSDICTARERGV YQGYANIVFGFGQLLGAPLGGVFIETIGWRALFGIQVPVIMLCSVLAIKNINIKLFHV PPMKERYTLKNLSRIDIFGSLSLVATISGVLFLCSSQLNKLYLALFTIGSFIVFILVE RYYATEKILPFELLTRSFCLSSAVTVISSFVVFGEIFRSPIYLQLLQNISVTKTGLFL IFPSISVAVGSLVTGWVLRNTKINLAHCAYQIIFGGMIMQLLGLGLGYFLLSHLNPDY TIYDMLESITFRSNSIWWKLIYVFASVLVSFGYACLLVATLVSIVFTVEKSQQGTMTG VFYLWRSIGNVLGASLTLVSYENSLSSMLWNYMFKTKRDDEYHFTKKQYYSLINDSSY LRGPNFPTDIFVRILDVYKKAFLISYIPNIALAAVGIVLSLYLVKHTYKRSSSS YMR089C MLLLSWSRIATKVVRRPVRFRSYYGLTHIKSLHTQYRLLNRLQE NKSGNKNEDNNEDAKLNKEIPTDEEVEAIRKQVEKYIEQTKNNTIPANWKEQKRKIDE SIRRLEDAVLKQESNRIQEERKEKEEENGPSKAKSNRTKEQGYFEGNNSRNIPPPPPP PPPKPPLNDPSNPVSKNVNLFQIGLTFFLLSFLLDLLNSLEEQSEITWQDFREKLLAK GYVAKLIVVNKSMVKVMLNDNGKNQADNYGRNFYYFTIGSIDSFEHKLQKAQDELDID KDFRIPVLYVQEGNWAKAMFQILPTVLMIAGIIWLTRRSAQAAGGSRGGIFGLSRSKA KKFNTETDVKIKFKDVAGCDEAKEEIMEFVSFLKEPSRYEKMGAKIPRGAILSGPPGT GKTLLAKATAGEAGVPFYFVSGSEFVEMFVGVGAARVRDLFKTARENAPSIVFIDEID AIGKARQKGNFSGANDERENTLNQMLVEMDGFTPADHVVVLAGTNRPDILDKALLRPG RFDRHINIDKPELEGRKAIFAVHLHHLKLAGEIFDLKNRLAALTPGFSGADIANVCNE AALIAARSDEDAVKLNHFEQAIERVIGGVERKSKLLSPEEKKVVAYHEAGHAVCGWYL KYADPLLKVSIIPRGQGALGYAQYLPGDIFLLTEQQLKDRMTMSLGGRVSEELHFPSV TSGASDDFKKVTSMATAMVTELGMSDKIGWVNYQKRDDSDLTKPFSDETGDIIDSEVY RIVQECHDRCTKLLKEKAEDVEKIAQVLLKKEVLTREDMIDLLGKRPFPERNDAFDKY LNDYETEKIRKEEEKNEKRNEPKPSTN YMR090W MSPMKVAVVGASGKVGRLLINQLKANDSFSTPLAIVRTQDQVNY FKNEVGVDASLTDIENASVSEITDAIKAYDAVVFSAGAGGKGMERIFTVDLDGCIKVV EACEKAGIKRFVVVSALKAEDRDFWYNIKGLREYYIAKRSADREVRNSNLDYTILQPG SLELNKGTGLLQPLDKLEEKASVNYSINREDVASFIVESLLHPNATVKKTISLVNGNE PMEKFIQSL YMR091C MSDSEGGLASEVEHEKRSRSTSNRPNYAIDTEDLDIDENDENED DDYREEEANEGVNEEEISDEEEQINKSGRNKRRHVDEEEDLSEDKGVTRSRNRSKFKK PVFPGIDDAEENLNPLKVVNEEYVLPDDPEGETKITADGDLLGGREFLVRTFTLTEKG NRKFMLATEPARIVGFRDSYLFFQTHPNLYKFILNQTQKNDLIDRGVLPYSYRNRQIA LVTARGVFKEFGAKIIRGGKHITDDYYASELRTKGNVIEGKLAGDPIDKSARALETMM YPASENGINPAKNQVEFFEHRPHGHMSNSNIIASGSKLSSTNWLYQHSAACSRFNSDL FYDRVKVLLVDQQGLRDAYTNILHIPESTQSTTVLGWRRSKNDSPSDTSIVYETVIHD NDLNKPKTGLSEIPKEIYEDVVDEDVLRAITEQQNFEKCNEYI YMR092C MSSISLKEIIPPQPSTQRNFTTHLSYDPTTNAIAYPCGKSAFVR CLDDGDSKVPPVVQFTGHGSSVVTTVKFSPIKGSQYLCSGDESGKVIVWGWTFDKESN SVEVNVKSEFQVLAGPISDISWDFEGRRLCVVGEGRDNFGVFISWDSGNSLGEVSGHS QRINACHLKQSRPMRSMTVGDDGSVVFYQGPPFKFSASDRTHHKQGSFVRDVEFSPDS GEFVITVGSDRKISCFDGKSGEFLKYIEDDQEPVQGGIFALSWLDSQKFATVGADATI RVWDVTTSKCVQKWTLDKQQLGNQQVGVVATGNGRIISLSLDGTLNFYELGHDEVLKT ISGHNKGITALTVNPLISGSYDGRIMEWSSSSMHQDHSNLIVSLDNSKAQEYSSISWD DTLKVNGITKHEFGSQPKVASANNDGFTAVLTNDDDLLILQSFTGDIIKSVRLNSPGS AVSLSQNYVAVGLEEGNTIQVFKLSDLEVSFDLKTPLRAKPSYISISPSETYIAAGDV MGKILLYDLQSREVKTSRWAFHTSKINAISWKPAEKGANEEEIEEDLVATGSLDTNIF IYSVKRPMKIIKALNAHKDGVNNLLWETPSTLVSSGADACIKRWNVVLE YMR093W MSTARPRIITSKAPLLPQQTTPEQRYWRQYTSAQLVKEHNSVTH ISFNPQHPHDFAVTSSTRVQIFSSRTRQVIKTFSRFKDVVYSASFRSDGKLLCAGDAT GLVSVYDSYNPRTILLSINASTHPTHVTKFHTQDNKILATASDDRVTRLWDISNAYEP QLELTGATDYVRTLSFIPAAPHLVATGSYDGLIRLYDTRSSGSTPIYSLNHDQPVENV IAVSPTQIVSCGGNNFKVWDLTSNKKLYERGNFNKAVTCLDYVENFDSPMQSALIASS LDGHVKVFDPLDNFQVKFGWKFSGPVLSCAVSPSTAQGNRHLVAGLSSGLLAIRTKKK EKRSSDKENAPASFNKNAKSNNFQRMMRGSEYQGDQEHIIHNDKVRSQRRMRAFERNI NQFKWSEALDNAFVPGMAKELTLTVLQELRKRGKVRVALYGRDESTLEPLLNWCLKGI EDVRSASIVADWVAVVLELYGNTLESSPVLQELMIDLKTKVRHEIHKSKEAQRIEGML QLLTS YMR094W MPSFNPVRFLELPIDIRKEVYFHLDGNFCGAHPYPIDILYKSND VELPGKPSYKRSKRSKKLLRYMYPVFATYLNIFEYSPQLIEKWLEYAFWLRYDCLVLD CFKVNHLYDGTLIDALEWTYLDNELRLAYFNKASMLEVWYTFKEYKKWVIDSVAFDEL DLLNVSNIQFNIDNLTPQLVDKCLSILEQKDLFATIGEVQFGQDEEVGEEKDVDVSGA NSDENSSPSSTIKNKKRSASKRSHSDNGNVGATHNQLTSISVIRTIRSMESMKSLRKI TVRGEKLYELLINFHGFRDNPGKTISYIVKRRINEIRLSRMNQISRTGLADFTRWDNL QKLVLSRVAYIDLNSIVFPKNFKSLTMKRVSKIKWWNIEENILKELKVDKRTFKSLYI KEDDSKFTKFFNLRHTRIKELDKSEINQITYLRCQAIVWLSFRTLNHIKLQNVSEVFN NIIVPRALFDSKRVEIYRCEKISQVLVI YMR095C MHKTHSTMSGKSMKVIGVLALQGAFLEHTNHLKRCLAENDYGIK IEIKTVKTPEDLAQCDALIIPGGESTSMSLIAQRTGLYPCLYEFVHNPEKVVWGTCAG LIFLSAQLENESALVKTLGVLKVDVRRNAFGRQAQSFTQKCDFSNFIPGCDNFPATFI RAPVIERILDPIAVKSLYELPVNGKDVVVAATQNHNILVTSFHPELADSDTRFHDWFI RQFVSN YMR096W MTGEDFKIKSGLAQMLKGGVIMDVVTPEQAKIAEKSGACAVMAL ESIPADMRKSGKVCRMSDPKMIKDIMNSVSIPVMAKVRIGHFVEAQIIEALEVDYIDE SEVLTPADWTHHIEKDKFKVPFVCGAKDLGEALRRINEGAAMIRTKGEAGTGDVSEAV KHIRRITEEIKACQQLKSEDDIAKVAEEMRVPVSLLKDVLEKGKLPVVNFAAGGVATP ADAALLMQLGCDGVFVGSGIFKSSNPVRLATAVVEATTHFDNPSKLLEVSSDLGELMG GVSIESISHASNGVRLSEIGW YMR097C MHINVRGTRKIISNVSSFTPRYEFPKYSMPLTDFKGHQVKALKT FEKLLPQMNMIIELRDIRAPLSTRNVVFDRIARKEHDVMKLVVYTRKDLMPGNKPYIG KLKNWHEELGEKFILLDCRNKTDVRNLLKILEWQNYELETNGGYLPMGYRALITGMPN VGKSTLINSLRTIFHNQVNMGRKFKKVAKTGAEAGVTRATSEVIRVTSRNTESRNEIY LIDTPGIGVPGRVSDHNRMLGLALCGSVKNNLVDPIFQADYLLYLMNLQNLNDGRTEL YPGSTNSPTNDIYDVLRRLQVNKSQNEKSTAIEWTNKWRLHGKGIIFDPEVLLNNDEF SYKNYVNDQLEKLGDLSYEGLSNKLKGNPNQVF YMR098C MNKFCLLPFHGKRIGVANIPFTILFKKGPYFLHSHITAVYYSTK GKNDSHEQSRVSKKSTFTPLETPWYLRIVDNEKELMEGKKNNHHTMNKELEIPKTSPN SLRKIADLLTGKLGLDDFLVFDLRKKSPNSVSAVNKLGDFMVICTARSTKHCHKSFLE LNKFLKHEFCSSAYVEGNFNERQESRRKRRLARKSNLSKLLGRSSECSAKDLNSEAWY MIDCRVDGIFVNILTQRRRNELNLEELYAPENEKSKFQNIDSGNVPTISGVNEISSNN NILLGLRRLAQQRRRYSTINPNGLSNLRYFLQKEDFKGANKIIQSSSGTETHNIRTLE HVKNTLKDLVGQERKVDVVQWKSLFDEHSTFLTINQSAAYWPLRLEYAILLNKADPQF YSDRVFLKDYLLLKKSLGQELIREDLIALLEMVLKTQHSSHSYFNLVKQNRVIIRALN LFKGLQTEDDGSVVYDEVVISLLLNSMVADERVKLRSLYETIDHIFQTFGDKLTSGMI VSILQNLAKIKDWNKLLQVWEAITPTEGEGQDKRPWNEFINVINQSGDSHVISKIVNN GHLLWIRRLNVNVTPELCNSIKALLKTAGMENSTLEEFLVRGTNNQ YMR099C MPIKETDKEVVLTHPADETTSVHILKYGATVYSWKLKSEEQLWL STAAKLDGSKPVRGGIPLVFPVFGKNSTDEHLSKLPQHGLARNSTWEFLGQTKENPPT VQFGLKPEIANPELTKLWPMDYLLILTVELGSDYLKTAIEVENTSSSKELKFNWLFHT YFRIEDIEGTMVSNLAGMKLYDQLLKESYVDKHPVVTFNQETDVIYQNVSAERAIQIV DKGVQIHTLKRYNLPDTVVWNPWIEKSQGMADFEPKTGYQQMICIEPGHVHDFISLAP GKKWNAYQLLCKEELKYQAIQ YMR100W MRDSNHRSLTSNKPIVTITSTVYDRRALDINSSIPLINSLNYLT YLTSNSSKVRETVANDGALERLVSILRSCHLSLFELLDLDLENFNEHENIKDLWKEKR LALCAWKWTLTFQCLVLTGTRGTEQIRKKVVMSGVLSVLVTVLDNYLLYHKNYDFIKD QTMTFDFKGITTETMYKFMRKDENETYQQYIEFITGQDKLKLSTDKNFLNERLVAPSM TIPTDFSDIWGRFADLASNFEPDQERHDDDIDIDSEVESENFDAHKNFFSQPDINRPT ISTPREFFLGRIVPKQDDVIWSLQLLAFVSKYTYMKSTLQNVELVESLSFRSMAYKIK QRISEENDLEEQERDVTVKLSSLYPYLSKNPENNSKVKALDTSKMDPFFKELEELSNR CQQEEQNEICNNHCPVLNLFERYRVPKPSDDNAYGKDKERINLRKKISDNFERRWSYD KMKKELTNIVYKNKVLTNVVNIFPLVEKYTVSAENTHDVIYWSSVIMRNSCRKNEILG VRQCANFSCGKWEDFPRQFAKCRRCKRTKYCSRKCQLKAWGYHRYWCHEVGSSHMRST NTTTGVNTPNEPSSLNATATTAADVSNSTSTFTPNISTTVPDEISNRDENSIPE YMR101C MKMPSIIQIQFVALKRLLVETKEQMCFAVKSIFQRVFAWVMSLS LFSWFYVNLQNILIKALRVGPVPEHVSFIMDGNRRYAKSRRLPVKKGHEAGGLTLLTL LYICKRLGVKCVSAYAFSIENFNRPKEEVDTLMNLFTVKLDEFAKRAKDYKDPLYGSK IRIVGDQSLLSPEMRKKIKKVEEITQDGDDFTLFICFPYTSRNDMLHTIRDSVEDHLE NKSPRINIRKFTNKMYMGFHSNKCELLIRTSGHRRLSDYMLWQVHENATIEFSDTLWP NFSFFAMYLMILKWSFFSTIQKYNEKNHSLFEKIHESVPSIFKKKKTAMSLYNFPNPP ISVSVTGDE YMR102C MTNMAEDKTSISKVHSEFSNSSNTTGSEEESRSHQESFDGESSS GESKSKSKLNLEYSADIEPLKFRMTKTNNTNDKLKYSNNGNATDSFMRLKEHLQRGNT LNSNLRVNEFYPFNSIDTEQFENYLREPKYIKMLKRRKNLKQFRRLFLAQELMAYEGE TVTSTSKSSEPTSKAIWSTKFSRDGKFMATGSKDGKIRIWKVIGSPVERAELDSSAES NKEARAKSMRIKQQVSSLNNPKEKQFLDSATEKYEEKEKLLNLYAPVFHPTPLRLYKE HVQDVLDINWSKNNFILSASMDKTVKLWHPDRKNSLKTFIHPDFVTCVEFHPTDDRFF ISGCLDHKCRLWSILDDEVSFEYDCQDLITSVTLSPEEGKYTIIGTFNGYVHILMTRG LTPVSSFHVADRQTQEQNAHVMVTETDSKIRHGPRVTGLQAFRSQLDNSFRLVVTSND SRIRIFDLEQRKLLEVLKGFHSGSSQHKAQLSIWHGQPIVVNSSDDHWVYGWRLKSSD RENDQDEPKRKPKGLARSGSLRSIFSKSMSRSSSQNNEEKPHHHLKLTNLLPLPHHSN DHYIKNTDYISFHAHNAPVTCVSIAPPETSKTLSLSNDVICELSLEFFQTSDSFDVLS RSNDDGIMSDVESSLGYNSKPGSISNASATSAIPDVVDAIGTILISTDNVGTIRVFRA DMPSVIRKRVLLKLEEYNREVRRRFNSSDSLHSLSRSFNSRAKSNLAGQPAAAYTNTG KGYATGRGYSNICPKSSTSLKTLGSNAQPRTPRESMSSIFSNAHGPTTPTSAMNLPIR CNVCNGSRFEAFSGANDQQDRNYYCVDCGTVVNNFR YMR103C MVRRGCALLLATLTRLMLLIHFFYSIIRILPSTELRSKTDLLSA EGRTLIPADVKAKPAGRKSRLPEFAKRQRTLALPERKDSLFCLLPLFLHSLGREQLIS SADDPGFPCAGSAMGSLT YMR104C MHSWRISKFKLGRSKEDDGSSEDENEKSWGNGLFHFHHGEKHHD GSPKNHNHEHEHHIRKINTNETLPSSLSSPKLRNDASFKNPSGIGNDNSKASERKASQ SSTETQGPSSESGLMTVKVYSGKDFTLPFPITSNSTILQKLLSSGILTSSSNDASEVA AIMRQLPRYKRVDQDSAGEGLIDRAFATKFIPSSILLPGSTNSSPLLYFTIEFDNSIT TISPDMGTMEQPVFNKISTFDVTRKLRFLKIDVFARIPSLLLPSKNWQQEIGEQDEVL KEILKKINTNQDIHLDSFHLPLNLKIDSAAQIRLYNHHWISLERGYGKLNITVDYKPS KNKPLSIDDFDLLKVIGKGSFGKVMQVRKKDTQKIYALKALRKAYIVSKCEVTHTLAE RTVLARVDCPFIVPLKFSFQSPEKLYLVLAFINGGELFYHLQHEGRFSLARSRFYIAE LLCALDSLHKLDVIYRDLKPENILLDYQGHIALCDFGLCKLNMKDNDKTDTFCGTPEY LAPEILLGQGYTKTVDWWTLGILLYEMMTGLPPYYDENVPVMYKKILQQPLLFPDGFD PAAKDLLIGLLSRDPSRRLGVNGTDEIRNHPFFKDISWKKLLLKGYIPPYKPIVKSEI DTANFDQEFTKEKPIDSVVDEYLSASIQKQFGGWTYIGDEQLGDSPSQGRSIS YMR105C MSFQIETVPTKPYEDQKPGTSGLRKKTKVFKDEPNYTENFIQSI MEAIPEGSKGATLVVGGDGRYYNDVILHKIAAIGAANGIKKLVIGQHGLLSTPAASHI MRTYEEKCTGGIILTASHNPGGPENDMGIKYNLSNGGPAPESVTNAIWEISKKLTSYK IIKDFPELDLGTIGKNKKYGPLLVDIIDITKDYVNFLKEIFDFDLIKKFIDNQRSTKN WKLLFDSMNGVTGPYGKAIFVDEFGLPADEVLQNWHPSPDFGGMHPDPNLTYASSLVK RVDREKIEFGAASDGDGDRNMIYGYGPSFVSPGDSVAIIAEYAAEIPYFAKQGIYGLA RSFPTSGAIDRVAKAHGLNCYEVPTGWKFFCALFDAKKLSICGEESFGTGSNHVREKD GVWAIMAWLNILAIYNKHHPENEASIKTIQNEFWAKYGRTFFTRYDFEKVETEKANKI VDQLRAYVTKSGVVNSAFPADESLKVTDCGDFSYTDLDGSVSDHQGLYVKLSNGARFV LRLSGTGSSGATIRLYIEKYCDDKSQYQKTAEEYLKPIINSVIKFLNFKQVLGTEEPT VRT YMR105W-A MILVHTGNVLYPRFIVVAFTFEQRQGGRCKGGKATCMASVQSYK VTMQISSMTIIYPLFIFFSL YMR106C MSSESTTFIVDVSPSMMKNNNVSKSMAYLEYTLLNKSKKSRKTD WISCYLANCPVSENSQEIPNVFQIQSFLAPVTTTATIGFIKRLKQYCDQHSHDSSNEG LQSMIQCLLVVSLDIKQQFQARKILKQIVVFTDNLDDLDITDEEIDLLTEELSTRIIL IDCGKDTQEERKKSNWLKLVEAIPNSRIYNMNELLVEITSPATSVVKPVRVFSGELRL GADILSTQTSNPSGSMQDENCLCIKVEAFPATKAVSGLNRKTAVEVEDSQKKERYVGV KSIIEYEIHNEGNKKNVSEDDQSGSSYIPVTISKDSVTKAYRYGADYVVLPSVLVDQT VYESFPGLDLRGFLNREALPRYFLTSESSFITADTRLGCQSDLMAFSALVDVMLENRK IAVARYVSKKDSEVNMCALCPVLIEHSNINSEKKFVKSLTLCRLPFAEDERVTDFPKL LDRTTTSGVPLKKETDGHQIDELMEQFVDSMDTDELPEIPLGNYYQPIGEVTTDTTLP LPSLNKDQEENKKDPLRIPTVFVYRQQQVLLEWIHQLMINDSREFEIPELPDSLKNKI SPYTHKKFDSTKLVEVLGIKKVDKLKLDSELKTELEREKIPDLETLLKRGEQHSRGSP NNSNN YMR107W MGSFWDAFAVYDKKKHADPSVYGGNHNNTGDSKTQVMFSKEYRQ PRTHQQENLQSMRRSSIGSQDSSDVEDVKEGRLPAEVEIPKNVDISNMSQGEFLRLYE SLRRGEPDNKVNR YMR108W MIRQSTLKNFAIKRCFQHIAYRNTPAMRSVALAQRFYSSSSRYY SASPLPASKRPEPAPSFNVDPLEQPAEPSKLAKKLRAEPDMDTSFVGLTGGQIFNEMM SRQNVDTVFGYPGGAILPVYDAIHNSDKFNFVLPKHEQGAGHMAEGYARASGKPGVVL VTSGPGATNVVTPMADAFADGIPMVVFTGQVPTSAIGTDAFQEADVVGISRSCTKWNV MVKSVEELPLRINEAFEIATSGRPGPVLVDLPKDVTAAILRNPIPTKTTLPSNALNQL TSRAQDEFVMQSINKAADLINLAKKPVLYVGAGILNHADGPRLLKELSDRAQIPVTTT LQGLGSFDQEDPKSLDMLGMHGCATANLAVQNADLIIAVGARFDDRVTGNISKFAPEA RRAAAEGRGGIIHFEVSPKNINKVVQTQIAVEGDATTNLGKMMSKIFPVKERSEWFAQ INKWKKEYPYAYMEETPGSKIKPQTVIKKLSKVANDTGRHVIVTTGVGQHQMWAAQHW TWRNPHTFITSGGLGTMGYGLPAAIGAQVAKPESLVIDIDGDASFNMTLTELSSAVQA GTPVKILILNNEEQGMVTQWQSLFYEHRYSHTHQLNPDFIKLAEAMGLKGLRVKKQEE LDAKLKEFVSTKGPVLLEVEVDKKVPVLPMVAGGSGLDEFINFDPEVERQQTELRHKR TGGKH YMR109W MAILKRGARKKVHQEPAKRSANIKKATFDSSKKKEVGVSDLTLL SKISDEAINENLKKRFLNATIYTYIGHVLISVNPFRDLGIYTDAVMNEYKGKNRLEVP PHVFAIAESMYYNMKSYNENQCVIISGESGAGKTEAAKRIMQYIAAASSTHTESIGKI KDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIKFNNQFEPCAGNITNYLLEKQRVV SQIKNERNFHIFYQFTKGASDAYRQTFGVQKPEQYVYTAAAGCISAETIDDLQDYQET LKAMRVIGLGQEEQDQIFRMLAAILWIGNVSFIENEEGNAQVRDTSVTDFVAYLLQID SQLLIKSLVERIMETNHGMKRGSVYHVPLNIVQADAVRDALAKAIYNNLFDWIVSRVN KSLQAFPGAEKSIGILDIYGFEIFEHNSFEQICINYVNEKLQQIFIQLTLKSEQETYE REKIQWTPIKYFDNKVVCDLIEARRPPGIFAAMNDSVATAHADSNAADQAFAQRLNLF TTNPHFDLRSNKFVIKHYAGDVTYDIDGITDKNKDQLQKDLVELIGTTTNTFLATIFP DTVDRESKRRPPTAGDKIIKSANDLVETLSKAQPSYIRTIKPNETKSPNDYDDRQVLH QIKYLGLQENVRIRRAGFAYRQVFEKFVERFYLLSPHCSYAGDYTWQGDTLDAVKYIL QDSSIPQQEYQLGVTSVFIKTPETLFALEHMRDRYWHNMAARIQRAWRRFLQRRIDAA TKIQRTIRERKEGNKYEKLRDYGTKVLGGRKERRSMSLLGYRAFMGDYLSCNESKSKG AYIKRQVSIKEKVIFSIHGEALHTKFGRSAQRLKKTFLLTPTTLYIVGQTLVQNAMTY TQDYKIDVRNIQAVSLTNLQDDWVAIKLASSGQPDPLINTYFKTELITHLKRLNDKIQ IKIGSAIEYQKKPGKLHSVKCQINESAPKYGDIYKSSTISVRRGNPPNSQVHKKPRKK SSISSGYHASSSQATRRPVSIAAAQHVPTAPASRHSKKPAPPPPGMQNKAATRRSVPN PASTLTASQSNARPSPPTAATRATPAATPAAAAMGSGRQANIPPPPPPPPPSSKPKEP MFEAAYDFPGSGSPSELPLKKGDVIYITREEPSGWSLGKLLDGSKEGWVPTAYMKPHS GNNNIPTPPQNRDVPKPVLNSVQHDNTSANVIPAAAQASLGDGLANALAARANKMRLE SDDEEANEDEEEDDW YMR110C MSNDGSKILNYTPVSKIDEIVEISRNFFFEKQLKLSHENNPRKK DLEFRQLQLKKLYYAVKDHEEELIDAMYKDFHRNKIESVLNETTKLMNDILHLIEILP KLIKPRRVSDSSPPFMFGKTIVEKISRGSVLIIAPFNFPLLLAFAPLAAALAAGNTIV LKPSELTPHTAVVMENLLTTAGFPDGLIQVVQGAIDETTRLLDCGKFDLIFYTGSPRV GSIVAEKAAKSLTPCVLELGGKSPTFITENFKASNIKIALKRIFFGAFGNSGQICVSP DYLLVHKSIYPKVIKECESVLNEFYPSFDEQTDFTRMIHEPAYKKAVASINSTNGSKI VPSKISINSDTEDLCLVPPTIVYNIGWDDPLMKQENFAPVLPIIEYEDLDETINKIIE EHDTPLVQYIFSDSQTEINRILTRLRSGDCVVGDTVIHVGITDAPFGGIGTSGYGNYG GYYGFNTFSHERTIFKQPYWNDFTLFMRYPPNSAQKEKLVRFAMERKPWFDRNGNNKW GLRQYFSLSAAVILISTIYAHCSS YMR111C MPAREYNYVEGFGGYGSLDDDDSDRDSERRNHDLGQRTITTSPT GVSRHAALNRYMIPGRINPLFRPTDAAQPPIVSTSTSASATEPTNRIGPGRIKETPET NFNAFLIAQLTRMEEQNANLKEEISLMKKEQELFFLENQKKLEKGFKDINKYVEDVSA MKEVFKEVVGIMTGERIRFIDHTGENVTPQEAARVGNPSTSTQAHQSQSRSTNWQEYS MHASILAGDPRIKPEPGLSDFENGEYDGNESDENATTRNLPLNNPDSVSNADDSNNQL DGTGNENDIRNRRGCVGTSYKLNRAIQNVTDAAREYFEGLPGQPSVLSLERRYGSTWR RSAKERTLFTKRMTIIKRIIDIKDDPSKYGLSLPENKISRNQAIKVVENIRLGNNTFK GHHCRLSMSQLYEYFSKKMDKLEDYSLTLKRRGKPRRIFLLEEREARLSLQQPHSIPN SSTGTPEHDQDT YMR112C MQVLNTKSETKQENETMQPPYIQERLKSLNDIETQLCSMLQEAS QVTFIFGELKRGNESVKPQFENHVKQFYERLDKSTTQLRKEIQLLDENVGTRLLPINV NKKALGQDTEKMEEQLDLLSAILDPSKSK YMR113W MAIELGLSRITKLLEHLGNPQNSLRVLHIAGTNGKGSVCTYLSS VLQQKSYQIGKFTTPHLVHVTDSITINNKPIPLERYQNIRLQLEALNKSHSLKCTEFE LLTCTAFKYFYDVQCQWCVIEVGLGGRLDATNVIPGANKACCGITKISLDHESFLGNT LSEISKEKAGIITEGVPFTVIDGTNEASVINVVKERCKALGSELSVTDSQLNGNMIDT NSWGCFDLAKLPLNGEYQIFNLRVAMGMLDYLQMNELIDITKNEVSTRLAKVDWPGRL YRMDYRFDKVSNRTVPILMDGAHNGSAAVELVKYLRKEYGNQPLTFVMAVTHGKNLEP LLQPLLRPIDQVILTRFNNVEGMPWIHATDPEEIKDFILTQGYTKEIVIENDLHQVLP SLAHVSDEQRRPIVVCGSLYLCGELLRIHNSHLRN YMR114C MCGRFALAYDSGDLPQLLRDWNLPVNTPKDASSNSQHPHDEEDT KDQPTVSKDIFKASYNISPTNYSAVYRPDTKAIQFMRWGLVPFWTKDVSQFKTYRTFN ARLENLQESKMWMRPCEKKRCAVLMSGYFEWKTVGKKKTPYFISRRDGRLMFVAGMYD YVEKDDLYTFTIITAQGPRELEWLHERMPCVLEPGTESWDAWMDVDKTTWSTEELVKL LKPDYDESKLQFYQVTDDVGKTTNTGERLIKPLLKEDSDMFSVKREKEEALLENDNEQ GIDNRGVKGDKSLKGEDVFNQKKSLKRNSYDGLKKNEEQEETTLPEEGSIGDRVKREE ANLSPKREGNREKRNIVNMLGNQKDSRGKKKIKK YMR115W MLLQGMRLSQRLHKRHLFASKILTWTTNPAHIRHLHDIRPPASN FNTQESAPIPESPANSPTRPQMAPKPNLKKKNRSLMYSIIGVSIVGLYFWFKSNSRKQ KLPLSAQKVWKEAIWQESDKMDFNYKEALRRYIEALDECDRSHVDLLSDDYTRIELKI AEMYEKLNMLEEAQNLYQELLSRFFEALNVPGKVDESERGEVLRKDLRILIKSLEINK DIESGKRKLLQHLLLAQEEILSKSPELKEFFENRKKKLSMVKDINRDPNDDFKTFVSE ENIKFDEQGYMILDLEKNSSAWEPFKEEFFTARDLYTAYCLSSKDIAAALSCKITSVE WMVMADMPPGQILLSQANLGSLFYLQAEKLEADLNQLEQKKSKESNQELDMGTYIKAV RFVRKNRDLCLERAQKCYDSVIAFAKRNRKIRFHVKDQLDPSIAQSIALSTYGMGVLS LHEGVLAKAEKLFKDSITMAKETEFNELLAEAEKELEKTTVLKAAKKEGLN YMR116C MASNEVLVLRGTLEGHNGWVTSLATSAGQPNLLLSASRDKTLIS WKLTGDDQKFGVPVRSFKGHSHIVQDCTLTADGAYALSASWDKTLRLWDVATGETYQR FVGHKSDVMSVDIDKKASMIISGSRDKTIKVWTIKGQCLATLLGHNDWVSQVRVVPNE KADDDSVTIISAGNDKMVKAWNLNQFQIEADFIGHNSNINTLTASPDGTLIASAGKDG EIMLWNLAAKKAMYTLSAQDEVFSLAFSPNRYWLAAATATGIKVFSLDPQYLVDDLRP EFAGYSKAAEPHAVSLAWSADGQTLFAGYTDNVIRVWQVMTAN YMR117C MSQKDNLLDNPVEFLKEVRESFDIQQDVDAMKRIRHDLDVIKEE SEARISKEHSKVSESNKKLNAERINVAKLEGDLEYTNEESNEFGSKDELVKLLKDLDG LERNIVSLRSELDEKMKLYLKDSEIISTPNGSKIKAKVIEPELEEQSAVTPEANENIL KLKLYRSLGVILDLENDQVLINRKNDGNIDILPLDNNLSDFYKTKYIWERLGK YMR118C MKATIQRVTSVFGVPRASVFVPRISTPFILHNYISNGRMDLFSK EFHNGRVSKSDLWSSNKEEELLVSQRKKRPISPHLTVYEPEMSWYLSSLHRISGVLLA LGFYAFTITLGVTTIMGMDTTFQDLNKWYHEKMPKWSQWVAKGSAAYLFAFHFGNGIR HLIWDMGYELTNRGVIKTGSIVLAGTLVLGTYLLAQ YMR119W MNSSTSSENVFINSFSYLNQTSQAVISGNSTFANVINFPYRLGL SFIGAVNLQYEQTVKSEEIPPTLRSVFDTIGFFFSPYAIFCFVIAIVLNRFVVFYAVL NNGSRRTLPLWLSNVFHVSAVVVLAMVSLGPLTLGKDFKILGDPAFAQEKFLLNIFYA FAYSYCVETIFTIMRNSSPLEGTDYSLFELSIQFYTMTNNNTKFLDSPDYIIDCSMAI LSRILIHLVEIFRLRNYRLLFSTIMNLCHICYLGIRVKQGGWKSLPFSVKFRHFPKLF SVSIICLSLLIFKLSCLIRWDPFGKSRNSCELLQFYPLSRNWKKYLNYTGEEDFSAMA TKFALLLCSGTELMEKGIRREFPAINIPDNVNEKFFISGYLNELSKPYKENTSISFPK KNSSILKQRFFLMFPKSIIWIMKKLVGQVFFGFRDNKDEDIPDNDPSKMLKITKTNSL NNSAGHKEDIELELLNTSDDEYSEDYEPSEVESLGDSDEENLEEDSLIFNETRDALLD LFSSEDNEVHTDYNWIMSTSRILQQKLLSDKTLTRASILDTKLSEVDETFGTESDFDL SCAVCKVNERNTVLWPCRCFAICEDCRISLGLRGFSTCVCCRSKVHGYCKVHPVSDSK YMR120C MANYTKTAILSVYDKTGLLDLARGLIEKNVRILASGGTARMIRD AGFPIEDVSAITHAPEMLGGRVKTLHPAVHGGILARDIDSDEKDLKEQHIEKVDYVVC NLYPFKETVAKVGVTIPEAVEEIDIGGVTLLRAAAKNHARVTILSDPKDYSEFLSELS SNGEISQDLRNRLALKAFEHTADYDAAISDFFRKQYSEGQAQITLRYGANPHQKPAQA YVSQQDSLPFKVLCGSPGYINLLDALNSWPLVKELSASLNLPAAASFKHVSPAGAAVG IPLSDVEKQVYFVADIENLSPLACAYARARGADRMSSFGDWIALSNIVDVPTAKIISR EVSDGVIAPGYEPEALAILSKKKGGKYCILQIDPNYVPEAVERRQVYGVTLEQKRNDA IINQSTFKEIVSQNKNLTEQAIIDLTVATIAIKYTQSNSVCYARNGMVVGLGAGQQSR IHCTRLAGDKADNWWFRQHPRVLEIKWAKGVKRPEKSNAIDLFVTGQIPTEEPELSEY QSKFEEIPKPFTPEERKEWLSKLTNVSLSSDAFFPFPDNVYRAVKSGVKYIAAPSGSV MDKVVFSAADSFDLVYVENPIRLFHH YMR121C MGAYKYLEELERKKQSDVLRFLQRVRVWEYRQKNVIHRAARPTR PDKARRLGYKAKQGFVIYRVRVRRGNRKRPVPKGATYGKPTNQGVNELKYQRSLRATA EERVGRRAANLRVLNSYWVNQDSTYKYFEVILVDPQHKAIRRDARYNWICNPVHKHRE ARGLTATGKKSRGINKGHKFNNTKAGRRKTWKRQNTLSLWRYRK YMR122C MLRQFGGVFRNLRVPERTNALLFAQHKGDERHSGQRAFDGSKFR LEAKRCFTAICIITVARRDRLGVLVCGKNTASTLPYLPANRIFRLPKVQIRKMFPIGC ATFLSREYIITALLVSYCHLCV YMR122W-A MASSTSTSASASSSIKTNSALVSNNVVAASSVSATSTASSSAAK NTTSSSKNAAPGMVANPVSSKYGIIMAAFAAVSFVLGTGI YMR123W MANFFVRLWESVFEPGTSPQLIIATHVSFVALLLTLIWLIYATN GNIHFYALFCISLLLWITVIWFINELSHVKLKDNDELDKDANKKDDSAIKEDSEDKQE SGKSTSTARRTQAQSRSRKA YMR124W MDAGLSTMATRNGQSSARVKLRNNLLNNDIGNIDIRDETPISRN GNDSNINIQPSSVPQQQQQQQQYYRNGMNEAPIQAPLQQRQIPMQNYSQQQRQQQQYN FEYSNPHMNEIPLMQHNFTKPSLSNNRDNVNGKKASSFTQSSFSNFFKHKHQFGKSKK NTKGTGGGGDGDDDDEVILDDSANSDLTFNDIQTFGHKGGDKYGYGGDSTPIIPTLVT KDRGNMSNTEYRKYITNQRKTAMNAMAKQTKNGTLASLPPRAMSLQSFPNGNPLMQAP TPHPRFQPNKMVSANYSRSNSLMSGPPGQFRQPQQQRMLPMNNYNNHPGQFQNTPPVM PSGQQPPQQPRTLSLTNGPRYSPQNPRPFAGHQQISQRQQQQQQQLQLHPMSEGYRTM SLQSQNVPQGFNPWSPNDNDRKAVSMKQPISQSSISSKNNSAYSIPNVQNNSLTTFSP SSPTDATAMPNSTKQGSSPLKKQVNIDQPIENKGKLNVLQLSTPQQNELKEKERKLAE MEKSLREREALVEEKEKERAEKNTEANEEEEISHESDDLNLRPASALETGLKDLKLES ESAVANRASLSTFSSTFSDSPSKQRIINTRTGMYKLENSTDINEYVTAQEFPSPGKYN SNSDNGEMNTTNEVDFDFNTSKRASLLQSIPERDPKRNVSDATIKRRESDGNGRRLSN VNISMNQENINNDTFLYKKNNRDGHLSAVSHMSSSSRRSFISNTLPLNIDSASESDNF VPHMDGSPSKTKSAPVSYDKDGMNASEEDFSFDNTLAKPYEPLYARRGDITSAGSTSG EDSSQPKMITISGEQLNLITENKELMNELTLVSTELAESIKRETELEERIRLYETNNS APSFDDSSSVSFSDFEKELRKKSSKIVQLIQQLNDERLKRFIAEEQLLLQENGTKPSS MELVGRIENLNKLIDERDSEIEMLKGRLQ YMR125W MFNRKRRGDFDEDENYRDFRPRMPKRQRIPPVVQLCKEMMPDIR TIGESVKAFEDDIKFLSEAIMNEYGHEDYFNNALLSTLNAVVVEQPQKQAAIALLTMV VNSKNNVAGKSIINYFFEELQKWCKQTYNDEFKSTSNETGPWNKIKLILRFLSILSPM FLVDELINIYKSLFELSIELNNLDPGNRVPLSEAIYTNTLLNIPYLFFFNRNNDGLRT KVEELLAYVEQNYLVKTTDINLLREYNGEPPYEMVELVRVVLPNVKKALINNLEQLNE LFPDWNHLLTPQTGDEGFNDALTLPSVDDLKSFVRLNKNFGSVDSMWKTPRYAFHVYL PNSAGNFETVVPISTYAGQLFNDIIIDLVESLEFNRKEVARQVITLDLFFKAGIFTEP GESIAQLIATYEENPLAPTFKIEDLAIETILGLIFKLPSVSQPFAYFYTLLVDICQNS PKAIAPVFGRAFRFFYSHLDSLDFELKLRYLDWFSIQMSNFNFSWKWNEWEDDSIKFG KYFYNPKVNFAKNLIQKELRLTSNFSEVEDSLPQEFTKYLDTSYIPRDQLINYYQSLF TGYTVEEDSVRKNDLYFRQEGVPMENTVRKILDYTHKANNSREVTELESILGELKNEY GSIISDFNRFVIILLVQAVTDSGSRSLSHANKYINDLKEDLKTIFAKIELDIETKEYI IIEAVLTFWNANPQTGFLVADAFKYAGLLTSRTIFTFIFNETGLKNNGLIEATAIEAV FRNLSQQISEENESGNNFEFVFERLCTIANSTIDLLDVNADEDIEIPKVNGEMDIDDI EDDKLDLKWKYFTVIGFIKSILRRYSHEYRELADKFIANIDNAIPHESTRRTISNWIQ ETKEV YMR126C MSGFAKLKSWLYKASLFVSLILLIGFSVVLPIDSIAQASKSENN AFNTFIVVGALVVFGVFCIFIIIGRMLFHKSCLKDIPRRYIPITPADLPHRSSREAVL QNMERSKELTILLKKPKDPVIHDGLEPPRRCDYPLDEKLFPEYLNYADCIKSLTDRLK YHGLFLNNLDVRMNLEDTFADVVNSQFVNHNANKIQLEKAKEFIDLYETIRFSGKDVT RDQFIKFVKFCLYFGEVSLTRDTSFANLHNFRLNGSSNNIGRTESKYSINPFDENEYA QDDMHYFPEPPTHLVRESSISTVARHVSSGVDLTNSEEHPLDTDSDCNALRLKLSKAD SYRSVIRH YMR127C MARSLSQSLTATTQKLKGKKNGGKGKNKPSAKIKKTQKEMLYGI LNERNIRQIQFGLNKKFSTWYGSAVYFDPETKRLGCSETKGQLSSVSNSQYWLDTLFV CEYCFKYTDDQTRFVGHVASCPFQYRVPGKIKYKSPEYTIRRVKGSKYQLFCQCLCLF TKLYLDNKSMYFKVDHYEFYIVYETGSTKPMGFFSKDLVSYQQNNLACILIFPPYQRR GLGLLLIEFSYKLSQLEGVISGPEVPLSPFGLIGYLKYWSQILCWHLIEGDLAHYDKV TLEDLSIVTGMRVNDVILTLKHLNCIGENNQIYLQSLNSWLKLHGTKRNWFKLKDEYL LIDD YMR128W MGTYRKRFNEKARSGHMAKLKELKRIRNKQFTRQDENDERVENP DSAPAESSTTEPNANAEILEPLTEEEKKMKKRKLQELFTPKESKVSRLKKKRLDKFIE HQLKREERKTIIGKLQDYKIDTSLLTSSKRLGEGRQTKKEEFKEALSLERQGRGNEQT NEILYEEYEPKVWDEYGEGGSSEDDDGEDDFEASFGSMPKPTDNEEKKSSGFIDHRPA KFGGSGLSFGFSNIKVINKESKTPKKKYNWRQRVEMEELKKHGKEDEMDFDTTSEDDD EEEDQEEEDKMHPSENPLEEVESADSETGSEKFDQNDVANEFKDWANQEIKKLEGRDQ ELVTPTLNIDYKPIIRKEDLDDGLQEAYVPINENSTRKAFYVEVSRSDEIQKARIQLP VFGEEHKIMEAIHHNDVVIICGETGSGKTTQVPQFLYEAGFGAEDSPDYPGMVGITQP RRVAAVSMAERVANELGDHGHKVGYQIRFDSTAKEDTKVKFMTDGVLLREMMHDFKLT KYSSIIIDEAHERNINTDILIGMLSRCVRLRAKLHKENPIEHKKLKLIIMSATLRVSD FSENKTLFPIAPPVLQVDARQFPVSIHFNRRTAFNYTDEAFRKTCKIHQKLPPGAILV FLTGQQEITHMVKRLRKEFPFKKNSKYNKDLETPVSKMGINSKTTDLEAEDIDFSVQV IDQDKFKSAIRYEEDEGNSGNGEDEEDEEEEGFEEVLTEGQTANDPLYVLPLYSLLPT KEQMRVFQKPPQGSRLCIVATNVAETSLTIPGVRYVVDSGRSKERKYNESNGVQSFEV GWVSKASANQRSGRAGRTGPGHCYRLYSSAVFEHDFEQFSKPEILRMPVESIVLQMKS MAIHNIINFPFPTPPDRVALSKAIQLLQYLGALDNKEMITEDGKKMSLFPLSPRFSKM LLVSDEKACLPYIVAIVSALSVGDPFINEFELGINEISRKPNPDENLDDKIREHDEST PGMDPELKKELRSKFYKSRSQFSKLDKFSDVFRLLSVVSAMDYVPKEQKEIFMKKNFL RGKLMEEIVKLRKQLMYIIKSNTSKENIAVVIRNEDLKSDIPSVIQIKLLKQMICAGF VDHVAVRADVLFPDDAKITNRTSIINIPYIPVLATRTPNIEDCFVYIHPTSILNNLGE MPPKYMLYYSLHLGGNNKTRMNTLCDIASTPLANIARKGLLLTYSKPLTGQGLKTVNL SPTERYCYVVPRFGSTVDNDLKIGWDLNPIAVHQKKQKGQWTVIKFITRKGFQTITGE EKEKK YMR129W MEHRYNVFNDTPRGNHWMGSSVSGSPRPSYSSRPNVNTTRRFQY SDDEPAEKIRPLRSRSFKSTESNISDEKSRISERDSKDRYINGDKKVDIYSLPLISTD VLEISKQRTFAVILFLIIQCYKIYDLVILKSGLPLSGLLFKNYRFNFISKYFIIDSFF LYVLPSFNIPRLTFKPWVVYLQILAMLLLNIFISSDHEFVLISLIMTTWRKLYTKELS VTGSAINHHRIFDSSAHFKGALTIKILPENTAMFNPLHESYCLPMDTNLFKINSIDVP IRINSTEEIEYIELEYRDLYTNSVELRSLSKKDFKIIDNPKSFLKKDQSVLKSHSNDF EEGSTIRYLAVTLQDIGFYQIKKIVDSKKLNLKIHQSHLVVPYCPIASITGTGSNDRC IGDSDNVSFEIQGVPPMKLAYSKIVNGQTFSYVDSSLQPEYFESPLQSSKSKQSFTQG ELNDLKWGRNQPVNINLDSSITQDGKFAYKIDKITDGLGNVVDFTSLPEELKKRYDLS YNFNVHEVPRAALEERFDPKSPTKRSIAIVFEEIKNWISDIPYVISLSYTDAQDKSKK IMNVTTDSLTKVLQADLPGSYNLEYIESKFCPGEIVGKSNVLVTMPVAPTMEVKSFPI LDQCVGQVGLNFELSFTGAPPYYYNTKIYKLENGERKLYDAKRYTSEGTRNRFSYSPP KEGNYEIVFDTVSNKLFTEPIKLEPVKEYTFKTSMRVKPSASLKLHHDLKLCLGDHSS VPVALKGQGPFTLTYDIIETFSSKRKTFEIKEIKTNEYVIKTPVFTTGGDYILSLVSI KDSTGCVVGLSQPDAKIQVRRDIPSAAFNFFEPIKEAKIKHGSVTEIPLKLSGEGPFT VKFKHMDYDGNIVKEFENKFQNSYKPALKVSKEGLYQLVDIRDSSCQGNVIYRNSLYK VSFLEKPKFAIQDNHHITKVTENLFSKEEVCQGMEGTVDLALFGSPPFILEYDLMAPN GHISTKKIQVATKYASLKLPNQIPGEYITTIKAIFDGNYGESDIHFREHQSELIIKQT VHPIPDVAFADGGKTLRACAANVDQISFLEPINLKFLQGESPFSITFSVYHESTSRTD QYTIDNIDSENFSFEKLYEGMKLGNHAITIDSVVDANGCVNSLISGPRNQILVSITDA PKIHILDPSTEYCVGDYVAYQLNGVAPFMIKYEFNGIPLKSKERSSQFVRLASEPGII SITSLQDSSSQCIVDFTNPKLKSEFDDLSLNIHPIPSVTVSQGNYVTEDIREGDQAEV IFSFEGTPPFSLTYVRTEETDGKHGKRRSQVVETHKVTDIYSHEYKVITSLQGTYEAI EITDAYCFAKNDLFFNN YMR130W MTYPKRIPINAWSEVHRVARPLIITFDAYNTLYATKLPVMEQYC IVGRKYGIKANPSTLTNNFPHVFKKLKEDYPQYGKYSGIKPEQWWSILIRNVFAPNEI PDEMINEILMRFEGFDSYFVYPDLIKFLKDLKSRHPDVILGIVSNTDPIFYKLLKNIG LFETFSGHIYLSYELNLAKPDRAIFQYALDDIISKQPHLLEKYTREEILQHCFHIGDE LKNDLEGAEAAGWTGILLDRNDKYGFLSNSISKPMRDEYKLSIDKIDNNSINTWEANT KQTDTLQLSERKYVVSNLEVLEELFP YMR131C MSKRSIEVNEEQDRVVSAKTESHSVPAIPASEEQDAPKNDLEEQ LSDEFDSDGEIIEIDGDDEINDEDDLRKKQEEAETLVQKDQSEGNKEKIQELYLPHMS RPLGPDEVLEADPTVYEMLHNVNMPWPCLTLDVIPDTLGSERRNYPQSILLTTATQSS RKKENELMVLALSNLAKTLLKDDNEGEDDEEDDEDDVDPVIENENIPLRDTTNRLKVS PFAISNQEVLTATMSENGDVYIYNLAPQSKAFSTPGYQIPKSAKRPIHTVKNHGNVEG YGLDWSPLIKTGALLSGDCSGQIYFTQRHTSRWVTDKQPFTVSNNKSIEDIQWSRTES TVFATAGCDGYIRIWDTRSKKHKPAISVKASNTDVNVISWSDKIGYLLASGDDNGTWG VWDLRQFTPSNADAVQPVAQYDFHKGAITSIAFNPLDESIVAVGSEDNTVTLWDLSVE ADDEEIKQQAAETKELQEIPPQLLFVHWQKEVKDVKWHKQIPGCLVSTGTDGLNVWKT ISV YMR132C MVYFYESKPTEYSTPYQIVMGKDKFENDLLIKWSYRELNYVWFH ADKYSSGHVYLKLRPNEKTIDDIPQEVICDCLQLCKSESIQGNKMPQCTILITPWHNL RKNRYMNPGEVSFKSLRQCRKMECGARDNKILNRLAKTRVELFNNVEATLNEAKKTKN GDFFVNYIESNRSNLIEEEKLRKVAKKNQKKKNKQSKDEVTDDMQLEV YMR133W MYEYCSVVIKKYSKYTIPSFAPNGFQSMLEPPQIDKWQHLSANC TLQFRVLLMDSRQILINVVLNNSTLLENIRLPLGDNQDLIQFSCKSPIISCKYISEEF GPRMLRRFQMNLPNDVEFNRTVVSLKNLNFVLRTARTSIAQSTITSQVQGNNNGTKVC FTEGPKVSSYTNPNTQFQTQNMIMDFSQRYQEESERESNNRSNITLPHDSIQIAQQIW PNTDLNVVQSSQDLNTPMATQTVLGRPESLIVQPLEVSQSPPNTTNCLPNAENKKKKV DTTSDFTSRKEIALCKTGLLETIHIPKERESQMQSVTGLDATPTIIWSPGKDNTAKKN TSNKKNIDDKLTNPQKSGNTHTPDRNKEVLPNGTLNETRKEASPSEGLTIRVKNVNRN ASRKISKRLIKEKLKDEEFMKWVNKVETVLNKMFEK YMR134W MSLKDRYLNLELKLINKLQELPYVHQFIHDRISGRITLFLIVVG TLAFFNELYITIEMSLLQKNTSEELERGRIDESLKLHRMLVSDEYHGKEYKDEKSGIV IEEFEDRDKFFAKPVFVSELDVECNVIVDGKELLSTPLKFHVEFSPEDYENEKRPEFG TTLRVLRLRLYHYFKDCEIYRDIIKNEGGEGARKFTISNGVKIYNHKDELLPLNIDDV QLCFLKIDTGNTIKCEFIL YMR135C MTISTLSNETTKSGSCSGQGKNGGKDFTYGKKCFTKEEWKEQVA KYSAMGELYANKTIHYPLKIQPNSSGGSQDEGFATIQTTPIEPTLPRLLLNYFVSMAY EDSSIRMAKELGFIRNNKDIAVFNDLYKIKERFHIKHLIKLGRINEAMEEINSIFGLE VLEETFNATGSYTGRTDRQQQQQQQQFDIDGDLHFKLLLLNLIEMIRSHHQQENITKD SNDFILNLIQYSQNKLAIKASSSVKKMQELELAMTLLLFPLSDSADSGSIKLPKSLQN LYSISLRSKIADLVNEKLLKFIHPRIQFEISNNNSKFPDLLNSDKKIITQNFTVYNNN LVNGSNGTKITHISSDQPINEKMSSNEVTAAANSVWLNQRDGNVGTGSAATTFHNLEN KNYWNQTSELLSSSNGKEKGLEFNNYYSSEFPYEPRLTQIMKLWCWCENQLHHNQIGV PRVEN YMR136W MQAPNIYPFSQTQPQALPGFTYGPPQLVFDHSAPRVDPLHSTVT INSPLPLQHYNGPNAHINSANNNYAYYYHHPNNNDNNNHSNNTIKNNNINSVLPAVNI QISNNSHYRNTHQIPSAPQRLVSIIPDPHMPPNISHFQLNNIHPQMHAPVATDIHFQQ VPVYNKTNNGIGTDNINNDKPVNSNQNEVLDNIDERSCHEINRVVSFSKHFENNELTT TANDLNIQSTMDELAKLKSLSNSTHFRQSIATQNFHSLQNHITTIENRLASLLTDRQQ EQQQLKQQESEKESSSPFSNKIKLPSLQELTDSISTQHLPTFYDNKRHASDTDLKSST LHGPLYHRHAFLSTSSSSPSPTAGSAPLQKLQVPRQDDPNDKKMNISSSPFNSITYIP NTTLSPMVQTQLKNLTTSNLNTKKKNNRGRPRAIQRQPTLTTSSHFINNSNPGAAAVS TTTPAANSDEKNPNAKKIIEFCFHCGETETPEWRKGPYGTRTLCNACGLFYRKVTKKF GSKSSNLLLRYRRSIDLANDRRIPDFITIPNRFIHDMDNDQTLDSEYNTILQ YMR137C MSRKSIVQIRRSEVKRKRSSTASSTSEGKTLHKNTHTSSKRQRT LTEFNIPTSSNLPVRSSSYSFSRFSCSTSNKNTEPVIINDDDHNSICLEDTAKVEITI DTDEEELVSLHDNEVSAIENRTEDRIVTELEEQVNVKVSTEVIQCPICLENLSHLELY ERETHCDTCIGSDPSNMGTPKKNIRSFISNPSSPAKTKRDIATSKKPTRVKLVLPSFK IIKFNNGHEIVVDGFNYKASETISQYFLSHFHSDHYIGLKKSWNNPDENPIKKTLYCS KITAILVNLKFKIPMDEIQILPMNKRFWITDTISVVTLDANHCPGAIIMLFQEFLANS YDKPIRQILHTGDFRSNAKMIETIQKWLAETANETIDQVYLDTTYMTMGYNFPSQHSV CETVADFTLRLIKHGKNKTFGDSQRNLFHFQRKKTLTTHRYRVLFLVGTYTIGKEKLA IKICEFLKTKLFVMPNSVKFSMMLTVLQNNENQNDMWDESLLTSNLHESSVHLVPIRV LKSQETIEAYLKSLKELETDYVKDIEDVVGFIPTGWSHNFGLKYQKKNDDDENEMSGN TEYCLELMKNDRDNDDENGFEISSILRQYKKYNKFQVFNVPYSEHSSFNDLVKFGCKL KCSEVIPTVNLNNLWKVRYMTNWFQCWENVRKTRAAK YMR138W MGLLSIIRKQKLRDKEIRCLILGLDNSGKSTIVNKLLPKDEQNN DGIMPTVGFQIHSLMIKDVTISLWDIGGQRTLRPFWDNYFDKTQAMIWCIDVSLSMRF DETLQELKELINRDENRIGYECAVIVVLNKIDLVEDKSELHRRCLLVESELKCLFKPD IRIELVKCSGVTGEGIDNLRDRLVESCHFTQ YMR139W MNIQSNNSPNLSNNIVSKQVYYAHPPPTIDPNDPVQISFPTTEV VGHGSFGVVFATVIQETNEKVAIKKVLQDKRFKNRELEIMKMLSHINIIDLKYFFYER DSQDEIYLNLILEYMPQSLYQRLRHFVHQRTPMSRLEIKYYMFQLFKSLNYLHHFANV CHRDIKPQNLLVDPETWSLKLCDFGSAKQLKPTEPNVSYICSRYYRAPELIFGATNYT NQIDIWSSGCVMAELLLGQPMFPGESGIDQLVEIIKILGTPSKQEICSMNPNYMEHKF PQIKPIPLSRVFKKEDDQTVEFLADVLKYDPLERFNALQCLCSPYFDELKLDDGKINQ ITTDLKLLEFDENVELGHLSPDELSSVKKKLYPKSK YMR140W MGNVPGKIDQEDSFNDVRPDSSYNTTSSNSVIKQYDEEASSRVR TRRTTSLVNNILNGNNARTKTGSHLSSTSRRKTSREKELAKEAHAKQLVVRCSETVDG GFLAPFGCYSFEKLDYDATVVKNLIIKRKLAPFYTPLQDFDESWTRDELIKIVDGLPL HDTFDENLEEFEDVPIGNLRKSTFNELIDKSLSKKEQRRMHAKIFRARLYKKRILWQE NENETFLERKLEMKRIGSKSSNVEDNTSSQPRKNYHLPSDDLKYTLYKNGSECPICFL YFPGPFNYSKCCQQPICTECFVQIKRADPHFPHDEVDPTEPQTNDSEKDPNLLTSEPA NCPYCATASFSITYQPPTNRETGIGGMPADSYVYKDAAISRADGGQPNISAITSDTIR PDWEIKLNKERARLMRRSANATAIHISNRLIDPSHSRRRNTSHSITPIHDESTSASRS PEPTINELEDQMVREAIRLSLEDQDNRKKSKNRNTSLRP YMR141C MSRTDTSEKRKEDFIYVSLNIYLCVRLYIMHLISSNTPKSHCSV RFLCFFLSALAITSNRNFFRPEKMPTRIFCNDTLMVSPKTEKFLERFTNQKLAKLEVR YMR142C MAISKNLPILKNHFRKHWQERVKVHFDQAGKKVSRRNARAARAA KIAPRPLDLLRPVVRAPTVKYNRKVRAGRGFTLAEVKAAGLTAAYARTIGIAVDHRRQ NRNQEIFDANVQRLKEYQSKIIVFPRDGKAPEAEQVLSAAATFPIAQPATDVEARAVQ DNGESAFRTLRLARSEKKFRGIREKRAREKAEAEAEKKK YMR143W MSAVPSVQTFGKKKSATAVAHVKAGKGLIKVNGSPITLVEPEIL RFKVYEPLLLVGLDKFSNIDIRVRVTGGGHVSQVYAIRQAIAKGLVAYHQKYVDEQSK NELKKAFTSYDRTLLIADSRRPEPKKFGGKGARSRFQKSYR YMR144W MEENKLSGNKPIQLATWSNQMGSPENNGNNANNGSDVQNVIQKA LGLIRQLNNNGLMSPMEEEHSQPSSSQETLSVDREINEQGRLRLLMQAKDDNTRKEVG TYSSPMDSAYARENMLNVLQSLVTHLNQAVSQIQQLKFKNMILTSNENNIQSRHEVED NLQKQQFERMKCQFLLERQSLKDQLRKRENKIVKYKQKIIEKNKKLNNLAKVLNQHAI SDTSQIDSFSSSVKKTPSSTTTPQEMKSDMLNTLGILATHVLKDEIDDDSGNQTILQL AAGSISNDCNTTELEITCSPEMGRTITHNRPNTKDESIQDSHGNRTLQLPKMKSFSTI DGSIKDIK YMR145C MIRQSLMKTVWANSSRFSLQSKSGLVKYAKNRSFHAARNLLEDK KVILQKVAPTTGVVAKQSFFKRTGKFTLKALLYSALAGTAYVSYSLYREANPSTQVPQ SDTFPNGSKRKTLVILGSGWGSVSLLKNLDTTLYNVVVVSPRNYFLFTPLLPSTPVGT IELKSIVEPVRTIARRSHGEVHYYEAEAYDVDPENKTIKVKSSAKNNDYDLDLKYDYL VVGVGAQPNTFGTPGVYEYSSFLKEISDAQEIRLKIMSSIEKAASLSPKDPERARLLS FVVVGGGPTGVEFAAELRDYVDQDLRKWMPELSKEIKVTLVEALPNILNMFDKYLVDY AQDLFKEEKIDLRLKTMVKKVDATTITAKTGDGDIENIPYGVLVWATGNAPREVSKNL MTKLEEQDSRRGLLIDNKLQLLGAKGSIFAIGDCTFHPGLFPTAQVAHQEGEYLAQYF KKAYKIDQLNWKMTHAKDDSEVARLKNQIVKTQSQIEDFKYNHKGALAYIGSDKAIAD LAVGEAKYRLAGSFTFLFWKSAYLAMCLSFRNRVLVAMDWAKVYFLGRDSSI YMR146C MKAIKLTGHERPLTQVKYNKEGDLLFSCSKDSSASVWYSLNGER LGTLDGHTGTIWSIDVDCFTKYCVTGSADYSIKLWDVSNGQCVATWKSPVPVKRVEFS PCGNYFLAILDNVMKNPGSINIYEIERDSATHELTKVSEEPIHKIITHEGLDAATVAG WSTKGKYIIAGHKDGKISKYDVSNNYEYVDSIDLHEKSISDMQFSPDLTYFITSSRDT NSFLVDVSTLQVLKKYETDCPLNTAVITPLKEFIILGGGQEAKDVTTTSANEGKFEAR FYHKIFEEEIGRVQGHFGPLNTVAISPQGTSYASGGEDGFIRLHHFEKSYFDFKYDVE KAAEAKEHMQEAN YMR147W MAARNRRKNNKKKSLLVTSAAQEKNATYVLVAEELHKKTIDLNM GTETPLTENHENPIPAKEFKHQQKLEPIDEHDDGEDELSIKFKSMTKSSGPITEAEVQ KLLLSYAFTSAAIQEDENEKESRHYPIKPPSPSASSLSAYFQSFVEKCKQVFYNFSLQ TVEKLNALQNSLYEVFWIIFIYLNYWFPNVGDYVRYVCRSFSRHNEIAQLLTRIFTYN INHLH YMR148W MVSTATFFFFVYLTLFVVIGFFSSLFIIPLLGISFVFAIGVVSF GFCSNMSFKMAQLIYVRADAFLKKVLDKMALQTQPAQLQEPQEPLSTLRPVSNPTIPS PLRQTARPSKFVTEEDVIFEPVSAQSAIARSLETTANKAGNKFQLS YMR149W MQFFKTLAALVSCISFVLAYVAQDVHVSFPSTAGKSRVMIGKVE PRIGIDETVPTTITVEDPNEVIQVNFAIESTNKPFQNTLLIGLPNKNLEMAFEPEIKD NGKLSMYKYRIDLAKLDAALLQEASRSPEPIKATLILASSTAKPKENLFREILQLNLN FDVDHSDSSLVDKFGIKPEIHHIFHAEPKRVAKPIAVIFVLIIFITILSLIVTWLNSC AAAFNNIPTGVTAVYFLGFIATIVGFEVIFARYYLGTSIFETLFSSLYLGAPGLLTST KFLRSFGQTI YMR150C MTVGTLPIWSKTFSYAIRSLCFLHIIHMYAYEFTETRGESMLPT LSATNDYVHVLKNFQNGRGIKMGDCIVALKPTDPNHRICKRVTGMPGDLVLVDPSTIV NYVGDVLVDEERFGTYIKVPEGHVWVTGDNLSHSLDSRTYNALPMGLIMGKIVAANNF DKPFWDGSIRNIWGFKWINNTFLDVQAKSN YMR152W MSDEIVTNKSVTYVNNTTPVTITSSELDLRSCYQDDEVVIEVHA AALNPIDFITHQLCNSYIFGKYPKTYSRDYSGVIIKAGKDVDNRWKVGDKVNGMYSHI YGERGTLTHYLILNPAKDVPITHMVEVPKDENDPYDDFVYAAAWPLTFGTAFSTLYDF KKDWTSDSKVLVIGASTSVSYAFVHIAKNYFNIGTVVGICSKNSIERNKKLGYDYLVP YDEGSIVENVKKLKQSVLENDKFDMIFDSVGNHDFFPVIDQFLKPKAKNSFYVTIAGN NKADYKNISWRDFVSLSSILKAINPFKKYNWRFGHPYPPNNFIEVGNEMIKKGTYKPP IDSVYEFDQYKEAIDRLMSNRAKGKVVVKMK YMR153W MADLQKQENSSRFTNVSVIAPESQGQHEQQKQQEQLEQQKQPTG LLKGLNGFPSAPQPLFMEDPPSTVSGELNDNPAWFNNPRKRAIPNSIIKRSNGQSLSP VRSDSADVPAFSNSNGFNNVTFGSKKDPRILKNVSPNDNNSANNNAHSSDLGTVVFDS NEAPPKTSLADWQKEDGIFSSKTDNIEDPNLSSNITFDGKPTATPSPFRPLEKTSRIL NFFDKNTKTTPNTASSEASAGSKEGASTNWDDHAIIIFGYPETIANSIILHFANFGEI LEDFRVIKDFKKLNSKNMSKSPSLTAQKYPIYTGDGWVKLTYKSELSKSRALQENGII MNGTLIGCVSYSPAALKQLASLKKSEEIINNKTSSQTSLSSKDLSNYRKTEGIFEKAK AKAVTSKVRNAEFKVSKNSTSFKNPRRLEIKDGRSLFLRNRGKIHSGVLSSIESDLKK REQASKSKKSWLNRLNNWLFGWNDL YMR154C MNDWHEFNAAIKSIYCNAEGDSSSIINRLVGLAMKSEDSTFIEA VLVLKENVSKVDKQLRFLWLTSTINSRFYPPIPISEASPVSWNKTEYCAPGTEELQRR YPGRAKLQNEEDYSGGIEQCRDVPDCSLVASLINLRSKNLNLPLIKQISSTKYHVNLS FNGSNKRLVTVDISQIPTSVDGKQLSLKSNDISDKIGELALLLVSKGTYSTDGSNISI DTYRLSGFLPEITQVNSYPFEKLWKFHKSNLCLMGAGTGNRSNDMIKPLVENHDYSII DITYDSRLVKLRDPRNSALNVEISYEQYLKNFKQLYLNWNQEKLFKRSQVLHFRYDTS RYNKFSIVADKPLFHLVNNSKVTETVWLLLESHLQDEGSQENRSVSFLNEAPECIICP IEPPVECGGNHIGLQLVKLRLDAETERLLYCYSTTNNNFSIHSFSVVKEICFQRLKDT KSLFAKVLFSFPYEIEGKASFDTCNFFQNPTFELEVHSEQDYQVLMDAACISTSSHDL INIQVYYFNDYELIKPIMFDNHYQPGQGLKQDVPILTNVKYMIVCSTYGPPASTEFEL LASIRLSSSWRLISGITLRSVNLIYGTYPYHCRNRFHWKETSDKLKIQMTLPTKKYST NKLFIRVVPVESSARLRMRCNIFEPESALCVYECQEYRTCPSGGIVIPDLEVSRTNIV VLMIERSVPISSCLPTEGQLDELELFVGSSQKIRIEKYSDDVIPK YMR155W MVKKHQNSKMGNTNHFGHLKSFVGGNVVALGAGTPYLFSFYAPQ LLSKCHIPVSASSKLSFSLTIGSSLMGILAGIVVDRSPKLSCLIGSMCVFIAYLILNL CYKHEWSSTFLISLSLVLIGYGSVSGFYASVKCANTNFPQHRGTAGAFPVSLYGLSGM VFSYLCSKLFGENIEHVFIFLMVACGCMILVGYFSLDIFSNAEGDDASIKEWELQKSR ETDDNIVPLYENSNDYIGSPVRSSSPATYETYALSDNFQETSEFFALEDRQLSNRPLL SPSSPHTKYDFEDENTSKNTVGENSAQKSMRLHVFQSLKSSTFIGYYIVLGILQGVGL MYIYSVGFMVQAQVSTPPLNQLPINAEKIQSLQVTLLSLLSFCGRLSSGPISDFLVKK FKAQRLWNIVIASLLVFLASNKISHDFSSIEDPSLRASKSFKNISVCSAIFGYSFGVL FGTFPSIVADRFGTNGYSTLWGVLTTGGVFSVSVFTDILGRDFKANTGDDDGNCKKGV LCYSYTFMVTKYCAAFNLLFVLGIIGYTYYRRRATANSL YMR156C MSHKLTILPFLIKFTPKFPQSIDHDEHGLNVYAFDLDHTIIKPK SPNISFSRSASDWQFINFNSKKSTLDYLCNIIDNDPTAVIVIFSNQGGVITVPRTSKS CTKYTNKILLFLKAIKNDERGETLSHRLWLYAAPKRPKTFAANHSKITFASLGESYNN DPNIFEKVRKPMTGMVEFFKRDLESAYRVSEQISPIKLNWIYYCGDAAGRKKDFSDSD IKFAENLHVEFKYPEEIFHG YMR157C MLRPLRKSVLASCRHCFKVCGGLPQKQLPLFSPLLLRARYSSTD SSTKRSNKSDKIDAPGFKKIFLVAIIGTVIFVKTVQSLDKNKPKTTLSEEEFENVVKG LKRRVAIFPQGEVDIKFSLSPSIEETRKVLQKSQGDDINELQFVDPVKVIDYYRTLRD DRYEALLNEYYKKYGCDTYAYNLPTGMLVMLLGRYFKENFKTGDKLVVVNFPHSIADA TRFENEVSIVSKIFVPRKLSGSDVCKYYETVGKADII YMR158W MSLVKLANTCAHLQNCSKVRVALTSIPYTKLQLQFAYNLYQQGF LSSLQKGSTMGPDKDFVEVTPDNISTRRLWVGLKYRDNKPVLSSCKLISKPNSRIHLP MEDMKKLCSGVTIRNIKPLQPGELILVRAHNNIMDINEAISKKLDGEVLCRVK YMR158C-A MKMNYHLSTSSYTTSMLSCTVLDDDIRYEKLSWKLDEAEMQGLI M YMR159C MGNFIITERKKAKEERSNPQTDSMDDLLIRRLTDRNDKEAHLNE LFQDNSGAIGGNIVSHDDALLNTLAILQKELKSKEQEIRRLKEVIALKNKNTERLNDE LISGTIENNVLQQKLSDLKKEHSQLVARWLKKTEKETEAMNSEIDGTK YMR160W MDVVQQKQDKQLQHQTQEQQQIREDQQEVPPQRPRQQNRWKPWW NSTADDEPNTGRIAEYPNGQGRSSPTTDFQDSVNSNNDNKGIWSKIASFATSRYRSAP IVVDDNTRYSQLNTEQINFLENEAKDIISKKSKSWCWYEAIPHISNSSNIIDSIDTPG IISVSGTGSAKCPLPLNKYPGEGGNPGYNVFINDSLILPSDNPLNFLHVQPLRTKVLN TIKNYYNFPNEQHLYLRQKKTALLKDKRIIIISVVGDLPEKYEQRSLESQRSAYYLSR KLSQNLAQEQPQRVLTLSFQCPLHNQDLIPTYKECVELLNHWAHLFKEVDSIFFVGVY HSVPLTLLLAKYIVQNNEVLEFDENTTVSVLSFQSCLQGYRFWDHSTDFTSNSYNNLG SNSSTNENDSNDHDSNNDFTTKSQQIKEKQLFQGIDKKQQDTLSKIKNYRRIDSSESK LVQDALDWLLFNWDTFRLTFFGKLYDNFMTISEKLAIDYNHPKILRNLWCNGKYMGID LKNANNLNLDTDDEATSNINDVHVRTPNFESRLKIPTNRLFEITLWDILMITENLGYK QFIPIINLLSPFFISRSFNDYTLPPNIRKQYQNSNKIWLQEMDSKWKMNGHQLNYDQR EGESLGSSSESLLPENISTVKDFLQFVQYQNEKSSDFVRIYSDIYDDDKVYKCFLYNT IFTKNPLSRKHLRLNIDLDTPTSILNTVNQYDLVWKIHDSFSKLIQLKNLPQREIPHA LRLSISLNCFLDSTTSTSGPVFQRDTVEALRRLTEIWRTYQDWSPPTRGLKHLRDILS VLAMYDNPKNLINDVRRT YMR161W MSFTEDQEKIALEILSKDKHEFYEILKVDRKATDSEIKKAYRKL AIKLHPDKNSHPKAGEAFKVINRAFEVLSNEEKRSIYDRIGRDPDDRQMPSRGAASGF RGSAGGSPMGGGFEDMFFNSRFGGQRAGPPEDIFDFLFNAGGSPFGASPFGPSASTFS FGGPGGFRVYTNNRGGSPFMRQQPRSRQQQQQAEENAVNSQLKNMLVLFIIFIVLPMI KDYLFS YMR162C MGIADGQRRRSSSLRTQMFNKHLYDKYRGRTDDEIELEDINESK TFSGSDNNDKDDRDETSGNYAAEEDYEMEEYGSPDVSYSIITKILDTILDRRRTFHSK DGRHIPIILDHNAIEYKQAATKRDGHLIDERFNKPYCDNRITSSRYTFYSFLPRQLYA QFSKLANTYFFIVAVLQMIPGWSTTGTYTTIIPLCVFMGISMTREAWDDFRRHRLDKE ENNKPVGVLVKDGNNDAQEVYTLPSSVVSSTAYLTKSAAAENNPPLNDDRNSSQGHFL DTHFNNFELLKNKYNVHIHQKKWEKLRVGDFVLLTQDDWVPADLLLLTCDGENSECFV ETMALDGETNLKSKQPHPELNKLTKAASGLANINAQVTVEDPNIDLYNFEGNLELKNH RNDTIMKYPLGPDNVIYRGSILRNTQNVVGMVIFSGEETKIRMNALKNPRTKAPKLQR KINMIIVFMVFVVATISLFSYLGHVLHKKKYIDQNKAWYLFQADAGVAPTIMSFIIMY NTVIPLSLYVTMEIIKVVQSKMMEWDIDMYHAETNTPCESRTATILEELGQVSYIFSD KTGTLTDNKMIFRKFSLCGSSWLHNVDLGNSEDNFEDNRDNTNSLRLPPKAHNGSSID VVSIGDQNVLDRLGFSDAPIEKGHRPSLDNFPKSRNSIEYKGNSSAIYTGRPSMRSLF GKDNSHLSKQASVISPSETFSENIKSSFDLIQFIQRYPTALFSQKAKFFFLSLALCHS CLPKKTHNESIGEDSIEYQSSSPDELALVTAARDLGYIVLNRNAQILTIKTFPDGFDG EAKLENYEILNYIDFNSQRKRMSVLVRMPNQPNQVLLICKGADNVIMERLHDRELAAK KMADICTSTKERKDAEAELVLQQRKSLERMVDEEAMARTSLRNSLSSVPRASLSLQAV RKSLSMKNSRTRDPEKQIDSIDQFLETVKKSDQEIGSVVNKSRKSLHKQQIEKYGPRI SIDGTHFPNNNVPIDTRKEGLQHDYDTEILEHIGSDELILNEEYVIERTLQAIDEFST EGLRTLVYAYKWIDIGQYENWNKRYHQAKTSLTDRKIKVDEAGAEIEDGLNLLGVTAI EDKLQDGVSEAIEKIRRAGIKMWMLTGDKRETAINIGYSCMLIKDYSTVVILTTTDEN IISKMNAVSQEVDSGNIAHCVVVIDGATMAMFEGNPTYMSVFVELCTKTDSVICCRAS PSQKALMVSNIRNTDPNLVTLAIGDGANDIAMIQSADIGVGIAGKEGLQASRVSDYSI GQFRFLLKLLFVHGRYNYIRTSKFMLCTFYKEITFYFTQLIYQRYTMFSGSSLYEPWS LSMFNTLFTSLPVLCIGMFEKDLKPMTLLTVPELYSYGRLSQGFNWLIFMEWVILATT NSLIITFLNVVMWGMSSLSDNTMYPLGLINFTAIVALINVKSQFVEMHNRNWLAFTSV VLSCGGWLVWCCALPILNNTDQIYDVAYGFYNHFGKDITFWCTSLVLALLPITLDIVY KTFKVMIWPSDSDIFAELEQKSDIRKKLELGAYSEMRQGWTWDKDPSTFTRYTDKVLS RPRTNSRASAKTHNSSIYSMSNGNVDHSSKKNFFGNSSKKSSERYEVLPSGKLIKRPS LKTQSSKDSIGGNITTKLTKKLKLPSRNVEDEDVNQIIQARLKDLE YMR163C MTTNSRPSALQAPGLQIFSMLKSSEEDGFMSSSLTLDSDNIIGV TENNRQEFYSTWRKPSLLSSRSVLHEYSPTIVGSNDCTFSPITVGKTTKFFNWDDIIS RIFMQQPFGVTHQFFEEFRYSIITSHFLNDMNHYRLSLHLDQSIMNFHKSSTLLKNVP PKSVPFMATKYGKLAVAEDKKLYFRQNFNYLSMIITSYRVLTQLKKYCRKKNSPGLKR VVILILVAVYLSIQQEYFRRHLICYKTLLKVRKVLESLQQVDVMIHKYHLRFKEIKNH SFISRVSLISIADEHSSVIKELLVFSSDALFYKLKSIIPDIVIFSDTSELSKYCELYG IDVPNLYYNNTTTVKDLDGKLYRLKLLKKFMLCCLLSLDMTGNENLSNVNMRNALNKI FPDYMARVQLKKKYNPIGTFQNIVSLLRGLHSLLSTVLVSLNDHKQILYAFPEETSTN TGCERANVCSFSKNDKLFQALNYLKMIENNLLAIDIRNGITENDRNIIEDKLEELITF WKTSKICGNISRIQKVSPTNTINHGFHLDILKGRKSPRSSSVQGLSLERKVDFIDVAE SVNDSFENDTELEEYEDYDCQEECSAGSRQNHRVDFIGKDSCRKPDFKQLSDNELRRK LDERILKLAQENREGRERLRTAKSFELLRKAQASMSVKFGFQKPLRDDAFLESRPLSK CKVSSEETIPFLYELKGLLGNDS YMR164C MDNTTNINTNERSSNTDFSSAPNIKGLNSHTQLQFDADSRVFVS DVMAKNSKQLLYAHIYNYLIKNNYWNSAAKFLSEADLPLSRINGSASGGKTSLNASLK QGLMDIASKGDIVSEDGLLPSKMLMDANDTFLLEWWEIFQSLFNGDLESGYQQDHNPL RERIIPILPANSKSNMPSHFSNLPPNVIPPTQNSFPVSEESFRPNGDGSNFNLNDPTN RNVSERFLSRTSGVYDKQNSANFAPDTAINSDIAGQQYATINLHKHFNDLQSPAQPQQ SSQQQIQQPQHQPQHQPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQHQQQQQTPYPI VNPQMVPHIPSENSHSTGLMPSVPPTNQQFNAQTQSSMFSDQQRFFQYQLHHQNQGQA PSFQQSQSGRFDDMNAMKMFFQQQALQQNSLQQNLGNQNYQSNTRNNTAEETTPTNDN NANGNSLLQEHIRARFNKMKTIPQQMKNQSTVANPVVSDITSQQQYMHMMMQRMAANQ QLQNSAFPPDTNRIAPANNTMPLQPGNMGSPVIENPGMRQTNPSGQNPMINMQPLYQN VSSAMHAFAPQQQFHLPQHYKTNTSVPQNDSTSVFPLPNNNNNNNNNNNNNNNNNSNN SNNNNNNNNNNNNSNNTPTVSQPSSKCTSSSSTTPNITTTIQPKRKQRVGKTKTKESR KVAAAQKVMKSKKLEQNGDSAATNFINVTPKDSGGKGTVKVQNSNSQQQLNGSFSMDT ETFDIFNIGDFSPDLMDS YMR165C MQYVGRALGSVSKTWSSINPATLSGAIDVIVVEHPDGRLSCSPF HVRFGKFQILKPSQKKVQVFINEKLSNMPMKLSDSGEAYFVFEMGDQVTDVPDELLVS PVMSATSSPPQSPETSILEGGTEGEGEGENENKKKEKKVLEEPDFLDINDTGDSGSKN SETTGSLSPTESSTTTPPDSVEERKLVEQRTKNFQQKLNKKLTEIHIPSKLDNNGDLL LDTEGYKPNKNMMHDTDIQLKQLLKDEFGNDSDISSFIKEDKNGNIKIVNPYEHLTDL SPPGTPPTMATSGSVLGLDAMESGSTLNSLSSSPSGSDTEDETSFSKEQSSKSEKTSK KGTAGSGETEKRYIRTIRLTNDQLKCLNLTYGENDLKFSVDHGKAIVTSKLFVWRWDV PIVISDIDGTITKSDALGHVLAMIGKDWTHLGVAKLFSEISRNGYNILYLTARSAGQA DSTRSYLRSIEQNGSKLPNGPVILSPDRTMAALRREVILKKPEVFKIACLNDIRSLYF EDSDNEVDTEEKSTPFFAGFGNRITDALSYRTVGIPSSRIFTINTEGEVHMELLELAG YRSSYIHINELVDHFFPPVSLDSVDLRTNTSMVPGSPPNRTLDNFDSEITSGRKTLFR GNQEEKFTDVNFWRDPLVDIDNLSDISNDDSDNIDEDTDVSQQSNISRNRANSVKTAK VTKAPQRNVSGSTNNNEVLAASSDVENASDLVSSHSSSGSTPNKSTMSKGDIGKQIYL ELGSPLASPKLRYLDDMDDEDSNYNRTKSRRASSAAATSIDKEFKKLSVSKAGAPTRI VSKINVSNDVHSLGNSDTESRREQSVNETGRNQLPHNSMDDKDLDSRVSDEFDDDEFD EDEFED YMR166C MNSWNLSSSIPIIHTPHDHPPTSEGTPDQPNNNRKDDKLHKKRG DSDEDLSPIWHCVVSGGIGGKIGDSAMHSLDTVKTRQQGAPNVKKYRNMISAYRTIWL EEGVRRGLYGGYMAAMLGSFPSAAIFFGTYEYTKRTMIEDWQINDTITHLSAGFLGDF ISSFVYVPSEVLKTRLQLQGRFNNPFFQSGYNYSNLRNAIKTVIKEEGFRSLFFGYKA TLARDLPFSALQFAFYEKFRQLAFKIEQKDGRDGELSIPNEILTGACAGGLAGIITTP MDVVKTRVQTQQPPSQSNKSYSVTHPHVTNGRPAALSNSISLSLRTVYQSEGVLGFFS GVGPRFVWTSVQSSIMLLLYQMTLRGLSNAFPTD YMR167W MSLRIKALDASVVNKIAAGEIIISPVNALKEMMENSIDANATMI DILVKEGGIKVLQITDNGSGINKADLPILCERFTTSKLQKFEDLSQIQTYGFRGEALA SISHVARVTVTTKVKEDRCAWRVSYAEGKMLESPKPVAGKDGTTILVEDLFFNIPSRL RALRSHNDEYSKILDVVGRYAIHSKDIGFSCKKFGDSNYSLSVKPSYTVQDRIRTVFN KSVASNLITFHISKVEDLNLESVDGKVCNLNFISKKSISPIFFINNRLVTCDLLRRAL NSVYSNYLPKGNRPFIYLGIVIDPAAVDVNVHPTKREVRFLSQDEIIEKIANQLHAEL SAIDTSRTFKASSISTNKPESLIPFNDTIESDRNRKSLRQAQVVENSYTTANSQLRKA KRQENKLVRIDASQAKITSFLSSSQQFNFEGSSTKRQLSEPKVTNVSHSQEAEKLTLN ESEQPRDANTINDNDLKDQPKKKQKLGDYKVPSIADDEKNALPISKDGYIRVPKERVN VNLTSIKKLREKVDDSIHRELTDIFANLNYVGVVDEERRLAAIQHDLKLFLIDYGSVC YELFYQIGLTDFANFGKINLQSTNVSDDIVLYNLLSEFDELNDDASKEKIISKIWDMS SMLNEYYSIELVNDGLDNDLKSVKLKSLPLLLKGYIPSLVKLPFFIYRLGKEVDWEDE QECLDGILREIALLYIPDMVPKVDTSDASLSEDEKAQFINRKEHISSLLEHVLFPCIK RRFLAPRHILKDVVEIANLPDLYKVFERC YMR168C MFNRTTQLKSKHPCSVCTRRKVKCDRMIPCGNCRKRGQDSECMK STKLITASSSKEYLPDLLLFWQNYEYWITNIGLYKTKQRDLTRTPANLDTDTEECMFW MNYLQKDQSFQLMNFAMENLGALYFGSIGDISELYLRVEQYWDRRADKNHSVDGKYWD ALIWSVFTMCIYYMPVEKLAEIFSVYPLHEYLGSNKRLNWEDGMQLVMCQNFARCSLF QLKQCDFMAHPDIRLVQAYLILATTTFPYDEPLLANSLLTQCIHTFKNFHVDDFRPLL NDDPVESIAKVTLGRIFYRLCGCDYLQSGPRKPIALHTEVSSLLQHAAYLQDLPNVDV YREENSTEVLYWKIISLDRDLDQYLNKSSKPPLKTLDAIRRELDIFQYKVDSLEEDFR SNNSRFQKFIALFQISTVSWKLFKMYLIYYDTADSLLKVIHYSKVIISLIVNNFHAKS EFFNRHPMVMQTITRVVSFISFYQIFVESAAVKQLLVDLTELTANLPTIFGSKLDKLV YLTERLSKLKLLWDKVQLLDSGDSFYHPVFKILQNDIKIIELKNDEMFSLIKGLGSLV PLNKLRQESLLEEEDENNTEPSDFRTIVEEFQSEYNISDILS YMR169C MPTLYTDIEIPQLKISLKQPLGLFINNEFCPSSDGKTIETVNPA TGEPITSFQAANEKDVDKAVKAARAAFDNVWSKTSSEQRGIYLSNLLKLIEEEQDTLA ALETLDAGKPFHSNAKQDLAQIIELTRYYAGAVDKFNMGETIPLTFNKFAYTLKVPFG VVAQIVPWNYPLAMACRKMQGALAAGNTVIIKPAENTSLSLLYFATLIKKAGFPPGVV NVIPGYGSVVGKALGTHMDIDKISFTGSTKVGGSVLEASGQSNLKDITLECGGKSPAL VFEDADLDKAIEWVANGIFFNSGQICTANSRVYVQSSIYDKFVEKFKETAKKEWDVAG KFDPFDEKCIVGPVISSTQYDRIKSYIERGKKEEKLDMFQTSEFPIGGAKGYFIPPTI FTDVPETSKLLRDEIFGPVVVVSKFTNYDDALKLANDTCYGLASAVFTKDVKKAHMFA RDIKAGTVWINQTNQEEAKVPFGGFKMSGIGRESGDTGVDNYLQIKSVHVDLSLDK YMR170C MPTLYTDIEIPQLKISLKQPLGLFINNEFCPSSDGKTIETVNPA TGEPITSFQAANEKDVDKAVKAARAAFDNVWSKTSSEQRGIYLSNLLKLIEEEQDTLA ALETLDAGKPYHSNAKGDLAQILQLTRYFAGSADKFDKGATIPLTFNKFAYTLKVPFG VVAQIVPWNYPLAMACWKLQGALAAGNTVIIKPAENTSLSLLYFATLIKKAGFPPGVV NIVPGYGSLVGQALASHMDIDKISFTGSTKVGGFVLEASGQSNLKDVTLECGGKSPAL VFEDADLDKAIDWIAAGIFYNSGQNCTANSRVYVQSSIYDKFVEKFKETAKKEWDVAG KFDPFDEKCIVGPVISSTQYDRIKSYIERGKREEKLDMFQTSEFPIGGAKGYFIPPTI FTDVPQTSKLLQDEIFGPVVVVSKFTNYDDALKLANDTCYGLASAVFTKDVKKAHMFA RDIKAGTVWINSSNDEDVTVPFGGFKMSGIGRELGQSGVDTYLQTKAVHINLSLDN YMR171C MSFKFLIESLLLGSISGQIRCGRSSVIPRGDVSYGGDDTDELNM DIMLFAFGTLIVVYIVICIVYFFTKQIATRLITAYYNEHGPGQRISLFSDYDENNAHV HSRRLMENMSLRWPNNLDDADEVRDKLAQLSPEEQFYYKQGEEYIKQNPPFLLNQGLL QQSEDSNPDTTREDPIMNEQTRQYIQEEGAYAWEFSPNPDMPNHTVIVENKTEVSFLN YNYDASISTNLPIPCINKVYYCEFKIFETDGPLNSDENVSKGVISFGLSTQPYPYFRL PGRHHHSIAYDSNGARRFNDSFKLNEQLRTLFPQCEKGDIVGIGYRSRSGTVFFTRNG KKLNEKSVGGHIRGWKFQYLYPIIGSNVPCQIHVNFGTYGFVYIEANVKKWGYAKSNG IKLPPPSYEDYGKDTLLESGGEDNDFDEDFSDGDSDNIAAGSTTNLNDDIIIRNGEIL PPPPGFEFTMSPPTGKKIINEEINLDSLPMLPPSYSDDEHHSKNDKSAISGRIIGTSR NLITDEASFDSVDNDNEDENDHERDPEQFSEFDDYESRMHGI YMR172W MSGMGIAILCIVRTKIYRITISFDYSTLMSPFFLFLMMPTTLKD GYRMNSQVNEDAIGINLDLSLPTHISPTTGSESASGSNASTLRNDGNALDGGLLRTSA AISAPTGTSQPTETIGEKLSNEERVNSNVSASNSTTAGTGRMLSQSLTNDSPSNEIST DQLKIFQRMDEMSARMIEMEESFNKLSNKIAEQNTMVLNLKQDNYKVMNKLNILLKLV AQPSARPSTNNAQNKLAIELLNSISAVSSAYLQKMQNNGSGRQHTADLCTGDSNTHSG INQHRTTNGTIDVNTNTAQLNNQFSNALNTILPDQQHNRNNVSQNINQSLPNRQLGPV INTQANQNQSQVLIHNTNTHQQVNRSPISFPNASTDKPFKLNPNGIKRRRRNTQSNNN ASTNDHASAAQKPISALSPLTNSHNSTTSMNYTNSSIHSGVTSASNSFHDLNSLNNFG TTTALSLPSLALDNASFPPNQNVIPPIINNTQQPLSFSQLINQDSTTSELLPSGKSGV NTNIVNRNRASTLPSYPKPMTVKSNVDDDGYQEDDDDDGDDEGDGRDNEEDSTAEEDE VDDEIETDMKNASINKRRRSLHHKKSNSLNGRRKLHGESATKPNINSDLHYRILKAPT DVKTIWEEYDTGIRGKPSIKHLEAKYGNKWRLNKNKKTFSRRKRLYKFILNGMERGKT AQEMIETLENKRLYKDDEDGEVKKRTIGWLQESLAGI YMR173W MGLFDKVKQFANSNNNNNDSGNNNQGDYVTKAENMIGEDRVNQF KSKIGEDRFDKMESKVRQQFSNTSINDNDSNNNDSYGSNNNDSYGSNNNDSYGSNNND SYGSNNNDSYGSNNDDSYGSSNKKKSSYGSNNDDSYGSSNNNDSYGSNNNDSYGSNNN DSYGSNNDDSYGSSNKNKSSYGSNNDDSYGSNNDDSYGSSNKKKSSYGSSNNDSYGSN NDDSYGSNNNDSYGSNNDDSYGSSNKKKSSYGSNNDDSYGSSNNNDSYGSNNDDSYGS SNKNKSSYGSSSNDDSYGSSNNDDSYGSSNKKKSSYGSNNDDSYGSNNDDSYGSSNKK KSSYGSSNNDSYGSNNDDSYGSSNKKKSSYGSNNDDSYGSSNNNDSYGSNNDDSYGSS NRNKNSYGSSNYGSSNNDDSYGSSNRGGRNQYGGDDDY YMR174C MNTDQQKVSEIFQSSKEKLQGDAKVVSDAFKKMASQDKDGKTTD ADESEKHNYQEQYNKLKGAGHKKE YMR175W MSNMMNKFAEKLQGNDDSHQKGKNAKSSNKERDDMNMDMGMGHD QSEGGMKMGHDQSGTKMNAGRGIANDWKTYENMKK YMR175W-A MNCLCLCSLYSKSISAYFSEFSSTNIYKSYLRLPSVLYYVCMMH TMMPNQLDAVGIQSSESLLM YMR176W MSGHDSVTKISHILNEPVNEKVMVQNGFHESSKIADIELEIQER PSIKQWESPRSAVIPTSNHNFSPFLYTQFKSRGAAPFAPETIKSVDLVELPEGVPARV FHEKTGLFYQISPHSIPTFILAKKELPDPIKFYELVEDLGSVYGCVKLKIIPDADKFT QLNVDVDRLWFKARKQFFNSNEFQRTKIVDFYAKLYNFHNKIKKSTLTRIPSIDKRTL DLYRLRSCVKLRGGFNAVCEKKLWAQIGRELGYSGRIMSSLSTSLRSAYAKILLDFDI YEEEEQAARNNEKNEDMVESEIFRHSNSRSRDEEEPLHKKAKIHRDVFRAGSINHEFK RMRDIKHIKGFPTYFNSLTEFKLGYTQSTETTLPGYDFTFWENGMEIYDKSKYETKTS PVYNLRQYYEKSLAVFTAIVAKFGSSYPDLFAKHTTLPQKEFERLYFHLLSEHFIDFE IDTGLGLPCSMRSPGNNSSNEKFAIKNILDQWNLDNIPLNELSLLQHLDLDMANFTRT TYDIGMLFSCQGWSVSDHFLPSIDFNHLGSTKLVYSIAPKDMEKFEALIARGKSEWDT IQSRPRYSTSDDELKSFIETDFYKSFLDAEQSADYSNTGDNSKNSFPEDKIAGNTLHD GSQSDFIFEPNFILANGIKLYKTTQEQGSYIFKFPKAFTCSIGSGFYLSQNAKFAPSS WLRFSSEAAKWTSKMGFLPGLDVNQLLINALLNSNNPVLRKKCRDLISNYVVEEAENS KKLGELIGTVDVVYNKLNYISDISLESTGLSKIVVTHGALQRNLSLKEFVVLLEKPEN GAHSICGIPIRDQSGNLNVCLHSYFDSASLGIALDGLDKPPTSYLLVHNEDFEKKWDV LMTSTFRNRTVPLNIIQYLISHTDSNTEFNRMLRSNFDDSLLLIEKCKKFIKTFVDVS CSVKDVDFGNGFNLRHLPLKFSDNMADNLESLYESVRKCSIEFSEKPTIIRLYHVSRQ FPIDNRDIIDGNNLDLLKELYQKSLTIPLKVSYWTKLTRKICRLEWLSVYEHIFIERC DIKNEDPAKYSLPLLYSYFEFGLKYCDSEDIDKLGEVRKLILKYQDMMQKVRVFLKKD PPSKISLSDLEDVLLDIEEYRLPIQSSFFSELDYVIREIENAKKMNDVNILYNTDNID KIDELIRKNDPKFVKFANQFNGSRLDKRPLASDNSGSVKAKQELKVFKLWNQHLDQIM QKNKFIEILPSIFRCLDLKSDKYIPLESCSKRQTKYCFCRRVEEGTAMVECEICKEWY HVDCISNGELVPPDDPNVLFVCSICTPPCMAVDNIEGVTFELDDLKRILVESLKLSLI PDPPILKNLFDVFAFALNFKNEMEKELFTNGYVNQLSSTHKIKYYLRKLKGSQCGFTN LTDPLRKHCQVKDAEAIKWLTDNGRIIITGIPN YMR177W MLRICVKRPCIKIVLSQVRPALLVRKENLHISTGVKVEKSSIIN QKDPNKVRVEINELKRQAEIEKAAIKELEKNPQYQKLAEAFNSHDHVHLRESETEQND IISLGTIRDYKSSKCEQADKPSSLNLHSHTHSHGHTHSHAAHNPLLVLSTEQIRKNAG VRITWVGLGVNVGIAIGKFFGGIVFHSQALFADAIHAISDMVSDLLTLLSVGLAANKP TADYPYGYGKIETVGSLAVSTILAMAGISIGWSSLCALVGPVIPHTIIDTIGNLGHAH TYSEDIIEDVTDINAAWIAAASIAAKEWIFRATRKIAINTNSNVLMANAWHHRVDSLT SLVALVAISTGYLVNIQSLDTIGGLIVSGLIIKAGGEGMCIAIKELIDQSVSRDDPRY LEIETLVKDTLNKLISNNNSQKPYGLKELTLLSSGPNLRGHLTLEVPLQKWGNILGVN EFEIVTHHLRNVLTNEVSNLRRLDIEYVEEKNGEENEHIKGQQNYKEDVLIKHDHTNT HI YMR178W MVKVTAACIIIGDEVLNGKVVDTNSTFFAKYCFDHGIQLKEIAT IGDDETQIVDTVRRLVKNYDFIISTGGIGPTHDDITYECMAKSFNLPCELDEECKERM RHKSDPEARLDADALKAHYQMATMPKGTNVKNYYVCDDLWVPICSISHKMYILPGIPQ LFARMLKAFTPTLKKIYNLDKDPREYVRYFVRTHLTESQISKELKLIQDESTKVSEAI KIGSYPHFGMGFNTVSILGEKKDDSYLKSIVNRVVNNLEGEVISSELENKFSNQES YMR179W MSELSQMTLKILYTLDNGSNGSYLARSRAPKQVRVANIPSPFPT DSNEQTELRIGAIHLKTILHEIYLNSPEVLDHDTLKDGYDYNLYYRDICEVDEPLVSL GLLSGLRKKFHKNSPYQYTENNIGEEESEERDEVTEEEYEDESFIVTGRVCSNVSALL RRSYSNISNKKGRVVNNQIPEETLEVKLRFTKVITNLRTSGNNTTNSRISCLQMPSSL PSATLPFTPKSQSLFKTNQIKNSRNARTTITINNTNSGTVGRRQTNPMPAPKAVRTQS LPIWNLKPNIANTGFPRNSIAHKIYLADRKTEANQQNNQHQNIAYEINTLQNDNTIQR TKIDDSVSKRFDFMLNKRKSTKKVSPGIATIAKKPASININPKQPPKTSGEKKANDKQ TIVKVKNSNSKNSAKSTQAGCRRSSVIEHLNDHDDSILSDILSEPGIEGQKLQQKQKG RKISLTSENDKENIPPQSITSKENKLEGDLDFNAEFPMSDFSDVVFKDEMGWFSNFNC NFFESPTSASASQLNQQNLKPSITLNDPNTCNTIALENEDVSELETAQNNKISLPSDV DKTSPIDSLSIPLIELTHSSSTTNMQRISIKEGSTLNITDSNNATPCDNDIKDRKASV IDSDNTKPQAGLINFSTPADQPASDNNVTASKKLTSMLETQQSKRSHEEVLDEEEEEE ALKKQKAIPSSPCGMFNYHQPMELSEDIVEEEQGHNIGDDNESDKTNDLFSTFIHSGI RVSQVVTSPIGEFQSIKH YMR180C MSDQPETPSNSRNSHENVGAKKADANVASKFRSLHISETTKPLT STRALYKTTRNNSRGATEFHKHVCKLAWKYLACIDKSSISHIEIEMKFGVITDKRTHR RMTPHNKPFIVQNRNGRLVSNVPEQMFSSFQELLRSKSENPSKCAPRVVKQVQKYTKD SIYNCNNASKVGKLTSWRCSEDLRNKELKLTYIKKVRVKDFLIRYPQSSLDAKISISL EVPEYETSAAFRNGFILQRTKSRSTYTFNDKMPLHLDLTKVTTTRRNSHQYTSHEVEV EMDPIFKETISANDREKFNEYMCSFLNASDLIRKAAERDNMLTT YMR181C MTPLLQAEAKMNTSLYLTESIQQHEFNLTSPQSFYSSPSVPNSK NNSGIFSYNTANNSRVSSSDEFTTQQDGMNTIMYKNNISKTFEDDIFYCPRSLLTPEE QVVYQEIDKYYMEQALLTQLQISQTYSSTPKEEKIVKFNPYTSKSFSPASSE YMR182C MRGKQPKRNKDNASVKRNYRCVGYPDCNMSFNRTEHLARHIRKH TGEKPFQCNICLKFFSRIDNLRQHQSSVHSDVDLMSLRRLQQSANSTANDPNATRMFP QLRPYGIVVQPAPVPYNLPISTPASPQDTISLYAPPYFPHPMPSAPIPLPHQPPPLPI YSYMQPLFLNHTPIQNHNIVELPPDSSDTPASPSKVQSFDQAKDASPNAKK YMR182W-A MRKPSAFHACNIIFLPLVKCASATIMLN YMR183C MSNANPYENNNPYAENYEMQEDLNNAPTGHSDGSDDFVAFMNKI NSINANLSRYENIINQIDAQHKDLLTQVSEEQEMELRRSLDDYISQATDLQYQLKADI KDAQRDGLHDSNKQAQAENCRQKFLKLIQDYRIIDSNYKEESKEQAKRQYTIIQPEAT DEEVEAAINDVNGQQIFSQALLNANRRGEAKTALAEVQARHQELLKLEKTMAELTQLF NDMEELVIEQQENVDVIDKNVEDAQQDVEQGVGHTNKAVKSARKARKNKIRCLIICFI IFAIVVVVVVVPSVVETRK YMR184W MAIKPTKSFQNCLEAEVPGYNDCPTVLFSIDPNSGPRSKSKQRT KSKRCVSGRLATEVLDLYGNTKTATTPPPVLRRPSVTAAQQESACEGVLVKDQGDRQL QPILCSKEELVAKINDLCVCGSKLSSKELEFYKKKLDSNITKILQNEHTKTVLSQIFN EKDKNMAVKTIKHWMVTDTTISNWCPAFLKIFENAMPN YMR185W MNEDKEQKINIHDILNTRPKLTKKTALDVFFEDLDDNVITPINE YVLDSGSSSSSSIYQALKCSNNNEFVAVLLQKFQNLHIHVLEQQRRLIESKSDLLPIS LHDMKYVDELINLLIIHGIDANLSPTMKIPFDSKRINTFKKGQKSAEYETPRWHTINN DTLSQVITVFYNVLTSERSSDYLREIISKGSAYANILLGLIVLHLQLPNRYSSQMITN LEDTQETYTLFGVYTLLVETIQDEKVREPILSKLTTLTLRRPENGLISLIDFVLGVRD AEDIDIEKFNRIYQILMSKPKTMTNLQYLTELFKQIYDGLTFVNRPILVTCLNGLILK FYLRNKRIVNDFLFKKVRSIIFNSPLTDHTAKELNDVINVLISLSKNSSSDLLNDLVT SCPDEDGTTPGQFFLYVWIYALFLKKNQKLDPLEINKLSISDNKSTDSIHFPEQSSSK YYEVVLSLLKSLIVITENFQYLNVLSLNLLNFEHEKWKYLIDLDTQLPYISVKNTDMA ELFFEKGSKNSQISEFLQDMDLSIELFMEFLVLLNDEEQSKTLFLDILKRWVHHTKKS EKRSSDNHSGMPSVTDNALILMDLKLLECMNNRFKTKIVNKPKDVLIVIDQLIDVVQE KDETIQEVEADSDDEVEEGEETEELDPNENSSYKIILQLLSTVLSESSSSILLQNSYI LKSISRKLQSFNTNASEIDALLASIDNILINGHTTERNDNIEIEMDEERLDKAITSLH DPLVPIKSYGLTELRHLAEKKSPVISLEKVLQIHLDYLKNMDPFIYLNVIKGLTTLCE LEPETILPLLAEFYANKKKKNRLDDVLKVGEVFINYIQRQNELFQGKLAYLIIDTCLS IVRPNDSKPLDNRWRMSSMSILGMCLQINARGVSDRIRDMLDCVFGILQLEQPQNHLK DKDDSFLMRRSAVHLIHDLLYSTGFDLLPFEYNYDKLKTLLSYVRDQDEDYMVCEQID KLLTVLDSL YMR186W MAGETFEFQAEITQLMSLIINTVYSNKEIFLRELISNASDALDK IRYQALSDPKQLETEPDLFIRITPKPEEKVLEIRDSGIGMTKAELINNLGTIAKSGTK AFMEALSAGADVSMIGQFGVGFYSLFLVADRVQVISKNNEDEQYIWESNAGGSFTVTL DEVNERIGRGTVLRLFLKDDQLEYLEEKRIKEVIKRHSEFVAYPIQLLVTKEVEKEVP IPEEEKKDEEKKDEDDKKPKLEEVDEEEEEKKPKTKKVKEEVQELEELNKTKPLWTRN PSDITQEEYNAFYKSISNDWEDPLYVKHFSVEGQLEFRAILFIPKRAPFDLFESKKKK NNIKLYVRRVFITDEAEDLIPEWLSFVKGVVDSEDLPLNLSREMLQQNKIMKVIRKNI VKKLIEAFNEIAEDSEQFDKFYSAFAKNIKLGVHEDTQNRAALAKLLRYNSTKSVDEL TSLTDYVTRMPEHQKNIYYITGESLKAVEKSPFLDALKAKNFEVLFLTDPIDEYAFTQ LKEFEGKTLVDITKDFELEETDEEKAEREKEIKEYEPLTKALKDILGDQVEKVVVSYK LLDAPAAIRTGQFGWSANMERIMKAQALRDSSMSSYMSSKKTFEISPKSPIIKELKKR VDEGGAQDKTVKDLTNLLFETALLTSGFSLEEPTSFASRINRLISLGLNIDEDEETET APEASTEAPVEEVPADTEMEEVD YMR187C MTPPHFFLSLIKKRCICWICLEESTYDSTWLQHTCGCNLQIHKR CYIRWLYQMHVELFLPNTVDLPKDADLPIITCLKCLVDGHHDFMTTFSLTEIWETRPI WGQKSVPFQNDYVFNLMSLYTKRDNHPPYVLVKFGECPQCKKTNFIKRPTVTIQSSVL SLFYQWQKITRYVIPLGITSLFLLNPEKTSFDIGLWQLRCLFPENVLRNMLNISTTKA LDVYAQTERGLLSIPLTSSIIIYGFIHYLSNISNVSANAILFKWVYLSIVKTAGNKYY KGIGLPKIILYSNLATFCYNFTFKRLVDLIYRRLINKGGKYLYHGNFENSSNSVPAEE FFIRRNWYAILAEKILWPFVGKCTGGLLLNAFLWIQRKFKIEWTPNCSPSEFRMIFNI IGCGTAAIGWSSLKLYASYKRCQELEKINEFIEQSCKGE YMR188C MARQNFLGLVVSQGKMQKTVKVRVETKVFNKKINKELFHRRDYL VHDEGEISREGDLVRIEATRPLSKRKFFAIAEIIRNKGQQFALYESEAQLSVAKEEAQ KAKEFLDKRSVRENKLNEKTTLLRDIRTIQDALSSGSTPKELLEIKQRYGIQDFSQET VRQLLQLDISGLEVNLEKQRSLIDRIQTRLSELLSNDLKCDQFLKDHGVEDPLTLKKN IKKNLLRKHVMMDMQQPSQ YMR189W MLRTRVTALLCRATVRSSTNYVSLARTRSFHSQSILLKTAATDI TSTQYSRIFNPDLKNIDRPLDTFARRHLGPSPSDVKKMLKTMGYSDLNAFIEELVPPN ILKRRPLKLEAPSKGFCEQEMLQHLEKIANKNHYKVKNFIGKGYYGTILPPVIQRNLL ESPEWYTSYTPYQPEISQGRLEALLNFQTVVSDLTGLPVANASLLDEGTAAGEAMLLS FNISRKKKLKYVIDKKLHQQTKSVLHTRAKPFNIEIIEVDCSDIKKAVDVLKNPDVSG CLVQYPATDGSILPPDSMKQLSDALHSHKSLLSVASDLMALTLLKPPAHYGADIVLGS SQRFGVPMGYGGPHAAFFAVIDKLNRKIPGRIVGISKDRLGKTALRLALQTREQHIKR DKATSNICTAQALLANVASSYCVYHGPKGLQNISRRIFSLTSILANAIENDSCPHELI NKTWFDTLTIKLGNGISSEQLLDKALKEFNINLFAVDTTTISLALDETTTKADVENLL KVFDIENSSQFLSEDYSNSFPREFQRTDEILRNEVFHMHHSETAMLRYLHRLQSRDLS LANSMIPLGSCTMKLNSTVEMMPITWPQFSNIHPFQPSNQVQGYKELITSLEKDLCSI TGFDGISLQPNSGAQGEYTGLRVIRSYLESKGENHRNVCLIPVSAHGTNPASAAMAGL KVVPVNCLQDGSLDLVDLKNKAEQHSKELAAVMITYPSTYGLFEPGIQHAIDIVHSFG GQVYLDGANMNAQVGLTSPGDLGADVCHLNLHKTFSIPHGGGGPAGAPICVKSHLIPH LPKHDVVDMITGIGGSKSIDSVSSAPYGNALVLPISYAYIKMMGNEGLPFSSVIAMLN SNYMMTRLKDHYKILFVNEMSTLKHCAHEFIVDLREYKAKGVEAIDVAKRLQDYGFHA PTLAFPVPGTLMIEPTESENLEELDRFCDAMISIKEEINALVAGQPKGQILKNAPHSL EDLITSSNWDTRGYTREEAAYPLPFLRYNKFWPTVARLDDTYGDMNLICTCPSVEEIA NETE YMR190C MVTKPSHNLRREHKWLKETATLQEDKDFVFQAIQKHIANKRPKT NSPPTTPSKDECGPGTTNFITSIPASGPTNTATKQHEVMQTLSNDTEWLSYTATSNQY ADVPMVDIPASTSVVSNPRTPNGSKTHNFNTFRPHMASSLVENDSSRNLGSRNNNKSV IDNSSIGKQLENDIKLEVIRLQGSLIMALKEQSKLLLQKCSIIESTSLSEDAKRLQLS RDIRPQLSNMSIRIDSLEKEIIKAKKDGMSKDQSKGRSQVSSQDDNIISSILPSPLEY NTSSRNSNLTSTTATTVTKALAITGAKQNITNNTGKNSNNDSNNDDLIQVLDDEDDID CDPPVILKEGAPHSPAFPHLHMTSEEQDELTRRRNMRSREPVNYRIPDRDDPFDYVMG KSLRDDYPDVEREEDELTMEAEDDAHSSYMTTRDEEKEENELLNQSDFDFVVNDDLDP TQDTDYHDNMDVSANIQESSQEGDTRSTITLSQNKNVQVILSSPTAQSVPSNGQNQIG VEHIDLLEDDLEKDAILDDSMSFSFGRQHMPMSHSDLELIDSEKENEDFEEDNNNNGI EYLSDSDLERFDEERENRTQVADIQELDNDLKIITERKLTGDNEHPPPSWSPKIKREK SSVSQKDEEDDFDDDFSLSDIVSKSNLSSKTNGPTYPWSDEVLYRLHEVFKLPGFRPN QLEAVNATLQGKDVFVLMPTGGGKSLCYQLPAVVKSGKTHGTTIVISPLISLMQDQVE HLLNKNIKASMFSSRGTAEQRRQTFNLFINGLLDLVYISPEMISASEQCKRAISRLYA DGKLARIVVDEAHCVSNWGHDFRPDYKELKFFKREYPDIPMIALTATASEQVRMDIIH NLELKEPVFLKQSFNRTNLYYEVNKKTKNTIFEICDAVKSRFKNQTGIIYCHSKKSCE QTSAQMQRNGIKCAYYHAGMEPDERLSVQKAWQADEIQVICATVAFGMGIDKPDVRFV YHFTVPRTLEGYYQETGRAGRDGNYSYCITYFSFRDIRTMQTMIQKDKNLDRENKEKH LNKLQQVMAYCDNVTDCRRKLVLSYFNEDFDSKLCHKNCDNCRNSANVINEERDVTEP AKKIVKLVESIQNERVTIIYCQDVFKGSRSSKIVQANHDTLEEHGIGKSMQKSEIERI FFHLITIRVLQEYSIMNNSGFASSYVKVGPNAKKLLTGKMEIKMQFTISAPNSRPSTS SSFQANEDNIPVIAQKSTTIGGNVAANPPRFISAKEHLRSYTYGGSTMGSSHPITLKN TSDLRSTQELNNLRMTYERLRELSLNLGNRMVPPVGNFMPDSILKKMAAILPMNDSAF ATLGTVEDKYRRRFKYFKATIADLSKKRSSEDHEKYDTILNDEFVNRAAASSNGIAQS TGTKSKFFGANLNEAKENEQIINQIRQSQLPKNTTSSKSGTRSISKSSKKSANGRRGF RNYRGHYRGRK YMR191W MAVGGNNWSMWLRMSRVHLRQITKSLDRTLISLSHGNFSHQYNR NIFVTWWKSLFEASTAFRRASGLTVSPLTRRGIARFDHFRPVPNVSKFASFPRVPKGA PRGLFTNWNMTTSKRLLGQRAYSTSSIKFTQEAVNNMTISLRCFFNSLGGLNQCSHSN SCKAYQNASNVTSKQDHVQPVALKKLSQKDINFIRNLELFKIMKTQNEVVDETSAYYM EKPGSYIEFTISEFNVNGTFSAPLSFLDPSLLADLDEMIRNYKYELKSIYSSVDMILQ NYGSLPITFHRNKIRIHFPNSTVVETEKLIAGLNIATGVIYADTSPDISLEGTNLNAL VNVDNSGSVWSFVKEPSFPSRSAFSPILSDASYDTYELV YMR192W MNSNEDIHEERIEVPRTPHQTQPEKDSDRIALRDEISVPEGDEK AYSDEKVEMATTNASSNFGSNESAKDGESIGAFSNPHEALMQSKLREESQSKTILPSD DLSQQLETEESKVEEALKRITSPPLPPRADCIEESASALKSSLPPVLAGNKNDQAPLD RPQLPPRQVVNAETLHLKAPHGNATPSKSPTSAVGNSSSSTPPTLPPRRIEDPLDLAA QKHFLASTFKRNMLFYKSEDNSIKCDLDKNILNLKEDSKKINNNEIPEEVSSFWLKVI GDYQNILINDIETLHFQLSRGIPAAYRLVVWQLVSYAKSKSFDPIYETYLTEMAPFDV QEFENQLKMMDEVPSEYVKRISNVLKAYLLFDPECEFSTDIAYIINMILDVCEEEANA FGLLVRLMKVYGLRLLFLPSASEIDILCYKFDRLVEEFYPEIHNHMVEKGVRSSMFLP GFFTTLFQKKLPTEIQPRIGDMVFLEGIDSIMRILATLLSNSRDHLLKMGFDDMLELL KSGLLDAYIKQNDGTRGDTLLSNECMDKLLQDSMMKVAITPKTMKKYSSEYEEIHRLD NEKEVQYKSITEKNLHLQKHVRKLENDYTSLNREHVTIANELVKNRLNIESVLNENNG YKLQILDLKKKLDSEKKKQVLGVYVPNDLKKDLEETMKKNTQVMDENLKLQDRISELE RLIEEIKTANKNGTLFEYSNSKNNPLGAGWSGFKKVFK YMR193W MQKIFRPFQLTRGFTSSVKNFRQWRLIETRKIAKQPNYQVGDAK PLHMPKERKKFPDYKYGESNIFKQSNKGLYGGSFVQFGNNISESKAKTRKKWLPNVVK KGLWSETLNRKISIKMTAKVLKTISKEGGIDNYLTKEKSARIKELGPTGWKLRYRVLK RKDEIENPPHKDAPIIEMAGGKKAKIYYDEIVNGSPRKISVGRRRLMSFLYPLEKLEY RSVGKDLNYKKFVELFADVPVKDILARLEDHKFDLSTITV YMR194W MTVKTGIAIGLNKGKKVTSMTPAPKISYKKGAASNRTKFVRSLV REIAGLSPYERRLIDLIRNSGEKRARKVAKKRLGSFTRAKAKVEEMNNIIAASRRH YMR194C-B MSNPCQKEACAIQDCLLSHQYDDAKCAKVIDQLYICCSKFYNDN GKDSRSPCCPLPSLLELKMKQRKLTPGDS YMR195W MSSNYATPLDDEVFPLSFANYQFTEHVSLGEHYSLNTSEDAKYN NLNGPFVVPRDTGKFDLNTSSASDETVFSLDNPQENNYKHQAMNNVQDCRMAVAAKTT QSCDKLTDLYANAAQQNYRLWLSSF YMR196W MNKLRDKFVDSTVEEERLRENRNHEKYWYRWGPYLSERSWATVR EDYSLNGDAWSNFPFEHANARVFRWGEDGLFGVSDNKQLVCMNVALWNGKDERLKERL FGLTGPQGNHGEDVKELYFYLDNTPTHSYMKALYKYPFKKAFPYKELVQKNGERGYED KEFEVYDIDGLYRDSETGDNPYFDVFFEMAKDDENPSELNFRLTIHNRSKIDSGELYI APQLFFRNTWAFDGTRTKDKPLLERDAEAANLINMTHKKYGNCQMVFQPSPGGFSSGT NEEEEDKEVEDIDPLLLFTDNESNLVKLFNEEKNPSEYTKDAFEEYLVQGKTDAVNPE NKGTKACAVYHFKNIPPGEYVTVRYKFTNDPKNSIFKAQNLAVVDEDEFDLIFDNREE EADNFYWRITPLPISDELRNLQRQAFSGLLWTKQFYNFTYDAWYNGDANVKPRPPPNR ANGRNKNWKHLYIEDILSMPDKWEYPFFASWDTAFHCIPLAMIDPEFAKRQLDLLTRE WYMHPNGQIPAYEWNFNDVNPPVHAWAVYRVFKIERNMYNREDRTFLERVFQKLLLNF TWWVNRKDTEGKNVFEGGFLGLDNIGVFNRSEPLPTGGTLEQADSTGWMAFFSLQMLN IALELAKENPVYEDIASKFFEHFILISDSMSFEYATDITGEKCKEVIKQNLWNEADKF YYDAISWGDHKVQLPIRSLVGLIPLYASMTLEPSIIKQFRGFKKRVDWFVNNRPEIFD RNIASMSKKGVGERLLLSLVTKERLTAILSRLLDETEFLSPYGIRSLSKYHEKHPFEM NVNGVEYMVKYLPGESDSGMFGGNSNWRGPIWFPTSFLIMEALQRFYLYYGSDFKVEC PVGSGDYLNLAEVAEELGYRMIHLFVPDENGERAIHYGDHSKFLSSDPYFRDYVPFFE YFDGDTGRGLGASHQCGWTALVAKWISDVGISCVRLPRTPRSSVATTASTESSEQGPK MKRMARRKSAKSLVNYTATILDLTEEEKRHHRIGGTHSGLTPQSSISSDKARHLMEEM NEEEGIHETVVPEDRHNFETKLIGKLKDKVKNMKVTDKAKDEDIDPMDPMSPLNKDVS YMR197C MSSLLISYESDFKTTLEQAKASLAEAPSQPLSQRNTTLKHVEQQ QDELFDLLDQMDVEVNNSIGDASERATYKAKLREWKKTIQSDIKRPLQSLVDSGDRDR LFGDLNASNIDDDQRQQLLSNHAILQKSGDRLKDASRIANETEGIGSQIMMDLRSQRE TLENARQTLFQADSYVDKSIKTLKTMTRRLVANKFISYAIIAVLILLILLVLFSKFK YMR198W MNNSKIPKLSFHSDPNNVTRDFPKTKRQKVQKREMDMILTPNNN KLNILHSSGSGIRRCYTDDTSATYTKKLTFGGDPKIIERVKNNERKVRKDIDSLLNAI SEIEKESVRIHARELPAITLELDAKVKACRELQNEIDGLSTEMDLKDNQCDLQRKNVE LSSKNIVSMHAVKVQEFENDLEEELSNAKREWTYKLMEVENLKPDERLTDEMRQLKTE FEEVNRKLFILQNENENECKNYKKELDKKFEIFKKVKNDARIELDGEQERLSKVLKDL QDTHGELKENIKTCRDEFNDFEKRIGEAEVNFHSMELAVVPLKKKLASTSQALTQVQE EKKQVEGEANNWKKKYVNELEKVQQELYTRQNLATSIEEIKGYTRCFAYANERQMPDE FHINYVDRCICENSGEKRVQVFDRVVLEEIHKDHKRLYNECIPFLEKYISKLINCSII VVSQQPTAPMKKTLLKQLIEQYGENYKMTLNILHLDGSIKHSDVGLDNPTEIRDLSQD EECMNILTLDTKLGKDEESHSMNIYIGSMSTVQLNRELDDAPSVLSHILTKTKQCFVF KINAGENIEKALALAGKLKRTITLPQLD YMR199W MNHSEVKTGLIVTAKQTYYPIELSNAELLTHYETIQEYHEEISQ NVLVQSSKTKPDIKLIDQQPEMNPHQTREAIVTFLYQLSVMTRVSNGIFFHAVRFYDR YCSKRVVLKDQAKLVVGTCLWLAAKTWGGCNHIINNVSIPTGGRFYGPNPRARIPRLS ELVHYCGGSDLFDESMFIQMERHILDTLNWDVYEPMINDYILNVDENCLIQYELYKNQ LQNNNSNGKEWSCKRKSQSSDDSDATVEEHISSSPQSTGLDGDTTTMDEDEELNSKIK LINLKRFLIDLSCWQYNLLKFELYEICNGMFSIINKFTNQDQGPFLSMPIGNDINSNT QTQVFSIIINGIVNSPPSLVEVYKEQYGIVPFILQVKDYNLELQKKLQLASTIDLTRK IAVNSRYFDQNASSSSVSSPSTYSSGTNYTPMRNFSAQSDNSVFSTTNIDHSSPITPH MYTFNQFKNESACDSAISVSSLPNQTQNGNMPLSSNYQNMMLEERNKENRIPNSSSAE IPQRAKFMTTGIFQNTGELTNRASSISLSLRNHNSSQL YMR200W MWSKKFTLKKLILGGYLFAQKVYCEDESNSIYGTWSSKSNQVFT GPGFYDPVDELLIEPSLPGLSYSFTEDGWYEEATYQVSGNPRNPTCPMASLIYQHGTY NISENGTLVLNPIEVDGRQLFSDPCNDDGVSTYSRYNQTETFKEYAVGIDPYHGIYTL QLYQYDGTPMQPLYLAYRPPMMLPTETLNPTSSATSTDDPSSNKKRSLRSLVRRSLEN RHKTNAIKRQNTSFLTSNAIWYISAGMLGVGSLLFLAF YMR201C MTPEQKAKLEANRKLAIERLRKRGILSSDQLNRIESRNEPLKTR PLAVTSGSNRDDNAAAAVHVPNHNGQPSALANTNTNTTSLYGSGVVDGSKRDASVLDK RPTDRIRPSIRKQDYIEYDFATMQNLNGGYINPKDKLPNSDFTDDQEFESEFGSKKQK TLQDWKKEQLERKMLYENAPPPEHISKAPKCIECHINIEMDPVLHDVFKLQVCKQCSK EHPEKYALLTKTECKEDYFLTDPELNDEDLFHRLEKPNPHSGTFARMQLFVRCEVEAF AFKKWGGEEGLDEEWQRREEGKAHRREKKYEKKIKEMRLKTRAQEYTNRLREKKHGKA HIHHFSDPVDGGIDEDGYQIQRRRCTDCGLETEEIDI YMR202W MKFFPLLLLIGVVGYIMNVLFTTWLPTNYMFDPKTLNEICNSVI SKHNAAEGLSTEDLLQDVRDALASHYGDEYINRYVKEEWVFNNAGGAMGQMIILHASV SEYLILFGTAVGTEGHTGVHFADDYFTILHGTQIAALPYATEAEVYTPGMTHHLKKGY AKQYSMPGGSFALELAQGWIPCMLPFGFLDTFSSTLDLYTLYRTVYLTARDMGKNLLQ NKKF YMR203W MSAPTPLAEASQIPTIPALSPLTAKQSKGNFFSSNPISSFVVDT YKQLHSHRQSLELVNPGTVENLNKEVSRDVFLSQYFFTGLRADLNKAFSMNPAFQTSH TFSIGSQALPKYAFSALFANDNLFAQGNIDNDLSVSGRLNYGWDKKNISKVNLQISDG QPTMCQLEQDYQASDFSVNVKTLNPSFSEKGEFTGVAVASFLQSVTPQLALGLETLYS RTDGSAPGDAGVSYLTRYVSKKQDWIFSGQLQANGALIASLWRKVAQNVEAGIETTLQ AGMVPITDPLMGTPIGIQPTVEGSTTIGAKYEYRQSVYRGTLDSNGKVACFLERKVLP TLSVLFCGEIDHFKNDTKIGCGLQFETAGNQELLMLQQGLDADGNPLQALPQL YMR204C MVLSRGETKKNSVRLTAKQEKKPQSTFQTLKQSLKLSNNKKLKQ DSTQHSNDTNKSVKAKKNGTSSKKTGTQRKRISTQRFSLFTYGNVQVMNSFVPIHNDI PNSSCIRRNSQVSANNVTESSGVFFNDTQSQDSQNTIKLKPTSLMAKGPIEIYQICTG FDKLKENIAPFQKSSKASSHDGHVVNYLSIGRHGDIVHPVLPKLQITRLNGAGFKYFI SFYNPERYWEIEFLPLISQSQSELENSVKAFENVISKICQFSHINEGATIGNNESLSD KFKLPPTSDIEPPNTEIINNDDDNDDDDDNYDDDDLNYLLDEEYEQGCTDNSFSVISN TCSNLNASFLYPSDPTDAVSISINEAFKNAIRRTAPVLNIPIAAPSIHSKQQNKRYSS YPFIDSPPYLQDRHRRFQRRSISGLGDL YMR205C MTVTTPFVNGTSYCTVTAYSVQSYKAAIDFYTKFLSLENRSSPD ENSTLLSNDSISLKILLRPDEKINKNVEAHLKELNSITKTQDWRSHATQSLVFNTSDI LAVKDTLNAMNAPLQGYPTELFPMQLYTLDPLGNVVGVTSTKNAVSTKPTPPPAPEAS AESGLSSKVHSYTDLAYRMKTTDTYPSLPKPLNRPQKAIAVMTSGGDAPGMNSNVRAI VRSAIFKGCRAFVVMEGYEGLVRGGPEYIKEFHWEDVRGWSAEGGTNIGTARCMEFKK REGRLLGAQHLIEAGVDALIVCGGDGSLTGADLFRSEWPSLIEELLKTNRISNEQYER MKHLNICGTVGSIDNDMSTTDATIGAYSALDRICKAIDYVEATANSHSRAFVVEVMGR NCGWLALLAGIATSADYIFIPEKPATSSEWQDQMCDIVSKHRSRGKRTTIVVVAEGAI AADLTPISPSDVHKVLVDRLGLDTRITTLGHVQRGGTAVAYDRILATLQGLEAVNAVL ESTPDTPSPLIAVNENKIVRKPLMESVKLTKAVAEAIQAKDFKRAMSLRDTEFIEHLN NFMAINSADHNEPKLPKDKRLKIAIVNVGAPAGGINSAVYSMATYCMSQGHRPYAIYN GWSGLARHESVRSLNWKDMLGWQSRGGSEIGTNRVTPEEADLGMIAYYFQKYEFDGLI IVGGFEAFESLHQLERARESYPAFRIPMVLIPATLSNNVPGTEYSLGSDTALNALMEY CDVVKQSASSTRGRAFVVDCQGGNSGYLATYASLAVGAQVSYVPEEGISLEQLSEDIE YLAQSFEKAEGRGRFGKLILKSTNASKALSATKLAEVITAEADGRFDAKPAYPGHVQQ GGLPSPIDRTRATRMAIKAVGFIKDNQAAIAEARAAEENFNADDKTISDTAAVVGVKG SHVVYNSIRQLYDYETEVSMRMPKVIHWQATRLIADHLVGRKRVD YMR206W MLSSSSNRPISAHLTIHYKAIQEEEGEDMRSGAGSGGHHDDYFL ESNRSPTPNKKHEFIKTVLNINDNDSEFSESCSPREKLHNEGACNTDLFGDFMSKRQQ RLSNSMNIYDLYQCVHNLSPSNNNHQFIARRFSDSHIPSLHHRQQQQKVTTKNFVQPT KDIQRIASYAADSDQRVKYLPNYHQSAPSTALSAAESKAAVPRKLPDRDSTQNYVLKL QLSSPNSQPMSPRTRPGYRPSCSSSNCSSSSSSSACSSVSISDPNNITAYETNNVNPQ FPSNQPLDISSPCARHHHRRNSIAVKFDKALYKKTTG YMR207C MRSIRKWAYETFNDEKIIQFVVMATPDDLHANSEYIRMADQYVQ VPGGTNNNNYANIDLILDVAEQTDVDAVWAGWGHASENPCLPELLASSQRKILFIGPP GRAMRSLGDKISSTIVAQSAKIPCIPWSGSHIDTIHIDNKTNFVSVPDDVYVRGCCSS PEDALEKAKLIGFPVMIKASEGGGGKGIRRVDNEDDFIALYRQAVNETPGSPMFVMKV VTDARHLEVQLLADQYGTNITLFGRDCSIQRRHQKIIEEAPVTITKPETFQRMERAAI RLGELVGYVSAGTVEYLYSPKDDKFYFLELNPRLQVEHPTTEMISGVNLPATQLQIAM GIPMHMISDIRKLYGLDPTGTSYIDFKNLKRPSPKGHCISCRITSEDPNEGFKPSTGK IHELNFRSSSNVWGYFSVGNNGAIHSFSDSQFGHIFAVGNDRQDAKQNMVLALKDFSI RGEFKTPIEYLIELLETRDFESNNISTGWLDDLILKNLSSDSKLDPTLAIICGAAMKA YVFTEKVRNKYLELLRRGQVPPKDFLKTKFPVDFIFDNNRYLFNVAQSSEEQFILSIN KSQCEVNVQKLSSDCLLISVDGKCHTVYWKDDIRGTRLSIDSNTIFLEAELNPTQVIS PTPGKLVKYLVRSGDHVFAGQQYAEIEIMKMQMPLVAKSDGVIELLRQPGSIIEAGDV IAKLTLDSPSKANESSLYRGELPVLGPPLIEGSRPNHKLRVLINRLENILNGYHENSG IETTLKELIKILRDGRLPYSEWDSQISTVRNRLPRQLNEGLGNLVKKSVSFPAKELHK LMKRYLEENTNDHVVYVALQPLLKISERYSEGLANHECEIFLKLIKKYYAVEKIFENH DIHEERNLLNLRRKDLTNLKKILCISLSHANVVAKNKLVTAILHEYEPLCQDSSKMSL KFRAVIHDLASLESKWAKEVAVKARSVLLRGIFPPIKKRKEHIKTLLQLHIKDTGAEN IHSRNIYSCMRDFGNLIHSNLIQLQDLFFFFGHQDTALSSIASEIYARYAYGNYQLKS IKIHKGAPDLLMSWQFSSLRNYLVNSDGESDEFTKLSKPPSTSGKSSANSFGLLVNMR ALESLEKTLDEVYEQIHIPEERLSSGENSLIVNILSPIRYRSENDLIKTLKIKLHENE RGLSKLKVNRITFAFIAANAPAVKFYSFDGTTYDEISQIRNMDPSYEAPLELGKMSNY KIRSLPTYDSSIRIFEGISKFTPLDKRFFVRKIINSFMYNDQKTTEENLKAEINAQVV YMLEHLGAVDISNSDLNHIFLSFNTVLNIPVHRLEEIVSTILKTHETRLFQERITDVE ICISVECLETKKPAPLRLLISNKSGYVVKIETYYEKIGKNGNLILEPCSEQSHYSQKS LSLPYSVKDWLQPKRYKAQFMGTTYVYDFPGLFHQAAIQQWKRYFPKHKLNDSFFSWV ELIEQNGNLIKVNREPGLNNIGMVAFEIMVQTPEYPEGRNMIVISNDITYNIGSFGPR EDLFFDRVTNYARERGIPRIYLAANSGAKLGIAEELIPLFRVAWNDPSDPTKGFQYLY LAPKDMQLLKDSGKGNSVVVEHKMVYGEERYIIKAIVGFEEGLGVECLQGSGLIAGAT SKAYRDIFTITAVTCRSVGIGSYLVRLGQRTIQVEDKPIILTGASAINKVLGTDIYTS NLQIGGTQIMYKNGIAHLTASNDMKAIEKIMTWLSYVPAKRDMSPPLLETMDRWDRDV DFKPAKQVPYEARWLIEGKWDSNNNFQSGLFDKDSFFETLSGWAKGVIVGRARLGGIP VGVIAVETKTIEEIIPADPANLDSSEFSVKEAGQVWYPNSAFKTAQTINDFNYGEQLP LIILANWRGFSGGQRDMYNEVLKYGSFIVDALVDYKQPILIYIPPFGELRGGSWVVID PTINPEQMEMYADVESRGGVLEPDGVVSIKYRKEKMIETMIRLDSTYGHLRRTLTEKK LSLEKQNDLTKRLKIRERQLIPIYNQISIQFADLHDRSTRMLVKGVIRNELEWKKSRR FLYWRLRRRLNEGQVIKRLQKKTCDNKTKMKYDDLLKIVQSWYNDLDVNDDRAVVEFI ERNSKKIDKNIEEFEISLLIDELKKKFEDRRGNIVLEELTRLVDSKRKR YMR208W MSLPFLTSAPGKVIIFGEHSAVYNKPAVAASVSALRTYLLISES SAPDTIELDFPDISFNHKWSINDFNAITEDQVNSQKLAKAQQATDGLSQELVSLLDPL LAQLSESFHYHAAFCFLYMFVCLCPHAKNIKFSLKSTLPIGAGLGSSASISVSLALAM AYLGGLIGSNDLEKLSENDKHIVNQWAFIGEKCIHGTPSGIDNAVATYGNALLFEKDS HNGTINTNNFKFLDDFPAIPMILTYTRIPRSTKDLVARVRVLVTEKFPEVMKPILDAM GECALQGLEIMTKLSKCKGTDDEAVETNNELYEQLLELIRINHGLLVSIGVSHPGLEL IKNLSDDLRIGSTKLTGAGGGGCSLTLLRRDITQEQIDSFKKKLQDDFSYETFETDLG GTGCCLLSAKNLNKDLKIKSLVFQLFENKTTTKQQIDDLLLPGNTNLPWTS YMR209C MVSSLASNIILALVVVLMTLLRQNKPLQRWFVSYVEKLLSRKSN LAQKVSVLSPSLKLVDVEKSISADQSNLSKINYQIPTLPDLTGNLIRLHEYRARGEGY NGLLFRRARQLNGISEDQLQKLGYFTKLMKNNEGIRENARVIDKIIEFTLGKLIHSNE HDEEFTEEIEKICAEHGYKIKDGHLIQLNPDFVFPIVSSRGSQSVVHEALAHLCRDFS SYYSVERDPLQNFIISRINHHVISAGDMKEKILIVTPGAGVGGLSHTLATTFPKIQVD SIELSALMYICNLFALEYKHDVKIRPFVQQYSCQTVFDNQLRSLSADLSKVGHRSNLD PLWGDFTRYSPISKDYDKIIICSAYFIDTAENMFEYLSSIEALKKYCKELHWVNVGPL KYGTKPLVQFTGDELSRLRKIRGWKDLVEEYEVDSSKGLNGYLTDYESMYQGYYGLLK FHSVFES YMR210W MRLKELLPNFLIVHQEVPEDPIAFKSTDKRENENKEITIPELID TKVPELADGATDTLYGLLVNGHLQTAYGSFRHFDNIYKVQYKRMIIKYPHGGEGTVDF AVNGRSTKRRKVEKEYVPTSQPVFNGNLKRRYSYYSPDDPKLNSDDAKPMLIILHGLT GGSRESYVRAIVHEITTKYDFEACVFNARGCCYSAITTPLLYNGGWTNDIRYCVNDLR KRFPNRKFYMMGFSLGASIMTNYLGEESDRTKIECAISVSNPFDLYNSAYFINSTPMG SRFYSPALGHNLLRMVRNHLSTLEENPDFKDVIEKHLKKIRTVRQFDNLLTGPMFGYK NAEEYYKNASSYKRIPGIRTPFIALHAQDDPIVGGDLPIDQIKSNPYTLLLETSTGGH VGWFKDRSGRRWYAEPLCRFLKIFHDEITVKGLKPDLENVQLPDPNCEPIATTFRAN YMR211W MHEVVTISVSQRANHLTTQFFNIQEGYLQLSKEQQVNDSKIFLN SVVDKVSKTISYAPRALLWDARTGNGSLGTYQYSESQDYHFGNEDKFKEQTVIKTHPR IPKSEYQSSLDAGAPLPCLNRENTMYWSDYSKLIYGPSSFNILRNWYHDTENPNQPDF QNLGERKFDRYSIGYDEFTENYLQEFFDGNLHRELEKCDTLQGFNLVSDMESGWGGFS SALLVELRNELPKKAVFSWGHNEDDPFTDDFPMKRLSKKWLPIISNKLRSTINMMQES DLYFPLYAAPGLTNWETAGKSCRIFDSINATISQSNLEQRKTMDYLTTAITLGYSSRN MVTGMVIGDTDYSFCSRVLPFKNSHKPNSTHIFSKSFIDRGNQTHKHHSEPDSRSKMI EMYTHRYFPSDTIPTEFSNDREFVLELESSEKNRDIFKHWNEFVVRYFKNDSDREELK NELSDYASAYESGWYEDEDSGDDDM YMR212C MQLSMRMMFTPKHQKLVNQCYPTGRTTDKKPKSSETSYLLYYVN SRRSKLEKVSTYLIKRSTSDLNHRRIGNIAVTLDLMNKIVLHCKENLNVFVKDFLYIM NKVLSNNNFNNDVSVVELIELAFSSICQNLDDVLCNGDMEFVQLYQNFVDLFFKIVTE RIHNDDMLLKCCIDISNTNSVSSNPQLNHFVSKSVAYTISKFQERNPKFKTLSLEAAL ESNLGKRLSRTQTRTIGLDKAAEDNHDLSVKALQSYFNTTETDKLNLSIRTLLRCLQS TPNKELLEFVCNGIPVQLRYIVILLLVRQLSDKDKNVNPIVSLKLMSSLLVSDVSIVG LSVLDIMRKLLNFQLKNATNKEVVAQSCITMTDLNHKTYYAEQTSDMLYELLLKLKSD TVKDVEKNAVVEDIDFLVEHITQPSISLELFIDLAHYMKNHIICLFNIVETEVPSSIL FSKLYSLLRELDSHGVQKEMMEEIFDKYGKMALLSGLNYFLENVSEPEYTYYSYHLQA ANFLKLNDYKSQTEYKMQTRTLFTKEDLLSYYSDTGSNKYSKKGAQILLSRDNQISTS DLLSDSQVRTTPLEYKNVPNAIFSNGKAVYDNNDFAAKQNKFDNSIDDNIEEANDTVI SDANAKGSIYRFVAEDARSWKTMRATAPKVSDLKKTMNEKNIPNNMKRDGSFRGSQSV KSRVTNITFLLNELKTFSDDANKIKDPDEENIVGLDKIDVARSNSLRLAPISSLSDRS SIGNRKSFLQKTATGENQNDDFKDANEDLHSLSSRGKIFSST YMR213W MPPVPIYVKGGVWTNVEDQILKAAVQKYGTHQWSKVASLLQKKT ARQSELRWNEYLNPKLNFTEFSKEEDAQLLDLARELPNQWRTIADMMARPAQVCVERY NRLLESEDSGGAALSTGVTDLKAGDINPNAETQMARPDNGDLEDEEKEMLAEARARLL NTQGKKATRKIRERMLEESKRIAELQKRRELKQAGINVAIKKPKKKYGTDIDYNEDIV YEQAPMPGIYDTSTEDRQIKKKFEQFERKVNRKGLDGNKDKPSKKNKDKKRKHDENEH VEKAALGESTTLTDEYKKPKLILSAPGTKQGKVTYKKKLESKRQKLIEAQATGTVLTP KELLPHDSGQEDNERSNIKSGKQLKSRIRKFLVQMFASLPSPKNDFEIVLSEDEKEED AEIAEYEKEFENERAMNEEDNFIEPPSQNDAPRVSLVAVPLAYSTLPIPEFKNNPQSA IDNKYNLLVANAINKEPHMVPEDTVDFLKEVESRMQHITQGRTSMKIQFKTAMPPTEV LLESIQSKVESIEQLQRKLQHVQPLEQQNNEMCSTLCHHSLPALIEGQRKYYADYYAY RQEIRSLEGRRKRLQAMLNSSSSI YMR214W MIPKLYIHLILSLLLLPLILAQDYYAILEIDKDATEKEIKSAYR QLSKKYHPDKNAGSEEAHQKFIEVGEAYDVLSDPEKKKIYDQFGADAVKNGGGGGGPG GPGAGGFHDPFDIFERMFQGGHGGPGGGFGQRQRQRGPMIKVQEKLSLKQFYSGSSIE FTLNLNDECDACHGSGSADGKLAQCPDCQGRGVIIQVLRMGIMTQQIQQMCGRCGGTG QIIKNECKTCHGKKVTKKNKFFHVDVPPGAPRNYMDTRVGEAEKGPDFDAGDLVIEFK EKDTENMGYRRRGDNLYRTEVLSAAEALYGGWQRTIEFLDENKPVKLSRPAHVVVSNG EVEVVKGFGMPKGSKGYGDLYIDYVVVMPKTFKSGQNMLKDEL YMR215W MQLSKSILLAALAATPSLVNAMLPIHIKNYRFIKPSSATNSESD NEVFFVKGVDYQPGGSSGYDADSDTDILSDPEVCARDAYAFQQLGVNTVRIYSLNPDL NHDKCMTIFNNAGIYAILDVNSGNYGESLNRADPSGTYDSLYLSRVFKFIDAFKNYPN VLGFFSGNEVINDQSDYAKIDPPYIRAVQRDMKQYISKHANRSIPVGYSAADNTDLRL ATFKYLQCNSLDGNKVNDDLDISKSDFFGLNTYEWCSGTSSWESSGYDKLNSTFEDAV IPLIFSEYGCNKNTPRTFDEVSEGLYGGLKNVFSGGLVYEYTEEANNYGLVKLDDSGS LTYKDDFVNLESQLKNVSLPTTKESEISSDSIYKCDNSAITNIYSGFGTNNFTLPSQP AEIANMIEYGVNGTNTGKILTDYAVPTTFNYTIKNNKDDTISATISYDKANSLNELDV TATTVAKSASTSQSSSRSLTSSTSPSSSTGSSSSTGSSSASSSSKSKGVGNIVNVSFS QSGYLALFAGLISALL YMR216C MGSSINYPGFVTKSAHLADTSTDASISCEEATSSQEAKKNFFQR DYNMMKKAPAPTKSKLSLALQTSKSSSSANGTVQEDTSSKTEDFSTKSIKKKPDSGVE SHVSIQSDSGPQSDSDLDSDSSISSCDERNEESLKDYRPGGYHPAFKGEPYKDARYIL VRKLGWGHFSTVWLAKDMVNNTHVAMKIVRGDKVYTEAAEDEIKLLQRVNDADNTKED SMGANHILKLLDHFNHKGPNGVHVVMVFEVLGENLLALIKKYEHRGIPLIYVKQISKQ LLLGLDYMHRRCGIIHTDIKPENVLMEIGDVEGIVQMVEALDKQKREAKRLQRHVSRS SDITANDSSDEKWAECQTSMPCGSSSNSKSRSIEKDLSKRCFRRPRRHTIITGSQPLP SPISSSNFFEMRAHFCGSSHNSFSSVSGNRNIPSSINNNSINNGIGIKNSNNSFLNSV PHSVTRMFINEDSNDNNNNDNSKNKNNNNNNSNNNNNEDIMNTPLHEEQLADSLSTFD ISNISQSSDTNGPYISNTMDSNSNVSTDINSPENLIQIKIADLGNACWYDEHYTNSIQ TREYRSPEVLLGAPWGCGADIWSTACLIFELITGDFLFEPDEGHSYTKDDDHIAQIIE LLGELPSYLLRNGKYTRTFFNSRGLLRNISKLKFWPLEDVLTEKYKFSKDEAKEISDF LSPMLQLDPRKRADAGGLVNHPWLKDTLGMEEIRVPDRELYGSGSDIPGWFEEVRDHK RH YMR217W MAAGEQVSNMFDTILVLDFGSQYSHLITRRLREFNIYAEMLPCT QKISELGWTPKGVILSGGPYSVYAEDAPHVDHAIFDLNVPILGICYGMQELAWINGKQ VGRGDKREYGPATLKVIDDSNSLFKGMNDSTVWMSHGDKLHGLPTGYKTIATSDNSPY CGIVHETKPIYGIQFHPEVTHSTQGKTLLKNFAVDLCHAKQNWTMENFIDTEINRIRK LVGPTAEVIGAVSGGVDSTVASKLMTEAIGDRFHAILVDNGVLRLNEAANVKKTLVEG LGINLMVVDASEEFLSKLKGVTDPEKKRKIIGNTFIHVFEREAEKIKPKDGKEIQFLL QGTLYPDVIESISFKGPSQTIKTHHNVGGLLENMKLKLIEPLRELFKDEVRHLGELLG IPHDLVWRHPFPGPGIAIRVLGEVTKEQVEIARKADNIYIEEIKKAGLYNQISQAFAC LLPVKSVGVMGDQRTYDQVIALRAIETTDFMTADWFPFEHSFLKKVASRIVNEVDGVA RVTYDITSKPPATVEWE YMR218C MDKEIYCGSVPVSYFDPFDLFESLRPEFQQILPLDNIHWKAFDG TVRTVNRLPIELIPEGRGEADKSNDEQPFIRFLIVNCISIDQYRAKVRPLVRQWLPNL ESVSSSTGEKMIYKPIILLYANSEVVDSNLFKSVSLMEKFGKDFPHVQTLEVRSVYRS PKERQEFWNQFSQKIKASVLSIFQKRLTHLQHSLANLQKGNNFEEQLLTREKLYELYV VFNILEDASLELQKIKKEILRRNMNMPDGKLQVPFESSSKSDESLGSIIIEGTLDKFQ LHKYFFIRRLRLLKLEDQTLTAFVGAFQLIKNFIESISIEYRKSVRLLEFKHYFITSM LSYFEFENVSNPLLCEIKAELLMLKRDNWVQGVMATSGYRLMDKNYPNSDVKYKFDLL KETFVDETVFQENFLTLTKEILSLFNKCEGKRQRIVDILSIEIGLLYYQGKKYEEAVS LFLSCYEYYTQTNWNSIGLKILQVFIDSLSHCPKLDVLQIDGESVSASAVLTNAFLNI LKLCKDNDSKEIWWKKFMDLQMKNNIHLMYPLDGLFEVTLNSKVHLARANVSAIEVNL KSYGFPEDISTKTMRLSLKNMGGDVIVFGASDFLLKKGENKLILECRDIMYGEFSLLS FEIIVEGITFVKEFPENQDEFIVVPEIYCKESTKVLVKQAHNLNLGEYALELKSVQSD ALESLQVEVEVQKNIGNMKNLPVSFSMDEIQARKRYNTPFENVRLEYYLLDQITAFDL IIKTSFTKKNDQGTFGETKKVRIQCYLQLSVSVEDIFKKDIFFFKFLLNSSVREEPVI LYSSELSAPDTRNDYNIRGDYIATTPALITFDGNESFINCYEITANNNFDSKDIFNLK VRYNTLKEQLDCFITDAVLIEGDVEWFILFEKWKTFWELEILKKLKYDYDAFKENRII RLLKTSIDLNKTKSKIRNLCIEKAVLDKILICLNKVSRGIAVCNTDMDEYVRNLVPKQ LTVPVQLPGFEQFFHVQFEQMETSHDALHDTIATIGNSLSYTVIVENLSGQWGQDVID DGGYIFEILSSNEWLIHGQKRCAIKEKRKEFEVHLIPLKKGYLNFPRVEITNINGKSC RVDHSNAFESILIF YMR219W MSKKKETFTPRANKLKLTTPRRKLKILSSLLDADEDSKMKDQHG YSRVHNDKYRVAKPTQHSTLHESISSRRSSHIHNKSLHEDSARALSWVDSLINRGKSI LTTLEKEDALFERSLEEERQRFQLHDSLMNKYTGNSKSHQRLIDLRKSQYGTDTSFQN NDEIPLDSFISSPLPDAEDESSSNIDSDKDEDLEGKQSLIKDFDLENDEYELSEEEKN SDGQSSPSIMILSDEEYAEEGALQDVSNDEYAEEEGQVERKNIGQEQANVENATQISS SDSSEGQNYSEGVEMELEDDIDVESDAEKDESQGAEGTEHSVDFSKYMQPRTDNTKIP VIEKYESDEHKVHQRYSEDGAFDFGSVNISVDDESEDEESQAESYSANAENVYHHNEH ELDDKELIEDIESSDSESQSAQESEQGSEDDFEYKMKNEKSTSEETENTSESRDQGFA KDAYTKNKVEQQENDEEPEKDDIIRSSLDKNFHGNNNKSEYSENVLENETDPAIVERE NQINDVEGYDVTGKSVESDLHEHSPDNLYDLAARAMLQFQQSRNSNCPQKEEQVSESY LGHSNGSNLSGRSLDESEEQIPLKDFTGENNNNLKTDRGDLSSSVEIEVEKVSEKKLD GSTEKELVPLSTDTTINNSSLGNEDSIYYSLDDADAISENLTDVPLMEIKTTPKYEVV ISESVYSSTSYEDNTVAMPPQVEYTSPFMNDPFNSLNDDYEKKHDLLKSTLAALAPAF TKKDAEFVEAGVTKSCLTSTSGHTNIFHTSKETKQVSDLDESTENVTFENENTGDENK NQSKNFPGVANSTDKSTEDNTDEKYFSAINYTNVTGDSSCEDIIETASNVEENLRYCE KDMNEAEMSSGDECVKQNDDGSKTQISFSTDSPDNFQESNDNTEFSSTKYKVRNSDLE DDESLKKELTKAEVVDKLDEEESEDSYEQDYADPEPGNDEGSNENIVKGTKKDTLGIV EPENEKVNKVHEEETLFEANVSSSVNVQNKDMHTDVINQEAQANYEAGERKYYIQNTD TEEAHISIIERIDENAIGNNMEIPERSCVEKTHNEVLFERRATTIENTKALENNTNMH DQVSQACSDSDRDQDSTAEKNVEGSAKHNLDIRVSSSEIESVEPLKPESDRSNIFSSP IRVIGAVVKGVGKVVDVAESFVKKIDVMDSESDDNVDIGDYNQDIFNKSNSTDASVNM KSVSSKERDSDEDEAVILGGVTAEAHNDNGNNSRVINIDPTTNGAYEEDSEVFRQQVK DKENLHKSEEPLVEGLQSEQHFEKKDHSENEEEFDTIYGDITSANIHSNAPDDIKRQQ LLKNLSDLENYSQRLIEDSRRGKNQEESDEVNTSRERDLTFEKSVNEKYAGAIEEDTF SELDISIQHPEHEEDLDLSNNQERSIEELNSEPEEAELYELEIEGPTETAASSKMNDD ERQRGNIPSTDLPSDPPSDKEEVTDSYPYSNSENITAEKSAPTSPEVYEIFSDTPNEV PMEINDEIPATTLEKHDKTNVTSVLDDRSEHLSSHDVDNEPHDNSINIKVNEGEEPEH QAVDIPVKVEVKEEQEEMPSKSVLEEQKPSMELINDKSSPENNNDEETNREKDKTKAK KKSRKRNYNSRRRKRKITEGSSAASNTKRRRGHEPKSRGQNTHPSVDK YMR220W MSELRAFSAPGKALLAGGYLVLDTKYEAFVVGLSARMHAVAHPY GSLQGSDKFEVRVKSKQFKDGEWLYHISPKSGFIPVSIGGSKNPFIEKVIANVFSYFK PNMDDYCNRNLFVIDIFSDDAYHSQEDSVTEHRGNRRLSFHSHRIEEVPKTGLGSSAG LVTVLTTALASFFVSDLENNVDKYREVIHNLAQVAHCQAQGKIGSGFDVAAAAYGSIR YRRFPPALISNLPDIGSATYGSKLAHLVDEEDWNITIKSNHLPSGLTLWMGDIKNGSE TVKLVQKVKNWYDSHMPESLKIYTELDHANSRFMDGLSKLDRLHETHDDYSDQIFESL ERNDCTCQKYPEITEVRDAVATIRRSFRKITKESGADIEPPVQTSLLDDCQTLKGVLT CLIPGAGGYDAIAVITKQDVDLRAQTANDKRFSKVQWLDVTQADWGVRKEKDPETYLD K YMR221C MTSTRTLRYAQVACACIWCLFSAGIIFGFAALKPILISEGVYHE LCDPKDGDRLLCTAQDLKLNFIFALSATVTNIMALPVGKILDMYGPRVCGIIGSCLLF LASGNFISAKHLVSLWDPYLVGYTLLAVAGPFVFISCFQLANSFPQRSGTVLALLTGS FDSSSALFLLYRLLYQNWFPTLNVSRFFTLYLIVPVFILACQLTIMPHSSYKTVNHIA KIAVEGLDENGRLIEGDTGSGIIPDEQERQSLIAIEREEDSIPSRPQRRKSVLETYVE DKLQKKSGGIFGVLHGKSAYEQIKSPWFYLMLLFALVAMLRINYFIATVRTQEEYLLN DPDLALKLNSIFDMLLPLGGAVSIPFIGLLLDHTDTLSTLTILFTTSTAIGVFGLIPN SFTWNLIGIVLLVVYRPFYYTVVSDYSSKVFGFDTFGTVYGLLSCICGIFNMSQNLLD KWTHTTFNMNPFPINLTLVILTVVFSLTLTFYIRSQILPKPVNERGLSSNYQTI YMR222C MTKNVLMLHGLAQSGDYFASKTKGFRAEMEKLGYKLYYPTAPNE FPPADVPDFLGEVIADAPGDGENTGVLAWLENDPSTGGYFIPQTTIDYLHNYVLENGP FAGIVGFSQGAGVAGYLATDFNGLLGLTTEEQPPLEFFMAVSGFRFQPQQYQEQYDLH PISVPSLHVQGELDTITEPAKVQGLYNSCTEDSRTLLMHSGGHFVPNSRGFVRKVAQW LQQLT YMR223W MSICPHIQQVFQNEKSKDGVLKTCNAARYILNHSVPKEKFLNTM KCGTCHEINSGATFMCLQCGFCGCWNHSHFLSHSKQIGHIFGINSNNGLLFCFKCEDY IGNIDLINDAILAKYWDDVCTKTMVPSMERRDGLSGLINMGSTCFMSSILQCLIHNPY FIRHSMSQIHSNNCKVRSPDKCFSCALDKIVHELYGALNTKQASSSSTSTNRQTGFIY LLTCAWKINQNLAGYSQQDAHEFWQFIINQIHQSYVLDLPNAKEVSRANNKQCECIVH TVFEGSLESSIVCPGCQNNSKTTIDPFLDLSLDIKDKKKLYECLDSFHKKEQLKDFNY HCGECNSTQDAIKQLGIHKLPSVLVLQLKRFEHLLNGSNRKLDDFIEFPTYLNMKNYC STKEKDKHSENGKVPDIIYELIGIVSHKGTVNEGHYIAFCKISGGQWFKFNDSMVSSI SQEEVLKEQAYLLFYTIRQVN YMR224C MDYPDPDTIRILITTDNHVGYNENDPITGDDSWKTFHEVMMLAK NNNVDMVVQSGDLFHVNKPSKKSLYQVLKTLRLCCMGDKPCELELLSDPSQVFHYDEF TNVNYEDPNFNISIPVFGISGNHDDASGDSLLCPMDILHATGLINHFGKVIESDKIKV VPLLFQKGSTKLALYGLAAVRDERLFRTFKDGGVTFEVPTMREGEWFNLMCVHQNHTG HTNTAFLPEQFLPDFLDMVIWGHEHECIPNLVHNPIKNFDVLQPGSSVATSLCEAEAQ PKYVFILDIKYGEAPKMTPIPLETIRTFKMKSISLQDVPHLRPHDKDATSKYLIEQVE EMIRDANEETKQKLADDGEGDMVAELPKPLIRLRVDYSAPSNTQSPIDYQVENPRRFS NRFVGRVANGNNVVQFYKKRSPVTRSKKSGINGTSISDRDVEKLFSESGGELEVQTLV NDLLNKMQLSLLPEVGLNEAVKKFVDKDEKTALKEFISHEISNEVGILSTNEEFLRTD DAEEMKALIKQVKRANSVRPTPPKENDETNFAFNGNGLDSFRSSNREVRTGSPDITQS HVDNESRITHISQAESSKPTSKPKRVRTATKKKIPAFSDSTVISDAENELGDNNDAQD DVDIDENDIIMVSTDEEDASYGLLNGRKTKTKTRPAASTKTASRRGKGRASRTPKTDI LGSLLAKKRK YMR225C MITKYFSKVIVRFNPFGKEAKVARLVLAAIPPTQRNMGTQIQSE IISDYNKVKPLVKVTYKDKKEMEVDPSNMNFQELANHFDRHSKQLDLKHMLEMH YMR226C MSQGRKAAERLAKKTVLITGASAGIGKATALEYLEASNGDMKLI LAARRLEKLEELKKTIDQEFPNAKVHVAQLDITQAEKIKPFIENLPQEFKDIDILVNN AGKALGSDRVGQIATEDIQDVFDTNVTALINITQAVLPIFQAKNSGDIVNLGSIAGRD AYPTGSIYCASKFAVGAFTDSLRKELINTKIRVILIAPGLVETEFSLVRYRGNEEQAK NVYKDTTPLMADDVADLIVYATSRKQNTVIADTLIFPTNQASPHHIFRG YMR227C MAVIRIKKPRGPGEKDQPLEGEPKLKRIRIKTKVTDEDIKPKPK LKINLKKKKESADGKEKKNSLKLKLNLKKNEEPVKKIHKAPKLRLKPIRIPGEAYDSE ASDIEDDPLIESGVILRILPDIQLEFVKNSLESGDYSGISIKWKNERHAVVTINDVMY GAILVDLPTVIEVNKSVDRKNLLKTFDVSQMLLCIRPIQEEEEVYALEAPDTEDLVVK HFEGIEDEIWENKETFLKGYNGAPLSDMEAKHLKEIALKGYDYKHGISPPLYNVRNRR FRRKMDPNEIDYVEKVVDMLLKQDKQAEEVSYDLVDKSELQARQERVSSWENFKEEPG EPLSRPALKKEEIHTIASAVGKQGAEEEGEEGMEEEEEEDLDLGAAFESEEEGSGAEG DKEQQQEEVGDEVDQDTGGEDDDDDDDGDIEAAGGESESDDEKDENRQHTELLADELN ELETTLAHTKHKLSKATNPLLKSRFIDSIKKLEKEAELKRKQLQQTEDSVQKQHQHRS DAETANNVEEEEEEEEEEEEEDEVDEDEEDDEENDEDEDNVHEREHIQENKVVRELDE APAEETLDQNDLDMMMLFGAEGDE YMR228W MSVPIPGIKDISKLKFFYGFKYLWNPTVYNKIFDKLDLTKTYKH PEELKVLDLYPGVGIQSAIFYNKYCPRQYSLLEKRSSLYKFLNAKFEGSPLQILKRDP YDWSTYSNLIDEERIFVPEVQSSDHINDKFLTVANVTGEGSEGLIMQWLSCIGNKNWL YRFGKVKMLLWMPSTTARKLLARPGMHSRSKCSVVREAFTDTKLIAISDANELKGFDS QCIEEWDPILFSAAEIWPTKGKPIALVEMDPIDFDFDVDNWDYVTRHLMILKRTPLNT VMDSLGHGGQQYFNSRITDKDLLKKCPIDLTNDEFIYLTKLFMEWPFKPDILMDFVDM YQTEHSG YMR229C MVASTKRKRDEDFPLSREDSTKQPSTSSLVRNTEEVSFPRGGAS ALTPLELKQVANEAASDVLFGNESVKASEPASRPLKKKKTTKKSTSKDSEASSANSDE ARAGLIEHVNFKTLKNGSSLLGQISAITKQDLCITFTDGISGYVNLTHISEEFTSILE DLDEDMDSDTDAADEKKSKVEDAEYESSDDEDEKLDKSNELPNLRRYFHIGQWLRCSV IKNTSLEPSTKKSKKKRIELTIEPSSVNIYADEDLVKSTSIQCAVKSIEDHGATLDVG LPGFTGFIAKKDFGNFEKLLPGAVFLGNITKKSDRSIVVNTDFSDKKNKITQISSIDA IIPGQIVDLLCESITKNGIAGKVFGLVSGVVNVSHLRTFSEEDLKHKFVIGSSIRCRI IACLENKSGDKVLILSNLPHILKLEDALRSTEGLDAFPIGYTFESCSIKGRDSEYLYL ALDDDRLGKVHSSRVGEIENSENLSSRVLGYSPVDDIYQLSTDPKYLKLKYLRTNDIP IGELLPSCEITSVSSSGIELKIFNGQFKASVPPLHISDTRLVYPERKFKIGSKVKGRV ISVNSRGNVHVTLKKSLVNIEDNELPLVSTYENAKNIKEKNEKTLATIQVFKPNGCII SFFGGLSGFLPNSEISEVFVKRPEEHLRLGQTVIVKLLDVDADRRRIIATCKVSNEQA AQQKDTIENIVPGRTIITVHVIEKTKDSVIVEIPDVGLRGVIYVGHLSDSRIEQNRAQ LKKLRIGTELTGLVIDKDTRTRVFNMSLKSSLIKDAKKETLPLTYDDVKDLNKDVPMH AYIKSISDKGLFVAFNGKFIGLVLPSYAVDSRDIDISKAFYINQSVTVYLLRTDDKNQ KFLLSLKAPKVKEEKKKVESNIEDPVDSSIKSWDDLSIGSIVKAKIKSVKKNQLNVIL AANLHGRVDIAEVFDTYEEITDKKQPLSNYKKDDVIKVKIIGNHDVKSHKFLPITHKI SKASVLELSMKPSELKSKEVHTKSLEEINIGQELTGFVNNSSGNHLWLTISPVLKARI SLLDLADNDSNFSENIESVFPLGSALQVKVASIDREHGFVNAIGKSHVDINMSTIKVG DELPGRVLKIAEKYVLLDLGNKVTGISFITDALNDFSLTLKEAFEDKINNVIPTTVLS VDEQNKKIELSLRPATAKTRSIKSHEDLKQGEIVDGIVKNVNDKGIFVYLSRKVEAFV PVSKLSDSYLKEWKKFYKPMQYVLGKVVTCDEDSRISLTLRESEINGDLKVLKTYSDI KAGDVFEGTIKSVTDFGVFVKLDNTVNVTGLAHITEIADKKPEDLSALFGVGDRVKAI VLKTNPEKKQISLSLKASHFSKEAELASTTTTTTTVDQLEKEDEDEVMADAGFNDSDS ESDIGDQNTEVADRKPETSSDGLSLSAGFDWTASILDQAQEEEESDQDQEDFTENKKH KHKRRKENVVQDKTIDINTRAPESVADFERLLIGNPNSSVVWMNYMAFQLQLSEIEKA RELAERALKTINFREEAEKLNIWIAMLNLENTFGTEETLEEVFSRACQYMDSYTIHTK LLGIYEISEKFDKAAELFKATAKKFGGEKVSIWVSWGDFLISHNEEQEARTILGNALK ALPKRNHIEVVRKFAQLEFAKGDPERGRSLFEGLVADAPKRIDLWNVYVDQEVKAKDK KKVEDLFERIITKKITRKQAKFFFNKWLQFEESEGDEKTIEYVKAKATEYVASHESQK ADE YMR230W MLMPKQERNKIHQYLFQEGVVVAKKDFNQAKHEEIDTKNLYVIK ALQSLTSKGYVKTQFSWQYYYYTLTEEGVEYLREYLNLPEHIVPGTYIQERNPSQRPQ RRY YMR230W-A MCIIVKYSQCNLFFQDVLRCRHVLCMLFSIVVHFRLSSFVSVCG VRIMCNCINCSRFIHNIN YMR231W MSLSSWRQFQLFENIPIRDPNFGGDSLLYSDPTLCAATIVDPQT LIIAVNSNIIKVVKLNQSQVIHEFQSFPHDFQITFLKVINGEFLVALAESIGKPSLIR VYKLEKLPNREQLYHSQVELKNGNNTYPISVVSISNDLSCIVVGFINGKIILIRGDIS RDRGSQQRIIYEDPSKEPITALFLNNDATACFAATTSRILLFNTTGRNRGRPSLVLNS KNGLDLNCGSFNPATNEFICCLSNFIEFFSSSGKKHQFAFDLSLRKRIFCVDKDHILI VTEETGVPTTSISVNELSPTIINRIFIIDAKNKIISLNFVVSSAIIDIFSTSQSGKNI TYLLTSEGVMHRITPKSLENQINIIIQKELYPFALQLAKQHSLSPLDVQEIHKKYGDY LFKKGLRKEATDQYIQCLDVVETSEIISKFGVKEVPDPESMRNLADYLWSLIKNSISQ RDHVTLLLIVLIKLKDVEGIDTFIQHFDRKGIWNEGVVMDDMDDVTFFYSDNDFFDLD LILELMKESDFKRLSYRLAKKYSKDSLIIVDILLNLLHNPVKAIKYIKSLPIDETLRC LVTYSKKLLEESPNETNALLIEVFTGKFKPSTFEVDLDRRDTTGDFSENIRTVFYSYK TFFNYMNSNGTSDAMSESSEASHEHEEPTYHPPKPSIVFSSFVTKPFEFVVFLEACLA CYQQYEGFDEDRQVILTTLYDLYLNLAQNDVPERIDDWRSRATGVLRESNKLVYSAAS NNTSKRVDNSIMLLISHMDQSSASAKDKTKIDIASFANDNPEMDLLSTFRAMTLNEEP STCLKFLEKYGTEEPKLLQVALSYFVSNKLIFKEMGGNEVLKEKVLRPIIEGERMPLL DIIKALSRTNVAHFGLIQDIIIDHVKTEDTEIKRNEKLIESYDKELKEKNKKLKNTIN SDQPLHVPLKNQTCFMCRLTLDIPVVFFKCGHIYHQHCLNEEEDTLESERKLFKCPKC LVDLETSNKLFEAQHEVVEKNDLLNFALNSEEGSRDRFKVITEFLGRGAISYSDITI YMR232W MFKTSYNLYDLNYPKNDSLTPIRDYKNDYFHKNDDKLPEIVRKP TRKLSKHENKLNDKKFTNKRPASLDLHSIVESLSNKKIYSPINTEIFQNVVRLNLSPQ IPNSPHEGCKFYKIVQEFYLSEVEYYNNLLTANNVYRKALNSDPRFKNKLVKLDSSDE LLLFGNIDTIASISKILVTAIKDLLLAKQRGKMLDANEWQKIFTKNEVQQQLYSTFDI SEAFEQHLLRIKSTYTSYFVSHQKQMELFTTLRMNKNHFFNKWYEYCLKESGCIKLED ILKSPMKRLTQWIDTLETLESCYEDILSPELGLKLSPTRRKYSLFSNKLETEVSEYKS NSMYNFSLTPSEIIQSYDEDQFTHLLKPPDKQNKNICNASRQESNLDNSRVPSLLSGS SSYYSDVSGLEIVTNTSTASAEMINLKMDEETEFFTLADHISKFKKVMKGLLELKKNL LKNDLSGIIDISLRRINAWKKVIECERPSGAFFAHDNLISTMCSSYIDKLHEQKNQVT ILKLTELETDVMNPLERIIAHCTTVKSKLKDLQALKKDYMLFLQEKKANVRDIKRDLL GMHFQNLQNQMKRELPVFITLIHDTIECILLNYIKVFLKYLEIIAGGKKYLQKDLENM SLNDSIATGQIKNLDILQCYSKSRYMTKRMVRKDWPFPGDPSGSRVVRKLFEL YMR233W MADINKYIPMVDAILSVSNPDEISPKRVRKALQILYSVNLDSQR KLINELILERFGDIQENPRVLIPKNDLISRDQELSLRLQKEEERPLRSTRKRKGKSES KSKRKKKKNDSPDSNSISVRKVLLSAPLQKFLGSEELPRTQVVKMIWQYIKEHDLQNP KDRREILCDEKMEPIFGKKMTMFSMNKLLTKHLFNPDEIVKHEEEQKQTPEKEIKLEN ESLPNLSG YMR234W MARQGNFYAVRKGRETGIYNTWNECKNQVDGYGGAIYKKFNSYE QAKSFLGQPNTTSNYGSSTHAGGQVSKPHTTQKRVHRRNRPLHYSSLTSSSACSSLSS ANTNTFYSVKSNVPNIESKIFNNWKDCQAYVKHKRGITFKKFEDQLAAENFISGMSAH DYKLMNISKESFESKYKLSSNTMYNKSMNVYCDGSSFGNGTSSSRAGYGAYFEGAPEE NISEPLLSGAQTNNRAEIEAVSEALKKIWEKLTNEKEKVNYQIKTDSEYVTKLLNDRY MTYDNKKLEGLPNSDLIVPLVQRFVKVKKYYELNKECFKNNGKFQIEWVKGHDGDPGN EMADFLAKKGASRR YMR235C MATLHFVPQHEEEQVYSISGKALKLTTSDDIKPYLEELAALKTC TKLDLSGNTIGTEASEALAKCIAENTQVRESLVEVNFADLYTSRLVDEVVDSLKFLLP VLLKCPHLEIVNLSDNAFGLRTIELLEDYIAHAVNIKHLILSNNGMGPFAGERIGKAL FHLAQNKKAASKPFLETFICGRNRLENGSAVYLALGLKSHSEGLKVVKLYQNGIRPKG VATLIHYGLQYLKNLEILDLQDNTFTKHASLILAKALPTWKDSLFELNLNDCLLKTAG SDEVFKVFTEVKFPNLHVLKFEYNEMAQETIEVSFLPAMEKGNLPELEKLEINGNRLD EDSDALDLLQSKFDDLEVDDFEEVDSEDEEGEDEEDEDEDEKLEEIETERLEKELLEV QVDDLAERLAETEIK YMR236W MNGGGKNVLNKNSVGSVSEVGPDSTQEETPRDVRLLHLLLASQS IHQYEDQVPLQLMDFAHRYTQGVLKDALVYNDYAGSGNSAGSGLGVEDIRLAIAARTQ YQFKPTAPKELMLQLAAERNKKALPQVMGTWGVRLPPEKYCLTAKEWDLEDPKSM YMR237W MLSQTSIPEVKEDVIGYALHQRRARVGQFQDLGPPDLITLIKSL PSSSSTTTATASANDNGATSNINGQDPTTIVTELHSHDKLKGQIGTFFYCMGIDTSDP TSITIFAKKITDLFLDTPQIWFGKKKHFHVSKISISSWNAFRKYDVNIIVHIPGTVQT YIINSDGEQSQLPSVAEASSGRNSQDLNVNMIWAETFMSGIVRDIMIMKDNRADGESQ NLVETLIFNPFTSGELEDVANNFIKLFPLVYEKGVYLDAPTHVLNPSLTNNYLVETLV EIVRLTKSLEACRKMLKKLIEIHPEAVIILIRVYFACDLEIDAVDLINEQLNSPSSFL ADDSKTSHIQLIFKSELLSIQSEFLLDVKRDYKLAKEVAMEAVNCAPNEFKTWYLLTR IYIKLNDMSNALLSLNACPMSQVKEKYVLRRIAPITSDENLHLPLPLDASIEEISSLN PMDVQLEQKSADPNLVNLSASSLKSTFQLAYKLLTEIVQITGWEQLLKYRSKIFVMED EYQGSTSSIDEAEVRGNDISKMRSKRLCERWLDNLFMLLYEDLKTYTDWQSEQLYFDA QNSKYHKLTVEWELFGLCAKRLGHLPEAAKAFQIGLSQRFSPVCAKNLLQFYIDEHKR IRRDSVSANSELTSSQILSSINDIDSSIIDLVVKICCWNHRWYIEFSIILIDALSVAV QDMGITKVHNEIASRFSDPVAQLIDDNILNFLKNFTNDTFDN YMR238W MIVNISAKMILSICFTFLSFFKATHAMDLDTTSKTSICDATALI QGGMLDYYEGTRYGGTVGMFQSPYYWWHAGEAFGGMLENWFLCENDTYQELLYDALLA QTGSNYDYIPSNQTMVEGNDDQGIWGITVMGAVERNFTDPGDGKPGWLAMVQAVFNTM YSRWDSEHCGGGLRWQIFTWNSGYNYKNTVSNACLFQIAARLGRYTGNTTYLEVAEQV FDWLVDVGYVVLNDTANVFDGAEIDTNCTDITKIEWTYNHGIVLGGLAYMYNATNGTG EWETSLTKILNGAKSYFFKDSIMYESACQDYGTCNTDQRTFKSIFSRMLGLTSVMAPF TRDTIDDLIKTSAEAAAKSCNGGTDGHTCGLNWQKQTNDGYYGLGEQMSALEVIQNLL IHDRPAPYKEDNGGTSKGDANAGMNSSTTNVLQNNLNIKKGDRAGAAIITAVILSVLT GGAVWMLF YMR239C MGSKVAGKKKTQNDNKLDNENGSQQRENINTKTLLKGNLKISNY KYLEVIQLEHAVTKLVESYNKIIELSPNLVAYNEAVNNQDRVPVQILPSLSRYQLKLA AELKTLHDLKKDAILTEITDYENEFDTEQKQPILQEISKADMEKLEKLEQVKREKREK IDVNVYENLNEKEDEEEDEGEDSYDPTKAGDIVKATKWPPKLPEIQDLAIRARVFIHK STIKDKVYLSGSEMINAHNERLEFLGDSILNSVMTLIIYNKFPDYSEGQLSTLRMNLV SNEQIKQWSIMYNFHEKLKTNFDLKDENSNFQNGKLKLYADVFEAYIGGLMEDDPRNN LPKIRKWLRKLAKPVIEEATRNQVALEKTDKLDMNAKRQLYSLIGYASLRLHYVTVKK PTAVDPNSIVECRVGDGTVLGTGVGRNIKIAGIRAAENALRDKKMLDFYAKQRAAIPR SESVLKDPSQKNKKRKFSDTS YMR240C MARTKSRKRSGNNQNKNASVVNNKAEIAAMIDARRLEQKKKGGV TNSKGKTNKVVDAKLEKEFKDVLQRFQVQENDTPKEITKDEKNNHVVIVEKNPVMNRK HTAEDELEDTPSDGIEEHLSARKRRKTEKPSLSQLKSQVPYPQIIEWYDCDARYPGLL ASIKCTKNVIPVPSHWQSKKEYLSGRSLLGKRPFELPDIIKKTNIEQMRSTLPQSGLD GQDEKSLKEASRARVQPKMGALDLDYKKLHDVFFKIGANWKPDHLLCFGDVYYENRNL FEETNWKRMVDHKRPGRISQELRAIMNLPEGQLPPWCMKMKDIGLPTGYPDLKIAGLN WDITNLKGDVYGKIIPNHHSRSKKQGRNYFGALISFETPEFENSKEDTQANAENGRQD DKIDDEVEHKLDHFQEDISEVTSAEEKLERNEEESEKQLYTVLK YMR241W MPSTTNTAAANVIEKKPVSFSNILLGACLNLSEVTTLGQPLEVV KTTMAANRNFTFLESVKHVWSRGGILGYYQGLIPWAWIEASTKGAVLLFVSAEAEYRF KSLGLNNFASGILGGVTGGVTQAYLTMGFCTCMKTVEITRHKSASAGGVPQSSWSVFK NIYKKEGIRGINKGVNAVAIRQMTNWGSRFGLSRLVEDGIRKITGKTNKDDKLNPFEK IGASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKNLTVGKTFKYIYQSNGLKGLYRG VTPRIGLGIWQTVFMVGFGDMAKEFVARMTGETPVAKH YMR242C MAHFKEYQVIGRRLPTESVPEPKLFRMRIFASNEVIAKSRYWYF LQKLHKVKKASGEIVSINQINEAHPTKVKNFGVWVRYDSRSGTHNMYKEIRDVSRVAA VETLYQDMAARHRARFRSIHILKVAEIEKTADVKRQYVKQFLTKDLKFPLPHRVQKST KTFSYKRPSTFY YMR242W-A MFKMKFGDTLPRSDFGTGGNKQAPGLELG YMR243C MITGKELRIISLLTLDTVFFLLEITIGYMSHSLALIADSFHMLN DIISLLVALWAVDVAKNRGPDAKYTYGWKRAEILGALINAVFLIALCFSIMIEALQRL IEPQEIQNPRLVLYVGVAGLISNVVGLFLFHDHGSDSLHSHSHGSVESGNNDLDIESN ATHSHSHASLPNDNLAIDEDAISSPGPSGQIGEVLPQSVVNRLSNESQPLLNHDDHDH SHESKKPGHRSLNMHGVFLHVLGDALGNIGVIAAALFIWKTEYSWRYYSDPIVSLIIT IIIFSSALPLSRRASRILLQATPSTISADQIQREILAVPGVIAVHDFHVWNLTESIYI ASIHVQIDCAPDKFMSSAKLIRKIFHQHGIHSATVQPEFVSGDVNEDIRRRFSIIAGG SPSSSQEAFDSHGNTEHGRKKRSPTAYGATTASSNCIVDDAVNCNTSNCL YMR244W MVLCKLLTPYFLLSILSVGVFTATAAPSPSIQMTENTNQDHHEH AKRGGTCAFPNYDGMVAVQKGGSNGGWAMSPDQECSYGSWCPYACKPGQLMGQWDPSA TTYSYPKCQNGGLYCDSNGNLQKPNSDKDYCYDGKGTVIAKNNANSGDVAFCQTVLPG NEAMLIPTLVGSGSKQTLAVPGTDYWASSASHYYVNAPGVSVEDACQWGSSANPQGNW APFVAGSNMDDNQNTFVKIGWNPVYLESSCPFKNVKPSFGIRITCDDESQCEGLPCSI DPSSNGVNEVTSSGGGSSGAGGGNFCVVTARNGAKANIEVFDVGSGSSSKGKRELNPL DVITTTVTETKYKTVTVTAKT YMR244C-A MGLFSFDGGKKESQPPNTRSQRKLCWESRDAFFQCLDKADILDA MDPKNSKSIKSHCKVENEKFEENCAHSWIKYFKEKRVIDFKREQTIKRIEQEAKQRER NQ YMR246W MTEQYSVAVGEAANEHETAPRRNIRVKDQPLIRPINSSASTLYE FALECFTKGGKRDGMAWRDIIDIHETKKTIVKRVDGKDKPIEKTWLYYELTPYITMTY EEMICVMHDIGRGLIKIGVKPNGENKFHIFASTSHKWMKTFLGCMSQGIPVVTAYDTL GESGLIHSMVETDSVAIFTDNQLLSKLAVPLKTAKNVKFVIHNEPIDPSDKRQNGKLY KAAKDAVDKIKEVRPDIKIYSFDEIIEIGKKAKDEVELHFPKPEDPACIMYTSGSTGT PKGVVLTHYNIVAGIGGVGHNVIGWIGPTDRIIAFLPLAHIFELTFEFEAFYWNGILG YANVKTLTPTSTRNCQGDLMEFKPTVMVGVAAVWETVRKGILAKINELPGWSQTLFWT VYALKERNIPCSGLLSGLIFKRIREATGGNLRFILNGGSAISIDAQKFLSNLLCPMLI GYGLTEGVANACVLEPEHFDYGIAGDLVGTITAKLVDVEDLGYFAKNNQGELLFKGAP ICSEYYKNPEETAAAFTDDGWFRTGDIAEWTPKGQVKIIDRKKNLVKTLNGEYIALEK LESIYRSNPYVQNICVYADENKVKPVGIVVPNLGHLSKLAIELGIMVPGEDVESYIHE KKLQDAVCKDMLSTAKSQGLNGIELLCGIVFFEEEWTPENGLVTSAQKLKRRDILAAV KPDVERVYKENT YMR247C MSFGGINTFQQYNTDLGLGHNGVRISLNYFDGLPDPSLLNSLYS NELKLIFKSLLKRDETTKEKALMDLSNLISDFNQNEYFFNDIFLLCWSQIYAKLIISD YKVIRLQSHQITIMLVKSLRKKISKFLKDFIPLILLGTCELDYSVSKPSLNELTECFN KDPAKINALWAVFQEQLLNLVKEIVVNENEDTISDERYSSKEESEFRYHRVIASAVLL LIKLFVHNKDVSERNSSSLKVILSDESIWKLLNLKNGQNTNAYETVLRLIDVLYTRGY MPSHKNIMKLAVKKLLKSLTHITSKNILKVCPVLPSILNLLATLDDYEDGTIWSYDKS SKEKVLKFLSVSRTSPSPGFFNAVFALYSSTKRHSFLDYYLEWLPFWQKSVQRLNEKG FSARNSAEVLNEFWTNFLKFAEDSSEERVKKMVESEIFNSLSCGKSLSEYTKLNQTLS GVFPPDKWEREIEDYFTSDEDIRKIKVSFEKNLFALLVTSPNNESAISRLFDFFVQLI ETDPSNVFNKYDGVYDALNYFLDSDMIFLNGKIGKFINEIPTLVQESTYQNFAGIMAQ YSNSKFFKMNTDAITSLEDFFIVALSFNLPKTIILATMNELDNDIYQQLMKSDSLELE LYIEDFMKNYKFDDSGEIFKGNNKFLNQRTITTLYRSAVANGQVEQFCAVLSKLDETF FSTLLLNTDFLSCALYEVSEDTNEKLFKLSLQLAKGNSEIANKLAQVILQHAQVYFSP GAKEKYVTHAVELINGCNDTSQIFFPANAIEVFARYMPAIDYRSSLVSSLSTNTHLLL TDDKPINLKNMQKLIRYALFLDALLDALPERVNNHIVAFITVVSELVTDYNCLSEEPN DLYYDFGHTFFKHGKVNLNFSDIVGNVIQPANGGDAMLTFDIAESNSVYFFYYSRVLY KVLLNSIDTVSSTTLNGLLASVESFVTKTVRDQKSTDKDYLLCAILLLMFNRSNSKDE ITKLRTLLASQLIGIREVELVDQEFKSLALLNNLLDIPQADKQFVPIAPQRLNMIFRS ILKWLDSDLAYEPSFSTVRLLLLDFFTKLMRFEGVRDMGITAFELSERLLADSLSMCQ IDDTLYLLELRSSCLNLYETLSQGVSKNGEEISEYGDEIQENLIELMFLNFNQERNNQ VSTLFYQKLYKVISSMELKKLESQYKRIFEVVLNDKDIGSNINQSRLLTTLLGSLVVK TQQDIIIEYELRIQKQTGSDVDGSASDNDVNSKFKLPQKLLQKVTDEVPKEYLEYENK NSFIKYLWYWHLILMYFKDTSYNMRQIFIEQLKEAGLINRMFDFITDQIDLRDTEFWK QVDTKEISEYNIVGNNFSPYKEDIFEECKKLLGHTLYQLFNNVGCLTSIWWLNIKDRT LQNDIEKFVSEFISPILIKNEFDDINSKMDRLTSNDDALTIKLNNITNEVKASYLIDD QKLEISFKLPKNYPLTNIQVNGVSRVGISEQKWKQWIMSTQHVITGMNGSVLDSLELF TKNVHLQFSGFEECAICYSILHAVDRKLPSKTCPTCKNKFHGACLYKWFRSSGNNTCP LCRSEIPFRR YMR247W-A MAHKCASAKLLSGIMALLFNGKSLLRPICLHVHNHLVSNSDTNI VWP YMR250W MLHRHGSKQKNFENIAGKVVHDLAGLQLLSNDVQKSAVQSGHQG SNNMRDTSSQGMANKYSVPKKGLPADLSYQLIHNELTLDGNPHLNLASFVNTFTTDQA RKLIDENLTKNLADNDEYPQLIELTQRCISMLAQLWHANPDEEPIGCATTGSSEAIML GGLAMKKRWEHRMKNAGKDASKPNIIMSSACQVALEKFTRYFEVECRLVPVSHRSHHM LDPESLWDYVDENTIGCFVILGTTYTGHLENVEKVADVLSQIEAKHPDWSNTDIPIHA DGASGGFIIPFGFEKEHMKAYGMERWGFNHPRVVSMNTSGHKFGLTTPGLGWVLWRDE SLLADELRFKLKYLGGVEETFGLNFSRPGFQVVHQYFNFVSLGHSGYRTQFQNSLFVA RAFSFELLNSSKLPGCFEIVSSIHESIENDSAPKSVKDYWEHPQAYKPGVPLVAFKLS KKFHEEYPEVPQAILSSLLRGRGWIIPNYPLPKATDGSDEKEVLRVVFRSEMKLDLAQ LLIVDIESILTKLIHSYEKVCHHIELASEQTPERKSSFIYEMLLALASPQDDIPTPDE IEKKNKLKETTTRNYRGTC YMR251W MSEKSASNNKAEFKRQSSPFREIISADHPIYKPAKGRYWLYVAL PCPWAQRTLITRALKGLAPIIGCSVAHWHLDDKGWRFLEEGDGKTNERHWFDIAGGIS SVNLNTSTPVANIPNNAHRLLVDGTDEPHYGYKRLSDFYFKTKPDYKGRFTVPVLWDL ETCTIVNNESSDIIGIMNSAAFDEFVGEEYRQVRLVPRSLEAQITEFNSWVYDKINNG VYKAGFAECAEVYEREVTSLFQYLDKLENLLDKKYTDLEAEYGKNNKDKILDRYFAIG DTLTEADVRLYPTIVRFDVVYHQHFKCNLATIRDDYSRIHTWLKNIYWRHEAFQRTTD FTHIKLGYTRSQPRVNPIGITPLGPKPDIRPP YMR251W-A MKLSQVVVSAVAFTGLVSAANSSNSSSSKNAAQPIAGLNNGKVA GAAGVALAGALAFLI YMR252C MFGKVFVSYIRTRIGFKPLSTIYTPVSSSSLSFDKEACFPFKKW HELNMSQKQEFIQRFVKNYRHQYPSSKTNVSLKGLSIGMDEHNDSPSVFGIFYNDIWK SFKNEQLGTNNDNMKSGSRFSHPSFKQLLIQK YMR253C MNPSVPKVMKRENNTHLLVSKEMNDTSLQLPSTTRSLSPKESNS NEDFNVDGNETTLQRISKDYLKPNIGLVLLTVSYFFNSAMVVSTKVLENDPDDIANDR QIKPLQILLVRMVITYIGTLIYMYINKSTISDVPFGKPEVRKWLVLRGCTGFFGVFGM YYSLMYLTISDAVLITFLAPSLTIFLSWVILRERFTKVEALGSLISLLGVVLIVRPSF LFGTPELTDSSSQIVESSDPKSRLIATLVGLWGVLGMSCVYIIIRYIGKRAHAIMSVS YFSLITAIVSFIGINTIPSMKFQIPHSKKQWILFGNLGVSGFIFQLLLTMGIQRERAG RGSLMTYTQLLYAVFWDVALYKHWPNIWSWIGMIIIISATLWVIRIRAANNETTAKDL TPIIDDEENSIPLTEFDLSDSK YMR254C MVPLILLILLFSKFSTFLRPVNHVLVTKYTAIVNTKWQTTPSII DVTYTMHVFYMTIILILVRKQMQSIHAFLGSLCLPSHVLDFSIVRDILSWYFLETVAV YMR255W MPLESIWADAPDEEPIKKQKPSHKRSNNNKKNNNSRWSNESSSN NKKKDSVNKVKNNKGNHESKTKNKIKETLPREKKPPHSQGKISPVSESLAINPFSQKA TEISPPPVSPSKMKTTKTQSKQDTASKMKLLKKKIEEQREILQKTHHKNQQQQVLMDF LNDEGSSNWVDDDEEELILQRLKTSLKI YMR256C MANKVIQLQKIFQSSTKPLWWRHPRSALYLYPFYAIFAVAVVTP LLYIPNAIRGIKAKKA YMR257C MLQRRFISSSGIKRLLHRESNKVMHTVFFKVRYYSTELIKKKHK EDIEDWVKAQLKDSSTISGVYESRNKLDWMDSITKSPSSLDILKNQYNIVKDKDFGIL WKQKFESADPDILMTIISLSTNQKVLFSIQQLLILINSLHFLKRDYDIGQIYTTYEQF TPLLASHTDKGTYGQFIEIMLVVQHNLHHFDVCETLFAEYIKYCKVKPQMISLGLNSF IRSNNTQLAVEFYTQAITNPDTFPITEKQLFEFLRCMERYLDMSSMKHIFYLWLKVKC GDEQSSSTNLPSFKTLAIIHRMLLRFSNTDELNDFLTNPVVLSTGYTSSVQFELIEFC HSLYCIKGDRTKSIDDSILMERVDKFITRLNNNISTRKELYMSVVQAYVSTNNFENLK VILEKIQRDNDISIDGSFHLCISRYFVNTNQFEGLFKYYRSVVKTTDGKTRLRPAFIQ QLWSCAVNVYPMLAKEITNDLLVTLKRSQYSKCLTWVYTFLQENAHIHTRKINGGEDS SLSGFNAVDFERFEEFKKKVSHNDVYGAELVISNSLKEGIAPQFSFLYSVLALCLRNS LTGLARVVDVILRTRFRYIPLKVDILWLKWEIISNYRSFEKLSAEHLKELEFKLKEFE RVHQKELSVQNYLQLTQICFHTRDFKYACYLISQARKNLDTSNNKQWMMYYMTSLKLA SRMHESERFSRILKDWNCNHRASLITPGCIRQIKGFMKYFEKRPAYISTAASIDNKEI KDRIDELVLRYVDYKYQGLENMRKLTLFLKEWFDEEISLLKLEQNERKMKLFEENKKE EE YMR258C MAFQDQDIFIVFSHASLFLNQNDLLSLSLTSKKMHDMIAIPRLY SNIHITKNPVLRTNKWFLDGGKTYVSGYRSVLKTGDKNDIFLYDRIERLLETSHLKCI KQLTIDEDLFHNREEGLQLLQRLVNEITDLDVIESLDIKDPTLFELCSAKYYRLSSLK KRVVYGETGFDGIKLWQNFKSLKWQLPESLDLQNVIIPEVGVMLMKQLNGGELEIKDE AYSSLRVFEYFDSLNLRFKNLRRLKLNHVHKQGDGSATSMRLSSRAFKDVVNLSNLKA LELEFSCEVDDCECDDDFLQDITGNLVSLTSLGFIEKTFTKKGYHYMDEKWDLVVNKF ILNLPNVSKDLRLLSIRHDPPLNGKGIDTVDGNLLRRKKLYEKVLPKLTSLETIIAPT VLQSITSYEMYACDLLWNGCKCAFCSKYLPLFDKYIMNHQYFSTPDARYLDIIPIVFA AYTGKSLAKRFDPQKNWDLDLLQYAPEDTTWNFHGFERIHHFASYECYFDESSFEPLA TIISHFFYPYMNYLIKILPNLRQTMLSGIYFSVSPELHTYETIYD YMR259C MTTDVQFSSQEIELFRVKEFLIANNPAKINNENKDAVLTQIEHD FRYLIQYIKDGLPNLNESTRLIFPDTFSICLLRSHQIIASKKIDSQEFLSAVKEQLLT EANANIIFEYVLDFWADGGAPLMNALRDLFSKLLNLLKITYPMSTLKDVLFNWMNEIL EVPSTLRVQYYLIDALSSDFDLYYIIEKKPHFIDNSFSLMNSDLLANSVGKCIVSLLL NIYEKHFKKNESFVQEWIQLWKSCALKYIHDKQYTKSINLYIMIPLFKNMPNSAFTLF LECMSNKDPSLLLSLLKIGQELGIEEEPFCDNKYTTVDSVNKLIEQDEYKLQVFEILT FSTKKSKPIRPFVFKTIKQYLYVFFVDTELERRNYFCSSMKHFIFRTRDCAYSLARDA RKLKKAEKFPDEQREKLAQVEEARAFLVWLCNFIKYNLAPGTLYQANVTSLKLMHILI KSGVDKSTPQKFLDNQNKREYPFSIPILQDVTFLRLLIDLLVSNYADVRELSKEMLFI MISADESRGLFLDTLDANALKWTATSLLSDYEKGDAGATVYEFIFTVMGSQRSFIDQT IDILAQMVQNLQNDSIGCAENSIGPHFAALSLILNKFNSEENHQDTSKIISKLINLVL KSWEATRNVVCHDSAHGILPEKYANCGVPDQVIISHAFRAIKEASYLLETILKKYPLT RDQLDSIGDLFIVQLSTIRHSGAFQAVLPGLKAFCIRCQLEFPAILEELLSKSVKSLK SKTQHITRRSGGLPFLVTTVLSAEVTKGRPLLQKTFENLLLVARLPIPPHQDEFDLPQ VNAINCINAIFVEPKLSVHCTSFVSEALELALLNFDCDIWALRNCSIMLFTSLQNRIF GKVGRSVSAKLFFTKYSGLRQLLLNILNSSIAQYSGSERKSYQIESIFLVLNVLLRLR PTAGYTGLKEFNVSVYECLSNENWKIRDMASRVLHMLSENFEEEIRKLLDLASIAKQN QLHGHLLALQQLVPQYLSGTRDMELIQRILEKKRMLLLENKCFITKKAYLKLTCCILE TCDIPDSILKDYISTLRNTFIAENNEYVVDGSKQLYLAQILDMLLKYEDSIYLDDICL LGLYSPFYEAQLSTLQYMNTNFHWETTRNSEFLEQLQLLLRVPDLLPMAKALVVKILS RKKNTLSLTTCTDLLKTNNSEDTKLAAVSSLSAKLSSQTFHQVWNLLQGFFADSCSKD FRLASLECLTAYPESCKNSRILLQLYNFLWDDDSEIREKASFYLNKNFIQTADWEYNR NTSVTALIFTKKFVDVFTSSEVVEELCLQLFQYLNEYDMFAAEESAKNCLFTIEKDNQ FINELQKAMHILNMIKLTGRDISKCYKDQIHHLKSALLEHFNTEDFKDSPLGWCSNAE IFSRITLLKELIQHYSPSDYENFINVLTKHSVHPLIISYSQL YMR260C MGKKNTKGGKKGRRGKNDSDGPKRELIYKEEGQEYAQITKMLGN GRVEASCFDGNKRMAHIRGKLRKKVWMGQGDIILVSLRDFQDDQCDVVHKYNLDEART LKNQGELPENAKINETDNFGFESDEDVNFEFGNADEDDEEGEDEELDIDDI YMR261C MTIIVASLFLPYTPQFEADVTNSDTAKLVESSMIKVDCNNQELS NNKQERSSSVTSASSHYIGLPQEAQINGEPLQRANVGSPATGVNYHNEMEMLSSEQFL EELTANATHAANSGIPPANNPVSSGSTAQRPSVEEFFSAPSARVCSPSQEASASSISA SRSSAHHNDLSSSLMKNPNLSFDSHPPRVRSSSKSAVITPVSKSVPDVDPAVVDVAKV REEFQQQASLPSMKRVSGSTAGDSSIASSSSNLRYSQQFQDNFIEDTDSEDDIDSDLE TDATKKYNVPKFGGYSNNAKLRASLMRNSYELFKHLPWTIVDSDKGNGSLKNAVNIAV AEKTVKEPVSWVGTMGIPTDELPHEVCHKISKKLEQDFSSFPVVTDDITFKGAYKNYA KQILWPTLHYQIPDNPNSKAFEDHSWDYYQKVNQKFSDRIVSVYKPGDTIWIHDYHLM LVPQMVREKLPKAKIGFFLHVSFPSSEVFRCLANRERILEGIIGANFVGFQTKEYKRH FLQTCNRLLAADVSNDEVKYHCNIVSVMYAPIGIDYYHLTSQLRNGSVLEWRQLIKER WRNKKLIVCRDQFDRIRGLQKKMLAYERFLIENPEYIEKVVLIQICIGKSSDPEYERQ IMVVVDRINSLSSNISISQPVVFLHQDLDFAQYLALNCEADVFLVDALREGMNLTCHE FIVSSFEKNAPLLLSEFTGSSSVLKEGAILINPWDINHVAQSIKRSLEMSPEEKRRRW KKLFKSVIEHDSDNWITKCFEYINNAWESNQETSTVFNLAPEKFCADYKASKKHLFIF KISEPPTSRMLSLLSELSSNNIVYVLSSFTKNTFESLYNGVLNIGLIAENGAYVRVNG SWYNIVEELDWMKEVAKIFDEKVERLPGSYYKIADSMIRFHTENADDQDRVPTVIGEA ITHINTLFDDRDIHAYVHKDIVFVQQTGLALAAAEFLMKFYNSGVSPTDNSRISLSRT SSSMSVGNNKKHFQNQVDFVCVSGSTSPIIEPLFKLVKQEVEKNNLKFGYTILYGSSR STYAKEHINGVNELFTILHDLTAA YMR262W MNKLVDAHCHVITDPDNTFCGDDGGSQGTLRCVMSSNPYDWNNL KKLAGRSTSKNDICVGFGVHPWYSHLFYVGSRRDKVSHYQDVLEYKNEEQFDSLVQVL PEPLDLEEYIKREFNDTLVSVIGEIGLDKLFRLPANGFYMQNEKARLTTVKVKLSHQE TVFRRFCRLARHTSKPISIHDVKCHGKLNDICNEELLTYHSVKICLHSYTGSKETLLG QWLKKFPPDRIFVSLSKWINFKDPEEGDALVRSLPSTCILTETDYPIDNPDPSYQKAL TEQLQYLNAQIARAWDETLDASQAALRVYENFQKFIK YMR263W MARPVNTNAETESRGRPTQGGGYASNNNGSCNNNNGSNNNNNNN NNNNNNSNNSNNNNGPTSSGRTNGKQRLTAAQQQYIKNLIETHITDNHPDLRPKSHPM DFEEYTDAFLRRYKDHFQLDVPDNLTLQGYLLGSKLGAKTYSYKRNTQGQHDKRIHKR DLANVVRRHFDEHSIKETDCIPQFIYKVKNQKKKFKMEFRG YMR264W MEDSRLLITLILVFGVIFLKKFFQSNQHPSAQRLSATGVNAHGR PQGSTQNALRRTGRVNGGHPVTTQMVETVQNLAPNLHPEQIRYSLENTGSVEETVERY LRGDEFSFPPGFEPSRAPMGANAAVDNNAAGGGEFNDPRKKNMICAENLLDKFHVDLN EDMSNLSFKDLDIEERKRLLVWQARKNLETKLQSDKDLQSLLT YMR265C MEEFEEFRRKGEMSSRCGNHRVLRKWNSCACELAVPFEVPEHAI TKLHIYDFDNTLFATPGPTEQLYTRELLNLLTSSTLPNGGWWNEPGFLQAAIEISKTK PRRYSWNADIVKLAEESYSAKDTISIVLTGREESKFHKLIEHALQTARSHWKCSENEF RFNAVCLKKRAISEYTSKYKKELMRDFLEYYPSLRELSIYDDRIHQIDAFKSFFHSLD LPRLKWSAIPVRPFTKSLPREQELEMVMDMVRKNNSQALSTSQKFDLRRTPRQIGYIL CTASHRLLSIEVIKYLKRRKGRRTFRPKLYEHPLYIPCAEPGKDIPALEIAKVWSNND TRTFDSEKKVQHISQIFYLEQPGKCIVHFQVTDLAVIASAHHNRRKPLEVYFKATPEP NRYTFTLFPEYIVTGHFYKRDRIEDLEVVTERLINCKEDIHWVPLDNTIPIKAFFGRF AKLAAIPCSNA YMR266W MNSTNSTNSTTTATSTNTSTQQVVTSLVSNGTIFGVFVIAFLIL RIKLKRIYEPKSSFNLINEEKKPEPLPQGVWQWLKPLLKKSDNFVIQQAGLDGYFFLR YLFIIAIYCAVSMSYIFPILLSINASNGNHESGLNQLAYQNVKHRGRYFAHVFCGWIF FWGFLYIIYRELYFYTSMKQAVLASPRYAKKLSSRTVLFQTVPKQYLSEEEFSKLFDG VKRVWIARGSGSIEAMVKARDNMAIQLEGAETKYLKAALKKIKKLNKKSPQLSVSDNI AEYVPDKKRPHHKINKVAKFFFGKKVDTISYIKEELPKLNQKVKALQEDHENSSPFNS VFVEFESQYQAQVAAQITTYHAPLFMTPVYIGIEPSDVVWFNLRMFWWERLGREVSAV SAIVALVILWAFPVAFVGMISNITSLTNEVKWLKFIYKLPKQLLGLLTSLAPTVALAV LMSFLPKFIRGMAITQGAPSKQNVEYFTQQAYFAFQVIQVFLVTTLSSAATSTVTEIV KEPTKAMDLLASNLPKASNFFMSYVILQGLSISSGALLQIVPLILFYVLGAFLDGTVR KKWNRFCGLSSMQWGTAFPVYTNLAVITFSYSIISPLILLFAAVAFFLLYIAYLYNLT YVYQESPDARGIYYPRALFQTIVGIYIGQICLLGLFAVGKGWGPIVLQVIGICVTVLI HLHLSAAFDHLSKVIPVDTMKPLDGVSDTPSFKNIYKGIESTKVKKNTFGANIDMDGI KELPEFPIKKYHKRSESVTEQQVENSIFSENTFEYQFNPANEANADGHAINAENLIED VPLLADGDTMKIPPAPWWKRFLKPHIYYSYKAVKSRLPEIYGLVDPDERVNDFDISHA YDYPAVSAQCPELWIPRDPFGFSKLLISDVSGVVEMNDENATIDENLKFTLRDVPPPY NDVKDEANGEANGEFDTASKENNPFADPKYKEEESRSAV YMR267W MNLLRMNALTSKARSIERLKQTLNILSIRNHRQFSTIQQGSKYT LGFKKYLTLLNGEVGSFFHDVPLDLNEHEKTVNMIVEVPRWTTGKFEISKELRFNPIV QDTKNGKLRFVNNIFPYHGYIHNYGAIPQTWEDPTIEHKLGKCDVALKGDNDPLDCCE IGSDVLEMGSIKKVKVLGSLALIDDGELDWKVIVIDVNDPLSSKIDDLEKIEEYFPGI LDTTREWFRKYKVPAGKPLNSFAFHEQYQNSNKTIQTIKKCHNSWKNLISGSLQEKYD NLPNTERAGNGVTLEDSVKPPSQIPPEVQKWYYV YMR268C MEYGHHARPDSKRPLDEGSPAAAGLTSKKANEALTRNRELTTVL VKNLPKSYNQNKVYKYFKHCGPIIHVDVADSLKKNFRFARIEFARYDGALAAITKTHK VVGQNEIIVSHLTECTLWMTNFPPSYTQRNIRDLLQDINVVALSIRLPSLRFNTSRRF AYIDVTSKEDARYCVEKLNGLKIEGYTLVTKVSNPLEKSKRTDSATLEGREIMIRNLS TELLDENLLRESFEGFGSIEKINIPAGQKEHSFNNCCAFMVFENKDSAERALQMNRSL LGNREISVSLADKKPFLERNEVKRLLASRNSKELETLICLFPLSDKVSPSLICQFLQE EIHINEKDIRKILLVSDFNGAIIIFRDSKFAAKMLMILNGSQFQGKVIRSGTINDMKR YYNNQQNHSMKHVKPSCINMMEKGPNLQVKKKIPDKQEQMSNDDFRKMFLGE YMR269W MDSKEYLISYGWKEGEAFREGGLKRPILVKHKRDKKGLGNAPGG NDGEAWWERLFDGHLKNLDVSTDSNNGSIKFTQNEAVATAVSKSSSPLYRWFVKGEGL KGTITNLGKKEEASFVVSSASSSKGKKRRRRDEDDNKVKRKKLKKDKKTSNDSESKKK KKKKSKKESKKGKKSKHSSDEGDKSKHKKSKKSKKHKKEESSARRDRKEHI YMR270C MSDLDEESQIETQIDAPIEDIIRGSELTTTTADKETLKSANELL DSLEHSHRVDLSLHLYSAYLLKRLLYKANEKKHFYEVNQFVKTQIKDNWTSWPNPNTI IDPSVDKLYEDIPEGIANVSVQPGEISNRALMHASDMMRVELDAQWQKFLSKSALDHD VTLDVDELNIPNEISRNILVKLDSLFEGLHDKIAKENEFDVRQDKHSNNIRANQIDDE PMQANRRIKYTYHDLVSRGCEMNEDMTDIYMKSLELYNDIPEKYKKRKFRLPKQILKK YHQPKKTSSYLKELLSKTREDFIPVEKLLKDKRLTSKDKSKLQRLNREETEDALNKRT FFQVKGYLEDENEISDYELDDCLIELPNGNI YMR271C MSASTTSLEEYQKTFLELGLECKALRFGSFKLNSGRQSPYFFNL SLFNSGKLLANLATAYATAIIQSELKFDVIFGPAYKGIPLAAIVCVKLAEIGGTKFQG IQYAFNRKKVKDHGEGGIIVGASLEDKRVLIIDDVMTAGTAINEAFEIISIAQGRVVG CIVALDRQEVIHESDPERTSATQSVSKRYNVPVLSIVSLTQVVQFMGNRLSPEQKSAI ENYRKAYGI YMR272C MSTNTSKTLELFSKKTVQEHNTANDCWVTYQNRKIYDVTRFLSE HPGGDESILDYAGKDITEIMKDSDVHEHSDSAYEILEDEYLIGYLATDEEAARLLTNK NHKVEVQLSADGTEFDSTTFVKELPAEEKLSIATDYSNDYKKHKFLDLNRPLLMQILR SDFKKDFYVDQIHRPRHYGKGSAPLFGNFLEPLTKTAWWVVPVAWLPVVVYHMGVALK NMNQLFACFLFCVGVFVWTLIEYGLHRFLFHFDDWLPESNIAFATHFLLHGCHHYLPM DKYRLVMPPTLFVILCAPFYKLVFALLPLYWAYAGFAGGLFGYVCYDECHFFLHHSKL PPFMRKLKKYHLEHHYKNYQLGFGVTSWFWDEVFGTYLGPDAPLSKMKYE YMR272W-B MRSLVFVQLSLLSWEIFCGERSFVSMKAIFSCMYV YMR273C MSNRDNESMLRTTSSDKAIASQRDKRKSEVLIAAQSLDNEIRSV KNLKRLSIGSMDLLIDPELDIKFGGESSGRRSWSGTTSSSASMPSDTTTVNNTRYSDP TPLENLHGRGNSGIESSNKTKQGNYLGIKKGVHSPSRKLNANVLKKNLLWVPANQHPN VKPDNFLELVQDTLQNIQLSDNGEDNDGNSNENNDIEDNGEDKESQSYENKENNTINL NRGLSRHGNASLIRRPSTLRRSYTEFDDNEDDDNKGDSASETVNKVEERISKIKERPV SLRDITEELTKISNSAGLTDNDAITLARTLSMAGSYSDKKDQPQPEGHYDEGDIGFST SQANTLDDGEFASNMPINNTMTWPERSSLRRSRFNTYRIRSQEQEKEVEQSVDEMKND DEERLKLTKNTIKVEIDPHKSPFRQQDEDSENMSSPGSIGDFQDIYNHYRQSSGEWEQ EMGIEKEAEEVPVKVRNDTVEQDLELREGTTDMVKPSATDDNKETKRHRRRNGWTWLN NKMSREDDNEENQGDDENEENVDSQRMELDNSKKHYISLFNGGEKTEVSNKEEMNNSS TSTATSQTRQKIEKTFANLFRRKPHHKHDASSSPSSSPSSSPSIPNNDAVHVRVRKSK KLGNKSGREPVEPIVLRNRPRPHRHHHSRHGSQKISVKTLKDSQPQQQIPLQPQLEGA IEIEKKEESDSESLPQLQPAVSVSSTKSNSRDREEEEAKKKNKKRSNTTEISNQQHSK HVQKENTDEQKAQLQAPAQEQVQTSVPVQASAPVQNSAPVQTSAPVEASAQTQAPAAP PLKHTSILPPRKLTFADVKKPDKPNSPVQFTDSAFGFPLPLLTVSTVIMFDHRLPINV ERAIYRLSHLKLSNSKRGLREQVLLSNFMYAYLNLVNHTLYMEQVAHDKEQQQQQQQQ P YMR274C MLQFSTFLVLLYISISYVLPLYATSQPEGSKRDNPRTIKSRMQK LTIMLISNLFLVPFLQSQLSSTTSHISFKDAFLGLGIIPGYYAALPNPWQFSQFVKDL TKCVAMLLTLYCGPVLDFVLYHLLNPKSSILEDFYHEFLNIWSFRNFIFAPITEEIFY TSMLLTTYLNLIPHSQLSYQQLFWQPSLFFGLAHAHHAYEQLQEGSMTTVSILLTTCF QILYTTLFGGLTKFVFVRTGGNLWCCIILHALCNIMGFPGPSRLNLHFTVVDKKAGRI SKLVSIWNKCYFALLVLGLISLKDTLQTLVGTPGYRITL YMR275C MAKDLNDSGFPPKRKPLLRPQRSDFTANSSTTMNVNANTRGRGR QKQEGGKGSSRSPSLHSPKSWIRSASATGILGLRRPELAHSHSHAPSTGTPAGGNRSP LRRSTANATPVETGRSLTDGDINNVVDVLPSFEMYNTLHRHIPQGNVDPDRHDFPPSY QEANNSTATGAAGSSADLSHQSLSTDALGATRSSSTSNLENLIPLRTEHHSIAAHQST AVDEDSLDIPPILDDLNDTDNIFIDKLYTLPKMSTPIEITIKTTKHAPIPHVKPEEES ILKEYTSGDLIHGFITIENKSQANLKFEMFYVTLESYISIIDKVKSKRTIKRFLRMVD LSASWSYSKIALGSGVDFIPADVDYDGSVFGLNNSRVLEPGVKYKKFFIFKLPLQLLD VTCKQEHFSHCLLPPSFGIDKYRNNCKYSGIKVNRVLGCGHLGTKGSPILTNDMSDDN LSINYTIDARIVGKDQKASKLYIMKEREYNLRVIPFGFDANVVGERTTMSQLNDITKL VQERLDALRKIFQRLEKKEPITNRDIHGADLSGTIDDSIESDSQEILQRKLDQLHIKN RNNYLVNYNDLKLGHDLDNGRSGNSGHNTDTSRAWGPFVESELKYKLKNKSNSSSFLN FSHFLNSSSSSMSSSSNAGKNNHDLTGNKERTGLILVKAKIPKQGLPYWAPSLLRKTN VFESKSKHDQENWVRLSELIPEDVKKPLEKLDLQLTCIESDNSLPHDPPEIQSITTEL ICITAKSDNSIPIKLNSELLMNKEKLTSIKALYDDFHSKICEYETKFNKNFLELNELY NMNRGDRRPKELKFTDFITSQLFNDIESICNLKVSVHNLSNIFKKQVSTLKQHSKHAL SEDSISHTGNGSSSSPSSASLTPVTSSSKSSLFLPSGSSSTSLKFTDQIVHKWVRIAP LQYKRDINVNLEFNKDIKETLIPSFESCLCCRFYCVRVMIKFENHLGVAKIDIPISVR QVTK YMR276W MSLNIHIKSGQDKWEVNVAPESTVLQFKEAINKANGIPVANQRL IYSGKILKDDQTVESYHIQDGHSVHLVKSQPKPQTASAAGANNATATGAAAGTGATPN MSSGQSAGFNPLADLTSARYAGYLNMPSADMFGPDGGALNNDSNNQDELLRMMENPIF QSQMNEMLSNPQMLDFMIQSNPQLQAMGPQARQMLQSPMFRQMLTNPDMIRQSMQFAR MMDPNAGMGSAGGAASAFPAPGGDAPEEGSNTNTTSSSNTGNNAGTNAGTNAGANTAA NPFASLLNPALNPFANAGNAASTGMPAFDPALLASMFQPPVQASQAEDTRPPEERYEH QLRQLNDMGFFDFDRNVAALRRSGGSVQGALDSLLNGDV YMR277W MTTQIRSPQGLPYPIQIDKLIPSVGSYLHEGDRLLVYKFWYLVE RASDTGDDDNEHDVSPGGSAGSNGVSPPTKQLRESIEFFESPYEGDLISWNVDVGDEV ATANQVICEIKRPCNHDIVYGGLCTQCGKEVSADAFDGVPLDVVGDVDLQISETEAIR TGKALKEHLRRDKKLILVVDLDQTIIHCGVDPTIAEWKNDPNNPNFETLRDVKSFTLD EELVLPLMYMNDDGSMLRPPPVRKCWYYVKVRPGLKEFFAKVAPLFEMHIYTMATRAY ALQIAKIVDPTGELFGDRILSRDENGSLTTKSLAKLFPTDQSMVVVIDDRGDVWNWCP NLIKVVPYNFFVGVGDINSNFLPKQSTGMLQLGRKTRQKSQESQELLTDIMDNEKKLQ EKIDKEVKRQEEKLNHQLATAEEPPANESKEELTKKLEYSASLEVQQQNRPLAKLQKH LHDQKLLVDDDDELYYLMGTLSNIHKTYYDMLSQQNEPEPNLMEIIPSLKQKVFQNCY FVFSGLIPLGTDIQRSDIVIWTSTFGATSTPDIDYLTTHLITKNPSTYKARLAKKFNP QIKIVHPDWIFECLVNWKKVDEKPYTLIVDSPISDEELQNFQTQLQKRQEYLEETQEQ QHMLTSQENLNLFAAGTSWLNNDDDEDIPDTASDDDEDDDHDDESDDENNSEGIDRKR SIEDNHDDTSQKKTKAEPSQDGPVQHKGEGDDNEDSDSQLEEELMDMLDD YMR278W MLQGILETVPSDLKDPISLWFKQDRNPKTIEEVTALCKKSDWNE LHKRFDSRIQFGTAGLRSQMQAGFSRMNTLVVIQASQGLATYVRQQFPDNLVAVVGHD HRFHSKEFARATAAAFLLKGFKVHYLNPDHEFVHTPLVPFAVDKLKASVGVMITASHN PKMDNGYKVYYSNGCQIIPPHDHAISDSIDANLEPWANVWDFDDVLNKALKQGKLMYS REEMLKLYLEEVSKNLVEINPLKLEVKAKPWFVYTPMHGVGFDIFSTIVKKTLCLVEG KDYLCVPEQQNPDPSFPTVGFPNPEEKGALDIGINLAEKHDIDLLVANDPDADRFSVA VKDMQSGEWRQLTGNEIGFLFAFYEYQKYKSMDKEFQHVHPLAMLNSTVSSQMIKKMA EIEGFHYEDTLTGFKWIGNRAILLEKKGYYVPFGFEEAIGYMFPAMEHDKDGISASIV FLQAYCKWKIDHNLDPLNVLENGFKKYGVFKEYNGYYVVPNPTVTKDIFDYIRNVYTP EGASYPSSIGEEIEVLYYRDLTTGYQSDTINHKPTLPVDPTSQMITVSARPSNGSENE HIRFTIRGSGTEPKLKVYIEACANEEQRASFLAKLTWNVLRREWFRPDEMNIVTKF YMR279C MFSIFKKKTSVQGTDSEIDEKITVKAKDKVVVSTEDEEVTTIVS STKSTQVTNDSPWQDPTYFSSFGKELMFIATCMLAQLLNQAGQTHALCIMNVLSKSFN SEANNQAWLMASFPLAAGSFILISGRLGDIYGLKKMLIVGYVIVIVWSIISGLSKYSN SDAFFITSRAFQGVGIAFILPNIMGLVGHVYKVGSFRKNIVISFIGACAPTGGMFGGL FGGLIVTEDPNQWPWVFYAFGIATFLSLLMAWYSIPNNVPTNIHGLSMDWTGSALAII GLILFNFVWNQAPIVGWDKPYIIVLLIISVIFLVAFFVYESKYAEVPLLPRAMTKNRH MIMILLAVFLGWGSFGIWTFYYVSFQLNLRHYSPVWTGGTYFVFVIFGSMAAFFVAFS IKRLGPALLLCFSLMAFDAGSIMFSVLPVEQSYWKLNFAMQAILCFGMDLSFPASSII LSDGLPMQYQGMAGSLVNTVINYSASLCLGMGGTVEHQINKSGNDLLKGYRAAVYLGV GLASLGVVISVTYMLENLWNRHRKSEDRSLEA YMR280C MANNNSDRQGLEPRVIRTLGSQALSGPSISNRTSSSEANPHFSK NVKEAMIKTASPTPLSTPIYRIAQACDRCRSKKTRCDGKRPQCSQCAAVGFECRISDK LLRKAYPKGYTESLEERVRELEAENKRLLALCDIKEQQISLVSQSRPQTSTDNTINGN FKHDLKDAPLNLSSTNIYLLNQTVNKQLQNGKMDGDNSGSAMSPLGAPPPPPHKDHLC DGVSCTNHLHVKPTSTSLNDPTAISFEQDEAPGLPAVKALKSMTTHQRSTQLATLVSL SIPRSTEEILFIPQLLTRIRQIFGFNSKQCLYTVSLLSSLKNRLPAPRLLAPSTSTKL KEKDEDKKLDDDSAFVKRFQSTNLSEFVDLKKFLISLKFNINSFSKQSEKPANDQDDE LLSLTEIKELLHLFFKFWSNQVPILNNDHFLIYFNNFVEVVKHLSTENLETNNTTKST VTTNHEIFALKLLMMLQMGLLVKIKMEKIKYTVPKNPKAKYARLMAYYHQLSLIIPKN PYFLNMSTTSLPSLQLLSLASFYYLNVGDISAIYGVRGRIVSMAQQLRLHRCPSAVLS VHSNPVLQKFEQSERRLLFWAIYYVDVFASLQLGVPRLLKDFDIECALPISDVEYKDQ LSMENEKADKKAKKIQLQGQVSSFSLQIIRFAKILGNILDSIFKRGMMDERITSEVAL VHENALDNWRNQLPEMYYFQITVNGTVNLDEIRATNQRNTETKFDKKDIILFEKKILL LFYFLAKSMIHLPVIATKPLPKNVDNATKKKQSMFNNDSKGATNQDHMILDVDMTSPA IRTSSSYIILQQATNATLTIFQAINSMYLPLPLNVSRTLIRFSLLCARGSLEYTKGGA LFLDNKNLLLDTIKDIENDRLLDLPGIASWHTLKLFDMSINLLLKAPNVKVERLDKFL EKKLNYYNRLMGLPPATTTSLKPLFGSQSKNSLENRQRTPNVKRENPEHEYLYGNDSN NNNNSEAGHSPMTNTTNGNKRLKYEKDAKRNAKDGGISKGENAHNFQNDTKKNMSTSN LFPFSFSNTDLTALFTHPEGPNCTNTNNGNVDVCNRASTDATDANIENLSFLNMAPFL QTGNSNIGQNTIENKPMHMDAIFSLPSNLDLMKDNMDSKPEQLEPVIKQNPENSKNNQ FHQKGKSTNMEKNNLSFNNKSNYSLTKLMRLLNNDNSFSNISINNFLYQNDQNSASAD PGTNKKAVTNAGANFKPPSTGSNTSQGSILGSTKHGMDNCDFNDLGNFNNFMTNVNYS GVDYDYIVDASLGLAPLLVDTPDISNTNTTSTTSNRSKNSIILDTTFNDDLDRSRMNA REVLNPTDSILSQGMVSSVSTRNTSNQRSLSSGNDSKGDSSSQENSKSATGNQLDTPS TLFQMRRTSSGPSASHRGPRRPQKNRYNTDRSKSSGGGSSNTDNVSDLFQWQNAK YMR281W MKMLRRTKVNFSKLLYKITKLAIVLTILYIYFTPKIVSRNNASL QHIFPHKYGDYEINLVIAHPDDEVMFFSPIISQLNSYFPRTVPFNIICLSKGNAEGLG ETRVRELNESAALLLHNERAVSVQVMDFQDGMDEIWDIDSITSSLSQKIDIKNHNLNQ IIVTFDSYGVSNHINHKSCYAAVKKLVDDYAQPKTKRNEQPPHVTALYLRSYKNNIVL KYNSFIWEILKILYDLISPFRRIIQALPPNTAAEKDKLSLMNTHAQYVLAFATMLNAH ESQVVWFRYGWWIFSRFVFVNEFDVYTY YMR282C MWINRLVKHPSYSVLRFYTKRLCTVSVKSLREFGVLPNSTICHS VYPRRTYVMGRAVINDILIKKSYSTHTVCAIDRSKDENNGSAYDKFEAKGIPIDVHTL KRIISSSGMDESEFSKSISYLFAKTVDPEPKDVLSLEDLSFLLSKLYTQRFQIRRICR DINAKYSEFWFKLFSLYAEKVDAKRNQVNLRNTKLDACEIFDANLMIKNFIELGQLGK AQKILSFILDRNPDILLSPKNADISTIVHFLQLRCGALAPYWKIPDNSEQKQGFLRKM VRLGAKNTSIRLSSTYKAMDHQTLLKIADLALQEKKLLNSEDLLSTLIQSFGHLGQTQ ILERCIEHIWQISPQEFPSHVVIKHRGCYPSSKILVSILVSFYFNDHDLHRGLSILDS FIKHYPDVKLDALFWRRLFQLSHFAWTPANDKKATSVVRCWHLMKQWYASKRLRPSVD YETLRQLYDIMKKTGNFPLGIDVLRSFKPGIERTRAENAGKVNNIIIKYQKCIIKELV NRGRFSAVREFIDSYGFDRKMTKDLNIFCANRMFLRSKKMKNKIENKKEREKVRLDSF DDDEDDGMIIGSLW YMR283C MVSRLSKRYRSRVQFSISVMDENIYLSLSQINKDIRKENKSVRN RLQSILLDNKFLQDRVIPIFPHYPLIPNERCGLWYCNPSSFKQTSYFKSTDGHVNQWD FSTRRLNFHLLETIRDNKGIIIVDSTRRGKKIPDALSKTVPIWCAVLNTLMLQETEKN VAIDKVLYLPPETVPKSEYDMIKRKIPELVAKLQKLNIIDSKKLNELFMGKLLRPIWV HPGSSLLDHSVDYFTGEVQEYEAWETPEDQNIIPIILCTVSYQAQDGMDKRYGFTYVQ GAADDHELWSFGLDSNMFWAHIEYLGDASYSDDQLHDYIMDLAAAKLRNQCYIQDKGS LDEVFGNIDKITNEISLGKVSSGLTINKNLKQKLKSEYGKVIIFSNSVTVAEDTDDEE ESGTDPFISIYKLQSGDKKSSKALRSTFPRIHGEIQSLFTNRDEKIKPMLICCNTGTD MSIGVILSILCTKYTEEWMLTSELPDISKLIVRKHLTKLISHLKGRNVNPSRATLNSV NSFLM YMR284W MRSVTNAFGNSGELNDQVDETGYRKFDIHEGILFCIELSETMFK ESSDLEYKSPLLEILESLDELMSQLVITRPGTAIGCYFYYCNREDAKEGIYELFPLRD INATFMKKLNDLLEDLSSGRISLYDYFMFQQTGSEKQVRLSVLFTFMLDTFLEEIPGQ KQLSNKRVFLFTDIDKPQEAQDIDERARLRRLTIDLFDNKVNFATFFIGYADKPFDNE FYSDILQLGSHTNENTGLDSEFDGPSTKPIDAKYIKSRILRKKEVKRIMFQCPLILDE KTNFIVGVKGYTMYTHEKAGVRYKLVYEHEDIRQEAYSKRKFLNPITGEDVTGKTVKV YPYGDLDINLSDSQDQIVMEAYTQKDAFLKIIGFRSSSKSIHYFNNIDKSSFIVPDEA KYEGSIRTLASLLKILRKKDKIAILWGKLKSNSHPSLYTLSPSSVKDYNEGFYLYRVP FLDEIRKFPSLLSYDDGSEHKLDYDNMKKVTQSIMGYFNLRDGYNPSDFKNPLLQKHY KVLHDYLLQIETTFDENETPNTKKDRMMREDDSLRKLYYIRNKILESEKSEDPIIQRL NKYVKIWNMFYKKFNDDNISIKEEKKPFDKKPKFNI YMR285C MTQDKEVKVVAPDVAPDQEVEINKSVKDAKHQTNDDSLLQHKKK GKKGKKSKPIVTPEHIAKVRAEREVMRKAKRDAMLAQGVDPDCPPELHFIRRPFLSLH EAEPVTGFRFKLMTYNCLAQALIRRKLFPDSGDALKWYRRSKVLLNEFKYYNSDVICL QEIDHIQFQSFWKDEFSKLGYDGQYYRNATKNHGVAIMWRRELFHQVDKMLIDYDKES SESISTRTTTNNVGLVLALKFSEKVLSNLGKKSSKKCGILIGTTHLFWHPFGTYERTR QCYIVLKKMKEFMHRVNVLQNENDGDLSHWFPFFCGDFNSQPFDTPYLSMTSKPVHYR NRAKTVIGCSTSYKFSKVRDGEEGADDEEGGNIEKYGKDQPESPVPEKFHANEEQSEL VDKMAQLHNSLDMRAISLYSVGYKNVHPENAGLDNDRGEPEISNWANTWRGLLDYLFY VKKWDPQSNCQEVETLGDFEKENKVKCRGFLRMPPGNEMTKHGQPHVGEYASDHLSMV CDLELQL YMR286W MVFYKVTLSRSLIGVPHTTKSIVKSLGLGKRGSIVYKKVNPAIA GSLAKVKELVKVEVTEHELTPSQQRELRKSNPGFIVEKRTID YMR287C MVVRRKVHVLLIARSFHSYTPCFRVTTRGKRQRSKSKQQAKVEL DHTRELDNDQATETVVDRSVGPEKDIESINKDFLQRTKGLEPDIELKQLPQIKQEFNQ RYKDRYVKPSEDWYVNSWRSLTKPKIPLYKLINSDFQLITKLKAPNPMEFQPVQLMES PLNVGDFVLLKMRPNELAMCVSLPSSTMDPRYTFVTIDGTMCFATKNRVLLRIPHKLP AGIHSLIQPESHHKHLPIGTVKNFSNQTNILPIVARQLITSRYPAQISKLAWKDLPIT TKKLQLLHRSLQNYMGPWQIPFFTLVGLVQKLDLNKALDDKNGINYLTSLVNNYHTVN DIPINSPTFVSTYWAIMQQQESNLWGEIHLNTALLSPISVTIIPLKSQHLYYAQVIEK LEANSYREVNKFVKLVNERKYRDISALYPSVIQLLKDFAAGNFHNNGIIVALISKIFR KIERYKDCDITRDICQDLINEITPNSIPNPLLLNMDLALPASSKLVKWQQKLYDLTNI EELQWKKSGTDDDRYDFGDLRVFCIDSETAHEIDDGVSVKNYGRDGLYTLYIHIADPT SMFPESTNVDIEGISTDILNVALKRSFTTYLPDTVVPMLPQSICHLSDLGKQGQRTKT ISFSVDVKITSKCSGKSIEIMYDSFKIRKGIVSNFPKATYEDVDRILGTPNSEASPVK KDLESLSMISKLLREQRIKNSNAVIFGEGFNKGLVMLNADSEGELTEVTFSDQEETLS TILVSEMMILANTLTGRYFAENKIGGVFRCYKQLPLGEVAQQQYDSMITSTKKGIFPK LKDIVKLSSLLNSSFYTGRPFRHEMIGAKQYLTVTSPLRRFPDLINHLQIHRHLQKKP LCFNQTQIDSLIWPIQSRADILKRASRNSSTYWTLNYLKKLTKLEPERTFDVMVTSVP QNGFTGCVFPDLSFARGTLKLHPSSMHYPMIGDIVKNCKISKIDCLEGMLELEKL YMR288W MSHPIQFVNANNSDKSHQLGGQYSIPQDLRENLQKEAARIGENE KDVLQEKMETRTVQNREDSYHKRRFDMKFEPDSDTQTVTSSENTQDAVVPRKRKSRWD VKGYEPPDESSTAVKENSDSALVNVEGIHDLMFFKPSDHKYFADVISKKPIDELNKDE KKERTLSMLLLKIKNGNTASRRTSMRILTDKAVTFGPEMIFNRLLPILLDRSLEDQER HLMIKTIDRVLYQLGDLTKPYVHKILVVAAPLLIDEDPMVRSTGQEIITNLSTVAGLK TILTVMRPDIENEDEYVRNVTSRAAAVVAKALGVNQLLPFINAACHSRKSWKARHTGI KIVQQIGILLGIGVLNHLTGLMSCIKDCLMDDHVPVRIVTAHTLSTLAENSYPYGIEV FNVVLEPLWKGIRSHRGKVLSSFLKAVGSMIPLMDPEYAGYYTTEAMRIIRREFDSPD DEMKKTILLVLQKCSAVESITPKFLREEIAPEFFQKFWVRRVALDRPLNKVVTYTTVT LAKKLGCSYTIDKLLTPLRDEAEPFRTMAVHAVTRTVNLLGTADLDERLETRLIDALL IAFQEQTNSDSIIFKGFGAVTVSLDIRMKPFLAPIVSTILNHLKHKTPLVRQHAADLC AILIPVIKNCHEFEMLNKLNIILYESLGEVYPEVLGSIINAMYCITSVMDLDKLQPPI NQILPTLTPILRNKHRKVEVNTIKFVGLIGKLAPTYAPPKEWMRICFELLELLKSTNK EIRRSANATFGFIAEAIGPHDVLVALLNNLKVQERQLRVCTAVAIGIVAKVCGPYNVL PVIMNEYTTPETNVQNGVLKAMSFMFEYIGNMSKDYIYFITPLLEDALTDRDLVHRQT ASNVITHLALNCSGTGHEDAFIHLMNLLIPNIFETSPHAIMRILEGLEALSQALGPGL FMNYIWAGLFHPAKNVRKAFWRVYNNMYVMYQDAMVPFYPVTPDNNEEYIEELDLVL YMR289W MSLMDNWKTDMESYDEGGLVANPNFEVLATFRYDPGFARQSASK KEIFETPDPRLGLRDEDIRQQIINEDYSSYLRVREVNSGGDLLENIQHPDAWKHDCKT IVCQRVEDMLQVIYERFFLLDEQYQRIRIALSYFKIDFSTSLNDLLKLLVENLINCKE GNSEYHEKIQKMINERQCYKMRVLVSKTGDIRIEAIPMPMEPILKLTTDYDSVSTYFI KTMLNGFLIDSTINWDVVVSSEPLNASAFTSFKTTSRDHYARARVRMQTAINNLRGSE PTSSVSQCEILFSNKSGLLMEGSITNVAVIQKDPNGSKKYVTPRLATGCLCGTMRHYL LRLGLIEEGDIDIGSLTVGNEVLLFNGVMGCIKGTVKTKY YMR290C MATPSNKRSRDSESTEEPVVDEKSTSKQNNAAPEGEQTTCVEKF EELKLSQPTLKAIEKMGFTTMTSVQARTIPPLLAGRDVLGAAKTGSGKTLAFLIPAIE LLHSLKFKPRNGTGIIVITPTRELALQIFGVARELMEFHSQTFGIVIGGANRRQEAEK LMKGVNMLIATPGRLLDHLQNTKGFVFKNLKALIIDEADRILEIGFEDEMRQIIKILP NEDRQSMLFSATQTTKVEDLARISLRPGPLFINVVPETDNSTADGLEQGYVVCDSDKR FLLLFSFLKRNQKKKIIVFLSSCNSVKYYAELLNYIDLPVLELHGKQKQQKRTNTFFE FCNAERGILICTDVAARGLDIPAVDWIIQFDPPDDPRDYIHRVGRTARGTKGKGKSLM FLTPNELGFLRYLKASKVPLNEYEFPENKIANVQSQLEKLIKSNYYLHQTAKDGYRSY LQAYASHSLKTVYQIDKLDLAKVAKSYGFPVPPKVNITIGASGKTPNTKRRKTHK YMR291W MTTASSSASQLQQRLPEEKPWPQLSGSNADAQTFKCKYVTNHNS LGDGNFSVVKECMNIHTKDLYAMKLIKKQTVKNKIQLIQREFDLLRSISEKIRDMEKK NEHSLDIFEGHHHILQLFDYFETADNIVLITQLCQKGDLYEKIVENQCLDLETQVTSY CACLVSVLEFLHSQGIVHRDLKAENVLFRLRVNENEKNLQGEHHGDFKYDLLAHDLVL ADFGLAAEYNTSKVNSLKEFVGTISYIAPEIVKCKGVGEMTPDQVGKLDKYGCPVDIW ALGVLTYFMAFGYTPFDCTTDDETLECISKCDYYVDEQMMHDPKYEQFWNFVQCCFTI DPAVRRSAKNLKQHPFIKDYFATSNSLNTKDTPNFSFHPTIRRVSSTASMHTLRSPSK SRKTTTLAYLNMDGGSSETSTAFSSKMDLPDLYVDRTINSRERSLNRIRDTLKKTLSM TSLKPAGTFDYLHANKNGTSLSSSKSGLVKKNSTFVLDPKPPKNSLMNGCFSTTPESR SNFNTPKTLSRQGSSTSVKKYVNEVDLLLTPRTASMSSNDTTAINDYDTTNDKNPARK HAASFQVNVDDSDGDETMQI YMR292W MWLTEAQKFGVAFTFGGFLFFLFGIFTFFDRALLALGNILFLIG VFLIIGSQKTYIFFTRPNKRRGSLFFLVGAFLILLKWTFLGFIIESLGIIGLFGDFFG VIVQFLRSMPIIGPILSHPAIAPIVDKLAGVRVLPV YMR293C MPLKRSLKESIERLSSFQSKYNIFTSINPSPYSITNKKGTKETL TGCVASIKDNIVTKDFPTTCASHILENFKSPFDATVVKLLKQAGVHILGKTNLDEFGM GSGGVHSIRGPVINPLYPHEDKKIMGGSSSGAAASVACDLVDFALGTDTGGSVRLPAC YGSVLGFKPSYGRLSRFGVIAYSQSLDTVGILSKKINVLRKVFHTLDKYDMKDPTSLS VELRELIEGNKKVRRPLKVGIVKEFSHESMPIGFHRLYLSLLEKLINLGLEIYPVSIP SVKNCLPIYYTLSPAEAASNLSRYDGIRYGYRDSELDIKDGILFAPTRSKFGTEVKNR IILGNYNLCSDAFKNNFIKAEKLRVNLIDEFDGIFRFPNVLTNSKGNPDGLDLLIVPT SSKLPGSIRDFEEEEAKSPANSYINDVFTVPMSLAGLPSLSMPLKEKTPIGLQVVGQY GDDSTVLDFVESIS YMR294W MNVIDLSDPAINVDYDSLIGIDNEESQEIFENEVKEDGQQEEQE EASSRKDGLIVEPGRDVESLRRAIRDQLLFKIHRQNQSDCADARKLSNDEEDESRQQK LERIREELEELKIENLTSEMQTEIKELCEIQSKLATESSSRLTNLRKKLLETYEGQDT VILPNIILDTSNIKRLQKLDQKISLMERFVGIPEALEAEEDRKSVHSKVNELYRSIQL LQGDDKAEGKLQKFRDRLVELNEEFENSLLGKKIQQDLRLKDDTVSKLVMPENKVKEI NSMYSMFKQYQDSLPLLAERMKSLNKMNNRVIEVYETTKGLDSQITSIQEQGKVWLKA LNELDKKFDEQEVKIRENMEQIRRKIDTLEDEALQRNSK YMR295C MMHFRKKSSISNTSDHDGANRASDVKISEDDKARLKMRTASVAD PILDAVQEAQPFEQAADTFHDNMNRQSYFSNEEGHVLCDVFGQPITQADISNPTRARD ERPLDTIRSFEYAVSGDPVWAQQLETPTYGFRVRPDFPVFGAAVTYDANGMPQQVGGA SSQMYGEQAVYQPQQHVQTEEKQKKKKKGLFGRMKKK YMR296C MAHIPEVLPKSIPIPAFIVTTSSYLWYYFNLVLTQIPGGQFIVS YIKKSHHDDPYRTTVEIGLILYGIIYYLSKPQQKKSLQAQKPNLSPQEIDALIEDWEP EPLVDPSATDEQSWRVAKTPVTMEMPIQNHITITRNNLQEKYTNVFNLASNNFLQLSA TEPVKEVVKTTIKNYGVGACGPAGFYGNQDVHYTLEYDLAQFFGTQGSVLYGQDFCAA PSVLPAFTKRGDVIVADDQVSLPVQNALQLSRSTVYYFNHNDMNSLECLLNELTEQEK LEKLPAIPRKFIVTEGIFHNSGDLAPLPELTKLKNKYKFRLFVDETFSIGVLGATGRG LSEHFNMDRATAIDITVGSMATALGSTGGFVLGDSVMCLHQRIGSNAYCFSACLPAYT VTSVSKVLKLMDSNNDAVQTLQKLSKSLHDSFASDDSLRSYVIVTSSPVSAVLHLQLT PAYRSRKFGYTCEQLFETMSALQKKSQTNKFIEPYEEEEKFLQSIVDHALINYNVLIT RNTIVLKQETLPIVPSLKICCNAAMSPEELKNACESVKQSILACCQESNK YMR297W MKAFTSLLCGLGLSTTLAKAISLQRPLGLDKDVLLQAAEKFGLD LDLDHLLKELDSNVLDAWAQIEHLYPNQVMSLETSTKPKFPEAIKTKKDWDFVVKNDA IENYQLRVNKIKDPKILGIDPNVTQYTGYLDVEDEDKHFFFWTFESRNDPAKDPVILW LNGGPGCSSLTGLFFELGPSSIGPDLKPIGNPYSWNSNATVIFLDQPVNVGFSYSGSS GVSNTVAAGKDVYNFLELFFDQFPEYVNKGQDFHIAGESYAGHYIPVFASEILSHKDR NFNLTSVLIGNGLTDPLTQYNYYEPMACGEGGEPSVLPSEECSAMEDSLERCLGLIES CYDSQSVWSCVPATIYCNNAQLAPYQRTGRNVYDIRKDCEGGNLCYPTLQDIDDYLNQ DYVKEAVGAEVDHYESCNFDINRNFLFAGDWMKPYHTAVTDLLNQDLPILVYAGDKDF ICNWLGNKAWTDVLPWKYDEEFASQKVRNWTASITDEVAGEVKSYKHFTYLRVFNGGH MVPFDVPENALSMVNEWIHGGFSL YMR298W MSQPTPIITTKSAAKPKPKIFNLFRVCFISLLLIAAVEYFKYGT RINYEWFHCTPIKEPQSGSVIKLWARGGPSCDKRGEYKTIVKRITRDYEPNDEHLSFC IIENDNVPPVHYPIHEDKGEPGYVAYVGYDTDSELVQELCADSTIYHM YMR299C MDNCNAWDKLLSQNESTINSTETATITAIIYSPSSKTLHQFINI CFPEGSNSILDTTLINYATIGWTNDLKENYSVDVYTLIRNTDDALDLLKPFLQEHSSK VRWLILLDWTLNDQKLWLNELSYAFNKIKQLNDDNEFSVWCLNSGEILNLQRHTTVWQ SVHIDFILQTLRSFCYFNDSSLFYICEDHTEEKREEAQRLKYQELLKHFCEDRDMKDH IEMVKRSEILIPKGCDSIGLIKTVDERFEPTEVKEQHFLARYMDFIPTIDKIREDRKT TSGIDLDKLYPLEVFKVNIQEELGKMFAKYRENSRI YMR300C MCGILGIVLANQTTPVAPELCDGCIFLQHRGQDAAGIATCGSRG RIYQCKGNGMARDVFTQQRVSGLAGSMGIAHLRYPTAGSSANSEAQPFYVNSPYGINL AHNGNLVNTASLKRYMDEDVHRHINTDSDSELLLNIFAAELEKHNKYRVNNEDVFHAL EGVYRLCRGGYACVGLLAGFALFGFRDPNGIRPLLFGERENPDGTKDYMLASESVVFK AHNFTKYRDLKPGEAVIIPKNCSKGEPEFKQVVPINSYRPDLFEYVYFARPDSVLDGI SVYHTRLAMGSKLAENILKQLKPEDIDVVIPVPDTARTCALECANVLGKPYREGFVKN RYVGRTFIMPNQRERVSSVRRKLNPMESEFKGKKVLIVDDSIVRGTTSKEIVNMAKES GATKVYFASAAPAIRYNHIYGIDLTDTKNLIAYNRTDEEVAEVIGCERVIYQSLEDLI DCCKTDKITKFEDGVFTGNYVTGVEDGYIQELEEKRESIANNSSDMKAEVDIGLYNCA DY YMR301C MLLLPRCPVIGRIVRSKFRSGLIRNHSPVIFTVSKLSTQRPLLF NSAVNLWNQAQKDITHKKSVEQFSSAPKVKTQVKKTSKAPTLSELKILKDLFRYIWPK GNNKVRIRVLIALGLLISAKILNVQVPFFFKQTIDSMNIAWDDPTVALPAAIGLTILC YGVARFGSVLFGELRNAVFAKVAQNAIRTVSLQTFQHLMKLDLGWHLSRQTGGLTRAM DRGTKGISQVLTAMVFHIIPISFEISVVCGILTYQFGASFAAITFSTMLLYSIFTIKT TAWRTHFRRDANKADNKAASVALDSLINFEAVKYFNNEKYLADKYNGSLMNYRDSQIK VSQSLAFLNSGQNLIFTTALTAMMYMGCTGVIGGNLTVGDLVLINQLVFQLSVPLNFL GSVYRDLKQSLIDMETLFKLRKNEVKIKNAERPLMLPENVPYDITFENVTFGYHPDRK ILKNASFTIPAGWKTAIVGSSGSGKSTILKLVFRFYDPESGRILINGRDIKEYDIDAL RKVIGVVPQDTPLFNDTIWENVKFGRIDATDEEVITVVEKAQLAPLIKKLPQGFDTIV GERGLMISGGEKQRLAIARVLLKNARIMFFDEATSALDTHTEQALLRTIRDNFTSGSR TSVYIAHRLRTIADADKIIVLDNGRVREEGKHLELLAMPGSLYRELWTIQEDLDHLEN ELKDQQEL YMR302C MLLVRTTSLNVSRMPVPCLARGIGILKGKYRLANLMNAQPSVRH VSSEIQQKDQQAGESNTATDTGVIHKSDEETLIYFDNVYARTTSVWNPTLWYNLLLRN QSRDAVREKIRNLASPPNNPIYGLELKSTIPVKRDGGVFATFVVPPKYTKAQVNSLIQ QNTARESSKNLLSYFTRASAFPVKGSPWIEDLRRLPSTTIVIKFQGPALTEEEIYSLF RRYGTIIDIFPPTAANNNVAKVRYRSFRGAISAKNCVSGIEIHNTVLHIQYENIRRGH LVSNFFTNHTRIAIPVLFALLSIFAVLVFDPIREFSIEQKITHKYSLSWDNKFWKQLK TLTSSTMTSIKYYWGGPDDNHQRKHLWEERIEKVNDLKMWLEENNNTFVVIRGPRGSG KHDLVMQHTLQNRANVLYLDCDKLIKSRTDPMFLKNAASQLGYFPIFPWIDSVTGVLD LTVQGLTGQKTGLSETKESRFRNMLTTSLMSIRRIALKNYKAFVSTGDGTVNVKEEDY LQQHPEAKPVIVIDRFEGKSEINGFVYKELSDWAAMLVQMNIAHVIFLTETVASNQRL SESLPNQVFKNLILSDASKENSRNYVLSQLEDYLYYNKKSKGENVKEPESEKETAENN DSDSEADTSVKKAEVILNEKELQEIDASLEPLGGRMLDLQAFVRRVKSGEEPSEAVDK MIEQASEQITQMFLSDKIDSNKSAQAWELIELLSANPVIPFHEIVNKPLFKAAPETGI MELENNGLITVSRDRGVLQEIRPAKPLYRAAFTYLINDPELAKVLKTRYLLKVVGFET GRIKKWEEELKPLGKVPDQKLFKTRLDYLSGKINASNAVITKCEEEIKNLSK YMR303C MSIPETQKAIIFYESNGKLEHKDIPVPKPKPNELLINVKYSGVC HTDLHAWHGDWPLPTKLPLVGGHEGAGVVVGMGENVKGWKIGDYAGIKWLNGSCMACE YCELGNESNCPHADLSGYTHDGSFQEYATADAVQAAHIPQGTDLAEVAPILCAGITVY KALKSANLRAGHWAAISGAAGGLGSLAVQYAKAMGYRVLGIDGGPGKEELFTSLGGEV FIDFTKEKDIVSAVVKATNGGAHGIINVSVSEAAIEASTRYCRANGTVVLVGLPAGAK CSSDVFNHVVKSISIVGSYVGNRADTREALDFFARGLVKSPIKVVGLSSLPEIYEKME KGQIAGRYVVDTSK YMR304W MSSEDELGSIGTVFPGSPIDKSIGSILPQFDEEVETLLEDSFTW NIPDWNELTNPKYNSPRFRIGDFEWDILLFPQGNHNKGVAVYLEPHPEEKLDETTGEM VPVDPDWYCCAQFAIGISRPGNGDTINLINKSHHRFNALDTDWGFANLIDLNNLKHPS KGRPLSFLNEGTLNITAYVRILKDPTGVLWHNFLNYDSKKVTGYVGFRNQGATCYLNS LLQSYFFTKYFRKLVYEIPTEHESPNNSVPLALQRAFYQLQVSDIPLDTLELTRSFGW DTAESFTQHDVQELNRILMDRLENNMKGTPVEGKLNEIFVGKMKSYIKCINVDYESAR VEDFWDLQLNVKNFKNLQESFDNYIEMELMNGENQYAAQDYGLQDAQKGVIFESFPPV LHLQLKRFEYDFNYDQMVKVNDKYEFPETIDLSPFVDKDVLKKTLDSENKDKNPYVYN LHGVLVHSGDISTGHYYTLIKPGVEDQWYRFDDERVWRVTKKQVFQENFGCDRLPDEK VRTMTRGEYQNYIIQRHTSAYMLVYIRQEQEEDLLRPVLESDVPKHVITRVREEIKER ETKEKEIREAHLYVTLRLHSIKEFIHYEGFDYFAHDGFRLFAEELNDSGLQQINLKVL RTTKLSDIFASIKETMNIPQERDVKYWKMDYRRNSTLRLTQPINFESVNITLQEALKK EKKRTMQTQYGEEGVASTEEDDKALLETVSFLDLFIEEPYLELQFLNKLKEASLISKA QLDDELISTIRTNLPELTKGGIEPVFATDNKSNLLFVKSYDPHTQKLLGFGHFAVNQL QQLSDISAIIEDSISSNEKLTFYEEVQPGTINEIYMKETIYDADIDTGDIVSFEVPGA VLPDTFPVYATIKDFYSYLRYRVKLKFSKFDGSSEEYGVSNEIPESFEFWISAYAPYD DLARMVSKYAHVKPEYLKIIALYSNGRFVLKSTSLLNDYLLKDFNCDQIPPFAFEVLS VPLKELERLRPIKLYWLKNSYIHYQCFEFEVANDYTESQFLEKVQHKIGFTDEEKENI LLWTNTNFQFQGLLSDQNTFKDVSKHSLLFGRILPEESKLFKELNRLENVQTSSLEDF MDDENATDRPMDDEQDLGMAIEHSEDMKGRIVVVQQYFKDLENRHGISFLFNLIPDET FPKTKDRLHAKFGLGQKEFSKIKLSIGYSTEEGTVFRSLQGFSDEELDKVILYDIMSN LDYIYMDHPDRLRSHSSYDRPMIIKN YMR305C MRFSNFLTVSALLTGALGAPAVRHKHEKRDVVTATVHAQVTVVV SGNSGETIVPVNENAVVATTSSTAVASQATTSTLEPTTSANVVTSQQQTSTLQSSEAA STVGSSTSSSPSSSSSTSSSASSSASSSISASGAKGITYSPYNDDGSCKSTAQVASDL EQLTGFDNIRLYGVDCSQVENVLQAKTSSQKLFLGIYYVDKIQDAVDTIKSAVESYGS WDDITTVSVGNELVNGGSATTTQVGEYVSTAKSALTSAGYTGSVVSVDTFIAVINNPD LCNYSDYMAVNAHAYFDENTAAQDAGPWVLEQIERVYTACGGKKDVVITETGWPSKGD TYGEAVPSKANQEAAISSIKSSCGSSAYLFTAFNDLWKDDGQYGVEKYWGILSSD YMR306W MDFMSPKFSLTDVEYPAWCQDDEVPITMQEIREIFVELMDKFGF QKSSMENMYQHLMGQLDSRASRTGAQNALVSLHVSYIGGEHANYRKWYFAAQLDLDEE IGFQNMRLHGKARQRNVKMAKKRGVSIKEQIKQWNEKEQEFINNHPKITLTQEQLEDQ TNLKSADYKWKLKMKKLTPENMIRQLALYLLCWGEANQVRFAPECLCFIFKCALDYDI STSSSEKTVKSPEYSYLNDVITPLYEFLRGQVYKKDAKGNWKRREKDHKNIIGYDDIN QLFWYPEGFERIILNNGERLVDKPLEERYLYFKDVAWSKVFYKTYRETRSWKHCFTNF NRFWIIHFAPFWFFTTFNSPTLYTKNYIQLLNNQPTPQVRLSVIAFGGTIACLVQILA TVFEWGFVPREWPGAQHLSSRMIGLLFCLAINLGPSVYVLGFFEWDVHSKSAYIVSIV QLIIAFLTTFFFAVRPLGGLFRPYLNKDKKHRRYISSQTFTASFPKLTGRSKWFSYGL WVFVYLAKYIESYFFLTLSLRDPIRVLSIMDLSRCQGEYLLGPILCKWQAKITLVLML LSDLGLFFLDTYLWYIICNCIFSIVLSFSLGTSILTPWKNVYSRLPKRIYSKILATSE MDVKFKAKILISQVWNAIVISMYREHLLSIEHLQRLLFQQVDSLMGDTRTLKSPTFFV AQDDSTFKSMEFFPSNSEAKRRISFFAQSLATPISEPVPVDCMPTFTVLVPHYSEKIL LGLKEIIREESPKSKITVLEYLKHLHPTEWECFVKDTKLLSMEKSFLKEAESSHDEDR LEIPDALYDPRSSPLSDHTESRKLPTEDDLIKEKINDLPFSYFGFNSSEPSYTLRTRI WASLRTQTLYRTLSGFMNYSKAIKLLYRIENPSLVSLYRGNNEALENDLENMASRKFR MVVAMQRYAKFNKDEVEATELLLRAYPNMFISYLLEELEQNESEKTYYSCLTNGYAEF DEESGLRKPIFKIRLSGNPILGDGKSDNQNHSIIFYRGEYIQVIDANQDNYLEECLKI RSVLSEFEELELNPTIPYIPGIEYEEEPPPIAIVGSREYIFSENIGVLGDIAAGKEQT FGTLFARTLAEIGGKLHYGHPDFLNGIFMTTRGGLSKAQRGLHLNEDIYAGMNAICRG GKIKHSDYYQCGKGRDLGFGSILNFTTKIGAGMGEQLLSREYYYLGTQLPMDRFLSFF YAHPGFHLNNLFISFSVQLFFVLLLNLGALNHEIIACFYDKDAPITNLETPVGCYNIQ PALHWVSIFVLSIFIVFFIAFAPLLIQEVLEKGIWRAASRFLHHLLSMAPLFEVFVCQ VYSNSLLMDLTFGGAKYISTGRGFAITRLDFFTLYSRFVNISIYSGFQVFFMLLFAII SMWQPALLWFWITVISMCFAPFIFNPHQFAFMDFFIDYKTFIHWLFSGNTKYQKESWA NFVKSSRSRFTGYKSKTVDDISEDSGHDSKKARFWNVFFAELFLPFCVFLFNFTAFSF INAQTGVSDSTPTSAVFRLLLVTFLPIFLNSIVLFLLFWVSLFVVPGLSYCCKDAGAV IAFIAHTFSVLVYLLDFELMWFLQGWNFTRTLILLITCINMHLILFKVFTTIFLTREY KNNKAHLAWWNGKWYNTGMGWSIILQPIREYFVKIMESSYFAADFFLGHFLLFIQTPI ILLPFIDYWHTMVLFWMNPRSIIAHKRILTRKQRALRSRIVSKYFSLYFVMLGVLLFM LIAPFFAGDFVSSPQELLEGTLFEGIFQPNNQNNNDTGPNAPSTILTTTPTLPTFRTV A YMR307W MLFKSLSKLATAAAFFAGVATADDVPAIEVVGNKFFYSNNGSQF YIRGVAYQADTANETSGSTVNDPLANYESCSRDIPYLKKLNTNVIRVYAINTTLDHSE CMKALNDADIYVIADLAAPATSINRDDPTWTVDLFNSYKTVVDTFANYTNVLGFFAGN EVTNNYTNTDASAFVKAAIRDVRQYISDKNYRKIPVGYSSNDDEDTRVKMTDYFACGD DDVKADFYGINMYEWCGKSDFKTSGYADRTAEFKNLSIPVFFSEYGCNEVTPRLFTEV EALYGSNMTDVWSGGIVYMYFEETNKYGLVSIDGNDVKTLDDFNNYSSEINKISPTSA NTKSYSATTSDVACPATGKYWSAATELPPTPNGGLCSCMNAANSCVVSDDVDSDDYET LFNWICNEVDCSGISANGTAGKYGAYSFCTPKEQLSFVMNLYYEKSGGSKSDCSFSGS ATLQTATTQASCSSALKEIGSMGTNSASGSVDLGSGTESSTASSNASGSSSKSNSGSS GSSSSSSSSSASSSSSSKKNAATNVKANLAQVVFTSIISLSIAAGVGFALV YMR308C MSALPEEVNRTLLQIVQAFASPDNQIRSVAEKALSEEWITENNI EYLLTFLAEQAAFSQDTTVAALSAVLFRKLALKAPPSSKLMIMSKNITHIRKEVLAQI RSSLLKGFLSERADSIRHKLSDAIAECVQDDLPAWPELLQALIESLKSGNPNFRESSF RILTTVPYLITAVDINSILPIFQSGFTDASDNVKIAAVTAFVGYFKQLPKSEWSKLGI LLPSLLNSLPRFLDDGKDDALASVFESLIELVELAPKLFKDMFDQIIQFTDMVIKNKD LEPPARTTALELLTVFSENAPQMCKSNQNYGQTLVMVTLIMMTEVSIDDDDAAEWIES DDTDDEEEVTYDHARQALDRVALKLGGEYLAAPLFQYLQQMITSTEWRERFAAMMALS SAAEGCADVLIGEIPKILDMVIPLINDPHPRVQYGCCNVLGQISTDFSPFIQRTAHDR ILPALISKLTSECTSRVQTHAAAALVNFSEFASKDILEPYLDSLLTNLLVLLQSNKLY VQEQALTTIAFIAEAAKNKFIKYYDTLMPLLLNVLKVNNKDNSVLKGKCMECATLIGF AVGKEKFHEHSQELISILVALQNSDIDEDDALRSYLEQSWSRICRILGDDFVPLLPIV IPPLLITAKATQDVGLIEEEEAANFQQYPDWDVVQVQGKHIAIHTSVLDDKVSAMELL QSYATLLRGQFAVYVKEVMEEIALPSLDFYLHDGVRAAGATLIPILLSCLLAATGTQN EELVLLWHKASSKLIGGLMSEPMPEITQVYHNSLVNGIKVMGDNCLSEDQLAAFTKGV SANLTDTYERMQDRHGDGDEYNENIDEEEDFTDEDLLDEINKSIAAVLKTTNGHYLKN LENIWPMINTFLLDNEPILVIFALVVIGDLIQYGGEQTASMKNAFIPKVTECLISPDA RIRQAASYIIGVCAQYAPSTYADVCIPTLDTLVQIVDFPGSKLEENRSSTENASAAIA KILYAYNSNIPNVDTYTANWFKTLPTITDKEAASFNYQFLSQLIENNSPIVCAQSNIS AVVDSVIQALNERSLTEREGQTVISSVKKLLGFLPSSDAMAIFNRYPADIMEKVHKWF A YMR309C MSRFFSSNYEYDVASSSSEEDLLSSSEEDLLSSSSSESELDQES DDSFFNESESESEADVDSDDSDAKPYGPDWFKKSEFRKQGGGSNKFLKSSNYDSSDEE SDEEDGKKVVKSAKEKLLDEMQDVYNKISQAENSDDWLTISNEFDLISRLLVRAQQQN WGTPNIFIKVVAQVEDAVNNTQQADLKNKAVARAYNTTKQRVKKVSRENEDSMAKFRN DPESFDKEPTADLDISANGFTISSSQGNDQAVQEDFFTRLQTIIDSRGKKTVNQQSLI STLEELLTVAEKPYEFIMAYLTLIPSRFDASANLSYQPIDQWKSSFNDISKLLSILDQ TIDTYQVNEFADPIDFIEDEPKEDSDGVKRILGSIFSFVERLDDEFMKSLLNIDPHSS DYLIRLRDEQSIYNLILRTQLYFEATLKDEHDLERALTRPFVKRLDHIYYKSENLIKI METAAWNIIPAQFKSKFTSKDQLDSADYVDNLIDGLSTILSKQNNIAVQKRAILYNIY YTALNKDFQTAKDMLLTSQVQTNINQFDSSLQILFNRVVVQLGLSAFKLCLIEECHQI LNDLLSSSHLREILGQQSLHRISLNSSNNASADERARQCLPYHQHINLDLIDVVFLTC SLLIEIPRMTAFYSGIKVKRIPYSPKSIRRSLEHYDKLSFQGPPETLRDYVLFAAKSM QKGNWRDSVKYLREIKSWALLPNMETVLNSLTERVQVESLKTYFFSFKRFYSSFSVAK LAELFDLPENKVVEVLQSVIAELEIPAKLNDEKTIFVVEKGDEITKLEEAMVKLNKEY KIAKERLNPPSNRR YMR310C MSSTRKFKKVEKPLSQTRHYSLCIPTTLVSDCRNLSQITHKVYQ VAKFASLFNVSEVVILEDNSQVDATKKKISTAKLILALLQYFVTPPYLRNTVFNEKFR PYLTAASKLPRLSTLPFTRYQKQDHGRYREGLTIKMQKPTLARKKIGKVFKQTKYINI GKSKALALQSQLVPINARVTIDTITRKIVSPQEAYGDFTGLDSQYGYYTRIASSFTDL FMKGPLKEGYTQSVYVPLTTRDTSIPELSSLPTAETNPHILLVFSTWDTLARAFKLDQ DQFVDCQGPQEFFDAQLPCPVSNSDVADAIPMTLTTLSTVF YMR311C MGGILKNPLALSPEQLAQQDPETLEEFRRQVYENTQKNAKLTSH KRNIPGLDNTKEEGEIIGTSSTFLPKDTLSLKHEQDMLAKMTPEERVQWNQRNLAENE ITKKQFQDIHIDEPKTPYQGAVDPHGEYYRVDDDEDEDNSDKKPCQVANDDIDDLSLG EPEFEIKENKQPDFETNDENDEDSPEARHKKFEEMRKKHYDVRAIFNKKSREALKDED EDEDDSTTKEP YMR312W MGSVQRQDLVLFSDQSVLPAHFFQDSNSHNLFFITHQSCTQPLW MINALVETHVLGSPSSLNESSSSMLPSSTRSHAVLASFIHEQNYFTNSLNKLKIPSNN YNVLDFLSDFIVNNIHNKPRDKILSDVLAKFSAAIQNNPTDTIVIIEQPELLLSLVSG LTCSELNNKFITPLLRQCKVLIIVSNSDIFNIDEYDASVHSSNLQNFYKSSFIKSMIN LNLNPLKTGFAKDVTGSLHVCRGGAPIATSNTSLHVVENEYLYLNEKESTKLFYR YMR313C MKETAQEYKVSAVIPTLLKNWILRVVYATLDHIPPFVWEILHVI TDIYFFWVQKLINYVRPHSRVIYYNAIKKLDECDTYQMWCQQASVVDEITGANLWRRN FFSRRYDFNSVIEQYSILENMLREEKYDVVKEKFSTTGPCMLRNFAGIGDKKLFTKSL MGTKLLIEQYLTRILEGLDILNNQTLTPTSFFQRCKLSLGTTALILQGGSLFGLFHLG VIRGLLLQDLMPNIISGSSMGACVASLFGCLSNEQLKQLLTDDNLLNIIKNDVDLLKS CGYGNLEQHLNLGTLIQNLIHHGYSQDVYLFIRFVMKYIVKEKTFEEVYQITGKVFNI VIHPTDKSCPNLLNYVTTPNVLIKSAIECSLGSGVISEDTSLLCKNLENEIEPFLNIN KNKQVKFLTPENANNPSITESPYTRLTELFNVNNFIVSLARPYLAPLVVNDLKHEIKT SKYYYYKHYPNMPPINANTVRKTQRSSSQSPIKAGTVEDLEPEPLMSPVPPSSAVNDS AEYIIPELGIPQLNFTEMEPLAFKFKYHLERKLKNIATMEFRHRMEVLDNLGLLCSLI KRLIIDEKTPRSATEIAVVPRMKSLSLTRIIEGQLNNIPYWIKSGERSTWPALALIKT RCAVEFKLDDIIRARRSR YMR314W MFRNNYDGDTVTFSPTGRLFQVEYALEAIKQGSVTVGLRSNTHA VLVALKRNADELSSYQKKIIKCDEHMGLSLAGLAPDARVLSNYLRQQCNYSSLVFNRK LAVERAGHLLCDKAQKNTQSYGGRPYGVGLLIIGYDKSGAHLLEFQPSGNVTELYGTA IGARSQGAKTYLERTLDTFIKIDGNPDELIKAGVEAISQSLRDESLTVDNLSIAIVGK DTPFTIYDGEAVAKYI YMR315W MSPLNVGIVGTGIFARDRHLPSYQEFPDKFKVIAAFNRHKAKAL DFAKVADIPENKVYDNLDEILNDPHVDYIDALLPAQFNADIVEKAVKAGKPVILEKPI AANLDQAKEIVKIAESTPLPVGVAENWLYLPCIKIAKEQIEKIGPVVAFTHNSTGPFV TQNKYLTTTWRQKPEHIGGFLSDGGVHQLALVISLLGEFGSVSALTRQVRERSGADDI VFATVQLKNKEVIGSFTYGSAFGATEKSVFLKVYGKNGTVTVDLSDKKDPVVKVKLGG SAEDNGDEQIFKVDNDESFGVNAEFLNFHEAVSKKDKSLYLGTPRTAFHHLACVDAFL KSSAKNGDYVKIEQP YMR315W-A MTERKLLQLLRRPFISLSLFTALRACPLRPKSLIA YMR316W MGSISRYLLKKAADGLKDEQRLKIEMSDSKSVPECFHFNRERRM PIAEINGEDGFFMFPSQQSLENFENTKKYSNELSPDAIGIPLFQIINCTLPFGKRGHS NTVVGNVPYYKIFKFILRTADEPPPYTVAKIVCSNNGLILYKVPLYDIYKNVSQANVT YSFVGTTSTEPNLLAMAHREGHRDLDTKVNNLNLRWHVTYSPVVTNDHYKLILLADYE VNRLDEDVIRAAKNKMSIDQKDQKVQRFVAAHYTREFETSLFRWVAQEGHLILGEYST DQGSFGLNNIPPLTEELGCQSLLIHYIEYMKRQRKKIAKEARRQNKRNVANTTNMNMN LM YMR316C-A MTGFKVSSFFYILALSRFFNAGRERACDKLKITVTHLYWFIIRK LLLHEVHVHVSRVCNVSFILSPCLFRNFFTLSLHVLYVMYEQALTPEFFRQWWDIIQS K YMR317W MGSSGSKSTTATTTSHSSTTTTSSTTSTTTPTTTSTTSTTSTKV TTSPEIIVSSSSTLVSSVVPEFTSSSSLSSDTIASILSSESLVSIFSSLSYTSSDISS TSVNDVESSTSGPSNSYSALSSTNAQLSSSTTETDSISSSAIQTSSPQTSSSNGGGSS SEPLGKSSVLETTASSSDTTAVTSSTFTTLTDVSSSPKISSSGSAVTSVGTTSDASKE VFSSSTSDVSSLLSSTSSPASSTISETLPFSSTILSITSSPVSSEAPSATSSVISSEA SWATSSSVSSEAPLATSSVVSSEAPSSTSSVVSSEAPSSTSSSVSSEISSTTSSSVSS EAPLATSSVVSSEAPSSTSSSVSSEISSTTSSSVSSEAPLATSSVVSSEAPSSTSSSV SSEAPSSTSSSVSSEAPSSTSSSVSSEISSTKSSVMSSEVSSATSSLVSSEAPSAISS LASSRLFSSKNTSVTSTLVATEASSVTSSLRPSSETLASNSIIESSLSTGYNSTVSTT TSAASSTLGSKVSSSNSRMATSKTSSTSSDLSKSSVIFGNSSTVTTSPSASISLTASP LPSVWSDITSSEASSISSNLASSSAPSDNNSTIASASLIVTKTKNSVVSSIVSSITSS ETTNESNLATSSTSLLSNKATARSLSTSNATSASNVPTGTFSSMSSHTSVITPGFSTS SASLAINSTVVSSSLAGYSFSTPESSPTTSTLVTSEAPSTVSSMTTSAPFINNSTSAR PSPSTASFITESTSSISSVPLASGDVTSSLAAHNLTTFSAPSTSSAQLVSKSTTSSSI LVTPRIDRSGNSSTASRIATSLPNKTTFVSSLSSTSAHARNIFNSTVLATAKQIETLT STVNCSNPTPNYNITKTVIVSRETTAIGTVTSCSGGCTKNRKSTTLITITDIDASTVT TCPEKEVTSTTSGDEAEHTTSTKISNFETSTFSESFKDMKTSQETKKAKPGSETVRSS SSFVEKTSPTTKASPSTSPSESKAAGNTSVATNASPSTSPSESQGTGSTSVEGAKSKS TKNSEGVSTTKAKNTSTVAKSSTESPIGRGETTLETIIVSSQKSLLTSQLSSSTEKVN RSTTKPTAAIHGTSSSAKQSTTYTVSTAKENTGASLNINMKAFVIGAIALVA YMR318C MSYPEKFEGIAIQSHEDWKNPKKTKYDPKPFYDHDIDIKIEACG VCGSDIHCAAGHWGNMKMPLVVGHEIVGKVVKLGPKSNSGLKVGQRVGVGAQVFSCLE CDRCKNDNEPYCTKFVTTYSQPYEDGYVSQGGYANYVRVHEHFVVPIPENIPSHLAAP LLCGGLTVYSPLVRNGCGPGKKVGIVGLGGIGSMGTLISKAMGAETYVISRSSRKRED AMKMGADHYIATLEEGDWGEKYFDTFDLIVVCASSLTDIDFNIMPKAMKVGGRIVSIS IPEQHEMLSLKPYGLKAVSISYSALGSIKELNQLLKLVSEKDIKIWVETLPVGEAGVH EAFERMEKGDVRYRFTLVGYDKEFSD YMR319C MGKIAEFLGNPGARPDVHHRAPTVDCKQYEEFGDSNDYKNDDVV RVVSHSDESTDDELCNVNLTETGAIFTSKGFTGLSKGFTDKTLDFLVRVAGSQAVFFI VWIILIIWVVIGIVYNAPFNWQVVMQDGQSIQSYVWDTLLMRQQLMSTHEQILICGRL KSRLASFKNYLTRSTPEEEKADCTVEANEVSSVENHIDPSAINGELPVENWYDRLSNV ASRYMGSIAAMVIFWIGIFVWIGCGAIPKDAGNTPPYTGETTGSNPRLKKFSDAWQMY INTAVAVSLLICTTFLQNIRARHDYFTGRFLVDIFDMDEKIDYRIRKHFNDFETPHPV VTIESKKRSTGRKMIDWYADIIGTGIGVLIGVAVFATWIGIGSPMKWDDNWWLIIGTY TGLIGFLDGFVLREVYFRIVQHEEKNYSDVAKEDLELFQELGIECPEEFSGKAPEINT IGYRTSQYINRICSTPWSVLVSVIIIIGLICIASGLRWSTTGQLIANTPTMIIEEFFL LVLLQAHNWADRQRRVEVTALYARRRILLSYVEKRFPEVMMLEK YMR320W MRRFGKPCIVSHEISARPHILQPCNYKMVLSCKVKKPIELLHLQ ELILYTFMKICLHVNLIQQNINKLSYISRKYPRAPAFISCDNRATEPTKKGFLVCRM YMR321C MMDLGDKINPNLSFLGINCVSFNQSPDILESLHQALPNMALLAY PNSGEVYDTEKKIWLPNSDKLNSWDTVVKQYISSGARIIGGCCRTSPKDIQEISAAVK KYT YMR322C MTPKRALISLTSYHGPFYKDGAKTGVFVVEILRSFDTFEKHGFE VDFVSETGGFGWDEHYLPKSFIGGEDKMNFETKNSAFNKALARIKTANEVNASDYKIF FASAGHGALFDYPKAKNLQDIASKIYANGGVIAAICHGPLLFDGLIDIKTTRPLIEGK AITGFPLEGEIALGVDDILRSRKLTTVERVANKNRAKYLAPIHPWDDYSITDGKLVTG VNANSSYSTTIRAINALYS YMR323W MSITKVHARTVYDSRGNPTVEVEITTENGLFRAIVPSGASTGIH EAVELRDGNKSEWMGKGVTKAVSNVNSIIGPALIKSDLCVTNQKGIDELMISLDGTSN KSRLGANAILGVSLCVARAAAAQKGITLYKYIAELADARQDPFVIPVPFFNVLNGGAH AGGSLAMQEFKIAPVGAQSFAEAMRMGSEVYHHLKILAKEQYGPSAGNVGDEGGVAPD IDTAEDALDMIVKAINICGYEGRVKVGIDSAPSVFYKDGKYDLNFKEPNSDPSHWLSP AQLAEYYHSLLKKYPIISLEDPYAEDDWSSWSAFLKTVNVQIIADDLTCTNKTRIARA IEEKCANTLLLKLNQIGTLTESIEAANQAFDAGWGVMISHRSGETEDPFIADLVVGLR CGQIKSGALSRSERLAKYNELLRIEEELGDDCIYAGHRFHDGNKL YMR325W MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVS DIRAHLAQYYLFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYS TRLRPAISSALSKDGIYTAIPK YNL339C MEIENEQICTCIAQILHLLNSLIITFLDDDKTETGQSFVYIDGF LVKKHNNQHTIVNFETYKNKMKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFES IPTEVRSFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPD FQGGPIPSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLE RCYEIASARPNDSSTMRTFTDFVSGTPIVRGLQKSTIRKYGYNLAPYMFLLLHVDELS IFSAYQASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDILHIILR ACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAV KALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETALKDSYRITLVPSSDGV SVCGKLFNREYVRGFYFACKAQFDNLWEELNDCFYMPTVVDIASLILRNREVLFREPK RGIDEYLENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMI HFAVGYPYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTS DYVFYFVTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFL NTAKGCLVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESAT SELMANHSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSS TRNAEDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQL RLCHEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANC MIRLSRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFR TNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQ RIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVES QPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVS RTKEFVTDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCY LLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSAD TVELIERMDRLAEKQATASMSIVALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANA STNATTNSSTNATTTASTNVRTSATTTASINVRTSATTTESTNSSTNATTTASTNVRT SATTTASINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNS STNATTTASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQ MVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCK GCQKMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLK FFSVKRGEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYK VWSNLRESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQ GPQYVKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFCNK TFRQKLEYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPD GRFDILLCRDSSREVGE YNL336W MKENELKNEKSVDVLSFKQLESQKIVLPQDLFRSSFTWFCYEIY KSLAFRIWMLLWLPLSVWWKLSNNCIYPLIVSLLVLFLGPIFVLVICGLSRKRSLSKQ LIQFCKEVTENTPSSDPHDWEVVAANLNSYLYENKAWNTKYFFFNAMVCQEAFRTTLL EPFSLKKDEAAKVKSFKDSVPYIEEALGVYFREVEKQWKLFNSEKSWSPVGLEDAKLP KEAYRFKLTWFLKRISNIFMLIPFLNFLCCIYVSRGMCLLLRTFYLGWILFMLVQGFQ NMRMIVLSVKMEHKMQFLSTIINEQESGANGWDEIAKKMNRYLFEKKVWKNEEFFFDG IDCEWFFSHFFYRVLSAKKSMRALSLNVELWPYIKEAQLSCSEESLA YNL335W MSQYGFVRVPREVEKAIPVVNAPRPRAVVPPPNSETARLVREYA AKELTAPVLNHSLRVFQYSVAIIRDQFPAWDLDQEVLYVTCLLHDIATTDKNMRATKM SFEYYGGILSRELVFNATGGNQDYADAVTEAIIRHQDLTGTGYITTLGLILQIATTLD NVGSNTDLIHIDTVSAINEQFPRLHWLSCFATVVDTENSRKPWGHTSSLGDDFSKKVI CNTFGYN YNL334C MTVVIGVLALQGAFIEHVRHVEKCIVENRDFYEKKLSVMTVKDK NQLAQCDALIIPGGESTAMSLIAERTGFYDDLYAFVHNPSKVTWGTCAGMIYISQQLS NEEKLVKTLNLLKVKVKRNAFGRQAQSSTRICDFSNFIPHCNDFPATFIRAPVIEEVL DPEHVQVLYKLDGKDNGGQELIVAAKQKNNILATSFHPELAENDIRFHDWFIREFVLK NYSK YNL333W MSEFKVKTGLAQMLKGGVIMDVVTPEQAIIAERAGACAVMALER IPADMRKSGQVCRMSDPRMIKEIMEAVSIPVMAKVRIGHFVEAQILEELQVDYIDESE VLTPADWTHHIEKHNFKVPFVCGAKDLGEALRRINEGAAMIRTKGEAGTGDVSEAVKH ITKIKAEIQQYKENLKTESDFAAKATELRVPVDLLKTTLSEGKLPVVNFAAGGVATPA DAALLMQLGCEGVFVGSGIFKSSDPEKLACAIVEATTHYDNPAKLLQISSDLGDLMGG ISIQSINEAGGKNGARLSEIGW YNL332W MSTDKITFLLNWQPTPYHIPIFLAQTKGYFKEQGLDMAILEPTN PSDVTELIGSGKVDMGLKAMIHTLAAKARGFPVTSVASLLDEPFTGVLYLKGSGITED FQSLKGKKIGYVGEFGKIQIDELTKHYGMKPEDYTAVRCGMNVAKYIIEGKIDAGIGI ECMQQVELEEYLAKQGRPASDAKMLRIDKLACLGCCCFCTVLYICNDEFLKKNPEKVR KFLKAIKKATDYVLADPVKAWKEYIDFKPRLNNDLSYKQYQRCYAYFSSSLYNVHRDW KKVTGYGKRLAILPPDYVSNYTNEYLSWPEPEEVSDPLEAQRLMAIHQEKCRQEGTFK RLALPA YNL331C MTDLFKPLPEPPTELGRLRVLSKTAGIRVSPLILGGASIGDAWS GFMGSMNKEQAFELLDAFYEAGGNCIDTANSYQNEESEIWIGEWMASRKLRDQIVIAT KFTGDYKKYEVGGGKSANYCGNHKRSLHVSVRDSLRKLQTDWIDILYIHWWDYMSSIE EVMDSLHILVQQGKVLYLGVSDTPAWVVSAANYYATSHGKTPFSVYQGKWNVLNRDFE RDIIPMARHFGMALAPWDVMGGGRFQSKKAMEERKKNGEGLRTFVGGPEQTELEVKIS EALTKIAEEHGTESVTAIAIAYVRSKAKNVFPLIGGRKIEHLKQNIEALSIKLTPEQI EYLESIVPFDVGFPKSLIGDDPAVTKKLSPLTSMSARIAFDN YNL330C MVYEATPFDPITVKPSDKRRVAYFYDADVGNYAYGAGHPMKPHR IRMAHSLIMNYGLYKKMEIYRAKPATKQEMCQFHTDEYIDFLSRVTPDNLEMFKRESV KFNVGDDCPVFDGLYEYCSISGGGSMEGAARLNRGKCDVAVNYAGGLHHAKKSEASGF CYLNDIVLGIIELLRYHPRVLYIDIDVHHGDGVEEAFYTTDRVMTCSFHKYGEFFPGT GELRDIGVGAGKNYAVNVPLRDGIDDATYRSVFEPVIKKIMEWYQPSAVVLQCGGDSL SGDRLGCFNLSMEGHANCVNYVKSFGIPMMVVGGGGYTMRNVARTWCFETGLLNNVVL DKDLPYNEYYEYYGPDYKLSVRPSNMFNVNTPEYLDKVMTNIFANLENTKYAPSVQLN HTPRDAEDLGDVEEDSAEAKDTKGGSQYARDLHVEHDNEFY YNL329C MKASLTFSLSGIYAPCSISRDIYLEYGDKKAECLYGTIRLPQYG PGCTPGKIVHCVLDDSLPFCSIVVPSKLFGFMPTQPTMDFCYFEPILDNVVPVLDSVT FLINEQLYSKLMDLPQEMQQIQFLHYKYNINSMETVVHSRDILTSGLCQILNCSPFPQ GLVDFTETQLILVNDTEQKLSALKYANEDEEYALPKIGTNSALSIDLESLPCTISRDL LRPAPHINDDNSIYAFTDAETLLRLDVTSGSFITVSNMGCVRLVKLFVLLLPNGFKKR TIYAPPKIIASFPDCSVVTISKSNIGHTDIPIANQVFISRVGGWLQSQKCFQNIILTT LKKFFSESKRILCQNDLIPIAFDSSMADLNIAEENDESDDEDELGQYYKNDSLVWFFV TSAELDCFSKDNSHFIIDPNRTKLITTNITNRRPLPLSRSNLQRYYGFAETFYYDLHI FPYVRQLVNILETSFNCSQRGITLNASVLLHSTTNNVGKATMVRFASKYLGIHLLEID CLSLTSNSRQLDSTSKIIGYIRAKCENVLPYASPAVIFLAHLDSILLDVNANQDPEAI KLQKSINFEMSKLLDDFTFKFPGTTFVGSVNNIDNVPSSFRSHMRFEILVPVPSEAQR LRIFQWYLSSHELNRDVQQKVPVSYMDNISFSSLSSYSAGLTPLDIKSIVETARMTAT ARFYQESKKCGWLPQSILITQEDLSKATSKARNEFSVSIGAPQIPNVTWDDIGGIDFV KGEILDTIDMPLKHPELFTSGMKKRSGILFYGPPGTGKTLMAKAIATNFSLNFFSVKG PELLNMYIGESEANVRRVFQKAREAKPCVIFFDEIDSVAPKRGNQGDSGGVMDRIVSQ LLAELDGMSTDADGVFVIGATNRPDLLDEALLRPGRFDKLLYLGIPDTDTKQLNILEA LTRKFVLDNDVKLIELAKLCPFNYTGADFYALCSDAMLNAMSRIARMVEKKVSQHNEL TGENISTRRWFDKIATKEDTKVVVKMEDFLKAQEQLTPSVSRAELNHYEAVRANFEGA YNL328C MVLPIIIGLGVTMVALSVKSGLNAWTVYKTLSPLTIAKLNNIRI ENPTAGYRDALKFKSSLIDEELKNRLNQYQGGFAPRMTEPEALLILDISAREINHLDE KLLKKKHRKAMVRNHPDRGGSPYMAAKINEAKEVLERSVLLRKR YNL327W MNKLLLHLVRVISILGLANALTQTQPILKDIQITDSYTKTKECT DPDHWFIIEGQLSIPKGSQQNITFQVPDAFSSFPQEPFSIKHNSNSVATISRPDKSTN NFTISIPEKSSEDITTTFNFLAQLTSDAKSKVTEPKSIVYSFYSENTMFNDVIDYVAK NTSAITTDGGIYKTNNTAWFTVDLPMRTFRNPVYLTSQTSSSSDYVFDTSLTKFEVVT AVDSFNEPINAIPYTTVHDYSTEDEIRCLFNSTISGGLYFRVTYFTKKLSTSSISNTV ELTYPDEGTSVRLLGKRDTSTTLASELYSESAANIDSTTSDDTTSSDAAITPTYSNST LSSYTSQSSAIPEVAVTASLSSGILSSTVDGASTSADASMSAVSTVSSSSEQASSSSI SLSAPSSSNSTFTTPSSSLSATETYSIISSASISVTQASYIDNSTTTAVTQSTSTIAV SSAEKLSSTLSYTSNVTISVSSATQHTTTPSYVSNSTTLSSSSVLESVISSPYLANTT VSGASSASQSTNPPYVSNSTTSSATQLATIAPFAINITGTSISSSITNTSSVSSTTSS LSSGPFVSNTTVASGSYILTTTTESAQLTEIGSLIPISTITTSTTTSGTDKTGSNKVA SSTEIAQSIVNNSSLSVSTINTNAATAAANARNATFTHATHSGSLQPSYHSSSLLSST IDTKVTTATTSTSRDGSSSLAFTTGLNQSVVTGTDKSDTYSVISSTESAQVTEYDSLL PISTLKPTVVTGTSRNSTFSMVSSTKLTEATATDKGDAYSVISSTQSAQVTEYGSMLP ISTLETPTVIMSTDESGYFTLTTCTESGQATEYGSLIPISTLDGSVIYTFTGESVVVG YSTTVGAAQYAQHTSLVPVSTIKGSKTSLSTEESVVAGYSTTVGAAQYAQHTSLVPVS TIKGSKTSLSTEESVVAGYSTTVDSAQYAEHTNLVAIDTLKTSTFQKATATEVCVTCT ALSSPHSATLDAGTTISLPTSSSTSLSTIITWYSSSTIKPPSISTYSGAAGQLTIRIG SLLLGLISFLL YNL326C MNDRLSLTSLFPRCLTTCLYIWTAYITLTRIHQIPRWFLALTIV PTLAVALYTYYKVIARGPGSPLDFPDLLVHDLKAAENGLELPPEYMSKRCLTLKHDGR FRVCQVCHVWKPDRCHHCSSCDVCILKMDHHCPWFAECTGFRNQKFFIQFLMYTTLYA FLVLIYTCYELGTWFNSGSFNRELIDFHLLGVALLAVAVFISVLAFTCFSIYQVCKNQ TTIEVHGMRRYRRDLEILNDSYGTNEHLENIFDLGSSMANWQDIMGTSWLEWILPIET FKYKKSKHTKDEKGLYFNVRPQVQDRLLSSRCLEDQLLRRVTPRPSLEADRASVEIID AN YNL325C MNNDAMEHTLGGGILTTSGSKQRKTSKFVMGKYTLYETKDRMYI VGSNKRETMFRILEIDLTVPRGELTVLEDNVFFTRNEIMNVLASLEEATEDGLHKKIT GYGLLGFIKFTCWYYLIMVTKYSQVAVIGGHGIYHIDGIDIIPITNNYKKPEKSSDEA RLLNIFKDLDLTKTFYFSYTYDITNTLQTNILREKLKAVDRCDITIPCGITDYNEMFV WNNNLLSPIFACIDTVFDWFQCIIHGFIDQVNVSVLGKSIYITLIARRSHHFAGARFL KRGVNNKGHVANEVETEQIVTDMILTPFHQPGNGFFDSDRYTSFVQHRGSIPLYWTQD ASNLTTKPPIRINVVDPFFSPAALHFDNLFQRYGGGTIQILNLIKTKEKTPRETKLLW EFEQCIDYLNEFLPTLKKLDYTSWDMSRASKQDGQGVIEFLEKYAVNTVTTTGIFHNG PDFASTKIQEGICRSNCIDCLDRTNAAQFVIGKRALGCQLKSLGIIDNSYLEYDSDIV NILTELFHDLGDTIALQYGGSHLVNTMETYRKINQWSSHSRDMIESIKRFYSNSFVDA QRQDAINLFLGHYSWREGFPSLWEMNTDFYLHNAYSLNMPKRSYIHWWNDYNIKSVKE LINEELIATGNDVTREKIIKNVRGYPGAFDNYWNEYYLPRSVTWIRDLFAYNMNSTRR YHNALSKQDKAMSPFTSRKQSWLNNKLKMITSSKSLEKAEGRVVETTDLDRDTSPKQE LELYEHYLHIISDRSQKLEEKMNSFSYSKYPIFISHESSEIPPMRKVIGEPLVDIAED FTDVYDDDDDGDDENDEMTTEALLIAPDHVSVDEKFYEKVLNVDDYKPALDDYSAVIH IKPDNLQLYRDLCFSKDIQLDFQ YNL323W MVNFDLGQVGEVFRRKDKGAIVSGDNPEEEEDVDASEFEEDEVK PVRTKNRRPKEDAFTQQRLAAINPVLTPRTVLPLYLLIAVVFVIVGGCILAQNSKVDE VTIYYQDCMTNATSSWSDIPSEHWQFVFHKYKTYNTAPQWRFVDDESDDFTKQRGTCQ IRFTTPSDMKNNVYLNYVLEKFAANHRRYVLSFSEDQIRGEDASYETVHDATGINCKP LSKNADGKIYYPCGLIANSMFNDTFPLQLTNVGDTSNNYSLTNKGINWESDKKRYKKT KYNYTQIAPPPYWEKMYPDGYNETNIPDIQDWEEFQNWMRPGAFDKITKLIRINKNDT LPAGEYQLDIGLHWPVLEFNGKKGIYLTHGSHLGGRNPFLGIVYLIGGCICAAMALIL LTFWLFGGRKIADASSLSWNMK YNL322C MMRRTLLHSFATLLLSLSLWSAAVMAAVTTQVTVVTNVAGALVT ETTIWDPATAAAAATTTAQTGFFTTVFTTTNDVGTTVTLTQTVNRATMLPTTTTSTSS TGKTTTTVPTATSSLSSGLYLSTVTTTNDLGTTVTLTQTFTHSSTSATSSASSSVSSS VSSSGSSSSVKTTTSTGSAVAETGTRPDPSTDFTEPPVSAVTSLSIDSYITITEGTTS TYTTTRAPTSMWVTVVRQGNTITVQTTFVQRFSSQYVTVASPSVGSIGMGTLTGTVGV IKSAIKKTVSHNEAQHLGMSSFTSILGGLLTVLIWFL YNL321W MAKNNHISASGNSTSGDHRLKEEVLTPTTSASTPHRIFSVDDDP KEIQNDIRYLEGLHEGLKFALHANKSKRSVSSQSPIVHSSNNTLHHHEHQQHLPPTLE SLSSKSHSVPDLNTATPSSPKRMHSSIRELPHDDNDDEDANDDSRFIIHDSHGHDLLI DEINCQSPSHLENNDQASNASSTESFTLRERQDAINETHPFGIRIWKPALYKKHRSVQ RTAAQDIHETQLKTITWEVTCSNVLWFILFGFPIAILFYSAAIVVFLLGGGGLVTNSA KEYSKCLYKLANYFLWPFGKMVYLLQDEQYLQEDKDEGISMQQFYNWVTSYSNRLVFH QSQAKFQQREDHPAPATESSSLMPPANTTATPLNSNHPSYNSIRHEIPHAAAQRRYFG RGKWSWGRVLFYTIFHLVLQPILAVLSLCLWLLVFTIPMSNVLWQIMYHCRRHPLALG FKYVENSSQSHENEITQQQLNKNILLCTFRAAGWHYYKYTVDGTNVIVVNLISIVFFT IFDFYVLKNFLHWKTWFTYESSIFILCLTSTIPLAFYIGQAVASISAQTSMGVGAVIN AFFSTIVEIFLYCVALQQKKGLLVEGSMIGSILGAVLLLPGLSMCGGALNRKTQRYNP ASAGVSSALLIFSMIVMFVPTVLYEIYGGYSVNCADGANDRDCTFSHPPLKFNRLFTH VIQPMSISCAIVLFCAYIIGLWFTLRTHAKMIWQLPIADPTSTAPEQQEQNSHDAPNW SRSKSTCILLMSTLLYAIIAEILVSCVDAVLEDIPSLNPKFLGLTIFALIPNTTEFLN AISFAIHGNVALSMEIGSAYALQVCLLQIPSLVIYSIFYTWNVKKSMINIRTQMFPLV FPRWDIFGAMTSVFMFTYLYAEGKSNYFKGSMLILLYIIIVVGFYFQGALSE YNL320W MLWKVSKMFLGGLVALTTISVATLYHYQNRLVYPSWAQGARNHV DTPDSRGIPYEKLTLITQDHIKLEAWDIKNENSTSTVLILCPNAGNIGYFILIIDIFY RQFGMSVFIYSYRGYGNSEGSPSEKGLKLDADCVISHLSTDSFHSKRKLVLYGRSLGG ANALYIASKFRDLCDGVILENTFLSIRKVIPYIFPLLKRFTLLCHEIWNSEGLMGSCS SETPFLFLSGLKDEIVPPFHMRKLYETCPSSNKKIFEFPLGSHNDTIIQDGYWDIIRD FLIEKGFI YNL318C MTAQIPYQHSSGYISHFHNNELDAGRGRDYNVTIKYLDDKEENI EGQAAKISHNASLHIPVLLCLVISLGGFIFGWDIGTIGGMTNMVSFQEKFGTTNIIHD DETIFVSTKKLTDLQIGLIISIFNISCGVGALTLSKIGDWIGRKGGIWFALVVYCIGI TIQILSYGRWYFLTLGRAVTGIGVGVTTVLVPMFLSENSPLKIRGSMVSTYQLIVTFG ILMGNILNFICERCYKDPTQNIAWQLPLFLGYIWAIIIGMSLVYVPESPQYLAKIKND VPSAKYSFARMNGIPATDSMVIEFIDDLLENNYNNEETNNESKKQSLVKRNTFEFIMG KPKLWLRLIIGMMIMAFQQLSGINYFFYYGTSVFKGVGIKDPYITSIILSSVNFLSTI LGIYYVEKWGHKTCLLYGSTNLLFYMMTYATVGTFGRETDFSNIVLIIVTCCFIFWFA ITLGPVTFVLVSELFPLRTRAISMAICTFINWMFNFLISLLTPMIVSKIDFKLGYIFA ACLLALIIFSWILVPETRKKNEQEINKIFEPE YNL317W MDGHNQNQYQNQNQIQQSQQPPLKKYVTQRRSVDVSSPYINLYY NRRHGLPNLVVEPETSYTIDIMPPNAYRGRDRVINLPSKFTHLSSNKVKHVIPAIQWT PEGRRLVVATYSGEFSLWNASSFTFETLMQAHDSAVTTMKYSHDSDWMISGDADGMIK IWQPNFSMVKEIDAAHTESIRDMAFSSNDSKFVTCSDDNILKIWNFSNGKQERVLSGH HWDVKSCDWHPEMGLIASASKDNLVKLWDPRSGNCISSILKFKHTVLKTRFQPTKGNL LMAISKDKSCRVFDIRYSMKELMCVRDETDYMTLEWHPINESMFTLACYDGSLKHFDL LQNLNEPILTIPYAHDKCITSLSYNPVGHIFATAAKDRTIRFWTRARPIDPNAYDDPT YNNKKINGWFFGINNDINAVREKSEFGAAPPPPATLEPHALPNMNGFINKKPRQEIPG IDSNIKSSTLPGLSI YNL316C MASKTLRVLFLGPKGTYSHQAALQQFQSTSDVEYLPAASIPQCF NQLENDTSIDYSVVPLENSTNGQVVFSYDLLRDRMIKKALSLPAPADTNRITPDIEVI AEQYVPITHCLISPIQLPNGIASLGNFEEVIIHSHPQVWGQVECYLRSMAEKFPQVTF IRLDCSSTSESVNQCIRSSTADCDNILHLAIASETAAQLHKAYIIEHSINDKLGNTTR FLVLKRRENAGDNEVEDTGLLRVNLLTFTTRQDDPGSLVDVLNILKIHSLNMCSINSR PFHLDEHDRNWRYLFFIEYYTEKNTPKNKEKFYEDISDKSKQWCLWGTFPRNERYYHK YNL315C MWRLTRKIGTRIHISNQLSPIFNKAIGTVPVFRFYSSSPEQKYR KKLLEEAQKQGFNSIEELKNHLKETIESKKREFNKIDPLKELEDYQQKTQMENNNSKH LMTKSRSPLDPSAPKVPFKTLDSFLDVGKLKDLSKQEVEFLWRARWAQKDNTLCAVIP VSVYDKMMANARNNPIFVLPLPRQVQSEDAKPNEEQGMELHYIQWQFVGPQTTHCMMT SLAEYKLHQEFARPHTTLQFHSDLVKDKGIVFMNGHVEPDTNVNVQDAQLLLLNVQRF YGAMGEETPVAKQRVQLLRDFSKASPGFTVEKLISLSQSMEN YNL314W MDESVDPVELLLRLLIRHKPHLKPYAYRQDSWQRVLDEYNRQTG SRYRQSRTLKTKFRRLKDLFSADRAQFSPSQLKLMGALLDEAPEHPRPRTKFGNESSS SLSSSSFIKSHPGPDPFQQLSSAEHPNNHSSDDEHSGSQPLPLDSITIGIPPTLHTIP MILSKDNDVGKVIKSPKINKGTNRFSETVLPPQMAAEQSWSDSNMELEICLDYLHNEL EVIKKRQEDFECKVLNKLNIIEALLSQMRPPSQGDKI YNL313C METLLHAKLLLSAEVESLKSGSFDQTYVKKAEHIISGESYQLVQ QFVDKFKGKISISGEISTSSVIAALNDFLNVEVFKMGQENEMLFLAIALLQTFIQNNY TGPAARLKAISGLFGKTGIEIGAVNTALSRSLAIMGQPAYEFMDDPLYLVLSLLLLER ITGQKSLFDVTPDQEIPLPIISAESTPGLLAVAYWWWARALLTQLSLIPEPSGFQASV ASAIYQSADLAYAITKELPESIHEDFKRELCAMYYLENVKCSLAINTEHLCLPSLTRA KKITNFEFVMTGARATRTKYQQKAHAGLIILAKSFTFQNFALRTTSATPETFALESDL LLEKPHFESIADEPLDEQIYSKRQKVDLNEGYEEDKLLPLALRQENIPKLLLDLNPND QPTLSDYDNIQLLLRLYTIKNTTPAKDPLVEEELTALLSRILYQNGDKNWSIFARSLW ERSIIETTKAKTIERGLLQMQSLVEELDLKIKSKLVPSSSEINVASRLSYIHQLPFIP RWQLDATLAEKYMSLGILKSAVEIYERLGMACETALCYAAVGDEKKAEEILLQRINEN DSDARAYSILGDIKQDPSLWEKSWEIGKYVNAKNSLAKYYFNPPPKSGAQPNYSATLK HLNDSLRQYPLSFETWYFYGCVGLQCGKMQIAAEAFTRCVSLDPYHALSWSNLSAAYT KMDKLKEAYSCLKRAISCDAQKNWKIWENYMLVAVKLNEWEDVLTACKQLVSIRRDKS GEGSIDLPIIEKLVELLVTSEYPEEPQQLSYFQKSCTEFICNTLPQVITTSARCWRLV ARVELWRKRPWAALECHEKAYRAISHNPDLEVEEKVWNDTVDACEDLVAAYESLGEME GKYGPGSLVCKDWKYKCRSTIKALMSKGKGRWDDSPGWDRLVEARSQI YNL312W MATYQPYNEYSSVTGGGFENSESRPGSGESETNTRVNTLTPVTI KQILESKQDIQDGPFVSHNQELHHVCFVGVVRNITDHTANIFLTIEDGTGQIEVRKWS EDANDLAAGNDDSSGKGYGSQVAQQFEIGGYVKVFGALKEFGGKKNIQYAVIKPIDSF NEVLTHHLEVIKCHSIASGMMKQPLESASNNNGQSLFVKDDNDTSSGSSPLQRILEFC KKQCEGKDANSFAVPIPLISQSLNLDETTVRNCCTTLTDQGFIYPTFDDNNFFAL YNL311C MKRLQLFGRSKYFSLVSSAAKEEEEEEEGCADAKSLLHSTSHDI KSRSLRFNDKSSLMCLPTKVLLLILRTLDFNTLVTLCQVNSRFYNLITNEFLFQNVIL DSKLSLLKFNALIHSEFHTSNIVTHSGDCSTQSRSQNARFLVRSIEFKNPQSQDSLLK YSKFYNKSGQDSIIAGSYKLDSYDKDVKKLNNIRLNDETPIITSERIKLLDKLESNYF HYTYIELMLDIIDYLPNLTRVILSDVEPNFKIPLWYSVFNDGSRDFFKKIIKGQQSIT NEDLRTFQLSKKFVKEYESKYYSLPRLKILEIKANNKRQRTFNRQRHHQKLVLRPSLF CCFGIINELKLENVTIDTESLDTPMEFLPLFLKNEDNELYSLQSPITALTLDSCDVVP GNGILRLFHSYFKMVKHLSLLKINSKFDLLLCSCFPSLSNLTIDCNSKCFTNEQVVGE SYYFQQRSLDTEDDFDDCNSMTETLFEAPSDSKIITPPPTSSVVLSLNLNYISRTTGN DVSNNPSPDNNKKPAMLTAAQLQNFQRQRIPEFHSFYHYYRLLWERLPSKNISINVIN IPFTNVYPLSPLSFWEHLARTITSVDETDEDVGDENDQETLIGYENNSIRDNIPNANA VPNLSTVMSPESDIHHTYYWNNSVRRCLRDSLIKLKNRTIEYRDLDVEEFLQNVTLEN FFNDFQDPENFKDIPNINLWCFLRNLSKFKAVKIRMLRHFSLCTPRTRYDWELLLKPV LRVNVPIEVRDKDGFVLYSYGQK YNL310C MIPRTRTLLQSKIPITRYFARCWAPRVRYNVCRTLPAAALHTNI IAHNEVKKDDKKVHLGSFKVDKPKMMIAFTCKKCNTRSSHTMSKQAYEKGTVLISCPH CKVRHLIADHLKIFHDHHVTVEQLMKANGEQVSQDVGDLEFEDIPDSLKDVLGKYAKN NSENASQLPHPSQK YNL309W MSQPQMSPEKEQELASKILHRAELAQMTRQLKLGLSNVPSTKRK QDSTTKKRSGEDAEDVDEDHKTLLEAISPAKKPLHDDTNKMTVISPVKFVEKPNTPPS SRQRKAEDRSQQIKPRKEDTPSTPRASATPIILPHASSHYQRPHDKNFMTPKRNNNNS SNHSNNNNNIKKKAAGSKDAPQDSDNTAGADLLMYLATSPYNKSSHHGTPMAVRMPTT PRSYHYASQLSLNGNTASTSNDAVRFSHIKPSASSPQSTFKSNLLPNFPDESLMDSPS LYLSNNNGSVQATLSPQQRRKPTTNTLHPPSNVPTTPSRELNGTNFNLLRTPNFNMGD YLHNLFSPSPRVPAQQGASNTSASIPSVPAMVPGSSSNTSAIATAAISSHTTNNFLDM NANGIPLIVGPGTDRIGEGESIDDKLTD YNL308C MPRKKSAAKRAREQAKKEAAVPATDTATIKTSETSATTVKPAIE ASKSYVPSEDEEEDEEEEEEEDDYGELITDEVENGINQVLDAIKNNKTDKLLDPKVKF FEDPESAAAKLANREGKHKPIYLKDYHRMNILSGDALKEDDEEYEHATVDGKQSFVSQ QREEKTQLLNEIKSAFSDEENEESSGDEDDGFLKKKEPSTKKEGKNLPDPTVNEENFL EEFVNQQAWIPKKGDKVISLDLNNNEEDDEEFEDAAEKFENAYNFRYEDPNAAEIISY ARSQATLRRSDDSSRRRKREEKRKIKEQIKAEKETALQKKKTKKLNKLTDILEQLTKE YGAEINADMVKKITDTLLKNDFKEEEWDNVVAELFNEEFYQQEGKPTWNEDDEIMGDF YADADGDDQTEEGEVEKEQKEEDEEEGPKRKKSKKEEKLQKKKEKRKVNELVENALEQ NKLALIEEVEKEEEERKSRSRTKEEQDLKFRYREVSPESFGLTAREIFAADDTDLNEF IGLKKFAPYRSKELRAKDKRKVMKARRLREWRKKTFKNENGLAPVEAEAGEKDEDTIL IPVEKASKSKHKRGHSHKHKGHQKK YNL307C MSTEEQNGVPLQRGSEFIADDVTSNKSNNTRRMLVKEYRKIGRG AFGTVVQAYLTQDKKNWLGPFAIKKVPAHTEYKSRELQILRIADHPNIVKLQYFFTHL SPQDNKVYQHLAMECLPETLQIEINRYVTNKLEMPLKHIRLYTYQIARGMLYLHGLGV CHRDIKPSNVLVDPETGVLKICDFGSAKKLEHNQPSISYICSRFYRAPELIIGCTQYT TQIDIWGLGCVMGEMLIGKAIFQGQEPLLQLREIAKLLGPPDKRFIFFSNPAYDGPLF SKPLFSGSSQQRFEKYFGHSGPDGIDLLMKILVYEPQQRLSPRRILAHQFFNELRNDD TFLPRGFTEPIKLPNLFDFNDFELQILGEFADKIKPTKVAE YNL306W MLLQPVWKGCRWTQFVRPIRRWNSTGTNRGVPFSFKDISNQEDI TNISYPSSSDSVLTKSNGSSEVYKPKEEVVKYILHGKFTKNNTHLTFSSVVEDKNFHK NKGLTYNDTMLYYLNLPQKVKISLSTGCLGFRKAARGEYEAAFQTSGRMFELIKEKNM LNKDIEVVMDDFGKGRAAFISALVGKEGASVVKKVVKISDATKLKFGGVRSPKMRRL YNL305C MSGPPPPYEEQSSHLYGQPASSQDGNAFIPEDFKYSTVVISCEP IIRQRFMHKVYSLLSCQLLASLSFCYWASVSTSLQNFIMSHIALFYICMVVSLVSCIW LAVSPRPEDYEASVPEPLLTGSSEEPAQEQRRLPWYVLSSYKQKLTLLSIFTLSEAYC LSLVTLAYDKDTVLSALLITTIVVVGVSLTALSERFENVLNSATSIYYWLNWGLWIMI GMGLTALLFGWNTHSSKFNLLYGWLGAILFTAYLFIDTQLIFRKVYPDEEVRCAMMLY LDIVNLFLSILRILANSNDDN YNL304W MSQRKRYSLNVVTSPSIPSPTPSAPIRTNESNWEAASPASAASS FLPNVHHGGTVLNPGLGIMRSPSLNKSGAFGRSGSSGSSTVIEPSNIKLLLIGDANVG KTAMILSYCRELLTRAEMSRSARLRHQQQQQHKDLGLKKTVVNHRLSMKEKRKRYSSN DFEKEFKDINHFADETSDFGNPNIGDDNNHEMADPNEIVIETRSTIGIDIKTNLVNID NRFFNVILWDTAGQERYQNAIIPSLYKKTNAVILTYDITNAKSFQSCMERWIVQALEN FSSQDLLKARFFLVGNKIDLYKERQVTHYDVVQMVQEMQLKHGIKISGNFEVSCKWVN VVERTMNMIILDLVENGCFENNDPCVSITTSDDVQGHEQEFHDTVEEPFNFTRQRQHQ LEKNNTVDITKPNDDIANNQSICCV YNL302C MAGVSVRDVAAQDFINAYASFLQRQGKLEVPGYVDIVKTSSGNE MPPQDAEGWFYKRAASVARHIYMRKQVGVGKLNKLYGGAKSRGVRPYKHIDASGSINR KVLQALEKIGIVEISPKGGRRISENGQRDLDRIAAQTLEEDE YNL301C MGIDHTSKQHKRSGHRTAPKSDNVYLKLLVKLYTFLARRTDAPF NKVVLKALFLSKINRPPVSVSRIARALKQEGAANKTVVVVGTVTDDARIFEFPKTTVA ALRFTAGARAKIVKAGGECITLDQLAVRAPKGQNTLILRGPRNSREAVRHFGMGPHKG KAPRILSTGRKFERARGRRRSKGFKV YNL300W MKFSTLSTVAAIAAFASADSTSDGVTYVDVTTTPQSTTSMVSTV KTTSTPYTTSTIATLSTKSISSQANTTTHEISTYVGAAVKGSVAGMGAIMGAAAFALL YNL299W MTRLKAKYSPTKGKRKEDKHTKRMRKSSFTRTQKMLEVFNDNRS HFNKYESLAIDVEDDDTFGNLVLMENDKSDVDIPVIEEVTSSEDEQRAESSKRNNSLE DNQDFIAFSDSSEDETEQIKEDDDERSSFLLTDEHEVSKLTSQQSLNTESACNVEYPW IRNHCHSKQRRIADWLTSEIKDFVHYISPSKNEIKCRNRTIDKLRRAVKELWSDADLH VFGSFATDLYLPGSDIDCVVNSRNRDKEDRNYIYELARHLKNKGLAIRMEVIVKTRVP IIKFIEPQSQLHIDVSFERTNGLEAAKLIREWLRDSPGLRELVLIIKQFLHSRRLNNV HTGGLGGFTVICLVYSFLNMHPRIKSNDIDVLDNLGVLLIDFFELYGKNFGYDDVAIS ISDGYASYIPKSCWRTLEPSRSKFSLAIQDPGDPNNNISRGSFNMKDIKKAFAGAFEL LVNKCWELNSATFKDRVGKSILGNVIKYRGQKRDFNDERDLVQNKAIIENERYHKRRT RIVQEDLFINDTEDLPVEEIYKLDEPAKKKQKAKKDKREGEIKKSAIPSPPPDFGVSR SKLKRKVKKTDQGSLLHQNNLSIDDLMGLSENDQESDQDQKGRDTPSGQDEKSPLETK TVDAQTRRDYWLSKGQAL YNL298W MSLSAAANKISDNDFQNIGPAPRPPSSNSQGRTCYNQTQPITKL MSQLDLTSASHLGTSTSKKKSGWVSYKDDGILSFIWQKRYLMLHDSYVALYKNDKQND DAILKIPLTSIISVSRTQLKQYCFELVRCSDRNSVSSGSSSSLNVSSDSNSKKSIYIA TKTESDLHSWLDAIFAKCPLLSGVSSPTNFTHKVHVGFDPETGSFVGMPTNWEKLLKH SRITGEDWNNNSAAVIQVLQFYQEYNGAGNPTNTLDKPQSGETSSSQKSLPNSYNDNK LRNNSVNSKSSSGVSSSMVSQRKTSQPPNTKSPVSLGSGSLPPINTKLPTSQSNIPRH LQNVPNQQYPKMRNGHSPTNGQFPRGPMHPNNSQRSLQQQQQQQQQQKQQHQQYPYHH QGPSPSPSPSPSPLNPYRPHHNMINPYSKQPQSPLSSQSTQNQAIPRYAQNSSPTAAH FQPQRTAPKPPISAPRAPYPSNQNATSNTHVQPVAPKNDQSTPQTMRQAPKRPDADVA QPGGVAKPKKPARPTMSTAEIMSKLKKVTVNADPSQCFKVIEKAGQGASGSVYLAERT HIPTESNMIELINNDIDEPHVGDKVAIKQMVLSKQPRKELIVNEILVMKDSRHKNIVN FLEAYLRTDDDLWVVMEFMEGGSLTDIIENSPTNDNSHSPLTEPQIAYIVRETCQGLK FLHDKHIIHRDIKSDNVLLDTRARVKITDFGFCARLTDKRSKRATMVGTPYWMAPEVV KQREYDEKIDVWSLGIMTIEMLEGEPPYLNEDPLKALYLIATNGTPKLKHPESLSLEI KRFLSVCLCVDVRYRASTEELLHHGFFNMACDPKDLTSLLEWKE YNL297C MAMNTGGFDSMQRQLEAELRSLSSESKRRNSTIRHASDKSIEIL KRVHSFEELERHPDFALPFVLACQSRNAKMTTLAMQCLQGLSTVPSIPRSRLSEILDA FIEATHLAMEIQLKVLQVVPIFFKTYGKFIYGPLCKKLLLCCSNLLHVPNKAPVVVGT ASATLQQLIDEIFDRLSIESVVDDKQYEVLISNSESIKVNVYRYDANKLFDNICSLNE ISSNGAVSDEEMLLDIGDIPIDYGLEILESILKNSQKNLLECQDLQYLLRVKAIPLLL RCISSSRHFSTAVRSCRCLKLLIRKEYLSILELELEVILSLLIHGISVESNLSGWQRV LSLELFKDLSQDPEIVNTLYMDYDNYPDKKHVFKYLLKECIVLLNSPEYITFLAPSKV VEKMDSPLITTENSTVKTKFMHLLDKSNAPSINITYIISLILTICNHLCEGLNKSALE SSPLEKKIEDKEREEGTGNDSTVVKVYSGLFSGLFELNKLFLYSTSLETSIFHLVVRA FQKLAHSAGVLSLKDKLRACMKLFSILITNNVTSSNQYSFNDTSKSAKNQHTRNISTS SVTTSPVESTKNPSRSIADSAQNKEMKRRLHPRNISSRQVSLLRALISLSISLGPIFD SESWRYTFLTWQWITYYIYGPSADFKESFYSEDIPPPPILTKSDVTSIESSLTKFFES TSSYSCSTFHLVLTRLILDSKNTLTLEQTNLNLNNDIGYHPLDAKDEIIPCIYNKAFF VNKIGELATYNCKKFLFGKNGKELWSLISTYMIKLISNREMDNDSLRLYTVRVFTDII KKATNEVGNSDEQDNKVKQFGTLENLVIDSLMATINSIKQLDIGKQEIYNGTINVESD ILFQLLLTLKEILNEFGELLMNSWTNIFNIINSPFEWTVEDTDFSVNEDIDDSSLFEG IVQKHKNMIQVSYDVFKLISDDFLQSLPMSVIKFVIDTLVNFVSQKRNLNISFSSISQ FWLVGDYLRVRFNPETLNLSDEKRRSLSEKINNQKLIEIITSSSSHDWELYNGLWIYL LKNLINCTNDDRVEVKNGAVQTFFRIIDSHSVCFPPWDLIFLEVIEPLLTKEWSTEEL ENETDFINVTLQGLIKLYPEHFKDFKNNTTCAKEWSMLLDFLKRLLSSTSNNTKNAVI LNYQTLLKEIITIEDVPSDILKKCCEIFTDYNITYSDLSTNASSKTEYDCIYELITGF PPLYQLISKYDAMTDEFVEKVLLLFNSAIKYPLLPEFVQDKTKPSSMQKAILSGLDIF MTNDSKDTEILILLQLSTISILAFDTREKITKKLGPKLPKASLNRLPTFEAISYMSCS NLRNRIAKIDQFGISTLKAKHILRILKNLAEIIKRKSLITGSESDEIPIWVLASNCFC DLSNKIFKSLQEDAENPLKDNFCDLFINVIVVTLQRINPELDNLTEIDDLNEYSKYRE ILLENRIIDLFNERQLDTFIYAVWDCSFLYEFDELENALMQDCGTFSELSQKLSSFDF SCIFGSTTNPRFQTKYKCSLECLQDLVNFMLNTNEKLRKLTAPYLSARIALALRRYIS DEYLIGRAPIPKLRKTELATLLNGLCVILRGVLDQNSTLGNKQIGVENLQTLSPLILR TIPVSHKMDGLQDKVLELSLGFTKLD YNL295W MEHQALRRLVLYCPNFIRRGALRQNMTRVSCRHMSGKGGGRDEK GDCNEEKDSSKDLGRVPSKMKRAYDGETVIKEGDSHAESLAQQGKQPTDLAYNSRSKI SGSNLHLLVPRVASTDYISNKEVHTEGLFAGYRPLFLGNSGFPSDARKGKNFHELDDV LPNIQVVDASEKDGKLNVQEIIEDLQRTSLRESIHSMEQLPSSHKRKPVIPWDASISG MVYNDMPFKYVPKNIILKMKPFKLLRIERKSQAKNARKPTMIKLQFHNRRINDTPELV NLYHNKSRLHESLYNTKPLQESGYSSANTSKRQKMLKARSDFEHKQKNYAYKHTFIKN DQELFRNELTKLNKILAREFKKLTKLSIHNEFKREHLPLAVYVSKSKGTKKLFRRSLK MKIMDHIYPVYTTILSTLTNSRDSKKFENKIKAYIEKIIVRLSDEVPSTYFFQDGVDC IIQPSPIHNFKRMHWLRYTKRHNTFWGRTIHKDVQVSFNDKYVVTRSGVRYTRYPTNL NTQLLETAFEEWDYYE YNL294C MNLWRHSPEELAAYNSCHPMKLGSGVLIQLPLYDNSAVYAEDIT FRSFCCERVPVYVSTVLRNSSPYRYLDEVINDWQKFIQVSDYVGGSAEYAIYAVILSI TSNFVITVFLTVICCINISGRAYKRILQLLRIASLLASLNLTIFITKVLRRLEKEHNV YGVVRAHSIMHIFSDDMTFVVLDFLATLMFQFCQVGIVIRLFQRAQEKRIIFFIGVIL TITANILWVIPPFANHTTKHRNDWQILRPFVYLFRIAIATSYASIVIYHIWQKKKLWF KFNQMGLLTLLTILVVLLLPGFFLADVSNLWISELGEVFNTTCYVTSTVITWEWLDRL NVLERKEEAQSILGRPIFEEEQQDYRFAKYALRVQNALTRRESQDASTDRHDTSSNSE VCDLQTISRYDPEDQISVGRSIDRMHFNDRGTYKDVALKKLGYARDKILYFTDQIVQK SVGHNNSSSSKNEKTKQRKAMVRKRLGLDKPGIYIYSTKDVVFNSDEDDDENAEDEDD DEYEVGSEGNNNSSATFTSDHIGHI YNL293W MQNDQQRFSLQNRTVLAHPYKRLGGAFTVKSPSVPNFHDKMHSD HSSSDSALVNGSFRANDHRSVEPSCLGQASPSEHDGNLSVIDLYGDEVESQRAEGEDD DDNNGDNGNEDLEEVHSDDLDLVPDDDNRQRVELEGAASATSANSNGINNTHFDRYGF KKQNNYISEAEYDKWWVEYSQYCVRRKHKWQLLLEKSGLPVTDDSPSRFPSKSERLKR YVRKGIPAEWRGNAWWHFARGQEKLNKNKGVYSQLLRKMKQIKKQNPNEKQVQDLDII ERDLNRTFPDNIHFQSSLHNKEGPPIIKSLRRVLVAFSLYNPKIGYCQSMNFLAGLLL LFLDEERAFWMLVIITSRYLPGVHNINLEGVNIDQGVLMLCVKEYIPEVWSYIKPSID HHQKNNKTFSPSNKKVLFNMQKNEFLYRLPPITLCTASWFMSCFVGVVPIETTLRIWD CLFYEESHFLFKVSLAVLKLSEHDLSKIKPRNNSLNYSWGSNLNQRGGSMGQEDSDME IFQVIQTFPKTLLNPNEIFEKIIFKRRFNLNRLDQDEIDRCRKFVAAQRLKFKTYGEL LGNSTSEADLPINDNTDNKGIHITSDAVNEALSSEVYGFKKSLAGVHWNNSIKEKVKQ MRKKKDKGD YNL292W MNGIFAIEKPSGITSNQFMLKLQHALTKSQVFSKEIQRATAERK QQYEKQTGKKASKRKLRKVSKVKMGHGGTLDPLASGVLVIGIGAGTKKLANYLSGTVK VYESEALFGVSTTSGDVEGEILSQNSVKHLNFDDLKTVEEKFVGQLKQTPPIYAALKM DGKPLHEYAREGKPLPRAIEPRQVTIYDLKVFSDSLKRDHDYPLLRPTTEEAVDTVKN LNANMLNDVLYFSKEYTEKHGLDSEVAKVEEPFPLSEQEEQEIQKEGDSYRAPKLHFK ANVSSGTYIRSLVSDIGKSMRSSCYMVKLIRLQQQDWSLEKNNVFQLTDFTERDEKVW SKVLEKVLDEGATVDVIEELKKAEKEIPADVKECIVSSDQPGDEATAETIETANAEEH SNTLKRKIEQV YNL291C MIVWQALFVVYCLFTTSIHGLFQDFNPFANKNISLKFPSLNRWE KNVMATGQQTIINSDSIYEWTPILSNITAGKKDSFVFTIDAEASGYGFAPTYEVLMFI SGNICQMPMNRSDVDLTIYYSFNETVLENPNIGQSAVFQDGYIQALAISPVQSSSSNA TSTYSNLYVVAELVNSTTEQPLSSSDASENWEYRLSISENDLVFQWDVRPWVEVLDTD MNSALLSTGNVTADAKVYHNYSIYDPSLYDLYVYSYEDSVQLNQNYNLSLCAVKNGPY LVSSQNTSNATVTSNSTNPLERTDLAIQKKITEYGGSVTEMFYVTGLNASTTYVAYLT KKISNGDGLSSVGGILFSHVYFTTRSTDVCSLIFGLDFCSDVAYSVPTSSFSVGNKTL MAQTYDHIAEALYANFSKALQLISCDADKDARYSPVMTCDDCAEAYRDWVCAVSIPRC TTTSSQYYIHRDKSHNRNDYLNKFIKPLDDYYEILPCIDMCYTLVRNCPSDFQFSCPN DLTTEDLLYQSYNFYMDTDYSTCNYIGNSSLMVIHPLDDT YNL290W MSTSTEKRSKENLPWVEKYRPETLDEVYGQNEVITTVRKFVDEG KLPHLLFYGPPGTGKTSTIVALAREIYGKNYSNMVLELNASDDRGIDVVRNQIKDFAS TRQIFSKGFKLIILDEADAMTNAAQNALRRVIERYTKNTRFCVLANYAHKLTPALLSR CTRFRFQPLPQEAIERRIANVLVHEKLKLSPNAEKALIELSNGDMRRVLNVLQSCKAT LDNPDEDEISDDVIYECCGAPRPSDLKAVLKSILEDDWGTAHYTLNKVRSAKGLALID LIEGIVKILEDYELQNEETRVHLLTKLADIEYSISKGGNDQIQGSAVIGAIKASFENE TVKANV YNL289W MCEYSKALHILLKSPVTDDIIKFLTDTTLRVVPSSNYPTPPGSP GEKHLTRLPSLMTFITRLVRYTNVYTPTLLTAACYLNKLKRILPRDATGLPSTIHRIF LACLILSAKFHNDSSPLNKHWARYTDGLFTLEDINLMERQLLQLLNWDLRVNTEDLIL DLQPLLEPIKQDLARSSDQRKRINMMMSMNRRTCAGTSPIRSNNRFKLYEKQRNVSIA SDLSSATLVDSCNDLRRLKDVTNIANNTVANTNYVRTVEKWNDNVNRQSWDLEQIMSQ HGF YNL288W MFSAQKPIYGNGAGVNMGGGGPSTNNPGSMSMPGVPTSMGPGMN QQIPSGGPMLMGNTPNNNNSNENGENNGNNGNNGGNDANATRNNPNMVNNRGAVHALD DPNVYHWICQLTYGPQKEQALLELGRKREQFDDLAVVLWSSFGVMTSLLNEIISVYPM LQPQMLSNNLSNRVCNALVLLQCVASHPETKHLFLQAHIPLFLFPFLNTTSRQRTFEY LRLTSLGVIGALVKNDSQDVITFLLRTDIVPLCLRIMESSSELSKTVAIFILQKILLD DVGLQYICATLERFYAVTNVLKDMVEHLTVSTPPGRLLKHIIRCYLRLSDDLEARRLL KIVLPAKLRDNTFTEVLRDDVGSKRCLAQLLLTLNEETS YNL287W MSAHTYKKFENSTSGDLPDKMTIYQDCMNTFNESPVNSKRCRLL ISRLLRLLAQGETFPQNEATALFFSISKLFQHQNDPLRQAVYLAIKELSGISEDVLMA TSSIMKDVQNGSDLIKPDAIRSLTYVLDESTAFSAERLLKSAVVSRHPSISSAALCTS YHLLPISEVTIRRFTNETQEAVLDLKQFPNQHGNSEYYPNSTYISQYHALGLLYQLKK TDKMALLKLVRHFSENNSMKNQLAKVELVKIVNDLIYRDPQLFSQFRPLLSDWLSNKF ESVQLETAKLITSFATRNSRLVAPELYAAAISALQSLLTVPRVSSRFAALRILNRISM VSPEKIVVCNPELESLINDSNRNISTYAITTLLKTGTSKNISSLISTITNFIHDVSDD FKIIIIDAVRTLSLNFPQEWKSILNFLIDVLKNSEGGFKFKNSIVEALIDIVSFVPQS KELALENLCDFIEDCEFNEILVRILHLLGKEGPSAPNPSLYVRHIYNRVVLENSIIRS AAVVALSKFALTKNDPTLYESIISLLKRIANDKDDEVRDRATIALEFIDSARNKDDVI AQNLIESKYFYDIPSLESKLSSYISSNTDSFATAFDVNQVRKFTEDEMKAINLKRKQE QIFNQKSETTLDTTPEAESVPEKRADANSFAGPNLDDHQEDLLATKYADELLSIEQIK PFGQLVNSSRAISLTEPEAEFVVRGVKHLFKDNVVLQFNITNTLTDIALDNVSVVCTP EISDEAELEELFTLQVDRLLPSEEAACYVAFKKLDEIVMEGFLNNLTFTTKEINPDTN EPFDGDEGFQDEYEIDSIFLNAGDYVKSSFTGNFSATFDELPCEEVAVFNIQEDLSIQ EVVDKIILNSSCLPVESTQFAPSDSNSHTLKLFGKSALTGSKVALQIKMIKSSKGLAL KVHGKGEDSLLCSDLVNGLMQ YNL286W MDADELELKGHLKKLKKEELLRRKQLKESNLQKRELEYNNASKN TSIYISGLPTDKTTKEGLTEQFCKYGMIRTNRDGEPLCKLYVNDKGAFKGDALITYSK EESVTLAIEMMNESIFLGKQIRVERAQFQNKEGDNMHGKENDLKEFNGPEPPIKRLKK AKSEGEGEVIDYNDDESLAKADRTVIFANVFNIYKSYTNDDINDIQEDLLEGCEEIGQ VDSISVSPNKGEATVVFKNNKVALQCCKIMTGRYFDGQKLLAFISGDENTSSTSDKNE DSEVEDDLI YNL284C-B MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYQQQCMMTQNQANPSGWS FYGRPSMIPYTPYQMSPMYFPPGPHSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFLNWVKTYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFAPSQFLPTWVKDILSADYTDIMKILSKSINKMQSDTQEVNDITTLATLH YNGSTPADAFEAEVTNILDRLNNNGIPINNKVACQFIMRGLSGEYKFLRYARHRYIHM TVADLFSDIHSMYEEQQESKRNKSTYRRNPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTSNNSSGPDNDLIRGSTTEPIQLKNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPGINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISKSNILPSKKRSSTPQISDIESTGSGGMHRLDVPLLAP MSQYNTHESSHTSKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKR IIHRSPSIDTSSSESNSLHHVVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTKLSD SFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDRYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIQQCGMEEVRGWSCVFKNSQVTICLFVDDMVLFSKNL NSNKRIIEKLKMQYDTKIINLGESDEEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YNL284C-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYQQQCMMTQNQANPSGWS FYGRPSMIPYTPYQMSPMYFPPGPHSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFLNWVKTYIKFLQNSNLGDIIPTATRKAVRQMTDDELT FLCHTFQLFAPSQFLPTWVKDILSADYTDIMKILSKSINKMQSDTQEVNDITTLATLH YNGSTPADAFEAEVTNILDRLNNNGIPINNKVACQFIMRGLSGEYKFLRYARHRYIHM TVADLFSDIHSMYEEQQESKRNKSTYRRNPSDEKKDSRTYTNTTKPKSITRNSQKPNN SQSRTARAHNVSTSNNSSGPDNDLIRGSTTEPIQLKNKHDLHLRPGTY YNL284C MKAERQTGLRNSFTTVIGRKLINTFVPSMMLTSVAGNDIFFRGL FKSPVLAFQSYRYVSILGQLKPSDGSTKSFKRLGRGPSSGLGKTSGRGQKGQKARGKV KSWFEGGQTPIYKLFPKIGFTNVGAKPLKELNLKRIQWFHDKNRLHLQPGEVLDMNKM RKLGLVTGPIKYGVKILASGKFHYNLPIALEASRASAKAIAAIEKAGGKFTARYYTPL GLRAHLNPQWFLEKRGRVPLQARPTKRRDIDFYSKEEKRGYLVMEKDKLLQDIKEAQN KGSRHFLKQNVKKSSLEIELEELSPEKDWVPVVSNSKVMNIKALDH YNL283C MHLDLIHKSFILVWLIYIRAALADQFTYKACYSASDIRKLGLTY KGVYEYQSVSYCQNECPGQAVVALFNGTGCYCGGSVAQLQSLTQVDSSKCDVSCAGWP YQNCGGSSAMNVYINNAASTADSTSSTATSTSTTSSSSTSVSSKTSTKLDTKTSTSSS ATHSSSSSSTTSTTTSSSETTTSSSSSSSSSSTSTTSTTSTTSSTTSTSSSPSTTSSS TSASSSSETSSTQATSSSTTSTSSSTSTATVTSTPSSTSIGTSTHYTTRVVTQSVVSQ ANQQASTIFTTRTSVYATVSSTSSSTSSLLNGKSSSSKSKGLSGGAIAGVVVGVVCGT VALLALALFFFVWKKRRQSSQHVDLEETKQYQPYSLGDADANPVIPPSASSTNWHIPS RNNTALSKNTASTFATYDLPTRAPGGRDSIITGDAHNISKRSHFPSVVYEEPPSIYNG NQRFSATSLPDMMEERQLHIVNPDNVSSNIGSNVSDGDDDYDDAKDSNNSSLR YNL282W MSGSLKSLDKKIAKRRQVYKPVLDNPFTNEAHMWPRVHDQPLIW QLLQSSIINKLIHIQSKENYPWELYTDFNEIVQYLSGAHGNSDPVCLFVCNKDPDVPL VLLQQIPLLCYMAPMTVKLVQLPKSAMDTFKSVSKYGMLLLRCDDRVDKKFVSQIQKN VDLLQFPWLNAIKYRPTSVKLLKTTVPIVSKKRQK YNL281W MVVLNPNNWHWVDKNTLPWSKDYLNGKLTSLSTVSSDGKSKIEL TQVSSITGDSNVSQRKGKPICYFDLQLSMNVKVTNLDTNKDDEDDDGILADGKLEIPE FMHDESDIPILSQGFDAFDGLVRSEFVPKVVETLLKYQDDLIKEHSKDIQV YNL280C MVSALNPRTTEFEFGGLIGALGISIGLPVFTIILNQMIRPDYFI KGFFQNFDIVELWNGIKPLRYYLGNRELWTVYCLWYGILAVLDVILPGRVMKGVQLRD GSKLSYKINGIAMSTTLVLVLAIRWKLTDGQLPELQYLYENHVSLCIISILFSFFLAT YCYVASFIPLIFKKNGNGKREKILALGGNSGNIIYDWFIGRELNPRLGPLDIKMFSEL RPGMLLWLLINLSCLHHHYLKTGKINDALVLVNFLQGFYIFDGVLNEEGVLTMMDITT DGFGFMLAFGDLSLVPFTYSLQARYLSVSPVELGWVKVVGILAIMFLGFHIFHSANKQ KSEFRQGKLENLKSIQTKRGTKLLCDGWWAKSQHINYFGDWLISLSWCLATWFQTPLT YYYSLYFATLLLHRQQRDEHKCRLKYGENWEEYERKVPYKIIPYVY YNL279W MSGFKCYLQLGDRLSQIWLNKYTLVLLLAMLKLLFFSKSIQHAI EVSETYILSNCYSIDSLYSKMTDNTPHYLGIMGNYLIEKGMEETVKATLETLSLIVYA SEGLVNFAIDLYLGTYACLIVSAVDGTVDVATNITEKLISLVNDTVSSVANELDTGLN DISKIINKVIKAASKVENFFTGDDDDSNMTSSIKSVNLTISALHNLYIPSSINDKLEE LSAKTPDFAQVKNTTKNLISVPFNEVRKNIKAVNASNIIGDTSVLYVPPVSLDNSTGI CSSNQSEILAFYSILGHVLKIATVVCITVLICFAVGAMAPVAWNEIKLWRRLCGMRDH YMLSRQDSYTSFSSENTHELKDPFRDPPIQNGQYDVIASYQQCFQTWNTRIAGWMTNL VTFGKSPENIDPKTKQKIEWVVAYMTSERALCVLGIGLLGILVCICQFVMIALLKHKI SHSLTSNDGDGVQNLLKSSTAVDIENQMSLWSVQTNKYINTTETNINQEVFGWINTTT LSVNNTVATMISDIDTTLADVFNGTLLYNPMKTVVGCAIENKLYTIEKAMTWIHDKAQ LHIPRINGTQIKQALAKQTDNSTIPTASSTSAATENLLENLVNDMREGLLKILRAYHR ITLGELTVALVILAVWLVQLPIALVILRLRLRKATFD YNL278W MRIFSGDNKVVDSLASNPGLMSPSNFGGDFGSRLKVNVTSKKKL NDSSPTSPMESSPVSPELVPILTLLNAHTHRRYHEGVFLILQDLNNNGTHAARKWKDV YGVLLGTQLALWDAKELAEFTDPSCPVSEKKLKEVASKPTYINLTDATLRTLDNSDNI VMECGKNLTNALVVSTTLKNRYFLQFGNKESFNAWNSAIRLCLYECSSLQEAYTGAFI SSRGAKLGDIRILLTNRKYDYKDWVSVRFGAGMPWKRCYAVISQSSSKKKGHFGEINL YENDKKVKKNHAMATIVEAKALYAVYPSSPKLIDSSTIIKVVGSVKFEKKESAQEKDV FIMPEKHQAVPSYDTIIRFLIPAMDTFKLYGRPEKLLSSKNDPHSLLFGLPVLPHIYY LEVEDLLPLTNSVSSLHWSNNEWKEHISDILQRKIAQGYCGCNSTSNITSPLPSPFLG SADLFERADGVLSPKLSYGSKSSSNNSSKNSLPKRERVKLSSSSEQDLNNSDSPSIKR KSPPLVISESPHKVHTPTDASFRTRVTEGSPYAKQRHPKPFASSVNDSPSDRAKSRTV PYNNNDRKATTPEKFERGETSCGKNVDESLEKVRNMKLEIPESNFDKFMTDKNLLSVD SKCSNEKKLSVESDLSAIYEKYSNGPFGHTEGLNGSSDETYLRFQRASVHSESNYNSR KSFTPSDFSNGNEEEHAVLQELNSLTQRINELGMESINSNSDSDRINGSYSQVDFGNN NDEDDMNLFDPDFMAQDQLRAEERDYNKDDRTPLAKVPAAFQSTGLGITPDDDIERQY ITEHRSRHEVPKRSPEKPSNPLEIGNPYAKPGTRLNTTHTHSKTDRSITPQRGQPVPS GQQISSYVQPANINSPNKMYGANNSAMGSPRNPKTRAPPGPYNQGWNNRPSPSNIYQR PHPSDTQPQAYHLPGNPYSTGNRPNMQAQYHPQQVPMPILQQPNRPYQPYAMNTHMGS PGGYAGAAPPFQPANVNYNTRPQQPWPTPNSPSAHYRPPPNLNQPQNGSAGYYRPPAP QLQNSQARPQKKDGFSQFMPSATTKNPYAQ YNL277W-A MTLAYYGQPVKMCHILPPLRSLPVLVGKKKLKKKKSQTTNNHVI FLFTLFIKLLKTHNRMSL YNL277W MSHTLKSKTLQELDIEEIKETNPLLKLVQGQRIVQVPELVLESG VVINNFPIAYKTWGTLNEAGDNVLVICHALTGSADVADWWGPLLGNDLAFDPSRFFII CLNSMGSPYGSFSPLTINEETGVRYGPEFPLCTVRDDVRAHRIVLDSLGVKSIACVIG GSMGGMLSLEWAAMYGKEYVKNMVALATSARHSAWCISWSEAQRQSIYSDPNYLDGYY PVEEQPVAGLSAARMSALLTYRTRNSFENKFSRRSPSIAQQQKAQREETRKPSTVSEH SLQIHNDGYKTKASTAIAGISGQKGQSVVSTASSSDSLNSSTSMTSVSSVTGEVKDIK PAQTYFSAQSYLRYQGTKFINRFDANCYIAITRKLDTHDLARDRVDDITEVLSTIQQP SLIIGIQSDGLFTYSEQEFLAEHIPKSQLEKIESPEGHDAFLLEFKLINKLIVQFLKT NCKAITDAAPRAWGGDVGNDETKTSVFGEAEEVTNW YNL275W MSNESTRVTVSRGCTASDECAQALERTNDELDRESSVSESRSDE ESHEKLSRRRFPTLGIGIWLDLKDRIPYYKSDWVDAFNYRVIPSIVDTYFNNLLPAIA FAQDMFDRTDNSYGVNEVLLSSAMAGIVFGVLGGQPLCIVGVTGPISIFNYTVYEIIK PLNTSYFGFMFWICMWSMIFHLVLAFTNAVCLLQYVTTFPCDIFGLFINVVYIQKGIQ ILTRQFSAKSGEKSVQDGFASVVVALVMTAFGLFFKLFHYYPLFSHRIRTFISDYSTA LSVLFWSSFTHFGGYLHDVKFKKLPITKAFFPTSKVNRPQNTWLAYEPIPVKDVFIAL PFGIFLTILFYFDHNVSSLMAQRHQYKLKKPSSFHYDFALLGLTTCISGVLGIPAPNG LIPQAPLHTETLLVRDSNQKVISCVEQRFTNTFQGLMILGTMTRPLLVCLGEIPQAVL SGLFFIMGINGLMTNSIIQRLVFLFSDPNRRDNTSPLMKVSKKSMLIFLSFSLTGFAG EFAITNTIAAIGFPLVLLLSVLVSFSFAYIFPTEELKILDTNVAQKFTIKNLLLENIR DAKFCDKHED YNL274C MSKKPIVLKLGKDAFGDQAWGELEKIADVITIPESTTREQFLRE VKDPQNKLSQVQVITRTARSVKNTGRFDEELALALPSSVVAVCHTGAGYDQIDVEPFK KRHIQVANVPDLVSNATADTHVFLLLGALRNFGIGNRRLIEGNWPEAGPACGSPFGYD PEGKTVGILGLGRIGRCILERLKPFGFENFIYHNRHQLPSEEEHGCEYVGFEEFLKRS DIVSVNVPLNHNTHHLINAETIEKMKDGVVIVNTARGAVIDEQAMTDALRSGKIRSAG LDVFEYEPKISKELLSMSQVLGLPHMGTHSVETRKKMEELVVENAKNVILTGKVLTIV PELQNEDWPNESKPLV YNL273W MSADLQQGTTNAADFSLTVLRARIALLATAIGGPDYTSQIDPPP YKLGDDCLACLKDLKRWFKLVDDQQKRWDVAMAVAEYRILTDDLLPILIDWENKCSLA AKLAKNNPDHEEFRNKAYYDKIALNCLQLLVLMTWPLIVTEQSSSNQITLYGELKKHQ LVYKKTILSMESGKVLRAAIRLALDVIKIDRLSRTPRDNMVLKLVLNFFRNVIAIEPG EFTINTKKSMPKKGITSIDTLPPNVSMDDISLNTVISSFHKNKVFGFLLTLTSSLSKE FDQDFINIPLLEIMFYFTKDVNQELLFPRQFETGTHSKVVNKNESSSANNIVTSAGFE LSKLLQKEHQMRKNVIKHTSARHSRFGGLLSIQTPDKTRLTVSGSQALVDEKIALQKL DDSKKWNKRIIKKHQSVAAEGLPNSLLNSQTGKAIFFTESNGKHFKEFINNFIDSGFN ILLHSVTNYFTTEQDRMVTLEQVEYLLFFAWFVKYQLLRSKIDNSADIKQVSEALKEV TFILVSSLLRSAYDLKNWTVTHAGMIAFNELLNLVSRTKAAQEEDSTDIEFIVSRLFS DERIQLLSNLPKIGSKYSLQFMKSCIELTHSVLKVLEQYSDDKTLVIEGKSRRQKKFN ISEGDITKLIEEENVDRDEALDILTSSLRSIEVNFQKVQANYMTEPVIETYINFLERF RELEDDSIKKVFSFFHRVFVQAKEQALLFRFDLIILLREMLSPDGLDRMSRSRKYVSQ FSDYFLARLKKRLKKSPAWFVGLLFPPLHNSEVGFYQRYGEYNVLNNESMYAAPASQF KPIPDEEALPPSILLDMKYGVLVSTLLDDGKTELLDQLLKHITHTLDIFKSWLTVNVN AGKETVNPPNEYFTLTGVLNNDPIFKDKDYRALLLLIGYSIPRKINEPCFLPGTVEVS DLTVSCELVKKYLSTPFETPNGLPSSSYLLRVRSEKDSFSHNEQDGWEGDDDYDYNDP YIVPDDQILSKSDAAYFKDLDNNASDKLKGTKFSKGIARSKKKDKRKRRKGEAKTNLP MFGDQDDERPQTVRERHGVFSKEFISDSEDDEDLMNPIFFENETYMRWLLDKNNGQLT EDRYIQFAKFAAERMNNGGVVTGDYTSLFGGSIPSIESIRATESSSFAPDKSLISLAS HVASEMSIFDVNNNNNNQLSDDDVNSESRNSLGSSQPSNSQNMFQSEVYSRKESTKRS LEASAADESDEDEEAIRLFGKKSRVVLSQGDSDD YNL272C MDASEEAKRVSIQVTSLSTQLIESVDKQSHLEEQLNKSLKTIAS QKAAIENYNQLKEDYNTLKRELSDRDDEVKRLREDIAKENELRTKAEEEADKLNKEVE DLTASLFDEANNMVADARKEKYAIEILNKRLTEQLREKDTLLDTLTLQLKNLKKVMHS LDNESTVTNNSNRYSTILSDSATSSSTSLNKVPTSYSLASQDIYSGIVYSPSISSIRY DISLYNEFLKFVAALPRCENIKATSTESKLIRRLVNDEIQPILKIDNASGIGWLVKKT LLSLIIDGLVVVEPLSGVNATYQIGYNSSSPAKQATSNMPKMFKFPLDSPPVAVHAAC SFCGESRDDIIEHARMYILKTLHKTDDGKEQVTNTYPLCHWCLLKLRQTCEIFAFLRS LKVGAWHLEKLTTQNITKEDLEKFSEVTKHTKRDGRVSSQDKKTKRLSFMAGLGINSS TKNKPKMEIFSSETNAKPGQPTTNIQRAWLQLCKLRCILHWTHIGIWAVDDSISSKIG PLVEDDSDEDQNDAISVRLQDKALWKQDAKRPFSSSSAEESQKSDAFDFESGDMENEI TGESSSDESSSDGSSTDNSTADSSSEDESSLADSTTSSADSSSPESIDNGEGDDTVTK DDKSSIKSANNNEENSDCGDKKGRSIIKKKAPQRKIQKKKLLQDLDDLEEQFREESAI DQTEFENAESNVKQNISSKRASSGDENSKKDNNEKTLKTNLTIGDKTQEQIGENSPSS GLHASSSNDDNFDDAQEQQ YNL271C MLKNSGSKHSNSKESHSNSSSGIFQNLKRLANSNATNSNTGSPT YASQQQHSPVGNEVSTSPASSSSFRKLNAPSRSTSTEARPLNKKSTLNTQNLSQYMNG KLSGDVPVSSQHARSHSMQSKYSYSKRNSSQASNKLTRQHTGQSHSASSLLSQGSLTN LSKFTTPDGKIYLEMPSDPYEVEVLFEDIMYKRNIFQSLSEDKQEALMGYSIEKKWLI VKQDLQNELKKMRANTTSSSTASRTSMASDHHPILTANSSLSSPKSVLMTSASSPTST VYSNSLNHSTTLSSVGTSTSKGKKLVSGSLKKQPSLNNIYRGGAENNTSASTLPGDRT NRPPIHYVQRILADKLTSDEMKDLWVTLRTEQLDWVDAFIDHQGHIAMANVLMNSIYK TAPRENLTKELLEKENSFFKCFRVLSMLSQGLYEFSTHRLMTDTVAEGLFSTKLATRK MATEIFVCMLEKKNKSRFEAVLTSLDKKFRIGQNLHMIQNFKKMPQYFSHLTLESHLK IIQAWLFAVEQTLDGRGKMGSLVGASDEFKNGGGENAILEYCQWTMVFINHLCSCSDN INQRMLLRTKLENCGILRIMNKIKLLDYDKVIDQIELYDNNKLDDFNVKLEANNKAFN VDLHDPLSLLKNLWDICKGTENEKLLVSLVQHLFLSSSKLIEENQNSSKLTKQLKLMD SLVTNVSVASTSDEETNMNMAIQRLYDAMQTDEVARRAILESRALTKKLEEIQAERDS LSEKLSKAEHGLVGQLEDELHERDRILAKNQRVMQQLEAELEELKKKHLLEKHQQEVE LRKMLTILNSRPEESFNKNEGTRGMNSSLNSSEKANIQKVLQDGLSRAKKDYKDDSKK FGMTLQPNKRLKMLRMQMENIENEARQLEMTNFAEFEKDRLEPPIHIKKPKVKKMKNK DRKPLVKPQEADVNKLNDLRRALAEIQMESNDISKFNVEERVNELFNEKKSLALKRLK ELETKYKGFGIDFNVDEIMDSPKKNTGDVETEEDANYASLDPKTYQKKLDEINRITDQ LLDIQTQTEHEIQVEEDGESDLSSSSSDDESEEIYQDASPTQELRSEHSELSSGSGPG SFLDALSQKYGTGQNVTASAAFGENNNGSGIGPLHSKVEKTFMNRLRKSTVSSAPYLE ELTQKVNKVEPYEQNEDEGLDKKSLPENSTASAASAFDKAEKDMRQHVENGKQGRVVN HEEDKTADFSAVSKLNNTDGAEDLSTQSSVLSSQPPPPPPPPPPVPAKLFGESLEKEK KSEDDTVKQETTGDSPAPPPPPPPPPPPPMALFGKPKGETPPPPPLPSVLSSSTDGVI PPAPPMMPASQIKSAVTSPLLPQSPSLFEKYPRPHKKLKQLHWEKLDCTDNSIWGTGK AEKFADDLYEKGVLADLEKAFAAREIKSLASKRKEDLQKITFLSRDISQQFGINLHMY SSLSVADLVKKILNCDRDFLQTPSVVEFLSKSEIIEVSVNLARNYAPYSTDWEGVRNL EDAKPPEKDPNDLQRADQIYLQLMVNLESYWGSRMRALTVVTSYEREYNELLAKLRKV DKAVSALQESDNLRNVFNVILAVGNFMNDTSKQAQGFKLSTLQRLTFIKDTTNSMTFL NYVEKIVRLNYPSFNDFLSELEPVLDVVKVSIEQLVNDCKDFSQSIVNVERSVEIGNL SDSSKFHPLDKVLIKTLPVLPEARKKGDLLEDEVKLTIMEFESLMHTYGEDSGDKFAK ISFFKKFADFINEYKKAQAQNLAAEEEERLYIKHKKIVEEQQKRAQEKEKQKENSNSP SSEGNEEDEAEDRRAVMDKLLEQLKNAGPAKSDPSSARKRALVRKKYLSEKDNAPQLL NDLDTEEGSILYSPEAMDPTADTVIHAESPTPLATRGVMNTSEDLPSPSKTSALEDQE EISDRARMLLKELRGSDTPVKQNSILDEHLEKLRARKERSIGEASTGNRLSFK YNL270C MDETVNIQMSKEGQYEINSSSIIKEEEFVDEQYSGENVTKAITT ERKVEDDAAKETESSPQERREVKRKLKQRHIGMIALGGTIGTGLIIGIGPPLAHAGPV GALISYLFMGTVIYSVTQSLGEMVTFIPVTSSFSVFAQRFLSPALGATNGYMYWLSWC FTFALELSVLGKVIQYWTEAVPLAAWIVIFWCLLTSMNMFPVKYYGEFEFCIASIKVI ALLGFIIFSFCVVCGAGQSDGPIGFRYWRNPGAWGPGIISSDKNEGRFLGWVSSLINA AFTYQGTELVGITAGEAANPRKALPRAIKKVVVRILVFYILSLFFIGLLVPYNDPKLD SDGIFVSSSPFMISIENSGTKVLPDIFNAVVLITILSAGNSNVYIGSRVLYSLSKNSL APRFLSNVTRGGVPYFSVLSTSVFGFLAFLEVSAGSGKAFNWLLNITGVAGFFAWLLI SFSHIRFMQAIRKRGISRDDLPYKAQMMPFLAYYASFFIALIVLIQGFTAFAPTFQPI DFVAAYISIFLFLAIWLSFQVWFKCRLLWKLQDIDIDSDRRQIEELVWIEPECKTRWQ RVWDVLS YNL269W MSIVLRKSNKKNKNCITSKFYTIHIIKISTPVFRAPIAIGESPY VEWSCLQVVFRKDMVTKKTTFAQLITRLNHFLCQALKRRDSKTYILCRTAVFGAMTPF SPRKSHINNKLPMQPRKKKIVIIYVVRFH YNL268W MGRFSNIITSNKWDEKQNNIGEQSMQELPEDQIEHEMEAIDPSN KTTPYSIDEKQYNTKKKHGSLQGGAIADVNSITNSLTRLQVVSHETDINEDEEEAHYE DKHVKRALKQRHIGMIALGGTIGTGLFVGISTPLSNAGPVGSLIAYIFMGTIVYFVTQ SLGEMATFIPVTSSITVFSKRFLSPAFGVSNGYMYWFNWAITYAVEVSVIGQVIEYWT DKVPLAAWIAIFWVIITLMNFFPVKVYGEFEFWVASVKVLAIMGYLIYALIIVCGGSH QGPIGFRYWRNPGAWGPGIISSDKSEGRFLGWVSSLINAAFTYQGTELVGITAGEAAN PRKTVPRAINKVVFRIVLFYIMSLFFIGLLVPYNDSRLSASSAVIASSPFVISIQNAG TYALPDIFNAVVLITVVSAANSNVYVGSRVLYSLARTGNAPKQFGYVTRQGVPYLGVV CTAALGLLAFLVVNNNANTAFNWLINISTLAGLCAWLFISLAHIRFMQALKHRGISRD DLPFKAKLMPYGAYYAAFFVTVIIFIQGFQAFCPFKVSEFFTSYISLILLAVVFIGCQ IYYKCRFIWKLEDIDIDSDRREIEAIIWEDDEPKNLWEKFWAAVA YNL267W MHKASSSKKSFDDTIELKKNEQLLKLINSSEFTLHNCVELLCKH SENIGIHYYLCQKLATFPHSELQFYIPQLVQVLVTMETESMALEDLLLRLRAENPHFA LLTFWQLQALLTDLSTDPASYGFQVARRVLNNLQTNLFNTSSGSDKNVKIHENVAPAL VLSSMIMSAIAFPQLSEVTKPLVESQGRRQKAFVFKLARSAMKDFTKNMTLKNTLLNK KTSRSKRVSSNRSSTPTSPIDLIDPIKTKEDASFRKSRHSEVKLDFDIVDDIGNQVFE ERISSSIKLPKRKPKYLDNSYVHRTYDGKNINRDGSISNTAKALDGNKGDYISPKGRN DENNEIGNNEDETGGETEEDADALNSDHFTSSMPDLHNIQPRTSSASSASLEGTPKLN RTNSQPLSRQAFKNSKKANSSLSQEIDLSQLSTTSKIKMLKANYFRCETQFAIALETI SQRLARVPTEARLSALRAELFLLNRDLPAEVDIPTLLPPNKKGKLHKLVTITANEAQV LNSAEKVPYLLLIEYLRDEFDFDPTSETNERLLKKISGNQGGLIFDLNYMNRKENNEN RNESTLTSNNTRSSVYDSNSFNNGASRNEGLSSTSRSDSASTAHVRTEVNKEEDLGDM SMVKVRNRTDDEAYRNALVIQSAANVPILPDDSQDRSPELNFGSNLDEVLIENGINSK NIHSQTDALADQMRVSAVMLAQLDKSPQQLSESTKQIRAQIISSMKEVQDKFGYHDLE ALHGMAGERKLENDLMTGGIDTSYLGEDWATKKERIRKTSEYGHFENWDLCSVIAKTG DDLRQEAFAYQMIQAMANIWVKEKVDVWVKRMKILITSANTGLVETITNAMSVHSIKK ALTKKMIEDAELDDKGGIASLNDHFLRAFGNPNGFKYRRAQDNFASSLAAYSVICYLL QVKDRHNGNIMIDNEGHVSHIDFGFMLSNSPGSVGFEAAPFKLTYEYIELLGGVEGEA FKKFVELTKSSFKALRKYADQIVSMCEIMQKDNMQPCFDAGEQTSVQLRQRFQLDLSE KEVDDFVENFLIGKSLGSIYTRIYDQFQLITQGIYS YNL265C MAPSMIPFTIKLKTCLKMCIQRLRYAQEKQQAIAKQSRRQVAQL LLTNKEQKAHYRVETLIHDDIHIELLEILELYCELLLARVQVINDISTEEQLVKEHMD DGINEAIRSLIYAILFVDEVKELSQLKDLMAWKINVEFVNGVIADHIDVPEKIIKKCS PSVPKEELVDLYLKEIAKTYDVPYSKLENSLSSSSSNISSDFSDPSGDIEDNDEEKPI LALDNDDNDNADAKHPITVKKPRQNSENIKNELKIPKDIKKEVIEKKQSEKKTTKRKT KKEQENDELDELKKRFDALRRK YNL264C MGLFSRKRDHTPAVPKEKLIPCDKIFLDPPAKYGNAPLLEPISE DQNEKYRAVLRHFQDDDLKLPENLNDLDNGTHANDRPLSDWEKFWLSRECFLRYLRAN KWNTANAIKGLTKTLVWRREIGLTHGKEDKDPLTADKVAVENETGKQVILGFDNAKRP LYYMKNGRQNTESSFRQVQELVYMMETATTVAPQGVEKITVLVDFKSYKEPGIITDKA PPISIARMCLNVMQDHYPERLAKCVLINIPWFAWAFLKMMYPFLDPATKAKAIFDEPF ENHIEPSQLDALYNGLLDFKYKHEVYWPDMVKKVDDLRLKRFDRFLKFGGIVGLSEYD TKGQHDELKYPVDMVI YNL263C MSYNPYAYATSEQNGVNDRFSHTPQQQRPMQIPRNTPVNGQGNA NMNANVNGSGGGFPFQDPRGSMAFQLGQSAFSNFIGQDNFNQFQETVNKATANAAGSQ QISTYFQVSTRYVINKLKLILVPFLNGTKNWQRIMDSGNFLPPRDDVNSPDMYMPIMG LVTYILIWNTQQGLKGSFNPEDLYYKLSSTLAFVCLDLLILKLGLYLLIDSKIPSFSL VELLCYVGYKFVPLILAQLLTNVTMPFNLNILIKFYLFIAFGVFLLRSVKFNLLSRSG AEDDDIHVSISKSTVKKCNYFLFVYGFIWQNVLMWLMG YNL262W MMFGKKKNNGGSSTARYSAGNKYNTLSNNYALSAQQLLNASKID DIDSMMGFERYVPPQYNGRFDAKDIDQIPGRVGWLTNMHATLVSQETLSSGSNGGGNS NDGERVTTNQGISGVDFYFLDEEGGSFKSTVVYDPYFFIACNDESRVNDVEELVKKYL ESCLKSLQIIRKEDLTMDNHLLGLQKTLIKLSFVNSNQLFEARKLLRPILQDNANNNV QRNIYNVAANGSEKVDAKHLIEDIREYDVPYHVRVSIDKDIRVGKWYKVTQQGFIEDT RKIAFADPVVMAFDIETTKPPLKFPDSAVDQIMMISYMIDGEGFLITNREIISEDIED FEYTPKPEYPGFFTIFNENDEVALLQRFFEHIRDVRPTVISTFNGDFFDWPFIHNRSK IHGLDMFDEIGFAPDAEGEYKSSYCSHMDCFRWVKRDSYLPQGSQGLKAVTQSKLGYN PIELDPELMTPYAFEKPQHLSEYSVSDAVATYYLYMKYVHPFIFSLCTIIPLNPDETL RKGTGTLCEMLLMVQAYQHNILLPNKHTDPIERFYDGHLLESETYVGGHVESLEAGVF RSDLKNEFKIDPSAIDELLQELPEALKFSVEVENKSSVDKVTNFEEIKNQITQKLLEL KENNIRNELPLIYHVDVASMYPNIMTTNRLQPDSIKAERDCASCDFNRPGKTCARKLK WAWRGEFFPSKMDEYNMIKRALQNETFPNKNKFSKKKVLTFDELSYADQVIHIKKRLT EYSRKVYHRVKVSEIVEREAIVCQRENPFYVDTVKSFRDRRYEFKGLAKTWKGNLSKI DPSDKHARDEAKKMIVLYDSLQLAHKVILNSFYGYVMRKGSRWYSMEMAGITCLTGAT IIQMARALVERVGRPLELDTDGIWCILPKSFPETYFFTLENGKKLYLSYPCSMLNYRV HQKFTNHQYQELKDPLNYIYETHSENTIFFEVDGPYKAMILPSSKEEGKGIKKRYAVF NEDGSLAELKGFELKRRGELQLIKNFQSDIFKVFLEGDTLEGCYSAVASVCNRWLDVL DSHGLMLEDEDLVSLICENRSMSKTLKEYEGQKSTSITTARRLGDFLGEDMVKDKGLQ CKYIISSKPFNAPVTERAIPVAIFSADIPIKRSFLRRWTLDPSLEDLDIRTIIDWGYY RERLGSAIQKIITIPAALQGVSNPVPRVEHPDWLKRKIATKEDKFKQTSLTKFFSKTK NVPTMGKIKDIEDLFEPTVEEDNAKIKIARTTKKKAVSKRKRNQLTNEEDPLVLPSEI PSMDEDYVGWLNYQKIKWKIQARDRKRRDQLFGNTNSSRERSALGSMIRKQAESYANS TWEVLQYKDSGEPGVLEVFVTINGKVQNITFHIPKTIYMKFKSQTMPLQKIKNCLIEK SSASLPNNPKTSNPAGGQLFKITLPESVFLEEKENCTSIFNDENVLGVFEGTITPHQR AIMDLGASVTFRSKAMGALGKGIQQGFEMKDLSMAENERYLSGFSMDIGYLLHFPTSI GYEFFSLFKSWGDTITILVLKPSNQAQEINASSLGQIYKQMFEKKKGKIETYSYLVDI KEDINFEFVYFTDISKLYRRLSQETTKLKEERGLQFLLLLQSPFITKLLGTIRLLNQM PIVKLSLNEVLLPQLNWQPTLLKKLVNHVLSSGSWISHLIKLSQYSNIPICNLRLDSM DYIIDVLYARKLKKENIVLWWNEKAPLPDHGGIQNDFDLNTSWIMNDSEFPKINNSGV YDNVVLDVGVDNLTVNTILTSALINDAEGSDLVNNNMGIDDKDAVINSPSEFVHDAFS NDALNVLRGMLKEWWDEALKENSTADLLVNSLASWVQNPNAKLFDGLLRYHVHNLTKK ALLQLVNEFSALGSTIVYADRNQILIKTNKYSPENCYAYSQYMMKAVRTNPMFSYLDL NIKRYWDLLIWMDKFNFSGLACIEIEEKENQDYTAVSQWQLKKFLSPIYQPEFEDWMM IILDSMLKTKQSYLKLNSGTQRPTQIVNVKKQDKEDSVENSLNGFSHLFSKPLMKRVK KLFKNQQEFILDPQYEADYVIPVLPGSHLNVKNPLLELVKSLCHVMLLSKSTILEIRT LRKELLKIFELREFAKVAEFKDPSLSLVVPDFLCEYCFFISDIDFCKAAPESIFSCVR CHKAFNQVLLQEHLIQKLRSDIESYLIQDLRCSRCHKVKRDYMSAHCPCAGAWEGTLP RESIVQKLNVFKQVAKYYGFDILLSCIADLTI YNL261W MNVTTPEVAFREYQTNCLASYISADPDITPSNLILQGYSGTGKT YTLKKYFNANPNLHAVWLEPVELVSWKPLLQAIARTVQYKLKTLYPNIPTTDYDPLQV EEPFLLVKTLHNIFVQYESLQEKTCLFLILDGFDSLQDLDAALFNKYIKLNELLPKDS KINIKFIYTMLETSFLQRYSTHCIPTVMFPRYNVDEVSTILVMSRCGELMEDSCLRKR IIEEQITDCTDDQFQNVAANFIHLIVQAFHSYTGNDIFALNDLIDFKWPKYVSRITKE NIFEPLALYKSAIKLFLSTDDNLSENGQGESAITTNRDDLENSQTYDLSIISKYLLIA SYICSYLEPRYDASIFSRKTRIIQGRAAYGRRKKKEVNPRYLQPSLFAIERLLAIFQA IFPIQGKAESGSLSALREESLMKANIEVFQNLSELHTLKLIATTMNKNIDYLSPKVRW KVNVPWEIIKEISESVHFNISDYFSDIHE YNL260C MVRNRFIRKMKKNLFKSNHLSYLKSKWKVKITGQIKMDFDNLLN LEEQYYQEGFLEGQNENIKQSFLEGKQYGLQVGFQRFTLLGQMEGLCDVIESYGLHSP TLEKNIHTIRTLMKGLKMNNDDESVMEFERVLIKLKNKFRTILITLHRLVKDKRTPTV TFEVFEDVSRAIAGEIRGFVENEDIAKNKTKQNQAQSW YNL259C MAEIKHYQFNVVMTCSGCSGAVNKVLTKLEPDVSKIDISLEKQL VDVYTTLPYDFILEKIKKTGKEVRSGKQL YNL258C MESLFPNKGEIIRELLKDPLILKNDSKRSNGSELELDSSDLLQR EAILANELNILDNLKTFLNLIKEVKTNLNILELENCYYSLQSLRKKMRNNAAYLKQSF NFQQSISTYVDTLHLELVSTLYKILTNGFWKITENSIQFTPTVEWGKDKVHIEYDTFM DFVAQQYFPKGSLDNQAWFILDMTSADSQEQVRAKLNTIMKEYMNLSRIVSMIKNSIF ISGKEISYENEKNILVFSKSSSHGQHCVSTVLTSFEAVCDFMLDGLAFRDRKTLSYEL GPLFNTEFTKFVKNNASIILESLDSPLKNLVSVINNKLTRLVAKSEVTNWTHSGKEIQ DLLMNKQLYYNLLLDKVLESHISEIRSIFEDPKKSWQNLEVVELTTSNTNTMSEKIGK NDSDVQNEKELHNAVSKDDDWNWEVEDDDADAWGDEIDVNIDDEEEKTNQEKEKEPEE EENAWDEAWAIDENIDDASLENGKEHLKAHDVGSLDKDHIEVTQLPKLFLAISQNFKS SFADSHVDEQYFAYKYNLLQTSYMAMCTANFSHNWCQLYVDMRYLIERDEKLYRIKEL TRNLLETKLNMKYRIVCQLIRHQLTEFRENERNPSWDATIEKLLPYILKEIVRPLQKI RGEEGSRYLLSFLNFLYNDCVTKEILKWQIISEVNSENLGELVSLLVNNTDIQLLAKE PSYKKMREKFATMGKFLPLHLKEIMEMFYNGDFYLFATDELIQWIELLFADTPLRRNA IDDIYEIRGTALDD YNL257C MSVHGRDPKKRQLRLISVAFKEASIDSPSFRASVNFFQTRVDAL EDWIEKTVDFFDQKYKVSFEDFRRAKETLLSQLLPPPALLSNGFVSNQSFTPRLIDSF NKDYYDFSMKLLQIVKGDDSSHSTALLELMTTAIEPYRNVRKNFDFYQGKYDSMLASY QAIRISKTSLEPSSIKSDALQLFEVQKNYLKASLDLISAISAVKLSLDKFILESMKVL KSRSIFITKDSGRKIDLSPCINEYLDNYAIWVENSIEGSKVLDSDISNAKKQAYRYTL KRITPSSDTSDYNIRSIHSSKLLSKDTQVPPKSPEKSGWLYMKTQVGKPTREIWVRRW CFLKNAVFGMFLLSPSKTYVEETDKFGVFLTNVRYDPEEDRKFCFEVKIFGNKVTEAH DNMSKDITLVFQTSNYLDLKSWLIAFEATKKYVMSIQHDSLEYELAFKRFSPKFFEFA SSTTTSIDQLITTFDKETESLYETLNCSISEYDILTLGEEKVFQFQMPTTPISTKMTQ LAILSNFLTKGSWFPNAVLANIWGTTDWSEYTILPGKGKKPSSLLTIDGKRLPIRNST IYPQYYSNELKVLDLQFKSLVFSPDQRLEKLPEELLLFKFEALWCPNKKQKFSATCFC TKDYIYCYMNSMEFICLTKISLSEIVSVEADRSSKKTLKLYDASGLQMKAIVLFSDYK LIASKLQYLLENKAIKNPNSNEEILVKFEQMEKESQEKKQEELYKIEQENSFDRKATS VSKIIKSRVTFWEMSDDASTLLNRLKKLQTEYSITYNHEYEISSKGLAHILFGDKSNA FPKCLFLARKDGEEHGKRFWYKNKDINGKSQLVRKIPFRLDMTGNFLNTGKYHRDKES KMIFATQRIVKIVDNKYYEVDLDPFFVKVPFCHLLKLSIKFVITESYDVDNHLEIKLN MTASSSSLHVLYKLEYIDSRTGKTIEKLSLAEIICQTWALKFAHSEFLLIRRVLRYYL EKIGKHGKVIKAIKLCGILGVLSNKSEEPATEKNGNSKESESMQYDIRYSCTILFLVF IKLMVYRVTNLTFVFFRILIGILLLCAEKFSRINRMMVVGLLASIMINILLSEKASVP YWSIKRAEKLFHDRLGSDKFTMQRAIYISDSDLLSSQLSVPSNNPIFEKFSEDNFNKD YQYSETRKQLAMRRNELLIELRILQDMEKQLVHDDYEKFLLEEVNKCSMVSIEMTDLW FNDTQLQNYCSICNEELEKLRPPIT YNL256W MSKLFSTVNSARHSVPLGGMRDYVHIKKLEMNTVLGPDSWNQLM PQKCLLSLDMGTDFSKSAATDDLKYSLNYAVISRDLTNFVSKKKNWGSVSNLAKSVSQ FVMDKYSGVECLNLEVQADTTHIRSDHISCIIQQERGNPESQEFDVVRISELKMLTLI GVFTFERLKKQYVTLDIKLPWPKKAELPPPVQSIIDNVVKFVEESNFKTVEALVESVS AVIAHNEYFQKFPDSPLVVKVLKLNAITATEGVGVSCIREPREIAMVNIPYLSSIHES SDIKFQLSSSQNTPIEGKNTWKRAFLAFGSNIGDRFKHIQMALQLLSREKTVKLRNIS SIFESEPMYFKDQTPFMNGCVEVETLLTPSELLKLCKKIEYEELQRVKHFDNGPRTID LDIVMFLNSAGEDIIVNEPDLNIPHPRMLERTFVLEPLCELISPVHLHPVTAEPIVDH LKQLYDKQHDEDTLWKLVPLPYRSGVEPRFLKFKTATKLDEFTGETNRITVSPTYIMA IFNATPDSFSDGGEHFADIESQLNDIIKLCKDALYLHESVIIDVGGCSTRPNSIQASE EEEIRRSIPLIKAIRESTELPQDKVILSIDTYRSNVAKEAIKVGVDIINDISGGLFDS NMFAVIAENPEICYILSHTRGDISTMNRLAHYENFALGDSIQQEFVHNTDIQQLDDLK DKTVLIRNVGQEIGERYIKAIDNGVKRWQILIDPGLGFAKTWKQNLQIIRHIPILKNY SFTMNSNNSQVYVNLRNMPVLLGPSRKKFIGHITKDVDAKQRDFATGAVVASCIGFGS DMVRVHDVKNCSKSIKLADAIYKGLE YNL255C MSQKACYVCGKIGHLAEDCDSERLCYNCNKPGHVQTDCTMPRTV EFKQCYNCGETGHVRSECTVQRCFNCNQTGHISRECPEPKKTSRFSKVSCYKCGGPNH MAKDCMKEDGISGLKCYTCGQAGHMSRDCQNDRLCYNCNETGHISKDCPKA YNL254C MVGPGLGINRVRRKGVYSTKKGSGDNLLLMKRQGKHDIHDRESD DLSGHDAFSPSKKRGKIDSITEDEIEVKKLSTVATFDKLSRSFPNSEVQAAKNAALRG KEKEEEKVVSIPLIQNLKNEDIESIKCRNNNLLDGKKLLLEAELSAVEDNQIFSSSFP EDKKLSLQSCLSSKEQIIKKLQVREEYMSKFKLPPMLFSDELLTEVEPFMPIVMDILE GKISSVYYFEAKNAFKNSQKAYLSVDEFRKLNLNKFTAGFYGLKRQLRVGEEIAKRYK RALTHNQPATLKWWGITDFCNYVLAPETLTSFCIYQLNLSNKSCSSKTPNKHPKQQLN EKEYYYDPELRMLAYDLLEDTVEYGIIVADSDPIEQWEAAIEEDRLRELKLDVHNYSS RRWRLDTHD YNL253W MSTIGAVDILNQKTITSEVAASVTSKYLQSTFSKGNTSHIEDKR FIHVSSRSHSRFTSTPITPNEILSLKFHVSGSSMAYSRMDGSLTVWFIKDASFDKSVE VYIPDCCGSDKLATDLSWNPTSLNQIAVVSNSSEISLLLINEKSLTASKLRTLSLGSK TKVNTCLYDPLGNWLLAATKSEKIYLFDVKKDHSSVCSLNISDISQEDNDVVYSLAWS NGGSHIFIGFKSGYLAILKAKHGILEVCTKIKAHTGPITEIKMDPWGRNFITGSIDGN CYVWNMKSLCCELIINDLNSAVTTLDVCHLGKILGICTEDEMVYFYDLNSGNLLHSKS LANYKTDPVLKFYPDKSWYIMSGKNDTLSNHFVKNEKNLITYWKDMFDNTMIEKRRKN NGGGNNHNKRTSKNTDRIGKDRPSRFNSKK YNL252C MKVNLMLKRGLATATATASSAPPKIKVGVLLSRIPIIKSELNEL EKKYYEYQSELEKRLMWTFPAYFYFKKGTVAEHKFLSLQKGPISKKNGIWFPRGIPDI KHGRERSTKQEVKLSDDSTVAFSNNQKEQSKDDVNRPVIPNDRITEADRSNDMKSLER QLSRTLYLLVKDKSGTWKFPNFDLSDESKPLHVHAENELKLLSGDQIYTWSVSATPIG VLQDERNRTAEFIVKSHILAGKFDLVASKNDAFEDFAWLTKGEISEYVPKDYFNKTEF LLADN YNL251C MQQDDDFQNFVATLESFKDLKSGISGSRIKKLTTYALDHIDIES KIISLIIDYSRLCPDSHKLGSLYIIDSIGRAYLDETRSNSNSSSNKPGTCAHAINTLG EVIQELLSDAIAKSNQDHKEKIRMLLDIWDRSGLFQKSYLNAIRSKCFAMDISNNTAN TASQQLSLDPKQRSKQILSNLKKSPPLNLNISLPTDLTSTDPAKQQAALFQVIAALQK HFKTLPSHTSVGTVAPPQAHTITEYGSRRERERERERYNSRRNRSRSPPAPFSQPSTG RKDRYPSVAQDQYSIGAPNTTFGTNNHHLYPDELNVSNNPHYRPKPVSYDSTLPPDHI KVYSRTLFIGGVPLNMKEWDLANVLKPFAEVQSVILNNSRKHAFVKVYSRHEAENVLQ NFNKDGALPLRTRWGVGFGPRDCCDYQHGYSIIPMHRLTDADKKWSVSAQWGGTSGQP LVTGIVFEEPDIIVGEGVSSKAISQKMPTDSGRNGPRSGKPNKSGSISSISPVPYGNA PLASPPPQQYVQPMMQQPYGYAPNQPLPSQGPAAAAPPVPQQQFDPTAQLNSLMNMLN QQQQQQQQS YNL250W MSAIYKLSIQGIRSFDSNDRETIEFGKPLTLIVGMNGSGKTTII ECLKYATTGDLPPNSKGGVFIHDPKITGEKDIRAQVKLAFTSANGLNMIVTRNIQLLM KKTTTTFKTLEGQLVAINNSGDRSTLSTRSLELDAQVPLYLGVPKAILEYVIFCHQED SLWPLSEPSNLKKKFDEIFQAMKFTKALDNLKSIKKDMSVDIKLLKQSVEHLKLDKDR SKAMKLNIHQLQTKIDQYNEEVSEIESQLNEITEKSDKLFKSNQDFQKILSKVENLKN TKLSISDQVKRLSNSIDILDLSKPDLQNLLANFSKVLMDKNNQLRDLETDISSLKDRQ SSLQSLSNSLIRRQGELEAGKETYEKNRNHLSSLKEAFQHKFQGLSNIENSDMAQVNH EMSQFKAFISQDLTDTIDQFAKDIQLKETNLSDLIKSITVDSQNLEYNKKDRSKLIHD SEELAEKLKSFKSLSTQDSLNHELENLKTYKEKLQSWESENIIPKLNQKIEEKNNEMI ILENQIEKFQDRIMKTNQQADLYAKLGLIKKSINTKLDELQKITEKLQNDSRIRQVFP LTQEFQRADLEMDFQKLFINMQKNIAINNKKMHELDRRYTNALYNLNTIEKDLQDNQK SKEKVIQLLSENLPEDCTIDEYNDVLEETELSYKTALENLKMHQTTLEFNRKALEIAE RDSCCYLCSRKFENESFKSKLLQELKTKTDANFEKTLKDTVQNEKEYLHSLRLLEKHI ITLNSINEKIDNSQKCLEKAKEETKTSKSKLDELEVDSTKLKDEKELAESEIRPLIEK FTYLEKELKDLENSSKTISEELSIYNTSEDGIQTVDELRDQQRKMNDSLRELRKTISD LQMEKDEKVRENSRMINLIKEKELTVSEIESSLTQKQNIDDSIRSKRENINDIDSRVK ELEARIISLKNKKDEAQSVLDKVKNERDIQVRNKQKTVADINRLIDRFQTIYNEVVDF EAKGFDELQTTIKELELNKAQMLELKEQLDLKSNEVNEEKRKLADSNNEEKNLKQNLE LIELKSQLQHIESEISRLDVQNAEAERDKYQEESLRLRTRFEKLSSENAGKLGEMKQL QNQIDSLTHQLRTDYKDIEKNYHKEWVELQTRSFVTDDIDVYSKALDSAIMKYHGLKM QDINRIIDELWKRTYSGTDIDTIKIRSDEVSSTVKGKSYNYRVVMYKQDVELDMRGRC SAGQKVLASIIIRLALSETFGANCGVIALDEPTTNLDEENIESLAKSLHNIINMRRHQ KNFQLIVITHDEKFLGHMNAAAFTDHFFKVKRDDRQKSQIEWVDINRVTY YNL249C MNSSLQVGIGIDVGSSSARIGVYNYYNDALLEMAQEPVPYYQDS SKKSWKFWQKSTEIIKALQKCLQKLNIREYEVKSCGVSATCSLAIFERDRTSNMLIPY PNEDNVIFWMDSSAVNECQWLNMQCPQQLLDYLGGKFVPEMGVPKLKYFLDEYSHLRD KHFHIFDLHQYIAYELSRLYEWNIEGLLGRENLNGIGNDGEVSGWSSSFYKNIINLPS NVSIGTTSLVANKHISTTVVRSCIDSYASWFAVASPHLETSLFMIAGTSSCYMYGTTI SDTRIPGVWGPFDTILDNRGDFSVYAAGQSCTGKLIEHLFESHPCARKILKDGADIYQ VLEQTIRDIEKNNGLSIHILTKDMFFYGDYEGNRTPFADPRIKGSFIGESTDTSMLNL TYKYICILEFLSFQTKLIIDTFQNENSNIHIKELRISGSQAKNERLLSLISLVNNGVA IIKPKENVDMMGIKGAYVLAKSAKEKKQLADVITERDISNDSEKFESLAEYRLGNDSI LLRKLLCVKYHIHLDMAKQQKRYHKLVDEVFQHL YNL248C MSVKRSVSEIEIESVQDQPSVAVGSFFKGFRAPSDTTFDLYKKK KSEKDEFVLHGENERLEYEGYTDSSSQASNQYVVGLFNPEKKSIQLYKAPVLVSKVVS KSSKNLRGPKIKSKSDTRPSALRNALGEAFGTKKAKKAIADLERNRIDSDKLTDSAID IVDSVRTASKDLPTRAQLDEITSNDRPTPLANIDATDVEQIYPIESIIPKKELQFIRV SSILKEADKEKKLELFPYQNNSKYVAKKLDSLTQPSQMTKLQLLYYLSLLLGVYENRR VNNKTKLLERLNSPPEILVDGILSRFTVIKPGQFGRSKDRSYFIDPQNEDKILCYILA IIMHLDNFIVEITPLAHELNLKPSKVVSLFRVLGAIVKGATVAQAEAFGIPKSTAASY KIATMKVPFKLPEMTRRGRGPRR YNL247W MNIFIKALRRYTIMSTPKIVQPKWKVPTPQAKETVLKLYNSLTR SKVEFIPQSGNRGVTWYSCGPTVYDASHMGHARNYVSIDINRRIIQDYFGYDVQFVQN VTDIDDKIILRARQNYLFDNFVKENDTKFNATVVDKVKTALFQYINKNFTIQGSEIKT IEEFETWLSNADTETLKLENPKFPMHVTAVQNAIESITKGDSMDAEVAFEKVKDVTVP LLDKELGSTISNPEIFRQLPAYWEQKFNDDMLSLNVLPPTVTTRVSEYVPEIIDFVQK IIDNGYAYATSDGSVYFDTLKFDKSPNHDYAKCQPWNKGQLDLINDGEGSLSNFADNG KKSNNDFALWKASKAGEPEWESPWGKGRPGWHIECSVMASDILGSNIDIHSGGIDLAF PHHDNELAQSEARFDNQQWINYFLHTGHLHIEGQKMSKSLKNFITIQEALKKFSPRQL RLAFASVQWNNQLDFKESLIHEVKSFENSMNNFFKTIRALKNDAASAGHISKKFSPLE KELLADFVESESKVHSAFCDNLSTPVALKTLSELVTKSNTYITTAGAALKIEPLIAIC SYITKILRIIGFPSRPDNLGWAAQAGSNDGSLGSLEDTVMPYVKCLSTFRDDVRSLAI KKAEPKEFLQLTDKIRNEDLLNLNVALDDRNGQSALIKFLTNDEKLEIVKLNEEKHAN ELAKKQKKLEQQKLREQKENERKQKAQIKPQDMFKDVTLYSAWDEQGLPTKDKDGNDI TKSMTKKLKKQWEQQKKLHEEYFGEDK YNL246W MMSDQENENEHAKAFLGLAKCEEEVDAIEREVELYRLNKMKPVY EKRDAYIDEIAEFWKIVLSQHVSFANYIRASDFKYIDTIDKIKVEWLALESEMYDTRD FSITFHFHGIEGDFKEQQVTKVFQIKKGKDDQEDGILTSEPVPIEWPQSYDSINPDLI KDKRSPEGKKKYRQGMKTIFGWFRWTGLKPGKEFPHGDSLASLFSEEIYPFCVKYYAE AQRDLEDEEGESGLSADGDSEDDDGSLGEVDLPLSDEEPSSKKRKV YNL245C MGSGDLNLLKSWNPKLMKNRKKVWETEQDLITEQQKLNTRLKEI EKERELNELLNESSKDKPETLKNDLALKKSGLEWMYQDAKLSDEKEDYLLGKKKLDSS ILNQPATPPVRAATTISASGAATSISSQKKKSKLLKDDPMSKFKVTKQQRRTPDSTKK RAMSQRGKPLSKPAPDLDY YNL244C MSIENLKSFDPFADTGDDETATSNYIHIRIQQRNGRKTLTTVQG VPEEYDLKRILKVLKKDFACNGNIVKDPEMGEIIQLQGDQRAKVCEFMISQLGLQKKN IKIHGF YNL243W MSRIDSDLQKALKKACSVEETAPKRKHVRACIVYTWDHQSSKAV FTTLKTLPLANDEVQLFKMLIVLHKIIQEGHPSALAEAIRDRDWIRSLGRVHSGGSSY SKLIREYVRYLVLKLDFHAHHRGFNNGTFEYEEYVSLVSVSDPDEGYETILDLMSLQD SLDEFSQIIFASIQSERRNTECKISALIPLIAESYGIYKFITSMLRAMHRQLNDAEGD AALQPLKERYELQHARLFEFYADCSSVKYLTTLVTIPKLPVDAPDVFLINDVDESKEI KFKKREPSVTPARTPARTPTPTPPVVAEPAISPRPVSQRTTSTPTGYLQTMPTGATTG MMIPTATGAANAIFPQATAQMQPDFWANQQAQFANEQNRLEQERVQQLQQQQAQQELF QQQLQKAQQDMMNMQLQQQNQHQNDLIALTNQYEKDQALLQQYDQRVQQLESEITTMD STASKQLANKDEQLTALQDQLDVWERKYESLAKLYSQLRQEHLNLLPRFKKLQLKVNS AQESIQKKEQLEHKLKQKDLQMAELVKDRDRARLELERSINNAEADSAAATAAAETMT QDKMNPILDAILESGINTIQESVYNLDSPLSWSGPLTPPTFLLSLLESTSENATEFAT SFNNLIVDGLAHGDQTEVIHCVSDFSTSMATLVTNSKAYAVTTLPQEQSDQILTLVKR CAREAQYFFEDLMSENLNQVGDEEKTDIVINANVDMQEKLQELSLAIEPLLNIQSVKS NKETNPHSELVATADKIVKSSEHLRVDVPKPLLSLALMIIDAVVALVKAAIQCQNEIA TTTSIPLNQFYLKNSRWTEGLISAAKAVAGATNVLITTASKLITSEDNENTSPEQFIV ASKEVAASTIQLVAASRVKTSIHSKAQDKLEHCSKDVTDACRSLGNHVMGMIEDDHST SQQQQPLDFTSEHTLKTAEMEQQVEILKLEQSLSNARKRLGEIRRHAYYNQDDD YNL242W MAFWLPQNIQKRLLLYVLQQISLFSNIDLSNLDVSIGSKSHFSF HDVNLSLDDLNIPNVQINEGIVDELVLKLTVSGGVEIDGSGLRFIMTPLYSSGSQELH SDFLVKSIQDLTNSMLQFSDPLTTYNRYKEDDISSSDSSSDLNSNIEASKPAANGSYT LQNMRNKALNVALAKLKIALKDVTIRFIVNDRDPSDNIVEVHLESIQLITTDANLRHI NIENITISSIQKQAVPDSPVHPFNNDDLSQSVYLSKMEATSLYMSAMEEQSNEDPSEP QVTQEEQENDKCKESLMEINNLNIAFKGLSSVNDLRMSNIVIDIQDVHLAIHKIVEIK NSTLKNIIDIIVTHLDANESFSCQDSQSPSPDKQEPSALSSVDIKCIYLNLGQDITVI LKSFKLEQKENNSLAFSLGSFYSNSSPLTISHKTKPLLTGEQTPQSIALNMGDELDII ISHDGIAHFFKIFQFVSKCMSFYQNKSKGMMPQIASDTKRTVQLTSKAVKLSLKFPYF LLCFQVSPFIYDSNRELYIELVDVFKKLPSRCTKILTMSSITISNLQSPLQLGSYDDT LKEALIYSSVHAIIKEVIFNEEYSGIVQLVEDISAFGKLFTDSKNSECTGKSKSKRGS FLQRSVRVLNSSRFVYKQSLSANFSLKIDSMKLKVSEIIGPQFGSVEALLSNNFFAIT DDSQIVYFTKNLKVERKTPSLLEPQEIMSVVLNKAVNEPVLYVHRRANGKLKVIFNNI RIHYYARWLEILKKNIGPDNASSKDEPVSQKLSKKQPTSGFPWELKCLDCSLILHPFR LKSVMVIVLDNLTTGGSSFIPQAKLLSKANTLFLIDDYQNFKIQKDKNWPSLINFYAG QGFSAIGKIDTLNFLINKSDGALLLDCKIEQVGLSLCADSFQTFCQLCIDLKYPQTFP DEEKFRTQLKNPIDVFKDIDCDLFNSAFIRENNHQNDYDSVHLVDSFLDKTHEFNNGA RSKLSSQGSYEMDSSSGTATGGILLPHESYLDSAQPKEEDTPPIASKEQERDVDIRGS IDVEKVVIKLFDGYDWKYTRKFIANTVEKLDKELSKAEASSSKSNVPQSEANIFDSIY ISANKNNVTDLRRNLDGEIQGVQNSFSDVSKVNLRPSKHYKALIQLNKVHVNLKNYRV DEPDESNSDNSTDVLNRCVVSIYEFEIIDNVPTSTWNKFVTLLKHEPWPHSSPMFLLD LEFIRPIDFLQAVELVMQLNVAPLRLHVDQDTLEFLIRFLGFKDKRFELIDEYPDIVF IQKFSTNSIKLRLDYKPKKVDYAGLRSGQTSELMNFFTLDGSKIILKSVVLYGLNGFD ELNNKLKAIWTPDITKKQLPGVLEGLAPVRSFMAIGSGVKTLVTVLMSEYRQEGHLGR SLKKGGNVFLKTTTGDFVKLGVKLTSGTQAILENTEELFGGVGSNGRVYDASKFGSAD GADSDTAAVLDLDTLFEEDQLVGSKYSRIRDHEPTAVVIDMSSPGDHNEPTIVSLYAD QPLDLPTGLKEAYSSLEKHMHIAYDAVWRAKGQMKDDKRGGPSAAAVYVARAAPVAII RPLIGATEAVSKTLQGIANQVDKTHNEQINDKYKSNRTDS YNL241C MSEGPVKFEKNTVISVFGASGDLAKKKTFPALFGLFREGYLDPS TKIFGYARSKLSMEEDLKSRVLPHLKKPHGEADDSKVEQFFKMVSYISGNYDTDEGFD ELRTQIEKFEKSANVDVPHRLFYLALPPSVFLTVAKQIKSRVYAENGITRVIVEKPFG HDLASARELQKNLGPLFKEEELYRIDHYLGKELVKNLLVLRFGNQFLNASWNRDNIQS VQISFKERFGTEGRGGYFDSIGIIRDVMQNHLLQIMTLLTMERPVSFDPESIRDEKVK VLKAVAPIDTDDVLLGQYGKSEDGSKPAYVDDDTVDKDSKCVTFAAMTFNIENERWEG VPIMMRAGKALNESKVEIRLQYKAVASGVFKDIPNNELVIRVQPDAAVYLKFNAKTPG LSNATQVTDLNLTYASRYQDFWIPEAYEVLIRDALLGDHSNFVRDDELDISWGIFTPL LKHIERPDGPTPEIYPYGSRGPKGLKEYMQKHKYVMPEKHPYAWPVTKPEDTKDN YNL240C MSALLSESDLNDFISPALACVKPTQVSGGKKDNVNMNGEYEVST EPDQLEKVSITLSDCLACSGCITSSEEILLSSQSHSVFLKNWGKLSQQQDKFLVVSVS PQCRLSLAQYYGLTLEAADLCLMNFFQKHFQCKYMVGTEMGRIISISKTVEKIIAHKK QKENTGADRKPLLSAVCPGFLIYTEKTKPQLVPMLLNVKSPQQITGSLIRATFESLAI ARESFYHLSLMPCFDKKLEASRPESLDDGIDCVITPREIVTMLQELNLDFKSFLTEDT SLYGRLSPPGWDPRVHWASNLGGTCGGYAYQYVTAVQRLHPGSQMIVLEGRNSDIVEY RLLHDDRIIAAASELSGFRNIQNLVRKLTSGSGSERKRNITALRKRRTGPKANSREMA AATAATADPYHSDYIEVNACPGACMNGGGLLNGEQNSLKRKQLVQTLNKRHGEELAMV DPLTLGPKLEEAAARPLSLEYVFAPVKQAVEKDLVSVGSTW YNL239W MSSSIDISKINSWNKEFQSDLTHQLATTVLKNYNADDALLNKTR LQKQDNRVFNTVVSTDSTPVTNQKSSGRCWLFAATNQLRLNVLSELNLKEFELSQAYL FFYDKLEKANYFLDQIVSSADQDIDSRLVQYLLAAPTEDGGQYSMFLNLVKKYGLIPK DLYGDLPYSTTASRKWNSLLTTKLREFAETLRTALKERSADDSIIVTLREQMQREIFR LMSLFMDIPPVQPNEQFTWEYVDKDKKIHTIKSTPLEFASKYAKLDPSTPVSLINDPR HPYGKLIKIDRLGNVLGGDAVIYLNVDNETLSKLVVKRLQNNKAVFFGSHTPKFMDKK TGVMDIELWNYPAIGYNLPQQKASRIRYHESLMTHAMLITGCHVDETSKLPLRYRVEN SWGKDSGKDGLYVMTQKYFEEYCFQIVVDINELPKELASKFTSGKEEPIVLPIWDPMG ALAK YNL238W MKVRKYITLCFWWAFSTSALVSSQQIPLKDHTSRQYFAVESNET LSRLEEMHPNWKYEHDVRGLPNHYVFSKELLKLGKRSSLEELQGDNNDHILSVHDLFP RNDLFKRLPVPAPPMDSSLLPVKEAEDKLSINDPLFERQWHLVNPSFPGSDINVLDLW YNNITGAGVVAAIVDDGLDYENEDLKDNFCAEGSWDFNDNTNLPKPRLSDDYHGTRCA GEIAAKKGNNFCGVGVGYNAKISGIRILSGDITTEDEAASLIYGLDVNDIYSCSWGPA DDGRHLQGPSDLVKKALVKGVTEGRDSKGAIYVFASGNGGTRGDNCNYDGYTNSIYSI TIGAIDHKDLHPPYSEGCSAVMAVTYSSGSGEYIHSSDINGRCSNSHGGTSAAAPLAA GVYTLLLEANPNLTWRDVQYLSILSAVGLEKNADGDWRDSAMGKKYSHRYGFGKIDAH KLIEMSKTWENVNAQTWFYLPTLYVSQSTNSTEETLESVITISEKSLQDANFKRIEHV TVTVDIDTEIRGTTTVDLISPAGIISNLGVVRPRDVSSEGFKDWTFMSVAHWGENGVG DWKIKVKTTENGHRIDFHSWRLKLFGESIDSSKTETFVFGNDKEEVEPAATESTVSQY SASSTSISISATSTSSISIGVETSAIPQTTTASTDPDSDPNTPKKLSSPRQAMHYFLT IFLIGATFLVLYFMFFMKSRRRIRRSRAETYEFDIIDTDSEYDSTLDNGTSGITEPEE VEDFDFDLSDEDHLASLSSSENGDAEHTIDSVLTNENPFSDPIKQKFPNDANAESASN KLQELQPDVPPSSGRS YNL237W MTAANKNIVFGFSRSISAILLICFFFEKVCGDMEHDMGMDDTSG YTRPEIVQAGSKSFHWLCTLGFLLLLPSVVTCLSFAGRIYSATLLQCTCAVYAFLEAA VLRFQDNDGVENRTSRGTAWFLVGLTWITLFFGGLAGGTGFLVKSKRLQTFISNAGEK RLSYIHRGLSFLTVLTGWVKVCLAPVALFGFCREAHTGQCIAHGIMGSAFVLYGFIYV LVLVIPWIRSAQTSYSQDYVDSWVMCIWGVVNTFTEHRWGREGWSVHDYQHTFMGIIW WTGGILGIFLSRNGRRTFVPSLIIIFTGWAMSEHAQHLIISTKVHNMFGLVLMCGGAL RIIEISFLLRDKRTLDKIHSFQYLAPFCLVCSGLLFMGANEEQLILVLRLGGDHSAYV LIIVSGAFLVYFWMIACLEFYLYLLEKGKQGFLPKSYELEEENNNVSFELDNISNEDV DEDTTPFNV YNL236W MMLGEHLMSWSKTGIIAYSDSQSSNANICLTFLESINGINWRFH TPQKYVLHPQLHEVQYQESSSTLSTHSTTTSVNGSTTAGVGSTPNFGGNSNKSPPQFF YNISSIHWNNWFSLPGDMLAVCDELGNMTMLITGQRPDRATTYEKLTMVFQDNVYKIY NHVMPLKPVDKLKPMNIERKQTRKEYNTSILEFRWLTSSKSVIVSQFCAFDSSSNTYR SRAQQVPPYGVYHPPFIKYACLAIRKNGQIDFWYQFSNSKDHKKITLQLLDTSNQRFK DLQWLEFARITPMNDDQCMLITTYSKLSKNISFYKLHVNWNLNATKPNVLNDPSLKIQ FILSTTLDPTDDEGHVLKLENLHVVSKSSIEKDPSPEILVLYNVCDTSKSLVKRYRLA PTQLSAEYLVILKPDLNIDRNNSTNQIFQSRRYNLRRHSDIVLDKKVTLITSEMFDAF VSFYFEDGTIESYNQNDWKLETERLISQSQLGKFKNIIASPLSAGFNYGKLPLPPSVE WMKVSPSMCGVIVKQYNKKWPQFYAAVQKNYADPEKDSINATALAFGYVKSLHKQISA EDLTIAAKTHILRISFLDRKRAKEFITTLLKSLYSFFNISPDAPKEIMDKIITSRPLQ KIMLLQLELGSCFSQENIEEMARVILYLKNVLFAFNGVARNFHFAIEQISNNSNQQQN PKLFQTIFSKQDLIHSLIPVAKWFVKFITYLTQEILILINDPTNKEYTLVHGIFGAKM SRTLILSILNEIKKVTQIVAKFPETSYPILNESSTFLKLVLSESPVDFEKFETFLVDV NNKFIALCEQQPSQEREFSLLVKAEIPPEYAKVGDFLLQYANNAVISHANAAAVYFAD TSGLKISNSEFFNPEIFHLLQPLEEGLIIDTDKLPIKNRTSKSFSKLLYDDVTCDKLS VSEISDGKLKRCSRCGSVTRAGNIISSDKTIVPTSIQTKRWPTMYTRLCICSGMLFEM DG YNL234W MTGEKILHSQLLTNSDMSSGNVHHTKPMMYNVTLPSYNSSSIGP VDNLKINERPGSHDHSMRSEMSSKNSGSDFMPQSISRSEGSVYQVKIDRGDSPNTEGF DFKVNARDLLLLRMSWDILLREYLTPKELKVFQALLYSNKHITSTERPYLNTAPDGMI SKTIDPTARPRKTKQRDNDNKVDTALFCSQFYDNLIAMDPLLEEYFPSLKHQAVSFCK VLDSAIDNLENVHVLDDYIVKLGKRHSRILGIKTVGFEVMGKAFMTTLQDRFGSFLTL ELKNLWGQLYSYLANCMITAGKDPMEKIQPDFSYNGDSVVLNFSIPKLAMHDISTVNK LQMVKTKNATIPHNITQVPTNKIPTEILLDNSSTPIKSDRESTPPISPKGSGSTKPSI GSSTVVESNTKKNNYDEKIHLLQKTAQQKNCSIM YNL233W MSDSISDSKSSELLNSTFYSSTSINTLDHARTFRNSLILKEISD QSLNSSIKPCESVLDRDVESSVLQRSFGESNARDSEVQTVNMTTSPSLSALADILNER SKYADQKTRKAQNIESSIIEEEEEAEEQNNSINYHEDITGSRLSVREEANENLAMTSP NLIDIDGSNSIQVAPLSLPSFEEPDFLSTPRVKPDSQGPRSKVSTRRTILERDNNLPV KREENTIINSETESTTHSAPFLKEDPKPSPPSSKLYNPKVRLNKAEARKYTDSSAQRT TSAGSVLEDTSMHKKKKSIFSFLKKKEPKPVIGNNSVTNEKNKMSSSSTFSMNIQTSL KTPEKLKKKSHSSSSIFNSFLKGKIETSDSPRKEPMRQKKRTPKSKDKKQDTEQIIDA ASVLSTESPLLRKNHDDTPVKIDHVTRSIDQRKPTPLNMDLILGGDKQINTPLQEHVR EDDDAKNDLQLPTKDNFLSLDYEAPSPAFSKHDTGEVLFPKFLDNHEVDSIVSLERTR STKSNKRSSMNSQRRSLTDTLSIKAQSEGMFITEASSVVLSTPDLTKSPASSILKNGR FEYSDNFSREHSYEGTTNEDFLDIKDDSGPLKKDDIFLESIEQKFDQLVMASDEEKTE VERDVPKPREEPLKKDSERQSVFADDDNELISDIMEFASFINFGDDDLNLDLDLGDTT ASYATETPEPVGNDEVNRSGTFDTRNNKEDSYKERETQSYSAAGATTYGDERQGQLHT FEQDGSEINDNEFENEDFNKHIEQPIEVTPRNNAYLPEFEPNRPVSMSFKGLKAPRMN TSFIDSMTPDSPVKSDLTSLGEVYVNSNNDQGVRFSSQIILYDTYGEFEYDRHPEIST CNQLTPQLAQMIKLELNELKSAMEVHDDSRCYTHFY YNL232W MACNFQFPEIAYPGKLICPQYGTENKDGEDIIFNYVPGPGTKLI QYEHNGRTLEAITATLVGTVRCEEEKKTDQEEEREGTDQSTEEEKSVDASPNDVTRRT VKNILVSVLPGTEKGRKTNKYANNDFANNLPKEGDIVLTRVTRLSLQRANVEILAVED KPSPIDSGIGSNGSGIVAAGGGSGAATFSVSQASSDLGETFRGIIRSQDVRSTDRDRV KVIECFKPGDIVRAQVLSLGDGTNYYLTTARNDLGVVFARAANGAGGLMYATDWQMMT SPVTGATEKRKCAKPF YNL231C MFKRFSKKKEAPEDPKNLINIDKPIKELPASIAIPKEKPLTGEQ QKMYDEVLKHFSNPDLKVYTSEKNKSEDDLKPLEEEEKAWLTRECFLRYLRATKWVLK DCIDRITMTLAWRREFGISHLGEEHGDKITADLVAVENESGKQVILGYENDARPILYL KPGRQNTKTSHRQVQHLVFMLERVIDFMPAGQDSLALLIDFKDYPDVPKVPGNSKIPP IGVGKEVLHILQTHYPERLGKALLTNIPWLAWTFLKLIHPFIDPLTREKLVFDEPFVK YVPKNELDSLYGGDLKFKYNHDVYWPALVETAREKRDHYFKRFQSFGGIVGLSEVDLR GTHEKLLYPVKSESSTV YNL230C MKSLQTLCEISLMRNHSNIQSVSNVPYHLLKRILQKVKIPQLLK LEKSNVLLIFDDDELWLEFLRQDFPTNVHEQFVSKRDIICKYYFDFVKENDIELYHSN QDLLKSCVRQSVVKDIRNNKYRIPYRMLYSKYQQEVEKKQEESAERLRLEMQKLQQER EKKQTIVVDHTVYFKKRNTKKTTRLHNEPHSQLYMKSLKDHESRLKHFKDGGFNIAKR HAQRVAFGGQAGGQSSSPKKGPLSIKPEPVKVNRQMDNVTAEKKDVTQPITPVKKRRS ESPSIFLNRKKPALFRPTPKTNAAGSRPHTTAITNDHRTTSHPYPHKDVVTSISSVTA NPVTKGHKKKKSGIFVRNAGSDGDSFPHVTATGPTTRPYIYEPRK YNL229C MMNNNGNQVSNLSNALRQVNIGNRNSNTTTDQSNINFEFSTGVN NNNNNNSSSNNNNVQNNNSGRNGSQNNDNENNIKNTLEQHRQQQQAFSDMSHVEYSRI TKFFQEQPLEGYTLFSHRSAPNGFKVAIVLSELGFHYNTIFLDFNLGEHRAPEFVSVN PNARVPALIDHGMDNLSIWESGAILLHLVNKYYKETGNPLLWSDDLADQSQINAWLFF QTSGHAPMIGQALHFRYFHSQKIASAVERYTDEVRRVYGVVEMALAERREALVMELDT ENAAAYSAGTTPMSQSRFFDYPVWLVGDKLTIADLAFVPWNNVVDRIGINIKIEFPEV YKWTKHMMRRPAVIKALRGE YNL227C MKTCYYELLGVETHASDLELKKAYRKKALQYHPDKNPDNVEEAT QKFAVIRAAYEVLSDPQERAWYDSHKEQILNDTPPSTDDYYDYEVDATVTGVTTDELL LFFNSALYTKIDNSAAGIYQIAGKIFAKLAKDEILSGKRLGKFSEYQDDVFEQDINSI GYLKACDNFINKTDKLLYPLFGYSPTDYEYLKHFYKTWSAFNTLKSFSWKDEYMYSKN YDRRTKREVNRRNEKARQQARNEYNKTVKRFVVFIKKLDKRMKEGAKIAEEQRKLKEQ QRKNELNNRRKFGNDNNDEEKFHLQSWQTVKEENWDELEKVYDNFGEFENSKNDKEGE VLIYECFICNKTFKSEKQLKNHINTKLHKKNMEEIRKEMEEENITLGLDNLSDLEKFD SADESVKEKEDIDLQALQAELAEIERKLAESSSEDESEDDNLNIEMDIEVEDVSSDEN VHVNTKNKKKRKKKKKAKVDTETEESESFDDTKDKRSNELDDLLASLGDKGLQTDDDE DWSTKAKKKKGKQPKKNSKSTKSTPSLSTLPSSMSPTSAIEVCTTCGESFDSRNKLFN HVKIAGHAAVKNVVKRKKVKTKRI YNL225C MTDFDLMNFPFHERLDSPVSENGEIKDGEPIPQNWLNENHVGKS ILPLFVNPEDVINCNFSNARDSYEENKSPSMDQMNYARNTSYQESPGLQERPKNEKDK SPIGTDVHKKDVPNFIHSTPRENSSKHFTRANEQASAQPTDEHTSPDISIEDCNGAKI FLQNSLSKEDFRMLENVILGYQKKVIELGRDNLRQEERANSLQKELEAATKSNDKTLD NKKKIEEQTVLIENLTKDLSLNKEMLEKANDTIQTKHTALLSLTDSLRKAELFEIPIG ILFFDLYDSEENSSKLDHILQEKYPNIKGFLCASQQEELSRISQRFKNAKAEAEDLRN ELENKKIEIQTMREKNNTLIGTNKTLSKQNKILCDKFDKLTIDEKEILKGCNEEIKIK LERLNERLGSWEKSKEKYETSLKDKEKMLADAEKKTNTLSKELDNLRSRFGNLEGNTS ERITIKNILQSRPDISAEECNFLMVEQIDSANLTTLQNTVKEIVLAVGIPYPKLRRKI PLLAIKLKYENIMLSNFAQRLHRQVYSQEMNLKKFTDQAYYDFMSTRRMDSIDHHLER CLDHLYDHILEKMVK YNL224C MAKRHSHYQGSRRRHARGSNSKKAGRGNAKGIQGRKIKKKPTPT NSWHNSSIPLGEGDLDDVGADFNPGRAFISPKTIEDYYFGRDAKSRSMKMGGLRPGNR YDSSTDLQAGRAAFRKRPMQFVKAKEVYDPSHNMIQKLRAKNETKNSEEIVEREADVF EEPGKMTSDVEYINNEDSENEDDDSQNSPSTDHSLSSNESKVEDGDLFFVDEEAQQSP DLTKIKRVCIEEIARPREVAIEFDPILTIGKVELSVSEGNESKEISVDVPNKGNKTYH PFAGYISNVLHGMHTSDSDNDELDYEIETENNSEPLYESSASSEVDQGFNYVGQRHNS RADNNLLPSPSPQLTEDIKCLSINGTKTFEGNNDNLPSPASEELEFGFKEEDFVINTN DIVVSNIRMGGVDNSYYLRCYRLLGDYDFHWIDQDLLTDFVVDELGLPEDRLPAYLNF IKNSLIPKIEPAEPTYSDIPISDSSDEGDSYEGDSYEDDEDMASSVVHSDIEEGLDDL IAYTLKHDTERFKTFETKSLETKGKGKKKKLLIDDALALDTETLETLQSKFSKRIETK AKKRKAKEDFIDQENRNSNDMLKKYPYGLHIQNIKDEFESFLSRNNDRLTFPPLDPHG NKTVMKIAKHYNMKSSKIGKANHTSVVVEKIKKTKWSSPNYSLIDQLMRQRPVFMRID IRRPREEQAAFERTKTIRGKFHVKEGEIVGQNAPEIGNENIGRRMLEKLGWKSGEGLG IQGNKGISEPIFAKIKKNRSGLRHSES YNL223W MQRWLQLWKMDLVQKVSHGVFEGSSEEPAALMNHDYIVLGEVYP ERDEESGAEQCEQDCRYRGEAVSDGFLSSLFGREISSYTKEFLLDVQSRVNFTYRTRF VPIARAPDGPSPLSLNLLVRTNPISTIEDYIANPDCFNTDIGWGCMIRTGQSLLGNAL QILHLGRDFRVNGNESLERESKFVNWFNDTPEAPFSLHNFVSAGTELSDKRPGEWFGP AATARSIQSLIYGFPECGIDDCIVSVSSGDIYENEVEKVFAENPNSRILFLLGVKLGI NAVNESYRESICGILSSTQSVGIAGGRPSSSLYFFGYQGNEFLHFDPHIPQPAVEDSF VESCHTSKFGKLQLSEMDPSMLIGILIKGEKDWQQWKLEVAESAIINVLAKRMDDFDV SCSMDDVESVSSNSMKKDASNNENLGVLEGDYVDIGAIFPHTTNTEDVDEYDCFQDIH CKKQKIVVMGNTHTVNANLTDYEVEGVLVEKETVGIHSPIDEKC YNL222W MPSHRNSNLKFCTVCASNNNRSMESHKVLQEAGYNVSSYGTGSA VRLPGLSIDKPNVYSFGTPYNDIYNDLLSQSADRYKSNGLLQMLDRNRRLKKAPEKWQ ESTKVFDFVFTCEERCFDAVCEDLMNRGGKLNKIVHVINVDIKDDDENAKIGSKAILE LADMLNDKIEQCEKDDIPFEDCIMDILTEWQSSHSQLPSLYAPSYY YNL221C MSGSLSRGNGGKKVLNKNQLLKRNRIRNARSIRAEAVAASSTKT GTPSDLSESGSKLNVDQFISSRQFEVKQLQLAMHNSKAASSTRIFQALPRKLRRRTAS HNVRRIPKRMRNRALREMRKSDQQDVLKGSSASSRKAHGLNAKQLYKARMSIKLLRLA SKSTSMKLSMPPEVTSSNCHVRQKIKTLKRMIKESSTANPNIKLLNNRMGSYDCTGVN ELAPIPKGRVKYTKRQKHFAWLPTHIWNAKRSHMMKRWGYQMVWAPTQKCFKLTHRLG GDTCSSDGALCMDSSYIGTIIVKDKSNDSEGDFLKSIIGKLTAERANLRKYREGQVLF QGLIYSFNEENGEDSTKPLGPCDVFWVQKDTAIIRLHPSIYTQVFNILLQHKEKLTVQ DCRYSLASVTLKGAKALESLASCLRSTEYSKSFEQFKMVSMITDHNALPQRCTFAFEA IDPRHLAAPKKLNDSQRKTVNSDDILSLHENYPQDEINAVFNELCDPESRTQSYNNQN TLKEISARRYKLLTATPNSINKTTVPFKESDDPSIPLVIIRRLKTRDWIVVLPWFWLL PLWHLLNRIPRMYHIGLRQFQQIQYENKQLYFPDDYPFTQLGYIENSFYKKEASKTKW DRKPMGKRINFEKIKDIHNTKLPAYSGEIGDFFSSDWRFLQILRNGIDYLQRNDKTLE LMDSKKTGQFNAQGVRDINCVNDVLEFCKDYEAKTKAMSLSIEENIPVALCKNRKCQF RTPDSISVNSSSFSLTFFPRCIIAVSCTLLERGHPKDNARIYQVPEKDLEHWLQLAKG VYRPNGRKDHDLKIPLPEVHDLIGFITSGTYHLNCGNGMGIGFIDHHAAIRQPTRYVL IRNVGTNTYRLGEWSKISV YNL220W MVNVVLGSQWGDEGKGKLVDLLVGKYDIVARCAGGNNAGHTIVV DGVKYDFHMLPSGLVNPNCQNLLGNGVVIHVPSFFKELETLEAKGLKNARSRLFVSSR AHLVFDFHQVTDKLRELELSGRSKDGKNIGTTGKGIGPTYSTKASRSGLRVHHLVNDQ PGAWEEFVARYKRLLETRRQRYGDFEYDFEAKLAEYKKLREQLKPFVVDSVVFMHNAI EAKKKILVEGANALMLDIDFGTYPYVTSSNTGIGGVLTGLGIPPRTIDEIYGVVKAYT TRVGEGPFPTEQLNENGEKLQTIGAEFGVTTGRKRRCGWLDLVVLKYSTLINGYTSLN ITKLDVLDTFKEIPVGISYSIQGKKLDLFPEDLNILGKVEVEYKVLPGWDQDITKITK YEDLPENAKKYLKYIEDFVGVPVEWVGTGPARESMLHKEIK YNL219C MNCKAVTISLLLLLFLTRVYIQPTFSLISDCDETFNYWEPLNLL VRGFGKQTWEYSPEYSIRSWAFLLPFYCILYPVNKFTDLESHWNFFITRACLGFFSFI MEFKLHREIAGSLALQIANIWIIFQLFNPGWFHASVELLPSAVAMLLYVGATRHSLRY LSTGSTSNFTKSLAYNFLASILGWPFVLILSLPLCLHYLFNHRIISTIRTAFDCCLIF SLTAFAVIVTDSIFYGKLAPVSWNILFYNVINASEESGPNIFGVEPWYYYPLNLLLNF PLPVLVLAILGIFHLRLWPLWASLFTWIAVFTQQPHKEERFLYPIYGLITLSASIAFY KVLNLFNRKPILKKGIKLSVLLIVAGQAMSRIVALVNNYTAPIAVYEQFSSLNQGGVK APVVNVCTGREWYHFPSSFLLPDNHRLKFVKSGFDGLLPGDFPESGSIFKKIRTLPKG MNNKNIYDTGKEWPITRCDYFIDIVAPINLTKDVFNPLHLMDNWNKLACAAFIDGENS KILGRAFYVPEPINRIMQIVLPKQWNQVYGVRYIDYCLFEKPTETTN YNL218W MSNKRTSVEQLISCPICSRKVFFSLINSHLDICGKEKSKPSSRP QTVSSLLAGPKKRKQANSEKFIDLENKDHEIKPGLKSESDDIEIVENESKRFKAAPST DFAKSIVEPASSRDQLHNDYESRWLQKISHLPLSEKLRPKELRDYVGQQHILSQDNGT LFKYIKQGTIPSMILWGPPGVGKTSLARLLTKTATTSSNESNVGSRYFMIETSATKAN TQELRGIFEKSKKEYQLTKRRTVLFIDEIHRFNKVQQDLLLPHVENGDIILIGATTEN PSFQLNNALISRCLIFVLEKLNVNELCIVLSRGIALLNKCRKQVWNIENPLKLSRSIL EYVVDLSVGDTRRALNMLEMIEVSTRERKADEEELSIDDVRDIIKNNSSNGLNTYYDP KGDNHYDTISAFHKSIRGGDENASLYYLARMLQGGEDPLYVARRMIRIASEDIGLRDS SLLPLAVAAHDAVMKVGLPEADLALAQCCVALARAPKSVELYRAWKKLRAMMSENMYS LASSEIPMHIRNAPTKLMEELGYHKGYKYNPDYIEGKVQQDYFPKEVLEKCPNKTDLK FLDGKHLGDKEDPDLRQSYQG YNL217W MEDKRKRRAATLSTALILFVACCVYTLYIFKFDNPRLSPPVSLL PTISTLKKIEHVTDLNKEYVFVGDVHGNYDEFIELIDDKIGGLGENITMILLGDFIHK GPDSDKVVSYILNHKDQVKCVLGNHEILVMMAYLNPDFSKWVRRPKLMTPLTFSTETN FIPQDISKISNAHGRLARELGFSKLSQLAEHCSMAIELDLDITGDILFGAHAGMVPGD FMKPNQIPGVSSLSNMKYVDKKNWSKTSREKENKNYVRWYTLWDKYGDHFSNAKVFYG HDASMGLNLRRQTKGLDTACIKNNLLSSMKVKYDIKKGQYDYELIQVQCS YNL216W MSSPDDFETAPAEYVDALDPSMVVVDSGSAAVTAPSDSAAEVKA NQNEENTGATAAETSEKVDQTEVEKKDDDDTTEVGVTTTTPSIADTAATANIASTSGA SVTEPTTDDTAADEKKEQVSGPPLSNMKFYLNRDADAHDSLNDIDQLARLIRANGGEV LDSKPRESKENVFIVSPYNHTNLPTVTPTYIKACCQSNSLLNMENYLVPYDNFREVVD SRLQEESHSNGVDNSNSNSDNKDSIRPKTEIISTNTNGATEDSTSEKVMVDAEQQARL QEQAQLLRQHVSSTASITSGGHNDLVQIEQPQKDTSNNNNSNVNDEDNDLLTQDNNPQ TADEGNASFQAQRSMISRGALPSHNKASFTDEEDEFILDVVRKNPTRRTTHTLYDEIS HYVPNHTGNSIRHRFRVYLSKRLEYVYEVDKFGKLVRDDDGNLIKTKVLPPSIKRKFS ADEDYTLAIAVKKQFYRDLFQIDPDTGRSLITDEDTPTAIARRNMTMDPNHVPGSEPN FAAYRTQSRRGPIAREFFKHFAEEHAAHTENAWRDRFRKFLLAYGIDDYISYYEAEKA QNREPEPMKNLTNRPKRPGVPTPGNYNSAAKRARNYSSQRNVQPTANAASANAAAAAA AAASNSYAIPENELLDEDTMNFISSLKNDLSNISNSLPFEYPHEIAEAIRSDFSNEDI YDNIDPDTISFPPKIATTDLFLPLFFHFGSTRQFMDKLHEVISGDYEPSQAEKLVQDL CDETGIRKNFSTSILTCLSGDLMVFPRYFLNMFKDNVNPPPNVPGIWTHDDDESLKSN DQEQIRKLVKKHGTGRMEMRKRFFEKDLL YNL215W MDSEASDIEAELSDSVSAGGEEYIDDDDYTEDIDDQIVTAKSSR RTARRSVPKGVRTSKRIRDKELSVEVDEDYDEEEDVLSPSKKRHLHTRSMDKRQVAAT ASEKSDIGDSKGNDGEIEDGILEEEESLEKELNRGGGKEVEKSEESYYAQNDVGQKGE EEQDGESGGYEDNEPSISKESDELVSVVNGNGNEEDDEVEATKENTTDSTRSTTTRSK MLLDLLEDGGSKKKLTDEEIQLRRAENARKRKNLSEKRLEEEKQDTINKLLKKRAGKS RSHLPNDDEKNDGSSSFVKPRRPYNSEGMTRILRRYEEDLFCTF YNL214W MTSINSFPRNIDWPSNIGIKKIEGTNPTVNAIKGLLYNGGSIYA FLYFVIAMFVEPTLQKQYQQRNDFSLFVLLRLRRIIAQLQKRLVMTPVSSLGFNEQNN FVERSTQTSDDNIIREDNSHWAEMIYQLQNMKQELQYFNRSSGQPSESIDDFVFQIKM VTDQVELTDRSRAFSNKSRNIIQGIREIKGWFVNGQVPR YNL213C MNILRIACRSFHCLRCGPLLNENRGWSSKKIIKLVNKSSLSNKE FTEKVRDGTKDIPEWKKQKMAVRKKLQGQRWNPPKKISQEQMEALRLLKFNFPELTAS DLADRFKISPEAVRRILKSNWKRTDEENNNTYERWKRRGERIKEMYQRKEDADFVSNQ IVTSRKIILGSNSNSPELIARNVRTFKPFKPNNSTPEKKNTNKLYILKHLGSKQ YNL212W MNILKKFMESGNKPELITIPSGQFNLLRSKNSPKAALECIYNNA TLSVRKIGKFDYELAVYRVEDDSEGGTGDEAENFEDDTISVLSTQSKKKEEEWSVEIS DKIMFHKTWDKQGNVALVWENLRGDEQDEKVQFVVAADVSFSDVEQFIQTVYRCQFEV RNKKSSLTASADDLKEIEHRSTRLFVQDDDDELDSSSDDFQDAKDTSFEHEKESEILE RTPSPLKKVPEGEYCCLVMSSLYMYDPIQEKFILQEPVVKVAIIDTGKYEFWLAIEGK DNRLGTQVAPNINPTFELATDAFLFNYTLQNITLSYMLKFKDLDKCIQFRFAWVKCLW MTLNKETWTDVPEKEKDYILDSSSVPLEKQFDDILHIDDRSNEERDKESSESENDSED EDDENDHSKRIISSEAFEEPRRATSKGNSSLTVAFRNNRSYVTRDNRIGVFKTDDEDD SLEFVAAIKNISNLGGKSIDPHKPMLYMEDRNLILTDGENENKLYKMDIERGKVIEEW STGDKNVVQYGPTKKFDQMTPEQTIVGVSQKGVFKIDPRINGKNKIAVDESKDYVGKY NFSSIGTTESGYIAIGSEKGDIKLYDRLGIRAKTAIPSLGQAIKFITTSADGKWLLAT CESTLLLMDLKIKDGKNAGNIGFLKSFPASENVKTYVLKIRPEHSASILTYTKKPIRF TKAYFNTGIGQQEQTIVTSTGPYAISWSLKGILNQDGSNNYPYRIRRYNADVVADNFE FGSDKKVIVALKDDVSLSKVKSFKQPSKGVLMPSASLQDFYG YNL211C MPPRSIEEWFYYKLLSSPGFHRFVRKVYRKVNGIKEDPFTDQST AFQYLYKPTPRQKFKALRLLFWDEMRSTFGFRRRLGDRFKKD YNL210W MSNQHSPQPFCLDTKLVKLLEELQEGKQFNNKNIFPEKALYLKL ALDYSFFRKNLLEFCVHLDKIKGVIRPNYDTIYILCLLEVDLLNLVFTDNILEICLPR FVSREDLRVFNNTFYTYHDNRLRILQEDFSQLFKKIKTKASVLCFTVEEIFLTNQEIL PQNSTVAELQKSTNKVQTNGPQRHDFIVTLEIKLNKTQITFLIGAKGTRIESLREKSG ASIKIIPISDKMTAHERNHPESVQQTILISGDLYSIALAVTSIESALITLDL YNL209W MAEGVFQGAIGIDLGTTYSCVATYESSVEIIANEQGNRVTPSFV AFTPQERLIGDAAKNQAALNPRNTVFDAKRLIGRRFDDESVQKDMKTWPFKVIDVDGN PVIEVQYLEETKTFSPQEISAMVLTKMKEIAEAKIGKKVEKAVITVPAYFNDAQRQAT KDAGAISGLNVLRIINEPTAAAIAYGLGAGKSEKERHVLIFDLGGGTFDVSLLHIAGG VYTVKSTSGNTHLGGQDFDTNLLEHFKAEFKKKTGLDISDDARALRRLRTAAERAKRT LSSVTQTTVEVDSLFDGEDFESSLTRARFEDLNAALFKSTLEPVEQVLKDAKISKSQI DEVVLVGGSTRIPKVQKLLSDFFDGKQLEKSINPDEAVAYGAAVQGAILTGQSTSDET KDLLLLDVAPLSLGVGMQGDIFGIVVPRNTTVPTIKRRTFTTVSDNQTTVQFPVYQGE RVNCKENTLLGEFDLKNIPMMPAGEPVLEAIFEVDANGILKVTAVEKSTGKSSNITIS NAVGRLSSEEIEKMVNQAEEFKAADEAFAKKHEARQRLESYVASIEQTVTDPVLSSKL KRGSKSKIEAALSDALAALQIEDPSADELRKAEVGLKRVVTKAMSSR YNL208W MSANEFYSSGQQGQYNQQNNQERTGAPNNGQYGADNGNPNGERG LFSTIVGGSAGAYAGSKVSNNHSKLSGVLGAIGGAFLANKISDERKEHKQQEQYGNSN FGGAPQGGHNNHHRQDNNNNNGGFGGPGGPGGQGFGRQGPQGFGGPGPQEFGGPGGQG FGGPNPQEFGGPGGQGFGGPNPQEFGGQGRQGFNGGSRW YNL207W MKLDTSHMRYLTTDDFRVLQAVEQGSRSHEVVPTPLIHQISGMR SQSGTNRAISDLAKLSLISKMRNVKYDGYRLTYNGIDYLALKTMLNRDTVYSVGNTIG VGKESDIYKVSDKNGNPRVMKIHRLGRTSFHSVRNNRDYLKKSNQGANWMHLSRLAAN KEYQFMSMLYSKGFKVPEPFDNSRHIVVMELIEGYPMRRLRKHKNIPKLYSDLMCFIV DLANSGLIHCDFNEFNIMIKDKLEDENDCGFVVIDFPQCISIQHQDADYYFQRDVDCI RRFFKKKLKYEPKPDSSMLDTEGFGDGYKYAYPDFKRDVKRTDNLDELVQASGFSKKH PGDRGLETAVESMRNAVYNSDDDMSNDEAEEENGEGDYSEEDEYYDSELDNESSEDDS EDAQEEENERIIEALSSGVENLKMDKLGNYILE YNL206C MSKLFLDELPESLSRKIGTVVRVLPSSLEIFEELYKYALNENSN DRSGRHKKPRIDVSSDLLKTDEISETNTIFKLEGVSVLSPLRKKLDLVFYLSNVDGSP VITLLKGNDRELSIYQLNKNIKMASFLPVPEKPNLIYLFMTYTSCEDNKFSEPVVMTL NKENTLNQFKNLGLLDSNVTDFEKCVEYIRKQAILTGFKISNPFVNSTLVDTDAEKIN SFHLQCHRGTKEGTLYFLPDHIIFGFKKPILLFDASDIESITYSSITRLTFNASLVTK DGEKYEFSMIDQTEYAKIDDYVKRKQMKDKSMSEELKAKSKSKGQATDGTADQPSILQ EATRQMQDEKKAGVFSDDDEENDQNFEAESDLSDGSGQESSDGAEDGEEAEEDDEEDD EEEDKKGQSALNRDNSFASINGQPEQELQYKEFKEPLELEDIPIEIDNDDDEDDEDGS GVEYD YNL204C MRLFENSKDMENRKRLLRAKKAAGNNNCFECKSVNPQFVSCSFG IFICVNCANLLRGMGTNIFCVKSITMDNFEEKDVRRVEKSGNNRFGSFLSKNGILQNG IPLREKYDNLFAKSYKRRLANEVRSNDINRNMYLGFNNFQQYTNGATSQIRDRTLREI SNNSNASEGAEFVLPEKVLGSDNFQDCERFPACLSSERNLDENNVTSATSTLTIEKFQ NDPIGTISRSWQLLSDALYKSYEDFKGSVVQPTIENIQQRNLPNDIKRSFVHFNEKLH ETPHLPSPVFSCFTGGDILPPEFN YNL202W MNTANTLDGKFVTEGSWRPDLFKGKVAFVTGGAGTICRVQTEAL VLLGCKAAIVGRDQERTEQAAKGISQLAKDKDAVLAIANVDVRNFEQVENAVKKTVEK FGKIDFVIAGAAGNFVCDFANLSPNAFKSVVDIDLLGSFNTAKACLKELKKSKGSILF VSATFHYYGVPFQGHVGAAKAGIDALAKNLAVELGPLGIRSNCIAPGAIDNTEGLKRL AGKKYKEKALAKIPLQRLGSTRDIAESTVYIFSPAASYVTGTVLVVDGGMWHLGTYFG HELYPEALIKSMTSKL YNL201C MSLPGTPTTSPTPMDEDTEQAVSVNTEPKRVKVYILENNEWKDT GTGFCIGEVDEGKFAYLVVSDEDSPTETLLKSKLEGNIEYQRQEETLIVWKDLGGKDI ALSFEESMGCDTLCEFIVHVQRNIESNISLVTVKSSDNGLGSVHDIITGPVTLPSNDQ QQNSQTLLEALKILNENTSFDFLKNETIEFILQSNYIDTLISHFHKAEEEKIPKDLFL LSNIIKTLILYNKRDILESMVEDDRIMGIVGILEYDTEYPTSKANHRKYLGSKGPNFK EVIPLENEDLKIIMKKCFRLQFLKDVVLVRFLDDHNFNLISEIVMDLETCIIDFLQVG TFLDRLIELYDTKTLPESSSEKEKFVQKRKDGIRLLQQCVQMSINLDAVDRSKFYKTL VRKGLFKVLDYAFHMETDSNVRILATDTIITIIEHDILLIHNVQNEDSFKRQHKSAPD DKSSHRKYPQDYSSSTDSKLLLILSTILLSDRSPGLREQVVQALNTLLHPEGCVGNGE GSYDLMGRSNYEAKNTSEDFPSFSYGLNSDSINLNNYHYSSDEMNNLEPESESEFQVM EYFANFYNKIAPILFGPLIKKDITTEMAEIDGQIEKVTKDDLLLIHLVKLVSFVCTEH DRVLSRRFILENGILDSVSKLIGGNHMMQLRLTAVRCIKNLMCLDDKYYHRYMISKNL YAPVFKLFQENIDKNNLANSCIQDFFRIIITECRAYQSDGHNRKEKTNGSYDGNGNDV KTNVNNNRTNFTILNKYLVQTYGDVLRKATDIPFIQDMLETGEENQPDHSSFENSIEG GNDISVNMSTDGFASNHLEDIDIKNVKRLHSEIEHFENDPHYSGDQLAFKKSVDQMNA ST YNL200C MSTLKVVSSKLAAEIDKELMGPQIGFTLQQLMELAGFSVAQAVC RQFPLRGKTETEKGKHVFVIAGPGNNGGDGLVCARHLKLFGYNPVVFYPKRSERTEFY KQLVHQLNFFKVPVLSQDEGNWLEYLKPEKTLCIVDAIFGFSFKPPMREPFKGIVEEL CKVQNIIPIVSVDVPTGWDVDKGPISQPSINPAVLVSLTVPKPCSSHIRENQTTHYVG GRFIPRDFANKFGFEPFGYESTDQILKL YNL199C MHHQTKLDVFIIRAYNLLSNESVISGASLQSVTNSPQTTTNTPS GMVNGAVGTGIANPTGLMGSDSTPNIDEIITSTGSNALTKTNSDSANGTPNGNSSSTS AISNASNPATTGNNASSSATSNGIYTQAQYSQLFAKISKLYNATLSSGSIDDRSTSPK SAIELYQRFQQMIKELELSFDASPYAKYFRRLDGRLWQIKTDSELENDELWRLVSMSI FTVFDPQTGQILTQGRRKGNSLNTSTKGSPSDLQGINNGNNNGNNGNIGNGSNIKNYG NKNMPNNRTKKRGTRVAKNAKNGKNNKNSNKERNGITDTSAFSNTTISNPGTNMLFDP SLSQQLQKRLQTLSQDVNSRSLTGYYTQPTSPGSGGFEFGLSHADLNPNASSNTMGYN TMSNNGSHSWKRRSLGSLDVNTLDDEAVEELLQLTNTSKRQRPMTTAAEGALINDGPD TNLNANNTQMKVDLNPSNSMGPIDTEAVIRPLKEAYDAIISEKGQRIVQLERELELQR QETQWLRKMLIEDMGCVRSMLRDLQR YNL197C MQSSVYFDQTGSFASSSDNVVSSTTNTHNISPSHRSSLNLNTTS HPHEASGRGSASGELYLNDTNSPLAISSMLNTLALGSMPQDIASSNISNHDNNIKGSY SLKLSNVAKDITLRECYAIFALAEGVKSIELQKKNSSSSITSASLEDENDIFIIARFE LLNLAINYAVILNSKNELFGPSFPNKTTVEIIDDTTKNLVSFPSSAIFNDTSRLNKSN SGMKRPSLLSQRSRFSFSDPFSNDSPLSQQQSQQQQQQPQQPQQHSTQKHSPQQCNQQ QVNSSIPLSSQGQVIGLHSNHSHQDLSVESTIQTSDIGKSFLLRDNTEINEKIWGTSG IPSSINGYMSTPQPSTPTLEWGNTSASQHGSSFFLPSAASTAIAPTNSNTSANANASS NNGASNNGANQALSASSQQPMMQIGNTINTSLTSSNSLPPYGLMSSQSQHISNMVNTS DMNITPQKQNRFMQQPQPEHMYPVNQSNTPQKVPPARLSSSRNSHKNNSTTSLSSNIT GSASISQADLSLLARIPPPANPADQNPPCNTLYVGNLPSDATEQELRQLFSGQEGFRR LSFRNKNTTSNGHSHGPMCFVEFDDVSFATRALAELYGRQLPRSTVSSKGGIRLSFSK NPLGVRGPNSRRGGSGNPNPNVNMLSSYNSNVGHIKN YNL196C MMNKVDQIIGYKKYEVKLPKDRQVKKNKSKGGNVDQIDTKREKD KMRAFGEERKKFLDKMAKNKKKNTSRKDREKPKEVEKENYKREDKRLKEQKKLSLAKE FRFKEPNSEAINQNTAAENGKPKPQTGLDFDIDHQTVSKIMIDQAIQTSSPLNVQLTE LFNDNVLDVSKDSQFVLQDMEFTSWERRWSNCSTTSNATTVSSVPDPKYNINYNDITS FNSVALITEDLNISASSNGLHERGKKLLQQEMEYSNKVKNVTIGLERLCMDEKPVPDA GITMQQASRWSEFPTCCDQAAI YNL195C MLGLGQSAQAYASDDALNMNQAKDKTYSVPGCGRASDLKYPHRD GHSSSHEQRSGILPTECPGPTLNTGAGSIGIPGCGKVTNRVVSDYNKNARSTLANFDS SKMTEARMNSKNVPIGCQDTSDPHFNGPIDQHVPGAGSPQSQPHHIDAWNSVSSRRAD NNNQDMMDPQTASSDRYNEKMMREENSGVSASSYTTKVQGYPASIPSFNQETEEKETY AYGVGDRHNVPRNQIMDETNPSANVLNATDHSISHPENKVLHK YNL194C MSYKKFVYFINLFFLLGATLLTFFLILAGGRTTGVLKNFYWFQA STSGFNSAPSVTRWYNYNWCGWESRGIAVNCSSKMAAQPFSPRDNFGSSPLMPSTFLN NRNAYYYLSRVGWAMLLIGLFFLLITLVSVIASLIRYNRRTAALATAMSWITLFFITL SACLYTGCYAKAVKAFHHENRDARLGPKNFGLIWTTVFLLIVNAICCTIMVATHKRNE YIYDRSFASTKTVDSQTPTPVPTNGGIPSSVPVTEVQQSQSHQNHRFFKKLRTKKRTV TSAGDEPDRVQEERVYTEQNVPVVS YNL193W MGPPKNFKHFSKSNKHKKEQKALITQEDFYLAAIDCEEQADRWL LSDIKKCLRFYLKALEHYENGLTALDSTQEGKYNIYYNETRLFLQIYTDYLANNGYIN ILQYVKMDDMPDLSNLVLSLPQIIQRFEIVYETFPEQRTWDLQFNLLTCYLTLIESLD DTVSPTVAMEGADILTLTNKYIEIFQHLVNYLLQELQNWSENAEQDSDDTDTELQRDT LDEDAMQVTRDGSGIRTNGPVQPPAEVMDVSEQVTPSSLTEVLANSLKFNHALMELVI ESKISIEKNVETKILNPIQINFLEDTTNKFYLQLRDIIDSISAAIPLDLKEIGLAKTL IEGLNIISSGTFESLQDFVLQTVSFTDLLDEKDVQGKIDLSLIRVDIVEFAILCLNDY SSDASWKLSGLLTKVLTEARTLLTDYRNQILFLKNQTLNEQLSHVVFQLCDVLVNSSD NELRRYAIKESTEKSQKTPGGAHTLNILMKNANVFLNNAVAISSKQCGLQETIIDKLK RNYIHNQAKERLLFLQRLEQKSNEDDGTSASPTAMTFDMPPEHPFYSHYR YNL192W MSDQNNRSRNEYHSNRKNEPSYELQNAHSGLFHSSNEELTNRNQ RYTNQNASMGSFTPVQSLQFPEQSQQTNMLYNGDDGNNNTINDNERDIYGGFVNHHRQ RPPPATAEYNDVFNTNSQQLPSEHQYNNVPSYPLPSINVIQTTPELIHNGSQTMATPI ERPFFNENDYYYNNRNSRTSPSIASSSDGYADQEARPILEQPNNNMNSGNIPQYHDQP FGYNNGYHGLQAKDYYDDPEGGYIDQRGDDYQINSYLGRNGEMVDPYDYENSLRHMTP MERREYLHDDSRPVNDGKEELDSVKSGYSHRDLGEYDKDDFSRDDEYDDLNTIDKLQF QANGVPASSSVSSIGSKESDIIVSNDNLTANRALKRSGTEIRKFKLWNGNFVFDSPIS KTLLDQYATTTENANTLPNEFKFMRYQAVTCEPNQLAEKNFTVRQLKYLTPRETELML VVTMYNEDHILLGRTLKGIMDNVKYMVKKKNSSTWGPDAWKKIVVCIISDGRSKINER SLALLSSLGCYQDGFAKDEINEKKVAMHVYEHTTMINITNISESEVSLECNQGTVPIQ LLFCLKEQNQKKINSHRWAFEGFAELLRPNIVTLLDAGTMPGKDSIYQLWREFRNPNV GGACGEIRTDLGKRFVKLLNPLVASQNFEYKMSNILDKTTESNFGFITVLPGAFSAYR FEAVRGQPLQKYFYGEIMENEGFHFFSSNMYLAEDRILCFEVVTKKNCNWILKYCRSS YASTDVPERVPEFILQRRRWLNGSFFASVYSFCHFYRVWSSGHNIGRKLLLTVEFFYL FFNTLISWFSLSSFFLVFRILTVSIALAYHSAFNVLSVIFLWLYGICTLSTFILSLGN KPKSTEKFYVLTCVIFAVMMIYMIFCSIFMSVKSFQNILKNDTISFEGLITTEAFRDI VISLGSTYCLYLISSIIYLQPWHMLTSFIQYILLSPSYINVLNIYAFCNVHDLSWGTK GAMANPLGKINTTEDGTFKMEVLVSSSEIQANYDKYLKVLNDFDPKSESRPTEPSYDE KKTGYYANVRSLVIIFWVITNFIIVAVVLETGGIADYIAMKSISTDDTLETAKKAEIP LMTSKASIYFNVILWLVALSALIRFIGCSIYMIVRFFKKVTFR YNL191W MCRFLIFKGKQPIRLSHLLTRPAHSIINQSFDSRLRLDRRRPMN GDGFGVAYYPLDTELSEDGPCLFKAITPAWNNQNLSTLAEKTKSDLVFAHVRASTYGV LSETNCHPFTYHSLCFMHNGGISNFKGIKRKLLNHIKDEYLNFIQGSTDSECAFALFL DTLDKLGYDPKKQDGDFGNVALRKAMLRTIDYIRDWTKEANKDEAHVEPSLLNFAVTD GSTVVVSRYITSKTDEAASLHFSCGSSFVETSPGEYRVERLDRNQDVIMVASEPLTFE RGDWTAVPTNSILTIKKQTILLHPIIDEYYQEDPLYLRSSTLAESKGLMGSIPLAKAV EKNVPPLEREGRTRPPTAVAHIA YNL190W MKFSSVTAITLATVATVATAKKGEHDFTTTLTLSSDGSLTTTTS THTTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKT PNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTKHD TTTYGPGEKARKNNAAPGPSNFNSIKLFGVTAGSAAVAGALLLL YNL189W MDNGTDSSTSKFVPEYRRTNFKNKGRFSADELRRRRDTQQVELR KAKRDEALAKRRNFIPPTDGADSDEEDESSVSADQQFYSQLQQELPQMTQQLNSDDMQ EQLSATVKFRQILSREHRPPIDVVIQAGVVPRLVEFMRENQPEMLQLEAAWALTNIAS GTSAQTKVVVDADAVPLFIQLLYTGSVEVKEQAIWALGNVAGDSTDYRDYVLQCNAME PILGLFNSNKPSLIRTATWTLSNLCRGKKPQPDWSVVSQALPTLAKLIYSMDTETLVD ACWAISYLSDGPQEAIQAVIDVRIPKRLVELLSHESTLVQTPALRAVGNIVTGNDLQT QVVINAGVLPALRLLLSSPKENIKKEACWTISNITAGNTEQIQAVIDANLIPPLVKLL EVAEYKTKKEACWAISNASSGGLQRPDIIRYLVSQGCIKPLCDLLEIADNRIIEVTLD ALENILKMGEADKEARGLNINENADFIEKAGGMEKIFNCQQNENDKIYEKAYKIIETY FGEEEDAVDETMAPQNAGNTFGFGSNVNQQFNFN YNL188W MNVTSPKDGNHSFSKKNRFNTNKPRFHKLNEQAQSINLPEDRDS IVSSNTTSIMTDDAFDYNEGIASRTKNINSDSDRSNDTIKQNNYNKRETGYNPFYNGS GINQRYTQFRKREFEPTLAENKAEEYISDEDNVKIDEDNIENELQFTPKIKEASILRS SLLGQRNVLNTRNPKSKESHIKVKPIINNKSSSQRKSSAALRKQLGKPLPLPYLNSPN SDSTPTLQRKEEVFTDEVLQKKRELIESKWHRLLFHDKKMVEKKLESLREYERKRMPP RGTDVSSSEQDNSFKISTPTKSYVSLEQKPLPNLSAMNNFNDVTDNKEKEETNNNILK FQAQRDPLQILQSEIEMHTKKLDTIIELLKDDTDSKEKRKVVTNDNAAPEQMVNKGWR KNVMMIYKKSGNIMKKYREYFLWTICILILLYCNIYVYYRF YNL187W MEKKVICQDIFWSCDGTSFVSVHNDFGIRQYLVPEESNTDKLNR NLLLPFTRFFRNQSIVSCAIDPFYTLYNENSDRLAGDRIVVGGKNFPLQLYSLMDGQC ILSYDTMNKINGEYETVYSVKIDVESRVYTGSCRNKVAIYDKSRRDAVWMNQSTKKAS KGRQSIISCFEEQPMGGQALSRGSLLCGSYANEMFQVDCRHQRLERLNYTRTVAGGIV QILTSDNGRYVYVVRRNSDAISIYDRRNLQHELNVLRLPFRIHHNSAKLKAYIDTAYG LSMGTPQGTILNWGRDLVEFGGVPSHNSVEDPLITSIPPESEWRTNLDSTIPATVVKN CPGDPELFALSHGGTISLCRFGG YNL186W MTTQESIKPLVDRILSNPLQFNAAMISNKSNNNDTSAAPENSSY IVIGKQHNNNSNSTAIAATAESKQIKENNLIDRPNGKKTNTVPKSMAEALLLYTSKND KDAADATGAKKSAELSTELSTEPPSSSSEDDKVGKEEEEEGEIFHEARDYVEPRKASL KERDNADKGDGEDIGEDIGEDIGEDIGEDIGEDIGENLGSPLATIDDSSNENEKEKRK ELSTSISSDDEIEDDEDEDDMDYDSSAMEKELPEEEENDSSSKISEGEKKSLYQDLME NSTVEVNRYEPVNNTKENGNRNPKGEEEEEEEEELKHKSRSITPPVTISNLSNFYQFN ENINDRGSLNSTRIVKNWGDKFTNLKPRGLLNHGVTCYTNAAVQAMLHIPSIQHYLFD ILMGKYDSTISKNSVSYTLAETSKKMWLPVSKNPRKNVSASYINPKHLISRLDDINCM MSEWQQEDSHEYFMSLMSRLQEDSVPKGHKLIESIIYDIFGGLLKQIVTCKSCGSISK TEQPFYDLSLHLKGKKKLDPNSDLSSDSINGTSATTSTTTSNAATKPSLSSSSSVNLN NGSPFAAASDLSSANRRFSIEKSIKDFFNPELIKVDKEQKGYVCEKCHKTTNAVKHSS ILRAPETLLVHLKKFRFNGTSSSKMKQAVSYPMFLDLTEYCESKELPVKYQLLSVVVH EGRSLSSGHYIAHCKQPDGSWATYDDEYINIISERDVLKEPNAYYLLYTRLTPKSVPL PLAKSAMATGNVTSKSKQEQAVNEPNNRPLKINSKKNNRKKWKKNKKRKFTK YNL185C MSQAAKNVIVKLIVGAGQAAPSPPVGPALGSKGIKAIDFCKEFN ARSANYQPGVPVPVLITIKPDRTFTFEMKSPPTGYLLLKALKMDKGHGQPNVGTMLGS APAKGPTRALGELSLKHVYEIAKIKKSDERHSLLEMEGIVKSIVGVAKSMGIKVVP YNL184C MKRVTLIVLPRRQFPFLKFHSKEALESAVNLQLIRRKKSVNIQI DSVTFCIYFCLLYFRTLEYHRGTISLHNVTGSKKRDSKANSRSRPSGTITSRGARIGL QGYKSH YNL183C MSSLTRLLQEKRKNETSNSSPRTSADTLTTTPESQSLDLHSRNK SSSHIGSVSNSSSSDRNRANVPVPGSVTTVTQIYSEEDSSSTAGSSLDDRNQFSSSFL NANFAHTASFYGTSAQSRDRFGSLINDQGTAGLSSHGGSFAAQNRITSRLSTTSHTSG RAIPSLSSSIPYSVPNSNKDNNSSNSNSSSLSSSWLETYAGGMPNNISAIDSNVISSP KVDSVEPRFVISKQKLQKASMDSNNANATQSRSISRSGSFSSQLGNFFFSKNSKESSN SNSAGMSFSANSNGPSPNIKNPNVTNGSTPIPKPIRARQSSIYSASRQPTGSYTDNFY GSPSSVHDHLPPSQSVPRSQHSSIGDLKRFFKKSSNSNLSSNSNNVIPNGSPLSSGIA VPSHSHSSSHFAAGNNSYSTSYNGNGDTIYSHSHGGSGIPFSKRYIKTGADLGAGAGG SVKLAQRISDNKIFAVKEFRTKFENESKRDYVKKITSEYCIGTTLNHPNIIETIEIVY ENDRILQVMEYCEYDLFAIVMSNKMSYEEICCCFKQILTGVQYLHSIGLAHRDLKLDN CVINEKGIVKLIDFGAAVVFSYPFSKNLVEASGIVGSDPYLAPEVCIFAKYDPRPVDI WSSAIIFACMILKKFPWKIPKLRDNSFKLFCSGRDCDSLSSLVTRTPDPPSYDESHST EKKKPESSSNNVSDPNNVNIGPQRLLHSLPEETQHIVGRMIDLAPACRGNIEEIMEDP WIRSIDMCHLVEDGLSFKVVRGEDHHHTQVDQSEAHIAGLEKKKKKQNNQ YNL182C MDEQVIFTTNTSGTIASVHSFEQINLRQCSTQSRNSCVQVGNKY LFIAQAQKALINVYNLSGSFKRESVEQRLPLPEILKCLEVVENDGVQYDRIQGVNHNL PDFNLPYLLLGSTESGKLYIWELNSGILLNVKPMAHYQSITKIKSILNGKYIITSGND SRVIIWQTVDLVSASNDDPKPLCILHDHTLPVTDFQVSSSQGKFLSCTDTKLFTVSQD ATIRCYDLSLIGSKKKQKANENDVSIGKTPVLLATFTTPYSIKSIVLDPADRACYIGT AEGCFSLNLFYKLKGNAIVNLLQSAGVNTVQKGRVFSLVQRNSLTGGENEDLDALYAM GQLVCENVLNSNVSCLEISMDGTLLLIGDTEGKVSIAEIYSKQIIRTIQTLTTSQDSV GEVTNLLTNPYRLERGNLLFEGESKGKQPSNNNGHNFMKIPNLQRVIFDGKNKGHLHD IWYQIGEPEAETDPNLALPLNDFNAYLEQVKTQESIFSHIGKVSSNVKVIDNKIDATS SLDSNAAKDEEITELKTNIEALTHAYKELRDMHEKLYEEHQQMLDKQ YNL181W MPLNIIGTALLDGTDKIPYYQTIKKVAPYVLATGAIKYWSRGPS NTWERKLHGKVYLVTGATSQGMGTSVAYKMAELGAQLIILTREVDEWVTEWCEELREK TKNELIFVEKCDLSNLWEIRKFATSWLDNSPPRRLDGVIVMSGDMEPWGIPKISLPQR RSSKDGLELQIATNYVAIFHLLNLLQPSFKAQPPDRDVRIILATCWLQVVGDINIEDP LWQNAKYKSALKFFASSKLQLGLSMMELQRRLTEDIKNQKTNGAERTGKNVTITMVQP GTMRSNSLRRVISNGSVVLLIILYCILLYPILWLFTKSGRRGDQSFLYALMTPELEEV NLKDTKVKYISDCSIVKFARKEFDDEELQKKLFDNTERDILQLEKKVAAKRNANKTGN QNSKKKSQNKSRKDD YNL180C MRSIKCVIIGDGAVGKTSLLISYTTNSFPTDYVPTVFDNYSTTI AIPNGTASSPLELDNGNDKRGSLSSASSSPSTDRKLYKINLWDTAGQEDYDRLRPLCY PQTDIFLICFSVSEHASFANVTEKWLPELKQTSNIEGTSLYTKLGKYPILLVGTKSDL RDDPATQKKLQEANSDYVSQEEIDELVQRCGFMGYTECSAATQAGVREVFEQAVRYAI YEPESPNQKSANHTLTDELTTATTNTNGDKNIREQKQQPHHNNSTDSTLPKGSLQQEK EALNIKPTKKGQKDKIHEQSKSKGSKIASNNHHNKQAKPKTRNDKKKKKSKCVIL YNL178W MVALISKKRKLVADGVFYAELNEFFTRELAEEGYSGVEVRVTPT KTEVIIRATRTQDVLGENGRRINELTLLVQKRFKYAPGTIVLYAERVQDRGLSAVAQA ESMKFKLLNGLAIRRAAYGVVRYVMESGAKGCEVVVSGKLRAARAKAMKFADGFLIHS GQPVNDFIDTATRHVLMRQGVLGIKVKIMRDPAKSRTGPKALPDAVTIIEPKEEEPIL APSVKDYRPAEETEAQAEPVEA YNL177C MNFHTARISQVGVISRALLSSVSRRWIHVTPISLNNSGGSLFGS ITENKPKEGKNRGDEDAGSFSNRLAIASDSSGEAPEVNRDSITIENDKLLQQHIISLQ QPEQLASQSLLSPLKREIYEANCKINGGFYKKDTIVKLPNSSERYKLKLTKREIEVLE PSVYAQSYRIKSSMKKATLLLRLLGGLDVMKAISQCHFSNKKIAREVAELLQKGVKDG QKLGLKPEDLYISQIWTGSDGFWRKRVEFKARTRIGIISHPYIHVRCILRTKSVTKRR LAYEAHLKEQKRAPWVQLGDKPIRGVTGGVYKW YNL176C MNSNSTIGRTTLGESDTISLSFSEPSSSLNSRSTDVVFASTSTL VPQQGSLTSLPPVSSTATPTYYSTSLTYDETLHTSIDVSSTSTLVSSTDSSSSSEQDT YSSQYDPATSSYSIITPSMSIFSSTSPMSSSSSITSEWSSLTSTTPTLSSSATSLSSS WSSLSSPSSLLVSSSLSLSLSSSYSDTKLFSFDSRSSIFSPSTPTVISPSYTYLSSIS ATSFQISTTSELSSSWFSTISSPSTISNKDTTFPSSSRNTSTSFYSSSLSSTNDFSTI SKSSKLSPSASSSTVSISTISVPTSSSVSSSSSKVPSNRPSSSSSSDDTTSAYSSTYT FQSLQSTTSSSIPPTTQTPSTSTISTSPIPTSSQVFNTVAISSSEDSKTIYYFYTQTY DITDSSTTFVTGLPTTIAVAKSEVTSFSAPSSTITADMSFYQHWLDGSLDNNKNQGTS KTNTGTIVGSVVGSVGGILICVLVVWFMLVRKRKAKRHFKENDSFCHEIGRRTGFPTT AQAKEASLQAQDSGSQQRNTETASANNPFSNEFNFKARGNPPPVPPPRNVTAMNGSFQ NMRSNFMDQENRFSYGSSFTYSSLGSSTQGGFSTLSSNSIRLGRGLDNDISHDERNTV QNNSQGFLREII YNL175C MSETELSKEDAVTKKDNEEQVKKALLDPTKKRKAEDEIEIDLKS SIPLSKKQKRLLRRGKVTLEELNAKYNIDPKSIEEYKEDAEKKKSGASEKDAQGEEST INTPTGDESGEVVKKKKKDENKYGVWIGNLSFDTTKDDLVRFFIAKTKDNEDEKSRVT EQDITRLSMPRVAAKNSNAMKNKGFCYMFFKNVEQMKAVLELSESHLNGRNMLIKDSE NYSGRPDKDDLVAMSKNPPSRILFVGNLSFDVTDDLLRKHFQHCGDIVKIRMATFEDS GKCKGFAFIDFKNEEGSTNALKDKSCRKIAGRPLRMEYGEDRSKRQVRKKVENVSRNN SSSFDISNNKGYDRAGQDNGSKPEYKRSNANRRPPVDSNNRTKSSVALATAQRGSAAI VPSQGKKVKFD YNL173C MQSSLPQFTFKWPKGPEAIILTGTFDDWKGTLPMVKDPSGAFEI TLPVTFDSPSSKFYFKFIVDGQWLPSKDYKVNIDEGVENNFITEEDVIKQRENGSSTL VPESAGLAVSKNAPLIEPEAEKRAKKLRKFKIKRVIKTNKQTGERSIFSQEVVELPDS EDETQQVNKTGKNADGLSGTTTIIENNVGVNEEKAIKPYEENHPKVNLVKSEGYVTDG LGKTQSSESRLYELSAEDLEKEEEEEDEDKGGGKDTSTSADAEASEDQNKEPLSKSAK FEKPEEKVPVSSITSHAKETSVKPTGKVATETQTYETKQGAPTAAAKKIEAKKATRPS KPKGTKETPNKGVQKNPAKNGGFFKKLAQLLK YNL172W MTSKPSTRNDYLPRETHNGEYTGDSPEWQLQINITNKIGGINGD IWLSRDGRSVKWCIEDQCLRQFTYNQKIIKAGYIDFEKTPDCFVVVLSDIAHVYMLKN GGSTTVCFPFQIGNAFWYANGVILERETSASFMDGGYDLKPIEFDLKHKYITLTDPMA PFGLISITNTFKGGINSASGNKTDILQDFQLVLFPSDKDKCIAVFLDRNSKVLRFYYS RILSSDQSRKGELTISSTKKTGLDAAGNSQKSGGISKDLRKFSHLTRRSTSINSNSHD FNAAERVLSGNVGNASGRTDIFALPSSCSRRSLSATLDRMGNNIAPTNRAAPSGFFDS SSANTATHSNITPVSQPMQQQQQEYLNQTATSSKDIVLTEISSLKLPDDIIFTSRRLS SILSKLKFLSLRFERREGLLIFHEPTHFCKIWLIDLLPDVLDSIPFKIYGNSPQNMIR LENLKLKEPSRIQAMYIHELLESCLILVSEGQNKEEYKACLYDPFVKITSPSKNISEE LTKQNSLPSLQKLFPYPETSFTKLCFEAVKYITSPAFNISFIFLWQSAYSILLSRAND DVVGGLKMEHDAFSLVLSLLILPIPSSSAQEYQEYKEIYERDLFQHLKQDSEITSSVL PRIVIGLHLIREEYSLNVLCRNEHALLGQFLRFATAAMGWPDLWQSYYVPKMDSESKT FLHPREQNSTFFHPLDEPPSITKSLYSITENSSIPLCPFISFSRLVATDTQVELRITP RSFKILGLYELVHSPNFLPDYVLGILSSFKVDKDELQTYPLGILVPLQNILKILEDKL SEVRDNLELLDRADLQRCSAIINSIRSDSKEVLKRGQRDSYMLCKVPLAKNRSSLSKK PSDIYSILSEIVKSASQVPLDGSAMRMSNIQDDEDIDEGRSLKLNAGLIFSEDKRFTH VVSLLAYYRPTKTQFFTTKTEYAQILAQKKYFAKIMALRTCTNGVGWGAVAYATEKPI STQKWVIQPLNLISVFPDDTKITVKAPEDIAHDIVEWGQFHAGVSSGLRISKKATGIT GSWIAFNKPKELDAYHGGFLLGLGLNGHLKNLEEWHIYNYLSPRNTHISIGLLLGMSS SMKGSMDSKLIKVISVHLVAFLPSGSSDLNIDLKLQTAGIIGMGMLYLNSRHKRMSDS IFAQLVSLLNVNDEMVADEEYRLAAGISLGLINLGAGQTKLRKWDSSLLGLGDDLPED VYDSSDVEQNVMYEDLTTKLLEIVTSTYDVENDWIPENSQIGAVIAIMFLFLKSNNFG ISNMLKVDLKEILKANINTRPELLMYREWASNMILWEFIGDDLSFIMKDVDIGVKFSE LNTDLLPIYYTMAGRILAMGIRFASTGNLKIRNILLSLVDKFLPLYQYPGKQNLDFRL TISVINVLTNVIVVSLSMVMCASGDLEVLRRVKYLHEVASGPYSDLFQEIPSSKSDVS GVTQVTSNTNTPGNSDRERVDETAASLDDERSSNGSDISDPTAYLEDKKDIDDHYGKF ISTNLALGFLFLGSGQYALNTSTLESIAFLSMSVLPTYTTPHPLQELKHFWSMAVEPR CLVIKDISTGDAVNNVPIELVVEEDVEKEEVIREISTPCLLPDFSKIKSIRVKMHGYF PLEVNFTKDYSASDFFSGGTIIYIQRKSESVFENKASFRNVEDIHVALKRKAAESKNY SRLNLKNEQGNTTSSQLVESLGIQDLTMVELDTLLSAGNNTALTDSESYNLGLLCSDK NSGDILDCQLELWYKSFGPHDE YNL169C MSIMPVKNALAQGRTLLMGRMPAVKFSTRMQLRNRTAVLWNRKF STRLFVQQRRSSGEIVDRAKAAAANSGRKQVSMKWVVLTSFTIVLGTILLVSRNDSTE EDATEGKKGRRTRKIKIFNNNWLFFCYSTLPLNAMSRLWGQVNSLTLPIWVRPWGYRL YSFLFGVNLDEMEDPDLTHYANLSEFFYRNIKPGTRPVAQGEDVIASPSDGKILQVGI INSETGEIEQVKGMTYSIKEFLGTHSHPLMSKSASSLDLTSDEEKHREFARVNRIQLA GSEDTEQPLLNFKNEGDQSVREFKPSVSKNIHLLSQLSLNYFSNGFSCSEPHDTELFF AVIYLAPGDYHHFHSPVDWVCKVRRHFPGDLFSVAPYFQRNFPNLFVLNERVALLGSW KYGFFSMTPVGATNVGSIKLNFDQEFVTNSKSDKHLEPHTCYQAVYENASKILGGMPL VKGEEMGGFELGSTVVLCFEAPTEFKFDVRVGDKVKMGQKLGIIGKNDLK YNL168C MSYNYLKAARKIICIGRNYAAHIKELNNSTPKQPFFFLKPTSSI VTPLSSSLVKTTRPANSTFNGLNEDGTNPGPIFIPRGVKVHHEIELALIVSKHLSNVT KMKPEEVYDSISGVALALDLTARNVQDEAKKKGLPWTISKGFDTFMPISAIVSREKFS SYKSNLQDIFRVKCSVNGQLRQDGGTNLMLHPLHKILQHISTMISLEPGDIILTGTPA GVGELKPGDRVHCELLQNNDNIVDMNFECENRPGPYEFRET YNL167C MSSEERSRQPSTVSTFDLEPNPFEQSFASSKKALSLPGTISHPS LPKELSRNNSTSTITQHSQRSTHSLNSIPEENGNSTVTDNSNHNDVKKDSPSFLPGQQ RPTIISPPILTPGGSKRLPPLLLSPSILYQANSTTNPSQNSHSVSVSNSNPSAIGVSS TSGSLYPNSSSPSGTSLIRQPRNSNVTTSNSGNGFPTNDSQMPGFLLNLSKSGLTPNE SNIRTGLTPGILTQSYNYPVLPSINKNTITGSKNVNKSVTVNGSIENHPHVNIMHPTV NGTPLTPGLSSLLNLPSTGVLANPVFKSTPTTNTTDGTVNNSISNSNFSPNTSTKAAV KMDNPAEFNAIEHSAHNHKENENLTTQIENNDQFNNKTRKRKRRMSSTSSTSKASRKN SISRKNSAVTTAPAQKDDVENNKISNNVTLDENEEQERKRKEFLERNRVAASKFRKRK KEYIKKIENDLQFYESEYDDLTQVIGKLCGIIPSSSSNSQFNVNVSTPSSSSPPSTSL IALLESSISRSDYSSAMSVLSNMKQLICETNFYRRGGKNPRDDMDGQEDSFNKDTNVV KSENAGYPSVNSRPIILDKKYSLNSGANISKSNTTTNNVGNSAQNIINSCYSVTNPLV INANSDTHDTNKHDVLSTLPHNN YNL166C MGLDQDKIKKRLSQIEIDINQMNQMIDENLQLVEPAEDEAVEDN VKDTGVVDAVKVAETALFSGNDGADSNPGDSAQVEEHKTAQVHIPTENEANKSTDDPS QLSVTQPFIAKEQITHTAIAIGDSYNSFVANSAGNEKAKDSCTENKEDGTVNIDQNRG EADVEIIENNDDEWEDEKSDVEEGRVDKGTEENSEIESFKSPMPQNNTLGGENKLDAE LVLDKFSSANKDLDIQPQTIVVGGDNEYNHESSRLADQTPHDDNSENCPNRSGGSTPL DSQTKIFIPKKNSKEDGTNINHFNSDGDGQKKMANFETRRPTNPFRVISVSSNSNSRN GSRKSSLNKYDSPVSSPITSASELGSIAKLEKRHDYLSMKCIKLQKEIDYLNKMNAQG SLSMEDGKRLHRAVVKLQEYLDKKTKEKYEVGVLLSRHLRKQIDRGENGQFWIGTK YNL165W MDRVRSLIGNRRGRRHNRQHPPYPHSGSPSTVNLLGANGYGDDQ STIFARENESLETSANEGDDSADAATLNTAVSEGSTIGDLQRQGYVNRAPRFTSERAM PFVSVLLQRGFFAFPSEESLQLFLHNKRKLDNIDPKRGLGLPLFHAISLNLVKSLFSD QNTPVMRIYKYVMIDSQCDKPPLNSEVVSRINENVSIYKYEFCTILKKMESHNFSSRV EHDFIFHRKDEPDVHIPMINYNQRKNADTAIHGLNLRWYGTTSLASPFGSNSINLLVL DDTMASYMNQQTIEEFDSYSRSRPTRPLGYLPVWARYTDDKVSVIPKKRTLRVATLYL QETDSFDDGSSLTSTNYTEMGSNIIENVPWDSQILTCMCMLLHEYESRKEKRHTAWGS STTYMLNGPAGLLM YNL164C MTPTNQSSGTTNASVEVLSEDGPMPINVMMQEGVKALTKILSNQ LQDRQAFQNAPHAMQFVIRNGGKALSNARLEELKDALPKMDSLSLEDELAKIDGQSAY HIDSAEEKETFESKIGQIASRNSADFIIEEDLQNILDDDLKDSELNLDGEEAEIIFDY ESQELDTPDGIGEKISQMIESVLPGGFGSEEQGGLRTVTNVEDLDVAEEVTDIDHDTV DAARLHGDGQHSISSRKHSRSKNSKKNGHVRRHDFYDESRDHKSCCPHHHYENLSKLR NYYYHDFEYISRTENRVPDFSVLVNESSPMCLFCEYYMVFGEPPRNMIKWYNRTFGYN RMPNPPRDEQDSRKRNR YNL163C MPRVESETYKRLQNDPSCIRNICIVAHVDHGKTSLSDSLLASNG IISQRLAGKIRFLDARPDEQLRGITMESSAISLYFRVLRKQEGSDEPLVSEHLVNLID SPGHIDFSSEVSAASRLCDGAVVLVDVVEGVCSQTVTVLRQCWTEKLKPILVLNKIDR LITELQLTPQEAYIHLSKVIEQVNSVIGSFFANERQLDDLFWREQLEKNENAEYIEKD DSGIYFNPTDNNVIFASAIDGWGFNIGQLAKFYEQKLGAKRENLQKVLWGDFYMDPKT KKIINNKGLKGRSLKPLFTSLILENIWKIYQNIITSRDSEMVEKIAKTLNIKLLARDL RSKDDKQLLRTIMGQWLPVSTAVLLTVIEKLPSPLESQTDRLNTILVSESDTAAMDPR LLKAMKTCDKEGPVSAYVSKMLSIPREELPVESKRIASSDELMERSRKAREEALNAAK HAGMVENMAMMDLNDNSKNTSDLYKRAKDTVMTPEVGEQTKPKPSRNNDVFCVVSEPS SALDLEFEYEGEDDSDSQDNFGLDFVPTDIDPNDPLSSMFEYEEEDPLLESIKQISED VNDEVDDIFDEKEECLVAFARIYSGTLRVGQEISVLGPKYDPKCPEEHIETAIITHLY LFMGKELVPLDVCPSGNIVGIRGLAGKVLKSGTLIEKGVQGVNLAGVNFHFTPIVRVA VEPANPVEMSKLVRGLKLLDQADPCVHTYVENTGEHILCTAGELHLERCLKDLTERFA GIEITHSEPAIPYRETFLSASDMNPPQNSQLGRGVHELLLSQYKITFRTFPLSGKVTD FLSQHQNSIKNILKTSTSSMDPVIESTGSSFLDKKSLLVAFEEVINQEEKSRELLSGF KVKLAGFGPSRVGCNILLSQDNLLGSLFEGTPAAFEYSDSIKNGFQLAVSEGPLANEP VQGMCVLVESVHKMSQDEIESIEDPRYQQHIVDLSGRLITSTRDAIHEAFLDWSPRIM WAIYSCDIQTSVDVLGKVYAVILQRHGKIISEEMKEGTPFFQIEAHVPVVEAFGLSED IRKRTSGAAQPQLVFSGFECIDLDPFWVPTTEEELEELGDTADRENIARKHMNAIRRR KGLFIEEKVVENAEKQRTLKKN YNL162W-A MSDKPDSQVFCPNCNERLQKCLVQQNYAIIICPSLVCGYPFNQR EVLENLTYVDDNDVLKVAKKRLSSRSKP YNL162W MVNVPKTRKTYCKGKTCRKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGFGGQTKPVFHKKAKTTKKVVLRLECVKCKTRAQLTLKRCKHFELGGEKKQKGQ ALQF YNL161W MYNSSTNHHEGAPTSGHGYYMSQQQDQQHQQQQQYANEMNPYQQ IPRPPAAGFSSNYMKEQGSHQSLQEHLQRETGNLGSGFTDVPALNYPATPPPHNNYAA SNQMINTPPPSMGGLYRHNNNSQSMVQNGNGSGNAQLPQLSPGQYSIESEYNQNLNGS SSSSPFHQPQTLRSNGSYSSGLRSVKSFQRLQQEQENVQVQQQLSQAQQQNSRQQQQQ LQYQQQQQQQQQQQHMQIQQQQQQQQQQQQSQSPVQSGFNNGTISNYMYFERRPDLLT KGTQDKAAAVKLKIENFYQSSVKYAIERNERRVELETELTSHNWSEERKSRQLSSLGK KESQFLRLRRTRLSLEDFHTVKVIGKGAFGEVRLVQKKDTGKIYAMKTLLKSEMYKKD QLAHVKAERDVLAGSDSPWVVSLYYSFQDAQYLYLIMEFLPGGDLMTMLIRWQLFTED VTRFYMAECILAIETIHKLGFIHRDIKPDNILIDIRGHIKLSDFGLSTGFHKTHDSNY YKKLLQQDEATNGISKPGTYNANTTDTANKRQTMVVDSISLTMSNRQQIQTWRKSRRL MAYSTVGTPDYIAPEIFLYQGYGQECDWWSLGAIMYECLIGWPPFCSETPQETYRKIM NFEQTLQFPDDIHISYEAEDLIRRLLTHADQRLGRHGGADEIKSHPFFRGVDWNTIRQ VEAPYIPKLSSITDTRFFPTDELENVPDSPAMAQAAKQREQMTKQGGSAPVKEDLPFI GYTYSRFDYLTRKNAL YNL160W MKFQVVLSALLACSSAVVASPIENLFKYRAVKASHSKNINSTLP AWNGSNSSNVTYANGTNSTTNTTTAESSQLQIIVTGGQVPITNSSLTHTNYTRLFNSS SALNITELYNVARVVNETIQDKSSAGAVVVANAKSLEAVSFFFSIIFDTEKPIVVTED SAYAIPVANNKNATKRGVLSVTSDKLVYSGVFTPPTACSYGAGLPVAIVDDQDEVKWF FDASKPTLISSDSIIRKEYSNFTTPYGLLENGVPIVPIVYDGGYSSSLIDSLSSAVQG LVVVSSGSTNSTSSTIESTEIPVVYAQANTPLNFIDNKDVPKNAVGAGYLSPIKAQIL LSIAAVNGVTSKSALESIFP YNL159C MARPQNHRRSNWTERDDNDDYLFQRFLEESETRHSREPSPVTEQ SQQELQQDVQQAIDGIFNSLRRNMSSTSNINRAANMDATTNGNGGINADTIRATNANT ADSPFTARQQSPLRTFLRNLFILDYFIGLILFPFSVYNILRSGFNSMTFSENDFIIEI VGYWKFAKIFGSGGTTLIAYKDTGKLGLLGKFHNIIVFYSSPVIKHIMKSRDGNEPNL NWIRLMFAKAFELFVKVSTILIYLAYGVSGTVYMVTAGFFFVLCLLFTVIRRYKGVHR MLVSQRITGPGVF YNL158W MVRPQNVHWFIATIVFFIGFVHANTESILYKVPHNFPLKKPRDS STYARDVNLISSISLSGEAMSQITIEANTTDLELHNTTYIELADLQRDETYQIKVCWS AIHPISINNLQTITIPRFTEFQGTKSDYARILVTFQVLSDSYPSEHAMVPIQVSLITT RLGIPVDIYPTLIVMVLLVAGLVVTRAPHVLNDLLLKF YNL157W MSNENLSPNSSNPDLTKLNNGESGTIDTSKFSPNEMKLYKMYGK LPSKKDIFKHTMQKRKYFDSGDYALQKAGIQNNDPINYGKNNLPLTNPSKLREDIIKR RISTCPSTASTAGVVDNATLIQKEGSISSGPPSSNNGTIGGGSTSSTPVGNHSSSSSS LYTESPIR YNL156C MANRGEPDPKKSTESICSLTKPQLYSLYDDDVVRSEDNEIYEEL KRSVSIDSTKYSRDQTIDSTFYLAHKVGGSLPRNTVSSNNLERILSASSIHENFPSRT RQTRQNILHYLQAVLILSLSGFAYHELSRNLHDNHLLHPDFASRPLLLGVKLCNWLSN GVLPNWLGYGVEGLLFGSVVPILDNIFQTEVVKSSVHHDSLTSVIRSINAMLGVTFGI RKIQWNSSLQAAGAWGLLNIILWLFFDGSISMLMSCICIGVGCCISCYKDIIDGSQFL YFMDFYFLGSLMFGKLGRYLYSH YNL155W MSAAIEKETGMLDVGKHCAYCRQLDFLPFHCSFCNEDFCSNHRL KEDHHCRWLLEHEEVHKTEKSPSKSRDGSSSNDEAYFKSLLPERASVRIQRVSETREP LRGSNTAKVSSTLNSKTLDKIFKFFQRNEKRKSNNKSKKNFGSSSNKIIQLANLKKIA KGDPKIPMQNRIYIWCYLVDGDETDIAKEDTRMPLYINKMWPVGRAMDYLSIQLNVKS STLTNSSSNDKFQLCKLKEGKQVSFYNIGASLRVTNEIKDLDTLYLVHNNADEKSN YNL154C MSQVQSPLTATNSGLAVNNNTMNSQMPNRSNVRLVNGTLPPSLH VSSNLNHNTGNSSASYSGSQSRDDSTIVGLHYKIGKKIGEGSFGVLFEGTNMINGLPV AIKFEPRKTEAPQLKDEYRTYKILAGTPGIPQEYYFGQEGLHNILVIDLLGPSLEDLF DWCGRRFSVKTVVQVAVQMITLIEDLHAHDLIYRDIKPDNFLIGRPGQPDANKVHLID FGMAKQYRDPKTKQHIPYREKKSLSGTARYMSINTHLGREQSRRDDMEAMGHVFFYFL RGQLPWQGLKAPNNKQKYEKIGEKKRLTNVYDLAQGLPIQFGRYLEIVRNLSFEETPD YEGYRMLLLSVLDDLGETADGQYDWMKLNGGRGWDLSINKKPNLHGYGHPNPPNEKSK RHRSKNHQYSSPDHHHHYNQQQQQQQAQAQAQAQAQAKVQQQQLQQAQAQQQANRYQL QPDDSHYDEEREASKLDPTSYEAYQQQTQQKYAQQQQKQMQQKSKQFANTGANGQTNK YPYNAQPTANDEQNAKNAAQDRNSNKSSKGFFSKLGCC YNL153C MELLPQGQRNNTQVTFEDQQKINEFSKLIMRKDAIAQELSLQRE EKEYLDDVSLEIELIDEDEPVQYKVGDLFIFMKQSKVTAQLEKDAERLDNKIETLEDK QRDIDSRLDALKAILYAKFGDNINLER YNL152W MSEEVWNGNQGILSVYVSKARDLPNLNKLDKQNVMLRLRIAHMT RASNTLHRAGQNPVFHYLEKFDITPEIKPLMYVEVYCDRRKKSPLPIGRCEIDLLNAI RADPKEGYCTWYELKRSGDEFAGTIFIELTFTPKVPRLNRDDLNKEMDRLDSSMAMRP IPPLPTESEYDYVHGSTMRQITPQCVSTSHEDKDEGQPYRNGNVFSMSSKSDTAVLAN SNDPIILPPTFSASMGTTSTLETNDTAISNTSNTKFHFANLRKLKEKINIFKNPDSST NNCQNESNKVDIEALQKAIGVTSLSYDEDDDDDDENDAFYSSSHRVSHNYNQPPLPPI PTRDDMSNYSSSRNTPLVRRDRPSRLDSSSPNSHPHPSGLNSPKLPPLPTTSNSNFNS RKNSMSPTRKRPPPRLS YNL151C MSSYRGGSRGGGSNYMSNLPFGLGYGDVGKNHITEFPSIPLPIN GPITNKERSLAVKYINFGKTVKDGPFYTGSMSLIIDQQENSKSGKRKPNIILDEDDTN DGIERYSDKYLKKRKIGISIDDHPYNLNLFPNELYNVMGINKKKLLAISKFNNADDVF TGTGLQDENIGLSMLAKLKELAEDVDDASTGDGAAKGSKTGEGEDDDLADDDFEEDED EEDDDDYNAEKYFNNGDDDDYGDEEDPNEEAAF YNL149C MSEVAETWVDTWMAKLVNYDYKHFIRLVIIVGGYLLLRNIASRE LAKKQLAAQVEKDKRDKEEKRSKDLIDKPDDAATAETTSFGWGKKTRRRVKRQQELFE NALEEAKRRNQGLDPDSDADIEELLEE YNL148C MVRVVIESELVRTEKELPNSLKLRQFKDRLYHVTGVEPEDMEIV VKRQYDNKEIYSTKKGGAYSNEDEDANFLKGEEELIVVVTDSNAQSISNQLATQAEGI PSMEVISEEDYLRRDQSVLRWKMAHGYGRFNAAQQSQRAALAKQDEAYAREQLTAAIG RHCRVTVDGSAPREAILRYVGPLPLDVMGTWCGVEFPEAAGKNDGRINGVTLFGPVAP GHGSFVRPRAVEILSKDEESAEVEDVHDDVESDDEI YNL147W MHQQHSKSENKPQQQRKKFEGPKREAILDLAKYKDSKIRVKLMG GKLVIGVLKGYDQLMNLVLDDTVEYMSNPDDENNTELISKNARKLGLTVIRGTILVSL SSAEGSDVLYMQK YNL146C-A MISVCFVFPHSLALDFKSRCKKNRTKLCSAYYVSQVLRICKEMP YRDLILFSTVRKGVYMRLYY YNL146W MSNTKHTTSHHMELKRIIILTLLFILIMLIFRNSVSFKMTFQEL LPRFYKKNSNSVSNNNRPSSIFSENLVDFDDVNMVDKTRLFIFLFFSFIITIPFMV YNL145W MQPITTASTQATQKDKSSEKKDNYIIKGLFWDPACVIA YNL144C MSSSIFEMTIDHDGMDSTGLKLSQTASSISMGDEFLCSSTTSNS ILDSPLPKVTFNHIDSITDINTNIMNEIVEPQSGVDVDVADKNVLYCIDPYPVEPPCY DFANPSKVIRYPIYEHCRPCLTSVKPPSYTPSVEHYTVVSMKMEKLSPFENASSRLWN NFILQINSTQINFYSIDDSLTRHIKNYRGGDMFDHSHHSKTASDRHHSARSLLNAFTT KSTYQFDKYDKERICGEIARDEHKFLSDERLFKSYSLQCAKVGLPIDYSSRDFVLRMR CEGQQFLVQFSHVDELIYWAMYLNMGISLSLDLELREMPTYRSVPRRRHPRARRFKQH NKNKNKNKSRQNSDRNDSRSHSLLLRRSHTSSVVTKVATGNERPTNKSRSRSLSLLPP SVSDASHDSNGPNDSGSLAGESSQTDFCGLFTSKLRNFFKTDSSSRKNSNMDIGQKMR SKELNSVQEEIDDNGSTTNTNTSVLSSTFSPTAHSVLTAQTSIHENFRSRSNSNPIDP LRCDRSVLKINNFEPVYEGTGRSTISNSAERTNREEKLNRGNEDVNGDEDDDEDEDDA NYEEDDEDGYVEDDDINRLVYLDERESRYENADVEYGTIFSTKSFSVTGCLNHDFPKK LSLKCGNKNQSSNDSKWAPATQLVSRKRYIKDSLRCIKPLTEDHPWVGKIIFKPALPP AFETNNPPIRVYSGEDSTDLMHVKNHYLKPYIVGSCGFLKTGSKLFHFYDRTNDLTNL YNL143C MREQLKLFTREIVDFTFLILSGFDYYQTLLISSNSSKKRPKDSS LLSEKKKKKKKKKKDVLSYLSYLKDLPFVPFLFWQPGYSQREKNPRQHSLFIMTITKP GMISMADMNYVVSKNRSLNRPAERGGNR YNL142W MSYNFTGTPTGEGTGGNSLTTDLNTQFDLANMGWIGVASAGVWI MVPGIGLLYSGLSRKKHALSLLWASMMASAVCIFQWFFWGYSLAFSHNTRGNGFIGTL EFFGFRNVLGAPSSVSSLPDILFAVYQGMFAAVTGALMLGGACERARLFPMMVFLFLW MTIVYCPIACWVWNAEGWLVKLGSLDYAGGLCVHLTSGHGGLVYALILGKRNDPVTRK GMPKYKPHSVTSVVLGTVFLWFGWMFFNGGSAGNATIRAWYSIMSTNLAAACGGLTWM VIDYFRCGRKWTTVGLCSGIIAGLVGITPAAGFVPIWSAVVIGVVTGAGCNLAVDLKS LLRIDDGLDCYSIHGVGGCIGSVLTGIFAADYVNATAGSYISPIDGGWINHHYKQVGY QLAGICAALAWTVTVTSILLLTMNAIPFLKLRLSADEEELGTDAAQIGEFTYEESTAY IPEPIRSKTSAQMPPPHENIDDKIVGNTDAEKNSTPSDASSTKNTDHIV YNL141W MVSVEFLQELPKCEHHLHLEGTLEPDLLFPLAKRNDIILPEGFP KSVEELNEKYKKFRDLQDFLDYYYIGTNVLISEQDFFDLAWAYFKKVHKQGLVHAEVF YDPQSHTSRGISIETVTKGFQRACDKAFSEFGITSKLIMCLLRHIEPEECLKTIEEAT PFIKDGTISALGLDSAEKPFPPHLFVECYGKAASLNKDLKLTAHAGEEGPAQFVSDAL DLLQVTRIDHGINSQYDEELLDRLSRDQTMLTICPLSNVKLQVVQSVSELPLQKFLDR DVPFSLNSDDPAYFGGYILDVYTQVSKDFPHWDHETWGRIAKNAIKGSWCDDKRKNGL LSRVDEVVTKYSH YNL140C MLEAKMVMVVPTIEDQAMIVLHPKILSQSVVMHIKGQNYQLDLV KVKHIMIEVGHLDPQVRTGAMVLIKGITAYVKSIRKTVHNVHNYGFLKSHFKRAKTAA KPTLTKLHLTSATRLQKMKKSRIKDSRRMKQLETNFKRKIIEIHEIAVLPIEPMKIKG TTEIERAILRRFRKVPRVGITSVGTRGNY YNL139C MAEQTLLSKLNALSQKVIPPASPSQASILTEEVIRNWPERSKTL CSDFTALESNDEKEDWLRTLFIELFDFINKNDENSPLKLSDVASFTNELVNHERQVSQ ASIVGKMFIAVSSTVPNINDLTTISLCKLIPSLHEELFKFSWISSKLLNKEQTTLLRH LLKKSKYELKKYNLLVENSVGYGQLVALLILAYYDPDNFSKVSAYLKEIYHIMGKYSL DSIRTLDVILNVSSQFITEGYKFFIALLRKSDSWPSSHVANNSNYSSLNEGGNMIAAN IISFNLSQYNEEVDKENYERYMDMCCILLKNGFVNFYSIWDNVKPEMEFLQEYIQNLE TELEEESTKGVENPLAMAAALSTENETDEDNALVVNDDVNMKDKISEETNADIESKGK QKTQQDILLFGKIKLLERLLIHGCVIPVIHVLKQYPKVLYVSESLSRYLGRVFEYLLN PLYTSMTSSGESKDMATALMITRIDNGILAHKPRLIHKYKTHEPFESLELNSSYVFYY SEWNSNLTPFASVNDLFENSHIYLSIIGPYLGRIPTLLSKISRIGVADIQKNHGSESL HVTIDKWIDYVRKFIFPATSLLQNNPIATSEVYELMKFFPFEKRYFIYNEMMTKLSQD ILPLKVSFNKAEREAKSILKALSIDTIAKESRRFAKLISTNPLASLVPAVKQIENYDK VSELVVYTTKYFNDFAYDVLQFVLLLRLTYNRPAVQFDGVNQAMWVQRLSIFIAGLAK NCPNMDISNIITYILKTLHNGNIIAVSILKELIITVGGIRDLNEVNMKQLLMLNSGSP LKQYARHLIYDFRDDNSVISSRLTSFFTDQSAISEIILLLYTLNLKANTQNSHYKILS TRCDEMNTLLWSFIELIKHCLKGKAFEENVLPFVELNNRFHLSTPWTFHIWRDYLDNQ LNSNENFSIDELIEGAEFSDVDLTKISKDLFTTFWRLSLYDIHFDKSLYDERKNALSG ENTGHMSNRKKHLIQNQIKDILVTGISHQRAFKKTSEFISEKSNVWNKDCGEDQIKIF LQNCVVPRVLFSPSDALFSSFFIFMAFRTENLMSILNTCITSNILKTLLFCCTSSEAG NLGLFFTDVLKKLEKMRLNGDFNDQASRKLYEWHSVITEQVIDLLSEKNYMSIRNGIE FMKHVTSVFPVVKAHIQLVYTTLEENLINEEREDIKLPSSALIGHLKARLKDALELDE FCTLTEEEAEQKRIREMELEEIKNYETACQNEQKQVALRKQLELNKSQRLQNDPPKSV ASGSAGLNSKDRYTYSRNEPVIPTKPSSSQWSYSKVTRHVDDINHYLATNHLQKAISL VENDDETRNLRKLSKQNMPIFDFRNSTLEIFERYFRTLIQNPQNPDFAEKIDSLKRYI KNISREPYPDTTSSYSEAAAPEYTKRSSRYSGNAGGKDGYGSSNYRGPSNDRSAPKNI KPISSYAHKRSELPTRPSKSKTYNDRSRALRPTGPDRGDGFDQRDNRLREEYKKNSSQ RSQLRFPEKPFQEGKDSSKANPYQASSYKRDSPSENEEKPNKRFKKDETIRNKFQTQD YRNTRDSGAAHRANENQRYNGNRKSNTQALPQGPKGGNYVSRYQR YNL138W-A MAEKQRQLKLQKIYKQKYIGLGDESTTREQWQRNVRNDTLNTLQ GHSASLEYVSLSRGDLSIRDTRIHLLKSMSPGYKAYLREER YNL138W MPDSKYTMQGYNLVKLLKRLEEATARLEDVTIYQEGYIQNKLEA SKNNKPSDSGADANTTNEPSAENAPEVEQDPKCITAFQSYIGENIDPLVELSGKIDTV VLDALQLLKGGFQSQLTFLRAAVRSRKPDYSSQTFADSLRPINENIIKLGQLKESNRQ SKYFAYLSALSEGAPLFSWVAVDTPVSMVTDFKDAAQFWTNRILKEYRESDPNAVEWV KKFLASFDNLKAYIKEYHTTGVSWKKDGMDFADAMAQSTKNTGATSSPSPASATAAPA PPPPPPAPPASVFEISNDTPATSSDANKGGIGAVFAELNQGENITKGLKKVDKSQQTH KNPELRQSSTVSSTGSKSGPPPRPKKPSTLKTKRPPRKELVGNKWFIENYENETESLV IDANKDESIFIGKCSQVLVQIKGKVNAISLSETESCSVVLDSSISGMDVIKSNKFGIQ VNHSLPQISIDKSDGGNIYLSKESLNTEIYTSCSTAINVNLPIGEDDDYVEFPIPEQM KHSFADGKFKSAVFEHAG YNL137C MPRKANLLKSLARGRVRTSFNKYNLFNLYKKGGVDLKSKSLYQQ KWTAKQETRAYHGEHLTEKRWQTVFKPKLDSVAQLDASLRGGEIKETPFLLQTFAVLE KRLDFALFRAMFASSVRQARQFILHGNVRVNGVKIKHPSYTLKPGDMFSVKPDKVLEA LGAKKPSFQEALKIDKTQIVLWNKYVKEAKTEPKEVWEKKLENFEKMSDSNPKKLQFQ EFLRQYNKNLESQQYNALKGCTQEGILRKLLNVEKEIGKSNNEPLSIDELKQGLPEIQ DSQLLESLNNAYQEFFKSGEIRREIISKCQPDELISLATEMMNPNETTKKELSDGAKS ALRSGKRIIAESVKLWTKNITDHFKTRMSDISDGSLTFDPKWAKNLKYHDPIKLSELE GDEPKARKLINLPWQKNYVYGRQDPKKPFFTPWKPRPFLSPFAILPHHLEISFKTCHA VYLRDPVARPGQSEVISPFDVPVHERAYMYYLRNGK YNL136W MVVHWTIVDEIRLLRWASEFKPAGIHKHFHMFCIVERMNSPDKY PVTLLQKETMKLGKVFTAKDIWDKLSQSYNLEKIDEMENTYSLEATTESSRNGNGNGD DAEIHEETLLELNNRIRVRKQDFTLPWEEYGELILENARKSPNSNEEYPRVEDMNEKD STIPKESPSTDLKNDNNKQEKNATIKVKELPEYHTEENDSPIDVQKEPIKEVQSDEKE LQREHMSEEEQKMKSTNKTAAPVRKSQRLKRSKEVKFEDEEKEEIEEDNTKDEEQKEK KEEIQEPKITHNEEVDKEKNENEEGDDEREKSTSYENTNGSESEGVDEGVDEELGYES EREAEGKGKQIESEGGNLKKKTENKKGDDQQDDTKKDSKDKNEPLAKRTRHSSSTGNT SNETSPKRKRRKAGSRKNSPPATRVSSRLRNKK YNL135C MSEVIEGNVKIDRISPGDGATFPKTGDLVTIHYTGTLENGQKFD SSVDRGSPFQCNIGVGQVIKGWDVGIPKLSVGEKARLTIPGPYAYGPRGFPGLIPPNS TLVFDVELLKVN YNL134C MSASIPETMKAVVIENGKAVVKQDIPIPELEEGFVLIKTVAVAG NPTDWKHIDFKIGPQGALLGCDAAGQIVKLGPNVDAARFAIGDYIYGVIHGASVRFPS NGAFAEYSAISSETAYKPAREFRLCGKDKLPEGPVKSLEGAVSLPVSLTTAGMILTHS FGLDMTWKPSKAQRDQPILFWGGATAVGQMLIQLAKKLNGFSKIIVVASRKHEKLLKE YGADELFDYHDADVIEQIKKKYNNIPYLVDCVSNTETIQQVYKCAADDLDATVVQLTV LTEKDIKEEDRRQNVSIEGTLLYLIGGNDVPFGTFTLPADPEYKEAAIKFIKFINPKI NDGEIHHIPVKVYKNGLDDIPQLLDDIKHGRNSGEKLVAVLK YNL133C MSSTSDNNANSAREKKPLKFVSEGVGNVEAQRIREQVEQKKYEA EYKRKTRKSLRDQLRSNAISKQKQYNGLVRDRESFTRLSKEDLEFYQKSKNELLKKEK ELNNYLDVKAINFEKKKKALLMENDSTTNTEKYLETGTSLGSKTQIKGVKTSSPKPKI KVSIKKLGRKLEN YNL132W MAKKAIDSRIPSLIRNGVQTKQRSIFVIVGDRARNQLPNLHYLM MSADLKMNKSVLWAYKKKLLGFTSHRKKRENKIKKEIKRGTREVNEMDPFESFISNQN IRYVYYKESEKILGNTYGMCILQDFEALTPNLLARTIETVEGGGIVVILLKSMSSLKQ LYTMTMDVHARYRTEAHGDVVARFNERFILSLGSNPNCLVVDDELNVLPLSGAKNVKP LPPKEDDELPPKQLELQELKESLEDVQPAGSLVSLSKTVNQAHAILSFIDAISEKTLN FTVALTAGRGRGKSAALGISIAAAVSHGYSNIFVTSPSPENLKTLFEFIFKGFDALGY QEHIDYDIIQSTNPDFNKAIVRVDIKRDHRQTIQYIVPQDHQVLGQAELVVIDEAAAI PLPIVKNLLGPYLVFMASTINGYEGTGRSLSLKLIQQLRNQNNTSGRESTQTAVVSRD NKEKDSHLHSQSRQLREISLDEPIRYAPGDPIEKWLNKLLCLDVTLIKNPRFATRGTP HPSQCNLFVVNRDTLFSYHPVSENFLEKMMALYVSSHYKNSPNDLQLMSDAPAHKLFV LLPPIDPKDGGRIPDPLCVIQIALEGEISKESVRNSLSRGQRAGGDLIPWLISQQFQD EEFASLSGARIVRIATNPEYASMGYGSRAIELLRDYFEGKFTDMSEDVRPKDYSIKRV SDKELAKTNLLKDDVKLRDAKTLPPLLLKLSEQPPHYLHYLGVSYGLTQSLHKFWKNN SFVPVYLRQTANDLTGEHTCVMLNVLEGRESNWLVEFAKDFRKRFLSLLSYDFHKFTA VQALSVIESSKKAQDLSDDEKHDNKELTRTHLDDIFSPFDLKRLDSYSNNLLDYHVIG DMIPMLALLYFGDKMGDSVKLSSVQSAILLAIGLQRKNIDTIAKELNLPSNQTIAMFA KIMRKMSQYFRQLLSQSIEETLPNIKDDAIAEMDGEEIKNYNAAEALDQMEEDLEEAG SEAVQAMREKQKELINSLNLDKYAINDNSEEWAESQKSLEIAAKAKGVVSLKTGKKRT TEKAEDIYRQEMKAMKKPRKSKKAAN YNL131W MVELTEIKDDVVQLDEPQFSRNQAIVEEKASATNNDVVDDEDDS DSDFEDEFDENETLLDRIVALKDIVPPGKRQTISNFFGFTSSFVRNAFTKSGNLAWTL TTTALLLGVPLSLSILAEQQLIEMEKTFDLQSDANNILAQGEKDAAATAN YNL130C MGFFIPQSSLGNLKLYKYQSDDRSFLSNHVLRPFWRKFATIFPL WMAPNLVTLLGFCFIIFNVLTTLYYDPYFDQESPRWTYFSYAIGLFLYQTFDACDGMH ARRTGQQGPLGELFDHCIDSINTTLSMIPVCSMTGMGYTYMTIFSQFAILCSFYLSTW EEYHTHKLYLAEFCGPVEGIIVLCISFIAVGIYGPQTIWHTKVAQFSWQDFVFDVETV HLMYAFCTGALIFNIVTAHTNVVRYYESQSTKSATPSKTAENISKAVNGLLPFFAYFS SIFTLVLIQPSFISLALILSIGFSVAFVVGRMIIAHLTMQPFPMVNFPFLIPTIQLVL YAFMVYVLDYQKGSIVSALVWMGLGLTLAIHGMFINDIIYDITTFLDIYALSIKHPKE I YNL130C-A MQVGFVSQTNCRSFPACIVFLFQMSQRQRSFNANLRVFKSKCKK IYIG YNL129W MTSKKVILVALSGCSSSGKTTIAKLTASLFTKATLIHEDDFYKH DNEVPVDAKYNIQNWDSPEALDFKLFGKELDVIKQTGKIATKLIHNNNVDDPFTKFHI DRQVWDELKAKYDSINDDKYEVVIVDGFMIFNNTGISKKFDLKILVRAPYEVLKKRRA SRKGYQTLDSFWVDPPYYFDEFVYESYRANHAQLFVNGDVEGLLDPRKSKNIKEFIND DDTPIAKPLSWVCQEILKLCKD YNL128W MREEGSELEMEKGFLKWKPVNLMKKILSLPMKKTKNDIGLRLDI SYILVNLIVCSYPVNTYPKLLYRNSLDDLILFLTVYHGKGNFRIFNFRGEKEDSDYKD NDLIGIAAKFESKDFEIQELRSTLINDGKIPISPIDLETRTLVEEETNNVICERIGWL DHFPPPFELLEEIVDGIENYLSVSKNRVAVLHCRMGKGRSGMITVAYLMKYLQCPLGE ARLIFMQARFKYGMTNGVTIPSQLRYLRYHEFFITHEKAAQEGISNEAVKFKFKFRLA KMTFLRPSSLITSESAIVTTKIQHYNDDRNALLTRKVVYSDIMAHECGGNMTFIFGRD YLTLENDCRIEFTLGTSKSKAASSIISWTSCASCWLNIYLETLMHIIKDDSSPDYFQV ERLKRDEMLGTTISWQELDGFGELSTHGLKLFQALKLEWEII YNL127W MNASGRSHSKGPIIRSVSLEDLKRNSSFKGNLKYKDEVTSHKEP QVGTLSNEELLKDLDNMLRGKLNMGRNSFHADKRNKSDGNISALTFKARSGLEGDIRT IDIQQDSSDENDNFKFSDDGVNKDRNNEKDNNTDNAVEFQDDAEEAEEENEDESFANV DELDGFDLNKVSDGKHVPINEKGEVDYNMPVDKEFQKSLDQCAASLEERSSAPYALQR AVDWELKMFYSLEDELSEWFCSSDYMHFGQTQTLFKQKITQPQLFFDDENYAASVVEC LIEDIPNSLASNLLALSYISMGCFAFTNSKSEHTKIIRRNNLMLVPHIQEIVHAFKKI AISCRDDNRNLKKQTILLFHSSTILYFICSICIEGRGENPEAVNVVIDAFEKTDLLEF LTKYIENWRWNSRLAMRIRNMISLLFKLIVLQFGDSSVYKQTKSSIYNLHGLTYPSKH PEKLSISPLHYQAFREDITSRFPDYNMPSSGLPKDVDKSESLSQFLEIPRPKSKNPLN MALIVPEKHIATPAPSPPSSPQLMHLGEGPRPRKSFQTNMAYPCLYPSDNEGSEDDTL EDRIDLNIERKPDNDIVIPFSTEEAARILSESLEIKLSTKQLWYERDLFMITERGWKQ QLENEPYDYAALNHDANSSKEEKSAICIMQRIDKYYKSCLSSFNSLVFVLLQTMESSL TNNFHRKSEVSDKNLLNMLTPQLEIVRAKELSLKSAAGILHALLKWFKLSHILKFEHL AVVIHDSRYINTCASILSKYSEVYPERVFNKYVQTPNSFWKECSLSNESYRESYSVDD SGEVDTEIMPSFAYLLRILRKVTGNKTQRLKELPLSIGILFKRYYRLFNLDMYHPILK ITRELTPFKNKRWKSEHMELISGVYLYEKLELTDNWVTGKDISGELSDACGQEIALRA LLQFYNFQHYEISMEDLGYGHRNSSSQDLLNKESEYLNI YNL126W MELEPTLFGIIEALAPQLLSQSHLQTFVSDVVNLLRSSTKSATQ LGPLIDFYKLQSLDSPETTIMWHKIEKFLDALFGIQNTDDMVKYLSVFQSLLPSNYRA KIVQKSSGLNMENLANHEHLLSPVRAPSIYTEASFENMDRFSERRSMVSSPNRYVPSS TYSSVTLRQLSNPYYVNTIPEEDILKYVSYTLLATTSALFPFDHEQIQIPSKIPNFES GLLHLIFEAGLLYQSLGYKVEKFRMLNISPMKKALIIEISEELQNYTAFVNNLVSSGT VVSLKSLYREIYENIIRLRIYCRFTEHLEELSGDTFLIELNIFKSHGDLTIRKIATNL FNSMISLYYEYLMNWLTKGLLRATYGEFFIAENTDTNGTDDDFIYHIPIEFNQERVPA FIPKELAYKIFMIGKSYIFLEKYCKEVQWTNEFSKKYHVLYQSNSYRGISTNFFEIIN DQYSEIVNHTNQILNQKFHYRDVVFALKNILLMGKSDFMDALIEKANDILATPSDSLP NYKLTRVLQEAVQLSSLRHLMNSPRNSSVINGLDARVLDLGHGSVGWDVFTLDYILYP PLSLVLNVNRPFGRKEYLRIFNFLWRFKKNNYFYQKEMLKSNDIIRSFKKIRGYNPLI RDIINKLSRISILRTQFQQFNSKMESYYLNCIIEENFKEMTRKLQRTENKSQNQFDLI RLNNGTIELNGILTPKAEVLTKSSSSKPQKHAIEKTLNIDELESVHNTFLTNILSHKL FATNTSEISVGDYSGQPYPTSLVLLLNSVYEFVKVYCNLNDIGYEIFIKMNLNDHEAS NGLLGKFNTNLKEIVSQYKNFKDRLYIFRADLKNDGDEELFLLSKSLR YNL125C MSTHSNDYFSASSGMVSETSSEVSSINSSQPVSFSKASIAAPVP CSDLHSTKSNDASRKLSISRTLTNRLNDIKKAVDDDNLQTEENSADVNKILESRFDVA DAIRLQHNESVQSKLNIPVTHTTTAGASLSAPSSSAFSASSIQNDTTEHKASMDSKLM RNRLYPASTKHSGKDLEAQGITEFEPDEPTVKKVFTNKSTGQLELPPDGGYGWVVTFC VFLTMFSTWGCNASFGVDLAYYLNHDTYPGASKYDYALIAGLTVFLGQLLSPLVMALM RIIGLRTTMLFGDAVMLAAYLLASFTTKLWQLYVTQGFMVGCSISLIFVPATTVLPGW FLKKRAVAMGVSLLGTGAGGVVYGLATNKMLSDFGNTRWCLRIIGISCSISVLVAIAL LKERNPTPAIGLKSPRAMFEQLKAMFSLKVITKPFVVLIALWFMFALFAYNMMVFTLS SYAISKGLSSHDASTLTAILNGSQSIGRPLMGLAGDKFGRANVTIVLTTLLTIYMFAF WIPAHTFVQLIFFSILVGSCVGVANVMNTVLIADMVKPEEFLPAWAFVNYCGAPFLLV CEVIAQALTVEKDKSNPYLHAQIFCGCCFIAALILISILREYSIRMKLTERQAMTNEK LKEWKASEYDTDSADEDWGKLKERKTKYDLLLGPGIKKYFLRMVYPMKV YNL124W MSDDLFSKALENPDQDLNVELPKDDVDLGLLGDGGNERKTDEPV ADAERSTGLGSGSSESESDSGSDSDSDSGSSGSEDDSADQDVEGEDEGGDAIENEDED EDPSPSGPILSKNEILEETVPELPEDYEISEKTIITPIGVLKSAFENNIIIHATMSGE KRVLKEGSIFCLEDRTLIGMLTEVFGPLQNPFYRIKLPDSKKNLFDELKVRLGEKAFI VTPDAHWIDTFELKRNKGTDASNGYDEELPEEEQEFSDDEKEALFKKMKKQQRQRKKR DNRKLANDSDNVKVKRARQPKANSLPKLVPPLGMSSNAPMQHGYKSRNARENIKRESS ATSNRNGSSPVPITQHHQQQFSANNYPFPQQPNGMPYPPYSPFPQPTNFQYPPPPFGQ ATPAQFSNTVPYGSLPPAYNNMSPPTQQSFMPMTQSQPPLPYGVPPMNQMQNPMYIQP PPQAPPQGNGNFQQVMELHQILLQQQQQQHQYQHQHQQDPRT YNL123W MTISLSNIKKRDHSKISDGTSGESSLVKRKQLESATGDQEEEYT DHEIIIEPLHFANNNNTVLTDSENYLRWQNTISNVVKSVVSIHFSQVAPFDCDSALVS EATGFVVDAKLGIILTNRHVVGPGPFVGYVVFDNHEECDVIPIYRDPVHDFGFLKFDP KNIKYSKIKALTLKPSLAKVGSEIRVVGNDAGEKLSILAGFISRIDRNAPEYGELTYN DFNTEYIQAAASASGGSSGSPVVNIDGYAVALQAGGSTEASTDFFLPLDRILRALICI QTNKPITRGTIQVQWLLKPYDECRRLGLTSERESEARAKFPENIGLLVAETVLREGPG YDKIKEGDTLISINGETISSFMQVDKIQDENVGKEIQLVIQRGGVECTVTCTVGDLHA ITPHRYVEVCGATFHELSYQMARFYALPVRGVFLSSASGSFNFDSKERVGWIVDSIDN KETPDLDTFIEIMKTIPDRKRVTVRYHHLTDQHSPLVTSIYIDRHWCNEFRVYTRNDT TGIWDYKNVADPLPADALKPRSAKIIPIPVNNEKVAKLSSSLCTVATMAAVPLDSLSA DILKTSGLIIDAEKGYVLVSRRVVPHDCLDTFVTIADSLVVPATVEFLHPTHNFAIVK YDPELVKAPLITPKLSTTRMKRGDKLQFIGFTQNDRIVTSETTVTDISSVSIPSNLIP RYRATNLEAISIDCNVSTRCNSGILTDNDGTVRGLWLPFLGERLENKEKVYLMGLDIM DCREVIDILKNGGKPRVSIVDAGFGSISVLQARIRGVPEEWIMRMEHESNNRLQFITV SRVSYTEDKIHLETGDVILSVNGKLVTEMNDLNGVVSSADGILPSAMLDFKVVRDGNI VDLKIKTVEVQETDRFVIFAGSILQKPHHAVLQAMVDVPKGVYCTFRGESSPALQYGI SATNFITHVNEIETPDLDTFLKVVKTIPDNSYCKMRLMTFDNVPFAISLKTNYHYFPT AELKRDNITHKWIEKEFTGNSQSEK YNL122C MKISLHNKRQRGDQNQNMSVFNVLKPLLKGSNSFKVKLNGFLFN NVSTITIRTLMKTHKGTAKRWRRTGNTFKRGIAGRKHGNIGWSHRSLKALTGRKIAHP AYSKHLKRLLPYH YNL121C MKSFITRNKTAILATVAATGTAIGAYYYYNQLQQQQQRGKKNTI NKDEKKDTKDSQKETEGAKKSTAPSNPPIYPVSSNGEPDFSNKANFTAEEKDKYALAL KDKGNQFFRNKKYDDAIKYYNWALELKEDPVFYSNLSACYVSVGDLKKVVEMSTKALE LKPDYSKVLLRRASANEGLGKFADAMFDLSVLSLNGDFNDASIEPMLERNLNKQAMSK LKEKFGDIDTATATPTELSTQPAKERKDKQENLPSVTSMASFFGIFKPELTFANYDES NEADKELMNGLSNLYKRSPESYDKADESFTKAARLFEEQLDKNNEDEKLKEKLAISLE HTGIFKFLKNDPLGAHEDIKKAIELFPRVNSYIYMALIMADRNDSTEYYNYFDKALKL DSNNSSVYYHRGQMNFILQNYDQAGKDFDKAKELDPENIFPYIQLACLAYRENKFDDC ETLFSEAKRKFPEAPEVPNFFAEILTDKNDFDKALKQYDLAIELENKLDGIYVGIAPL VGKATLLTRNPTVENFIEATNLLEKASKLDPRSEQAKIGLAQMKLQQEDIDEAITLFE ESADLARTMEEKLQAITFAEAAKVQQRIRSDPVLAKKIQETLAKLREQGLM YNL119W MECQRCPASARNPATVESRKEKFCDECFIKFVSTKQRKQMMKDE YFRNLFKVIYPFEKEGSVSKILLPLSHSDSGSLVMLDIVHDLLLEQTKQHNNRTGFTV DVLTVFTEENVSVIKERMESLINEKMSQLNKISNIFNVHFIDVNEFFNNASEVSTFII DNENFEIFSKSKSVDDSNILTLKEILGKYCLNNSSRSDLISIIKTQLIKHFAYENGYN AIMWGHSMTKLSEVIISLVVKGKGSQIATFLDSESFDTLNNKPCKYKNLYPMKDLLSV EIESFLQIRNLAQFLINVEETNVKPNCLIARKSLPSLGQQKLVKNMTINEITNKYFQD IQNDYSNIISTVLRTADKLTQPKSSMAKPSQCQICQSKIYTNPSNWLNRITVTSPYPV ETTEEKYLFKQWQDSKLGQSHTHYVELLNEIKQGASNSLDVEDGDVKLCYGCLILLNT SIKDKNLVWPKVDTMDITANATNKNKELSQILDQFEINSDGEE YNL118C MSLPLRHALENVTSVDRILEDLLVRFIINCPNEDLSSVERELFH FEEASWFYTDFIKLMNPTLPSLKIKSFAQLIIKLCPLVWKWDIRVDEALQQFSKYKKS IPVRGAAIFNENLSKILLVQGTESDSWSFPRGKISKDENDIDCCIREVKEEIGFDLTD YIDDNQFIERNIQGKNYKIFLISGVSEVFNFKPQVRNEIDKIEWFDFKKISKTMYKSN IKYYLINSMMRPLSMWLRHQRQIKNEDQLKSYAEEQLKLLLGITKEEQIDPGRELLNM LHTAVQANSNNNAVSNGQVPSSQELQHLKEQSGEHNQQKDQQSSFSSQQQPSIFPSLS EPFANNKNVIPPTMPMANVFMSNPQLFATMNGQPFAPFPFMLPLTNNSNSANPIPTPV PPNFNAPPNPMAFGVPNMHNLSGPAVSQPFSLPPAPLPRDSGYSSSSPGQLLDILNSK KPDSNVQSSKKPKLKILQRGTDLNSIKQNNNDETAHSNSQALLDLLKKPTSSQKIHAS KPDTSFLPNDSVSGIQDAEYEDFESSSDEEVETARDERNSLNVDIGVNVMPSEKDSRR SQKEKPRNDASKTNLNASAESNSVEWGPGKSSPSTQSKQNSSVGMQNKYRQEIHIGDS DAYEVFESSSDEEDGKKLEELEQTQDNSKLISQDILKENNFQDGEVPHRDMPTESNKS INETVGLSSTTNTVKKVPKVKILKRGETFASLANDKKAFDSSSNVSSSKDLLQMLRNP ISSTVSSNQQSPKSQHLSGDEEIMMMLKRNSVSKPQNSEENASTSSINDANASELLGM LKQKEKDITAPKQPYNVDSYSQKNSAKGLLNILKKNDSTGYPRTEGGPSSEMSTSMKR NDATNNQELDKNSTELLNYLKPKPLNDGYENISNKDSSHELLNILHGNKNSSAFNNNV YATDGYSLASDNNENSSNKLLNMLQNRSSAINEPNFDVRSNGTSGSNELLSILHRK YNL117W MVKVSLDNVKLLVDVDKEPFFKPSSTTVGDILTKDALEFIVLLH RTFNNKRKQLLENRQVVQKKLDSGSYHLDFLPETANIRNDPTWQGPILAPGLINRSTE ITGPPLRNMLINALNAPVNTYMTDFEDSASPTWNNMVYGQVNLYDAIRNQIDFDTPRK SYKLNGNVANLPTIIVRPRGWHMVEKHLYVDDEPISASIFDFGLYFYHNAKELIKLGK GPYFYLPKMEHHLEAKLWNDVFCVAQDYIGIPRGTIRATVLIETLPAAFQMEEIIYQL RQHSSGLNCGRWDYIFSTIKRLRNDPNHILPNRNQVTMTSPFMDAYVKRLINTCHRRG VHAMGGMAAQIPIKDDPAANEKAMTKVRNDKIRELTNGHDGSWVAHPALAPICNEVFI NMGTPNQIYFIPENVVTAANLLETKIPNGEITTEGIVQNLDIGLQYMEAWLRGSGCVP INNLMEDAATAEVSRCQLYQWVKHGVTLKDTGEKVTPELTEKILKEQVERLSKASPLG DKNKFALAAKYFLPEIRGEKFSEFLTTLLYDEIVSTKATPTDLSKL YNL116W MYTPIPANTPAPTAPTSSMTSNSSSASNANTTSSSGINPRNRAS GTPSNERARPASGISSFLNTFGIRQNSQTASSSAAPDQRLFGTTPSNSHMSVAMESID TAPQQQEPRLHHPIQMPLSAQFHVHRNYQLPISISLTAPTTTDHQQSSAHNFEGNNVG NVQESLNQRQPNGTNNTTTSIISMAPAATTRNIVGGADGSTIVNNSQEMYKNLRHLIY AANQPNGTEILHLDLPATSAEESNNMFNVDEVTLKQRKDKHGLFSIRLTPFIDSSSTT NQGLFFEPIIRKAGPGSQLVIGRYTERVRDAISKIPEQYHPVVFKSKVVSRTHGCFKV DSQGNWYIKDVKSSSGTFLNHQRLSPASSLSKDTPLRDGDILQLGMDFRGGTEEIYRC VRMRIELNRSWKLKANSFNKEALQRLQNLQKLTTGIEEEDCSICLCKIKPCQAIFISP CAHSWHFRCVRRLVMLSYPQFVCPNCRSSCDLEASFESSDEEDESDVESEGDQLVDQL SVLMETSKDVDSHP YNL115C MKANGLDNDPARTGMERTDIDSEHPEAQPLLNNNHRTLGAGSAN GPAVNEGRDIESDGFIKDSLFQIRKGYRIFIHNSKWILNILILINTIWLVTTLISDFF FNINILFGFSNRYASFNDLTLIFISIIANSFNLWFNKLGLYSALDYSLNVTLCVLTLF NLALTYLIKYTRQRIGFVGTFTYLWTSFSFFIGAILDWYLLFYNNSINEPLEERRIDD ANISTFNENHTNSTENRDRSQYGSGSPTPTHRSQLVQNKHTLTEWVSIGFRNTIKFLI LIFFALFTLNTLLTTLDTYRLTHKLPITVQSPSYEAFHYVDAAKTYQLHITCYGDVFD QENNTDLSENKKQPIILFEHGGYDTGYLSATWIEELYHLDKIQRYCLYDRPGYGLSDS PPAPISIAMVAESLRYALIKDAKIKGPFTTVGYDLGGLFTRVFTAKNVDIVDSMMLVE SWHEELLLKNYIQRLLPPGRGDGDDGDDGNGNDGDGRNHDKTWLPSEIERHNEFRLWW KGIWSSLGWRLQTSWLLAHHGSKERIYGRDMKYQGRFLRSKFLESVTSSILSYRDVTN NAESLQNVKTSIVSSKEMVKKSALWGDWQRDLTKISHKTQEWKIVEGGHEIYKYGLGK QQTQEVLLRLIGELGKLTED YNL113W MTEDIEQKKTATEVTPQEPKHIQEEEEQDVDMTGDEEQEEEPDR EKIKLLTQATSEDGTSASFQIVEEDHTLGNALRYVIMKNPDVEFCGYSIPHPSENLLN IRIQTYGETTAVDALQKGLKDLMDLCDVVESKFTEKIKSM YNL112W MTYGGRDQQYNKTNYKSRGGDFRGGRNSDRNSYNDRPQGGNYRG GFGGRSNYNQPQELIKPNWDEELPKLPTFEKNFYVEHESVRDRSDSEIAQFRKENEMT ISGHDIPKPITTFDEAGFPDYVLNEVKAEGFDKPTGIQCQGWPMALSGRDMVGIAATG SGKTLSYCLPGIVHINAQPLLAPGDGPIVLVLAPTRELAVQIQTECSKFGHSSRIRNT CVYGGVPKSQQIRDLSRGSEIVIATPGRLIDMLEIGKTNLKRVTYLVLDEADRMLDMG FEPQIRKIVDQIRPDRQTLMWSATWPKEVKQLAADYLNDPIQVQVGSLELSASHNITQ IVEVVSDFEKRDRLNKYLETASQDNEYKTLIFASTKRMCDDITKYLREDGWPALAIHG DKDQRERDWVLQEFRNGRSPIMVATDVAARGIDVKGINYVINYDMPGNIEDYVHRIGR TGRAGATGTAISFFTEQNKGLGAKLISIMREANQNIPPELLKYDRRSYGGGHPRYGGG RGGRGGYGRRGGYGGGRGGYGGNRQRDGGWGNRGRSNY YNL111C MPKVYSYQEVAEHNGPENFWIIIDDKVYDVSQFKDEHPGGDEII MDLGGQDATESFVDIGHSDEALRLLKGLYIGDVDKTSERVSVEKVSTSENQSKGSGTL VVILAILMLGVAYYLLNE YNL110C MVKSTSKTSTKETVTKQPTEEKPIQEKEELALETSSSSSDEEDE KDEDEIEGLAASDDEQSGTHKIKRLNPKKQANEKKSKDKKTLEEYSGIIYVSRLPHGF HEKELSKYFAQFGDLKEVRLARNKKTGNSRHYGFLEFVNKEDAMIAQESMNNYLLMGH LLQVRVLPKGAKIEKLYKYKKRVLVEKGITKPVKQLKDNMKQKHEERIKKLAKSGIEF KW YNL108C MAIENIYIARHGYRSNWLPKGPYPPPPTGIDNDVPLSEHGVEQA HELANYISKLDVKPEMIFSSPFYRCLETSKPTVEALKIPLYVDRGVGEWYKPDRPIIP EPATHEVMSKFFPSMISPDWEPSIIPSNKGETEEDIFERCHKFWPVFIDRVERKFPNV KTIMIVTHAATKSALGMNLLKFSSAKEPIDNKGTFIRNGSCAIDKFELVKGENESIPF EEREWKLTMNGNTSFLTNGEEMNWTFMNAFEAGSDADIKARRAAESGKLKME YNL107W MAPTISKRIKTLSVSRPIIYGNTAKKMGSVKPPNAPAEHTHLWT IFVRGPQNEDISYFIKKVVFKLHDTYPNPVRSIEAPPFELTETGWGEFDINIKVYFVE EANEKVLNFYHRLRLHPYANPVPNSDNGNEQNTTDHNSKDAEVSSVYFDEIVFNEPNE EFFKILMSRPGNLLPSNKTDDCVYSKQLEQEEIDRIEIGIEKVDKEIDELKQKLENLV KQEAINGS YNL106C MKILLSKQQTRKIAIVSETHGLVFRPINSKNSRRSTCAVELVPK AELNGNGFRRLSNHEIYGFIGLIEIEGLMFIATITGKSKVAQPIPNKTVNKIYAVDFF CLNNSKWDFMDIDSSGYPIVTNDGDFAISSPPSISTHSSRSSLRSSSSRSLNAQEQAP KHPCHELRKLLSNGSFYYSTDFDLTCTLQKRGFTEHSLSFDDFDREFMWNSFLMDEII TYRDRLDVTAKELLDQRGFLTTVIRGFAETIFSYINRLKVGLTIISRQSWKRAGTRFN ARGIDDDGHVANFVETEMIMYSSQYCYAFTQIRGSLPIFWEQDTSLISPKIQITRSVE ATQPTFDEHFIRLFKKYGPVHIINLLSTKSSEIQLSRRYKEQLKNSEKMKIGRDVFLT SFDFHRETSQDGFAAASRIIPKIRNTILDAGYFSYDVKEGRLISEQDGVFRTNCLDCL DRTNLIQQTISLAVFKLFLEDFRLVKPSSFIDDNEFVQKVNALWADNGDQISQIYTGT NALKSSYSRKGKMSFSGALSDATKSVSRMYINNFVDKGKQQNIDTLLGKLPHQQVVEL YDPICEYVNERLLESEEKFTTHSNINLFVGTFNVNGNSRRADLSKWLFPIGDKFKPDV VVLGLQEVIELTAGSILNADYTKSSFWETMVTDCLNQYEEKYLLLRVEQMSSLLILFF ARSDRAYNIKEVGGSTKKTGFGGITGNKGAVAIRFDYGATSFCFVNTHLSAGASNIDE RRNDYNNIYRNITFPRSKTIPHHDSLFWLGDLNYRITLTNDEVRRELRAQKDGYIDRL LQYDQLTQEINEGVVFQGFKEPTLQFRPTYKYDYGTDNYDTSEKARTPSWTDRIIYKG ENLHPLAYSDAPLKISDHKPVYAAYRANVKFVDEKEKLNLVEKLYAEYKNTHPEALTT GPDELSHARMEKQKESIPLDATVQSAGIKLIDLDDTSSCVSPLLSGPSPQPSVVGPGG LSNVSPDKSKLNVLPPPPPTSRHNKEPSSKLLSPTKEISIVSVSPRKGESNLPALERH STPKPLPPVPALSLSKPVSLQKSSSELQHAKETIDNGKIVPRPCPPIRRKSSTAPDEI STSTKNSGVSTTEDPEPAKASTKPEKPPVVKKPHYLSVAANKLNTSQEHSIKVSPSNS KSEEELPCKKKSKPKVPAKNPELEKLSVHPLKPCDPN YNL104C MVKESIIALAEHAASRASRVIPPVKLAYKNMLKDPSSKYKPFNA PKLSNRKWPDNRITRAPRWLSTDLRDGNQSLPDPMSVEQKKEYFHKLVNIGFKEIEVS FPSASQTDFDFTRYAVENAPDDVSIQCLVQSREHLIKRTVEALTGAKKATIHTYLATS DMFREIVFNMSREEAISKAVEATKLVRKLTKDDPSQQATRWSYEFSPECFSDTPGEFA VEICEAVKKAWEPTEENPIIFNLPATVEVASPNVYADQIEYFATHITEREKVCISTHC HNDRGCGVAATELGMLAGADRVEGCLFGNGERTGNVDLVTVAMNMYTQGVSPNLDFSD LTSVLDVVERCNKIPVSQRAPYGGDLVVCAFSGSHQDAIKKGFNLQNKKRAQGETQWR IPYLPLDPKDIGRDYEAVIRVNSQSGKGGAAWVILRSLGLDLPRNMQIEFSSAVQDHA DSLGRELKSDEISKLFKEAYNYNDEQYQAISLVNYNVEKFGTERRVFTGQVKVGDQIV DIEGTGNGPISSLVDALSNLLNVRFAVANYTEHSLGSGSSTQAASYIHLSYRRNADNE KAYKWGVGVSEDVGDSSVRAIFATINNIIHSGDVSIPSLAEVEGKNAAASGSA YNL103W MKQEQSHEGDSYSTEFINLFGKDTATHPSSNNGANNNGMGSTNS LDQFVATASSSSSLVTSSENRRPLIGDVTNRGNTNLYDHAVTPEILLEQLAYVDNFIP SLDNEFSNVDWNVNTTHNNANNNGADTFSSINANPFDLDEQLAIELSAFADDSFIFPD EDKPSNNNNNSNNGNDDHSNHDVLHEDPSTNNRQRNPHFLTQRRNTFLTSQYDQSKSR FSSKNKRNGNNGETNNFGDNMQNNHPFEPNFMGSPSQFPADATNMTSIDHGGFTNVDI TSTENNTTGDNGVDALSNLLHRTTHTPNRSSPLSNVTSAQNSSSQQRKHSESKVDSNS DNNSSNKAPNITVPDYSIIPTSVLVTLLPRVNVPNGAYNSLISAGFDNDQIDAIAAIM AYHHQKKIRENNSNNNKNINTNDSQEAPILKNINELLSVLIPPSPAETAAPTTLSTSP SFNEHGVVAEASFLSSILELGIKHPKSNNIHNQRQPSRNDHKISRESDGNNGNDNVHH NNAVIKSSTTRGDEIAKIRSEPTLNASSSDHKENSLKRSHSGDLKNKKVPVDRKYSDN EDDEYDDADLHGFEKKQLIKKELGDDDEDLLIQSKKSHQKKKLKEKELESSIHELTEI AASLQKRIHTLETENKLLKNLVLSSGETEGIKKAESLKKQIFEKVQKE YNL102W MSSKSEKLEKLRKLQAARNGTSIDDYEGDESDGDRIYDEIDEKE YRARKRQELLHDDFVVDDDGVGYVDRGVEEDWREVDNSSSDEDTGNLASKDSKRKKNI KREKDHQITDMLRTQHSKSTLLAHAKKSQKKSIPIDNFDDILGEFESGEVEKPNILLP SKLRENLNSSPTSEFKSSIKRVNGNDESSHDAGISKKVKIDPDSSTDKYLEIESSPLK LQSRKLRYANDVQDLLDDVENSPVVATKRQNVLQDTLLANPPSAQSLADEEDDEDSDE DIILKRRTMRSVTTTRRVNIDSRSNPSTSPFVTAPGTPIGIKGLTPSKSLQSNTDVAT LAVNVKKEDVVDPETDTFQMFWLDYCEVNNTLILFGKVKLKDDNCVSAMVQINGLCRE LFFLPREGKTPTDIHEEIIPLLMDKYGLDNIRAKPQKMKYSFELPDIPSESDYLKVLL PYQTPKSSRDTIPSDLSSDTFYHVFGGNSNIFESFVIQNRIMGPCWLDIKGADFNSIR NASHCAVEVSVDKPQNITPTTTKTMPNLRCLSLSIQTLMNPKENKQEIVSITLSAYRN ISLDSPIPENIKPDDLCTLVRPPQSTSFPLGLAALAKQKLPGRVRLFNNEKAMLSCFC AMLKVEDPDVIIGHRLQNVYLDVLAHRMHDLNIPTFSSIGRRLRRTWPEKFGRGNSNM NHFFISDICSGRLICDIANEMGQSLTPKCQSWDLSEMYQVTCEKEHKPLDIDYQNPQY QNDVNSMTMALQENITNCMISAEVSYRIQLLTLTKQLTNLAGNAWAQTLGGTRAGRNE YILLHEFSRNGFIVPDKEGNRSRAQKQRQNEENADAPVNSKKAKYQGGLVFEPEKGLH KNYVLVMDFNSLYPSIIQEFNICFTTVDRNKEDIDELPSVPPSEVDQGVLPRLLANLV DRRREVKKVMKTETDPHKRVQCDIRQQALKLTANSMYGCLGYVNSRFYAKPLAMLVTN KGREILMNTRQLAESMNLLVVYGDTDSVMIDTGCDNYADAIKIGLGFKRLVNERYRLL EIDIDNVFKKLLLHAKKKYAALTVNLDKNGNGTTVLEVKGLDMKRREFCPLSRDVSIH VLNTILSDKDPEEALQEVYDYLEDIRIKVETNNIRIDKYKINMKLSKDPKAYPGGKNM PAVQVALRMRKAGRVVKAGSVITFVITKQDEIDNAADTPALSVAERAHALNEVMIKSN NLIPDPQYYLEKQIFAPVERLLERIDSFNVVRLSEALGLDSKKYFRREGGNNNGEDIN NLQPLETTITDVERFKDTVTLELSCPSCDKRFPFGGIVSSNYYRVSYNGLQCKHCEQL FTPLQLTSQIEHSIRAHISLYYAGWLQCDDSTCGIVTRQVSVFGKRCLNDGCTGVMRY KYSDKQLYNQLLYFDSLFDCEKNKKQELKPIYLPDDLDYPKEQLTESSIKALTEQNRE LMETGRSVVQKYLNDCGRRYVDMTSIFDFMLN YNL101W MVTNNGDGEHLGIRRNGNLRHPSNNMKIPRRAQSTVLNSNPFYS RKYSMSTLTPRDICRSVDSRVFVDMSSPNFQTLEDPHRDEIINSVRLNYLNSSKRSSV SHGNEAIPRVNPTKNSSASTIAAANVDSDDDETNLSSAGGDITHDIYKLVKAEDPKRL RRPRSMENVTPKIEHHTKLSSASGLNVPGGFRREFIVNKKRQEHQLNDSASSDFTSHE SDSINQSSPSSNQDIDKVPFLTRNFLEFLYVFGHFAGESFEDDFIPDSSNMMIRGEDE RSALLSRPDHMKVLPSAKGTTSTKKVFLILLKSFIGTGVLFLPNAFHNGGLFFSVSML AFFGIYSYWCYYILVQAKSSCGVSSFGDIGLKLYGPWMRIIILFSLVITQVGFSGAYM IFTAKNLQAFLDNVFHVGVLPLSYLMVFQTIIFIPLSFIRNISKLSLPSLLANFFIMA GLVIVIIFTAKRLFFDLMGTPAMGVVYGLNADRWTLFIGTAIFAFEGIGLIIPVQDSM RNPEKFPLVLALVILTATILFISIATLGYLAYGSNVQTVILLNLPQSNIFVNLIQLFY SIAIMLSTPLQLFPAIKIIENKFFPKFTKIYVKHDDLTTRVELRPNSGKLNWKIKWLK NFIRSIIVIIVVSIAYFGSDNLDKFVSVIGSLACIPLVYIYPSMLHLRGNSLPETKGE FWRFKPMLDTILIFFGIASMLYTSYQSIFGV YNL100W MVNFYDDVDESKSHGEFPLIPVVLQNSSELSVRTIPTGNEIIES VHLTKWLRKYRNALASQLDRYEKGWQSKIANFRLQVQHVINYSRKNIFNVDSENKHTV VPGSLIALGAFFAGSIAVNRSNWGAKRLIFGHKSSILEKLCTSLPSRILLPWVLAAAT FKYWAPQTSQNLVNATENDLLPADFVKSYHNTWKRIYEEGYVAKKCDLKRQIDQTLQK NIRYAREQLYEKLEQA YNL099C MTSKVGEYEDVPEDESRLTEENVSVPEEEVEDEDEEEDDDDDHI YINEETESGREKVLVSHAPQERIVPPLNFCPVERYLYRSGQPSPVNFPFLLNLKLKTI IWLSNEEPQDTLLEFCDTHRINLQFAAINPDAGEDDNPWDGLTEHSIINVLQTIVTQE NYPLLVCCGMGRHRTGTVIGCLRRIMGWNLASVSEEYRRFTGSRGGRILVELLIEAFD TNLVKIDKNKAPSWLLTALE YNL098C MPLNKSNIREYKLVVVGGGGVGKSALTIQLTQSHFVDEYDPTIE DSYRKQVVIDDEVSILDILDTAGQEEYSAMREQYMRNGEGFLLVYSITSKSSLDELMT YYQQILRVKDTDYVPIVVVGNKSDLENEKQVSYQDGLNMAKQMNAPFLETSAKQAINV EEAFYTLARLVRDEGGKYNKTLTENDNSKQTSQDTKGSGANSVPRNSGGHRKMSNAAN GKNVNSSTTVVNARNASIESKTGLAGNQATNGKTQTDRTNIDNSTGQAGQANAQSANT VNNRVNNNSKAGQVSNAKQARKQQAAPGGNTSEASKSGSGGCCIIS YNL097C-B MTAKTKQSWNKGIWENGKQGSHQQTFLPKIWVNIYSTPTS YNL097C MSSPANLFPGLNDITDVLEEFPLATSRYLTLLHEIDAKCVHSMP NLNERIDKFLKKDFNKDHQTQVRLLNNINKIYEELMPSLEEKMHVSSIMLDNLDRLTS RLELAYEVAIKNTEIPRGLRLGVDNHPAMHLHHELMEKIESKSNSKSSQALKSESRRE AMAANRRQGEHYSASTHQQDDSKNDANYGGSRHESQDHTGNNTNSRKRANAANTNNAD PETKKRKRRVATTAVSPSTISTATAVNNGRIGTSTASRGVSSVGNSNNSRISRPKTND YGEPLYCYCNQVAYGEMVGCDGADCELEWFHLPCIGLETLPKGKWYCDDCKKKL YNL096C MSSVQSKILSQAPSELELQVAKTFIDLESSSPELKADLRPLQIK SIREIDVTGGKKALVLFVPVPALSAYHKVQTKLTRELEKKFPDRHVIFLAERRILPKP SRTSRQVQKRPRSRTLTAVHDKVLEDMVFPTEIVGKRVRYLVGGNKIQKVLLDSKDVQ QIDYKLESFQAVYNKLTGKQIVFEIPSQTN YNL095C MVHITLGQAIWVSVKPIIKIYLIIGVGFLMAKMGILTVEATRII SDIVLTVLLPSLSFNKIVANIEDKDIKSVGIICLSALLIFGSGFFFAYVVRLFLPVPK QWYGGILAGGMFPNISDLPIAYLQSMDQGLVFSEEEGNKGVANVIIFLTMFLICIFNL GGFRLIESDFEYNDDESAVRVSETTKTQPAVSANTTNTDTSERFFSNEQQLFNNKYTA RDSLTEAIGTKGENADVPPISRRSTNSIAPLSLPDTSSNSKITKPVQVKARNTIACTQ SEESQATRGSNPLDSQSSASTIHSYNTSESYESSIDTMRARRTASQPRAYNTTTLLEE NCLDEKCPKNMSMAALEPIRSIDMRALPSQNIHHLIREYSNVDQYGHQRRNSSLRGAD MNDVHSISSNSTLQTIKTANLTRILTSDATVSKKDIETSGESLPQWMRKFSLTPLLVF FLKNCLRPCSMAVIIALTVAFIPWVKALFVTTANTPHISQAPDNAPPLSFFMDFTGYV GAACVPFGLILLGATLGRLKIGNLYPGFWKAAVTLVILRQCVMPIFGVLWCDRLVKAG WVNWQDDRMLLFVIAISWNLPTMTTLIYFTASFTPPETTAPIQMECVSFFLMLQYPLM VVSLPFLVSYFLKVQMNL YNL094W MNSQGYDESSSSTAATSGPTSGDPRMGKKQRFMNLIRTTKDVYI PNLTSSISQKTMDGIRSTTNSFEGYNDLPMELPHNTTITYFPTYTTTNLVDPDGLSAP RKDFETTVRCAVSYPGNPTSRRNRWLLSLCKQYLRTGTAEADVAPVVPPHLEEDSGDL NDSQSSIESSLSSKSENRYSHMGIQEEDVLNERIQGFLSKKVPNTPVVVDLLPKDKLR GDTASFFGTTDSYGNLLIKAETDFLPSKINITLDTPIEGHADPISETFPANYVSPYGI GLISDIDDTIKHTGVTGDRRSMFRNVFIHDVQSWVIDGVPLWYKTLHDVADVDFFYVS NSPIQTFTLLKQYICANFPPGPIFLKQYSGNFFSTIMTSSANRKIQPIANILKDFPKK KFILVGDSGEHDLEAYTTTALQFPNQILAIYIRCCSNSMSDVPSHDEEVMNEVNNIIE LQQRPMQMTKSTVRTRRRPPPPPIPSTQKPSLTEEQTESIRMSRRNKDENNAKRVAPP PLPNRQLPNLDANTYYVPSSQNDYGMYGAFMDKKADEWKRRVMDSIQKLSNQDTTLMF FSDPALSLEDSIRRIREKYSN YNL093W MDKHTAAIPTLTIKVVLLGESAVGKSSIVLRFVSDDFKESKEPT IGAAFLTKRITRDGKVIKFEIWDTAGQERFAPLAPMYYRNAQAALVVFDVTNEGSFYK AQNWVEELHEKVGHDIVIALVGNKMDLLNNDDENENRAMKAPAVQNLCERENLLYFEA SAKTGENIYQIFQTLGEKVPCPEQNTRQSSTHDRTITDNQRIDLESTTVESTRETGGC NC YNL092W MDENEFDNQRENKAVARVIISFLKYEEYALKEIYNLRVKKWASI SDRQKDMVPNYTKYLANLKAAIIENGKFFRSVAEYALQSISFEPGEIVQPNDLDMSKT CSLLTQVYREWSAEAISERNCLNSRLVPFLKTLSPPKADILIPGCGTGRLLVDLSRMG YNCEGNEFSYHMLLVSQYMLNAGLLQNQIIIYPFIHCFSHWKKIEDQLSPIKVPDIEA WSSNKGMGSMSICAGSFVDCYGRNQGTKISSHYTFSRRMQLSRAKAENSKDVVVTNFF IDTGSNILDYLDTIGHVLKPGGIWCNFGPLLYHFENDHGVETTYEVNPYSGFQDKIND YTPLMGLELSSDDIISIATNHLDFELIRRESGILCGYGRYAGPESCAMPGYMCHYWIL KSNPTNES YNL091W MPPNSKSKRRKNKSKQHNKKNGNSDPEQSINPTQLVPRMEPELY HTESDYPTSRVIKRAPNGDVIVEPINTDDDKKERTANLTHNKDSMDSASSLAFTLDSH WESLSPEEKKTILRIEKEEVFNVIRNYQDDHSCSCSVCGRRHLAMDQEMERIYNTLYA MDKDKDPETNPIKFHLGIIKELQISKNQQQNDLSSTKGEVVKNFLSSSTVGSLKEEVL HFKQKQLSKQEQAHNETADNTSLLEENLNNIHINKTSSEISANFNSVSDEELQQKYSN FTKTFISSHPKIAEEYVQKMMMYPNIRALTDDLMNSNGQGFLNAIEDFVRDGQIQASK KDDSITEDEASSTDLTDPKEFTTMLHSGKPLTEDEYADLQRNIAERMTNAYDTASKKF KDVSQLEKELFTRFMSGRDKKSFRELIIQSFKNKFDGELGPSVLAATLSSCFSSQSKD TSLDTDSIYEDEDEEDYDDYSEYAEDSEEVSEYEGIEAVEKPEHDEKSNGIRETLHLS YDHDHKRQNHPHHHYHSTSTHSEDELSEEEYISDIELPHDPHKHFHRDDDILDGDEDE PEEEDENEGDDEEDTYDSGLDETDRLEEGRKLIQIAITKLLQSRIMASYHEKQADNNR LKLLQELEEEKRKKREKEEKKQKKREKEKEKKRLQQLAKEEEKRKREEEKERLKKELE EREMRRREAQRKKVEEAKRKKDEERKRRLEEQQRREEMQEKQRKQKEELKRKREEEKK RIREQKRLEQEKLQKEKEEEERQRLIAEDALRKQKLNEEQTSANILSAKPFTENGVGN PVSSQSHPNMTNYQEDNSCSINDEILKMVNSVAASKPVSPTGFNVHDLLLPSTNNQMP AMEQSHLPQPGNQNNHFGTTTIPNALDLATKSSLQTENNYLMNSQTLENTSLLMHNNS SPTKLLPNDFGLSSWGGLTNTMSINPTCKPPVIQTSEMESQAHKSSPQATMPSFGLPN GGTHRKSFTDELNTLTSMLSSSGFADTSLSSSGFPPSQRSVWNDQKSSFSGPSTAGNF NNSSIQSGMLLAPTLGSVESFPNRTSIWDSSTTPMMNKSELSGRNITSTAQDSPAFMA SNIWSSNSQYNSPYLTSNVLQSPQISSGVDESHILDSIYNTYLAISPQDSLNPYIAIG TLFQNLVGLNLDYSTFINKLISMQGAYNCEFFTDNNGSITHVRFARQTPAGHSKGLLN QLFSGLNDPTATPFTSRPHTSTRASFPIASSTTQTS YNL090W MSEKAVRRKLVIIGDGACGKTSLLYVFTLGKFPEQYHPTVFENY VTDCRVDGIKVSLTLWDTAGQEEYERLRPFSYSKADIILIGFAVDNFESLINARTKWA DEALRYCPDAPIVLVGLKKDLRQEAHFKENATDEMVPIEDAKQVARAIGAKKYMECSA LTGEGVDDVFEVATRTSLLMKKEPGANCCIIL YNL088W MSTEPVSASDKYQKISQLEHILKRPDTYIGSVETQEQLQWIYDE ETDCMIEKNVTIVPGLFKIFDEILVNAADNKVRDPSMKRIDVNIHAEEHTIEVKNDGK GIPIEIHNKENIYIPEMIFGHLLTSSNYDDDEKKVTGGRNGYGAKLCNIFSTEFILET ADLNVGQKYVQKWENNMSICHPPKITSYKKGPSYTKVTFKPDLTRFGMKELDNDILGV MRRRVYDINGSVRDINVYLNGKSLKIRNFKNYVELYLKSLEKKRQLDNGEDGAAKSDI PTILYERINNRWEVAFAVSDISFQQISFVNSIATTMGGTHVNYITDQIVKKISEILKK KKKKSVKSFQIKNNMFIFINCLIENPAFTSQTKEQLTTRVKDFGSRCEIPLEYINKIM KTDLATRMFEIADANEENALKKSDGTRKSRITNYPKLEDANKAGTKEGYKCTLVLTEG DSALSLAVAGLAVVGRDYYGCYPLRGKMLNVREASADQILKNAEIQAIKKIMGLQHRK KYEDTKSLRYGHLMIMTDQDHDGSHIKGLIINFLESSFPGLLDIQGFLLEFITPIIKV SITKPTKNTIAFYNMPDYEKWREEESHKFTWKQKYYKGLGTSLAQEVREYFSNLDRHL KIFHSLQGNDKDYIDLAFSKKKADDRKEWLRQYEPGTVLDPTLKEIPISDFINKELIL FSLADNIRSIPNVLDGFKPGQRKVLYGCFKKNLKSELKVAQLAPYVSECTAYHHGEQS LAQTIIGLAQNFVGSNNIYLLLPNGAFGTRATGGKDAAAARYIYTELNKLTRKIFHPA DDPLYKYIQEDEKTVEPEWYLPILPMILVNGAEGIGTGWSTYIPPFNPLEIIKNIRHL MNDEELEQMHPWFRGWTGTIEEIEPLRYRMYGRIEQIGDNVLEITELPARTWTSTIKE YLLLGLSGNDKIKPWIKDMEEQHDDNIKFIITLSPEEMAKTRKIGFYERFKLISPISL MNMVAFDPHGKIKKYNSVNEILSEFYYVRLEYYQKRKDHMSERLQWEVEKYSFQVKFI KMIIEKELTVTNKPRNAIIQELENLGFPRFNKEGKPYYGSPNDEIAEQINDVKGATSD EEDEESSHEDTENVINGPEELYGTYEYLLGMRIWSLTKERYQKLLKQKQEKETELENL LKLSAKDIWNTDLKAFEVGYQEFLQRDAEARGGNVPNKGSKTKGKGKRKLVDDEDYDP SKKNKKSTARKGKKIKLEDKNFERILLEQKLVTKSKAPTKIKKEKTPSVSETKTEEEE NAPSSTSSSSIFDIKKEDKDEGELSKISNKFKKISTIFDKMGSTSATSKENTPEQDDV ATKKNQTTAKKTAVKPKLAKKPVRKQQKVVELSGESDLEILDSYTDREDSNKDEDDAI PQRSRRQRSSRAASVPKKSYVETLELSDDSFIEDDEEENQGSDVSFNEED YNL087W MSPNSSKTRTDQISSMPGINEATKVESKNVVKDAVPIKSEVETN GTSIVREKQDPSYVGWKQVGGWEEKDELTSEDLLVDVNKDTFLGNLLPDKFYGDWYHE VAILIIAGLCSFVLGYFKFSLASVLIVMLTTGMLYRTSSKKYRESLRDLAQKEQTVEK ITSDYESVEWLNTFLDKYWPIIEPSVSQQIVDGTNTALSENVAIPKFIKAIWLDQFTL GVKPPRIDAIKTFQNTKSDVVVMDVCLSFTPHDMSDLDAKQCRNYVNSNVVLKAKIFG MDIPVSVADIFFQVFVRFRFQLMTTLPLVETINIQLLEVPEVDFISRLLGNSVFNWEI LAIPGLMRLIQKMAFKYLSPVLLPPFSLQLNIPQLLSKTGLPIGVLEIKVKNAHGLRK LVGMIKKTVDPYLTFELSGKIVGKTKVFKNSANPVWNESIYILLQSFTDPLTIAVYDK RETLSDKKMGTVIFNLNKLHANHYHKNEKVHFLRNSKPVGELTFDLRFFPTIEPKKLL NGDEEPLPDMNTGITKITIRELKGLDELSDKKFVFAELYVNAELVMTTKKEKRTAHLK WNSDYYSVVTDRRKTICRFVLKDQSGKVISSSVQPLNHLIDRTEVNKEWIPLRNGKGE LKVTTYWRPVDIDLGLKSVGYTTPIGMLRVFINKAENLRNPDSLGKISPYAKVSVNGV ARGRTNERIETLNPIWNQSIYVSVTSPLQKVSIDCFGIDTNGDDHNLGSLNIQTQNIY HKDNDDKYTIFIDNAPRTGNLIGKKGVKGTVTYYLSFYPVVPVLSLEEAKEVDEINEK KDKLEKQKSTLDDKNISKEEKERIKKEEFRLTEKYDMYSYKMKLDLDELLQYNAGVLG VTVLGGELPQPGLYVQTFFDSCGYAAITSAKNAIRTIKTGWSGDFMIKELEWSVTTFR VTKTKDANKAENFICEVNIPTIELVRNCYYKPSVLNLIGKKSAKLLVQVSWFPVTATE LPQSDLITNSGDLKITAKSAENLIGVNKNGYSDPYVEFFLNEKSTSPFFKTAVQKKTL NPTWNESKTIEVSNRVNDYLTINVKDYESTNSNRSIGKAVVPLSTIDPESDTTFNIPL VGPKGEDGGVLHLEFEFEPRYTTNVVKREAGLGNFATKGLGTGIKAGSTVFALGTNVV STGLGTIDKVKAGVFGGKKSTTTGDKKSEEKQ YNL086W MAGDSISADGTGVHPVELSVYSVLSTDLDGLYQSINELRESQAL LILMLRKVRDKLRREGQVLYDPEPFKPTMDKLADLSARVRILSQRYEELQGNARALNN YNL085W MAIKSLESFLFERGLVGSYAIEALNNCTLDIDVNHYVSRLLTNK REQYLDAIGGFPTSLKMYLESDLKIFKDFNITPIFVFNGGLTYNQLEASGHFTAASAS ASISSTTTSSSGTNATTRSNTESVLLQRSRGWTQWNNLISSNQNSYIDQPIQPQEPFR HNTTIDSKAYQNDLIAYFIEHGYMYQVAPYSSWFQLAYLLNSAYIDAIYGPTDCLMLD CVDRFILGMEFPNKEFRFIDRSRVMKDLGCTHEEFIDIAMAVGNDLQPTTLPPLQIYP VPQLFDIALEMVLNTGTNFYAYQLSTTLQNDSKENIQNYQRGISALRYMPVLKDTGKV ELFVQEIVVSEEDSEKNNKDGKKSNLSSPSSASSSASPATTVTKNASEKLTYEKSSTK EVRKPRDIPNDVHDFIGQMLPHEYYFYRSIGLVTGKLFDAIVTGVYPEEPPLGGGSST SYKKLVSKSVEIFKNKEINLLTQPINRYYQIKQIKQVKWYAANEPTTLTNRMSPSMFE TINHLIVKTETSDEKEFSISEFITTINGSSNMAKDFISEKVIFPNSVPIESKLNSPFN LLSTNFLRLLVLLEFFTFDFKEKLLEPTRWGEVFLKLNELNIDSKYHESVIIFLVFLK CDVLKLDEEVQPPAPSALSQATLRSYPEESLYVLLITRVLTLFQVDQKPSNYHGPIDK KTLIFRDHLSFIKENLNELFEAVLISSLTSGEFNRLSLDNFGWARKIVRYLPFKLDSP NTIMAMMWEFFLQKYLHNGNAKNDALSLVATEFNTYKSTPNLDEQFVESHRFLLEISK VMQELNAAKLIDENVFKLFTKAVEFTTTALSS YNL084C MPKLEQFEIKKYWQIFSGLKPIENKVNHDQVLPILYNSKLDSSV LNKIWFLADIDDDDNLDFEEFVICMRLIFDMVNKNISSVPDELPDWLIPGSKVNLIKE RKKRKQIENADLPPKKEIKVDWYMSPDDLNQYEKIYNSCAKLTDGTITFNELSTKLST KFFNISKTDLNKVWSLINPQNLPSIDRDPTFYFIHCLRQRNDLGAEIPASLPNSLAEV CNKKQLSYDLRSSQPPTKRKEEANEVDNLRDNGQNSSSDSSGSNVLSNEDSIKQKYAS LTDDQVANMREQLEGLLNYKKSEKTQGGSKLSKRINIRSITDDLDNIEQQVEVLENYL NNKRHELQALQAEIN YNL083W MLLKNCETDKQRDIRYACLFKELDVKGNGQVTLDNLISAFEKND HPLKGNDEAIKMLFTAMDVNKDSVVDLSDFKKYASNAESQIWNGFQRIDLDHDGKIGI NEINRYLSDLDNQSICNNELNHELSNEKMNKFSRFFEWAFPKRKANIALRGQASHKKN TDNDRSKKTTDSDLYVTYDQWRDFLLLVPRKQGSRLHTAYSYFYLFNEDVDLSSEGDV TLINDFIRGFGFFIAGGISGVISRTCTAPFDRLKVFLIARTDLSSILLNSKTDLLAKN PNADINKISSPLAKAVKSLYRQGGIKAFYVGNGLNVIKVFPESSIKFGSFEVTKKIMT KLEGCRDTKDLSKFSTYIAGGLAGMAAQFSVYPIDTLKFRVQCAPLDTKLKGNNLLFQ TAKDMFREGGGQIILQRCHSRYSGHISLCCIRFGDFFCLKKMVYCQTGKDPEPTTRSG HSKQPGCTSNGCIQWNCRSFCCLSNQSFKNKTTSPRNICTSLCV YNL082W MTQIHQINDIDVHRITSGQVITDLTTAVKELVDNSIDANANQIE IIFKDYGLESIECSDNGDGIDPSNYEFLALKHYTSKIAKFQDVAKVQTLGFRGEALSS LCGIAKLSVITTTSPPKADKLEYDMVGHITSKTTTSRNKGTTVLVSQLFHNLPVRQKE FSKTFKRQFTKCLTVIQGYAIINAAIKFSVWNITPKGKKNLILSTMRNSSMRKNISSV FGAGGMRGLEEVDLVLDLNPFKNRMLGKYTDDPDFLDLDYKIRVKGYISQNSFGCGRN SKDRQFIYVNKRPVEYSTLLKCCNEVYKTFNNVQFPAVFLNLELPMSLIDVNVTPDKR VILLHNERAVIDIFKTTLSDYYNRQELALPKRMCSQSEQQAQKRLKTEVFDDRSTTHE SDNENYHTARSESNQSNHAHFNSTTGVIDKSNGTELTSVMDGNYTNVTDVIGSECEVS VDSSVVLDEGNSSTPTKKLPSIKTDSQNLSDLNLNNFSNPEFQNITSPDKARSLEKVV EEPVYFDIDGEKFQEKAVLSQADGLVFVDNECHEHTNDCCHQERRGSTDTEQDDEADS IYAEIEPVEINVRTPLKNSRKSISKDNYRSLSDGLTHRKFEDEILEYNLSTKNFKEIS KNGKQMSSIISKRKSEAQENIIKNKDELEDFEQGEKYLTLTVSKNDFKKMEVVGQFNL GFIIVTRKVDNKYDLFIVDQHASDEKYNFETLQAVTVFKSQKLIIPQPVELSVIDELV VLDNLPVFEKNGFKLKIDEEEEFGSRVKLLSLPTSKQTLFDLGDFNELIHLIKEDGGL RRDNIRCSKIRSMFAMRACRSSIMIGKPLNKKTMTRVVHNLSELDKPWNCPHGRPTMR HLMELRDWSSFSKDYEI YNL081C MVVHILGKGFKGKEVIKIALASKFYGIGKTTAEKICSKLGFYPW MRMHQLSEPQIMSIASELSTMTIEGDARAIVKDNIALKRKIGSYSGMRHTLHLPVRGQ HTRNNAKTARKLNKIDRRGIHTFSQAKVQHNPSLWSCIFGK YNL080C MTWILSTGMGPHEDKYAKHERATFKKTYSSMKTLSLNHLTAKQH MLMALCRDISLLPPLTYIFTSLRKAWRVSMRTSITLYEPQSLRDAFTYFWQKLNSAYD NNSSFEGASQKAVNGDGKDSLLLSALTTARASEYLLCSLWCLVSLYLSYAILDSLMVR WIVKYSTVAAILRMFSMSLIIVTLELLLLSSLSPELDYFLHTWILISCVLTAVYIWQS YLTSDLRYIRNQEGEVQEDTNVPEETEDYEDGEDDADEDSHVVVADESTVDVPSNDSL SDNSDGGLFPVNRPSVSHSQSPKRPKKYPKKAFNFTTKRTIDLYKITVLCVVPVGLAS FITMLGLLRNLFIQRLDVEQLERILHEMHPPA YNL079C MDKIREKLSNLKLEAESWQEKYEELKEKNKDLEQENVEKENQIK SLTVKNQQLEDEIEKLEAGLSDSKQTEQDNVEKENQIKSLTVKNHQLEEEIEKLEAEL AESKQLSEDSHHLQSNNDNFSKKNQQLEEDLEESDTKLKETTEKLRESDLKADQLERR VAALEEQREEWERKNEELTVKYEDAKKELDEIAASLENL YNL078W METYETSIGTQSYPPTLFPPPLGTGGFTTSGYIHALVDSTSNSN SNSNTNSNTNSNTNSNSDTKIPIVQISDDSHITHDSFKPYMEYHDASHLRNRNISKAD QVESTEVMEQFTQWSNYKMRSRSPTINAKPIRHTSQRRTDFTSKNELSKFSKNHNFIF HKGFLKRQHSIRREDRQAKVRSRFRSKKELTSVLNYIELEQMDIANVLASQPVNLHAI RNLTSRDPAVTPIPFLRSQMYATSSRPPYLRNRSISRKLPKSQPGSLPTTMPATATKT IKQNSTTPTTRSVYNKNVGRSNTSPSVLYHPKRRGKLNTKSHARKEQLLLELWREYLM LVITQRTQLRLTLLCSPGSASNESSVCSSNASDLDMSLLSTPSSLFQMAGETKSNPII IPDSQDDSILSSDPF YNL077W MQQNTSLYDSLNVTAAASTSEIKKAYRNAALKYHPDKNNHTEES KRKFQEICQAYEILKDNRLRALYDQYGTTDEVLIQEQQAQAQRQQAGPFSSSSNFDTE AMSFPDLSPGDLFAQFFNSSATPSSNGSKSSFNFSFNNSSTPSFSFVNGSGVNNLYSS SAKYNSNDEDHHLDRGPDIKHNLKCTLKELYMGKTAKLGLNRTRICSVCDGHGGLKKC TCKTCKGQGIQTQTRRMGPLVQSWSQTCADCGGAGVFVKNKDICQQCQGLGFIKERKI LQVTVQPGSCHNQLIVLTGEGDEVISTKGGGHEKVIPGDVVITILRLKDPNFQVINYS NLICKKCKIDFMTSLCGGVVYIEGHPSGKLIKLDIIPGEILKPGCFKTVEDMGMPKFI NGVRSGFGHLYVKFDVTYPERLEPENAKKIQNILANDKYIKAERSTMETADSDCYCDL EKSYDSVEEHVLSSFEAPNLNNEVIEDDDLGDLINERDSRKRNNRRFDESNINNNNET KRNKYSSPVSGFYDHDINGY YNL076W MSREAFDVPNIGTNKFLKVTPNLFTPERLNLFDDVELYLTLIKA SKCVEQGERLHNISWRILNKAVLKEHNINRSKKRDGVKNIYYVLNPNNKQPIKPKQAA VKQPPLQKANLPPTTAKQNVLTRPMTSPAIAQGAHDRSLDNPNSTNNDVKNDVAPNRQ FSKSTTSGLFSNFADKYQKMKNVNHVANKEEPQTIITGFDTSTVITKKPLQSRRSRSP FQHIGDMNMNCIDNETSKSTSPTLENMGSRKSSFPQKESLFGRPRSYKNDQNGQLSLS KTSSRKGKNKIFFSSEDEDSDWDSVSNDSEFYADEDDEEYDDYNEEEADQYYRRQWDK LLFAKNQQNLDSTKSSVSSANTINSNTSHDPVRKSLLSGLFLSEANSNSNNHNTAHSE YASKHVSPTPQSSHSNIGPQPQQNPPSANGIKQQKPSLKTSNVTALASLSPPQPSNNE RLSMDIQKDFKTDNESNHLYESNAPLTAQTILPTALSTHMFLPNNIHQQRMAIATGSN TRHRFSRRQSMDIPSKNRNTGFLKTRMEISEEEKMVRTISRLDNTSIANSNGNGNDDT SNQRTEALGRKTSNGGRI YNL075W MLRRQARERREYLYRKAQELQDSQLQQKRQIIKQALAQGKPLPK ELAEDESLQKDFRYDQSLKESEEADDLQVDDEYAATSGIMDPRIIVTTSRDPSTRLSQ FAKEIKLLFPNAVRLNRGNYVMPNLVDACKKSGTTDLVVLHEHRGVPTSLTISHFPHG PTAQFSLHNVVMRHDIINAGNQSEVNPHLIFDNFTTALGKRVVCILKHLFNAGPKKDS ERVITFANRGDFISVRQHVYVRTREGVEIAEVGPRFEMRLFELRLGTLENKDADVEWQ LRRFIRTANKKDYL YNL074C MCVYKSNSNNSNPSFIFERTVQEASSNDLFLQPPVSASNTSHSS RSNSFYNLQTISPIPISGSEVRTPSLRKNSNNVSSPLDNVIPTSRSASNSTTSSLAHQ EYILNPICNMQNHHHRRRTLENSVAPALDASCSIVNDENTDLSDVDMVYSRRPSSAVS LNMALLARTNSATLPSSESSPASPDLKLSRSHSHSAATRPTLNNINNTGMTTTTSNGE PNSRILRFYSYVDMLNDEKLAQANNTPTSRPPMKSQAYSCPFILKRSPPQAYSSSSAT TTFSNPFIKTTELPATSPYVSPQQSARQYSNNANNNAKSPKNRSSSILFQRQSILSNV DPVANMHKNPKFQIESSDSEEEDLTMDMLDPSFPLSSSLRSSANLASNPELATQTPLS TSSSYTAIGKPMPLSTDPSYVSSSNTLSSEHELRVEKVSEVLKKKVSNGGFSTEFNSC DT YNL073W MNVLLKRRSLTFAPRWLWCKCRSSRSRPYSLAHAVDTSKMEATR RNGQIVKDLGRYYPSMSESALHDLCQEYKEVTIADFNERFLGNPATLHHEDNPNLLLS INGRIKSIRFSGQKIVFIDLYNGSSGLKNDTQLQLIVNYNKIGGSSEDKANFSEYMNF LKKGDYIKALGYPGFSQSRVKMLSLICNKLPIVLSVSQLPLPSRLNDETKIKSNRVVD YQLNGTQTLLVRARIIKLLRKFLDDRNFVEVETPILSSKSNGAMAKPFITSSKDFDHL ELRIAPELWLKRLIISGLQKVYEIGKVFRNEGIDSTHNAEFSTLEFYETYMSMDDIVT RTEDLFKFLITNLQKFFQDTRLPVPKTFSELHLALSENNWKFRKVEFLPTLNKELGID LMNSGLDINKPSELLKALPKDIAKKYFPSADNTGQLSSLQILNKLSDVFLEQRHCQST LPTVIYHQPAILSPLAKTDPQNKQVTKRFEVFIKGKEYINAYEEENCPQLQLQKFLQQ KQINELTGNKTETLSPVIDYQYVETMKYGMPPVGGFGLGIDRLCMLFCDKKRIEEVLP FGCVDDVNRQ YNL072W MVPPTVEASLESPYTKSYFSPVPSALLEQNDSPIIMGIDEAGRG PVLGPMVYAVAYSTQKYQDETIIPNYEFDDSKKLTDPIRRMLFSKIYQDNEELTQIGY ATTCITPLDISRGMSKFPPTRNYNLNEQAHDVTMALIDGVIKQNVKLSHVYVDTVGPP ASYQKKLEQRFPGVKFTVAKKADSLYCMVSVASVVAKVTRDILVESLKRDPDEILGSG YPSDPKTVAWLKRNQTSLMGWPANMVRFSWQTCQTLLDDASKNSIPIKWEEQYMDSRK NAAQKTKQLQLQMVAKPVRRKRLRTLDNWYR YNL071W MSAFVRVVPRISRSSVLTRSLRLQLRCYASYPEHTIIGMPALSP TMTQGNLAAWTKKEGDQLSPGEVIAEIETDKAQMDFEFQEDGYLAKILVPEGTKDIPV NKPIAVYVEDKADVPAFKDFKLEDSGSDSKTSTKAQPAEPQAEKKQEAPAEETKTSAP EAKKSDVAAPQGRIFASPLAKTIALEKGISLKDVHGTGPRGRITKADIESYLEKSSKQ SSQTSGAAAATPAAATSSTTAGSAPSPSSTASYEDVPISTMRSIIGERLLQSTQGIPS YIVSSKISISKLLKLRQSLNATANDKYKLSINDLLVKAITVAAKRVPDANAYWLPNEN VIRKFKNVDVSVAVATPTGLLTPIVKNCEAKGLSQISNEIKELVKRARINKLAPEEFQ GGTICISNMGMNNAVNMFTSIINPPQSTILAIATVERVAVEDAAAENGFSFDNQVTIT GTFDHRTIDGAKGAEFMKELKTVIENPLEMLL YNL070W MSFLPSFILSDESKERISKILTLTHNVAHYGWIPFVLYLGWAHT SNRPNFLNLLSPLPSV YNL069C MSQPVVVIDAKDHLLGRLASTIAKQVLNGQKIVVVRAEALNISG EFFRNKLKYHDFLRKATAFNKTRGPFHFRAPSRILYKAIRGMVSHKTARGKAALERLK IFEGIPPPYDKKKRVVVPQALRVLRLKPGRKYTTLGKLSTSVGWKYEDVVAKLEDKRK VRSAEYYAKKRAFTKKVSSASAAASESDVAKQLASFGY YNL068C MSSSNFNEMNELNMTQTNYGSTKYTAQHHQGVINAIISSLTAPD QPTTVSLQYSNDKNMATEIQAYAKLSGPNWTYYVKDLEVSIGRNTDPLNSALQENSDG VKNSYRVNIDLGPAKVVSRKHAIIKYNMNIGGWELHILGRNGAKVNFQRTHNGPNNPP IRLSSGTLLDIGGTQMMFILPDSDPVVAPICIEHLMPNLINMFGLEGNNNPLLRDIIK QSNYAKQRQLTSNQQIKGFKLYGSGGNAPFGSGANLGPSEQGIFNNNNNSKNKNGYFT SINPNYTASTTTSNTINPQAASPQGPPNTIIAANFVDSYKSSNAYPQALDFTSDLSHD ENRNVKPPHSYATMITQAILSSPEGVISLADIYKYISSNYAYYRFAKSGWQNSIRHNL SLNKAFEKVPRRPNEPGKGMKWRISESYQQEFLNKWNTGKVGKIRRGSSVARQLQLHM AKFNSLPMEMDYRLSLNMAQPPKRQLQSHNVLEPSNNNIIEGFVQHVPSKGNLPASQQ SQPPVSHQNQSQQPPPQEQRQEIQFTFADTQNRNIALARPIKTPQLQAPNSNANLNQN NMKEYKESLHPPAISISQMNRQSPNNALVSFTNACANSKIINNISDSADKSTNNNGGT KMNLPAISTSSLDENGNLEPTTTTSSGNSNSVPQTGTTTSSLAANSLRLSQPYDTLLR SPTKAFHITAMEAYTPERGSANRARSPLHSNSNNTNNNGANNSNLQTSGMENKQTGLV LDSNVLKSMESNNDNRRLTPSTSKSQNVKSSPGVWNLLQFSSTNNTPAADSGGNKRGF SINPDIKAKENENATSEKDSDSNSNDLETKDINSSPLKNQGGSTANAKELILDTDGAK ISIINN YNL067W-B MCKLMWCTGVVSKTALLTGNFFFSSSEFFFKATHRKSENYLNGR QT YNL067W MKYIQTEQQIEIPEGVTVSIKSRIVKVVGPRGTLTKNLKHIDVT FTKVNNQLIKVAVHNGDRKHVAALRTVKSLVDNMITGVTKGYKYKMRYVYAHFPINVN IVEKDGAKFIEVRNFLGDKKIRNVPVRDGVTIEFSTNVKDEIVLSGNSVEDVSQNAAD LQQICRVRNKDIRKFLDGIYVSHKGFIVEDM YNL066W MKLSATTLTAASLIGYSTIVSALPYAADIDTGCTTTAHGSHQHK RAVAVTYVYETVTVDKNGQTVTPTSTEASSTVASTTTLISESSVTKSSSKVASSSEST EQIATTSSSAQTTLTSSETSTSESSVPISTSGSASTSSAASSATGSIYGDLADFSGPY EKFEDGTIPCGQFPSGQGVIPISWLDEGGWSGVENTDTSTGGSCKEGSYCSYACQPGM SKTQWPSDQPSDGRSIGGLLCKDGYLYRSNTDTDYLCEWGVDAAYVVSELSNDVAICR TDYPGTENMVIPTYVQAGDSLPLTVVDQDTYYTWQGLKTSAQYYVNNAGISVEDACVW GSSSSGVGNWAPLNFGAGSSDGVAYLSLIPNPNNGNALNFNVKIVAADDSSTVNGECI YENGSFSGGSDGCTVSVTAGKAKFVLYN YNL065W MSRSNSIYTEDIEMYPTHNEQHLTREYTKPDGQTKSEKLNFEGA YINSHGTLSKTTTREIEGDLDSETSSHSSDDKVDPTQQITAETKAPYTLLSYGQKWGM VAILTMCGFWSSLGSPIYYPALRQLEKQFNVDENMVNVTVVVYLLFQGISPTVSGGLA DCFGRRPIILAGMLIYVIASIGLACAPSYGVIIFLRCIQSIGISPTIAISSGVVGDFT LKHERGTFVGATSGFVLLGQCFGSLIGAVLTARWDWRAIFWFLTIGCGSCFLIAFLIL PETKRTIAGNLSIKPKRFINRAPIFLLGPVRRRFKYDNPDYETLDPTIPKLDLSSAGK ILVLPEIILSLFPSGLLFAMWTLMLSSISSGLSVAPYNYHLVIIGVCYLPGGIGGLMG SFFTGRIIDMYFKRKIKKFEQDKANGLIPQDAEINMFKVRLVCLLPQNFLAVVAYLLF GWSIDKGWRIESILITSFVCSYCAMSTLSTSTTLLVDLYPTKSSTASSCFNFVRCSLS TIFMGCFAKMKAAMTVGGTFTFLCALVFFFNFLMFIPMKYGMKWREDRLLKQQRQSWL NTLAVKAKKGTKRDQNDNHN YNL064C MVKETKFYDILGVPVTATDVEIKKAYRKCALKYHPDKNPSEEAA EKFKEASAAYEILSDPEKRDIYDQFGEDGLSGAGGAGGFPGGGFGFGDDIFSQFFGAG GAQRPRGPQRGKDIKHEISASLEELYKGRTAKLALNKQILCKECEGRGGKKGAVKKCT SCNGQGIKFVTRQMGPMIQRFQTECDVCHGTGDIIDPKDRCKSCNGKKVENERKILEV HVEPGMKDGQRIVFKGEADQAPDVIPGDVVFIVSERPHKSFKRDGDDLVYEAEIDLLT AIAGGEFALEHVSGDWLKVGIVPGEVIAPGMRKVIEGKGMPIPKYGGYGNLIIKFTIK FPENHFTSEENLKKLEEILPPRIVPAIPKKATVDECVLADFDPAKYNRTRASRGGANY DSDEEEQGGEGVQCASQ YNL063W MPRISTSLIRKASRIRPGLHLLLPECRTLEQAKLEYKWLTEELP PDKSIRWACLQRYKHVPLQYILRSQPFGALDIVCKPGVLIPRWETEEWVMAIIRALNN SMLSRHTIPLHICDTFTGTGCIALALSHGIANCTFTAIDVSTRAIKLVKENMLKNKVS GGKLVQHNILSSKASDEYPSHIDILTGNPPYIRKRDFNRDVKTSVKLFEPRLALVGEL ECYINLVNYWLPKTDSFFYEIGDVEQFNYVERRIKEDSYLSRIWSIGLKYDSNGKARV VYGFKATPKGRILHQIFASFGTIRHLATALSGHKANCN YNL062C MNALTTIDFNQHVIVRLPSKNYKIVELKPNTSVSLGKFGAFEVN DIIGYPFGLTFEIYYDGEEVSSDENRDSKPKNKIPIGKVRLLSQEIKDVNNDKDDGQS EPPLSIKEKSVSLELSSIDSSATNQNLVNMGSKAQELTVEEIEKMKQESLSSKEIIDK IIKSHKSFHNKTVYSQEKYVNRKKQKFAKYFTVEYLSSSNLLQFLIDKGDIQRVLDMS QESMGMLLNLANIQSEGNYLCMDETGGLLVYFLLERMFGGDNESKSKGKVIVIHENEH ANLDLLKFANYSEKFIKEHVHTISLLDFFEPPTLQEIQSRFTPLPKEEARALKGGKKN SYYRKLRWYNTQWQILELTGEFLYDGLVMATTLHLPTLVPKLAEKIHGSRPIVCYGQF KETLLELAHTLYSDLRFLAPSILETRCRPYQSIRGKLHPLMTMKGGGGYLMWCHRVIP APEPVSENATAADSSEKLAEHGAKKQKI YNL061W MGSRRHKNKQAAPPTLEEFQARKEKKANRKLEKGKRPSTTQGDE VSDRKKKKSKPFKKSRKEEEEVVEEDKDLPEVDLEELSKARKSLFDDEEDDDEAGLVD EELKDEFDLEQEYDYDEDEDNDAHPIFSDDDDEADLEELNAQNMEALSKKLDEEEAEE AEEAEMELVEAENMQPRADILPTEEQEEMMAQETPNLTSTRTRMIEIVKVLENFKTLG AEGRSRGEYVDRLLKDICEYFGYTPFLAEKLFNLFSPAEAMEFFEANEIARPITIRTN TLKTRRRDLAQTLVNRGVNLQPIGSWTKVGLQIFDSQVPIGATPEYLAGHYILQAASS FLPVIALDPHENERILDMAAAPGGKTTYISAMMKNTGCVFANDANKSRTKSLIANIHR LGCTNTIVCNYDAREFPKVIGGFDRILLDAPCSGTGVIGKDQSVKVSRTEKDFIQIPH LQKQLLLSAIDSVDCNSKHGGVIVYSTCSVAVEEDEAVIDYALRKRPNVKLVDTGLAI GKEAFTSYRGKKFHPSVKLARRYYPHTYNVDGFFVAKFQKIGPSSFDDNQASAKEKET AARKEALEEGIIHSDFATFEDEEDDKYIEKSVKNNLLKKGVNPKAKRPSNEK YNL059C MSSRDASLTPLKAVVIDDPPLRQTPEPFDEQSAYNPQSPIAIDF GSSKLRAGFVNHATPTHIFPNALTKFRDRKLNKNFTFVGNDTLLDQAVRSQSRSPFDG PFVTNWNLTEEILDYTFHHLGVVPDNGIPNPILLTERLATVQSQRTNWYQILFETYNV PGVTFGIDSLFSFYNYNPSGNKTGLVISCGHEDTNVIPVVDGAGILTDAKRINWGGHQ AVDYLNDLMALKYPYFPTKMSYLQYETMYKDYCYVSRNYDEDIEKILTLENLDTNDVV VEAPFTEVLQPQKTEEELRIQAEKRKETGKRLQEQARLKRMEKLVQKQEEFEYFSKVR DQLIDEPKKKVLSVLQNAGFDDERDFKKYLHSLEQSLKKAQMVEAEDDSHLDEMNEDK TAQKFDLLDIADEDLNEDQIKEKRKQRFLKASQDARQKAKEEKERVAKEEEEKKLKEQ QWRETDLNGWIKDKRLKLNKLIKRRKEKLKLRDEMKDRKSQVSQNRMKNLASLAEDNV KQGAKRNRHQATIDNDPNDTFGANDEDWLIYTDITQNPEAFEEALEYEYKDIVELERL LLEHDPNFTEEDTLEAQYDWRNSILHLFLRGPRPHDSENIHEQHQMHLNVERIRVPEV IFQPTMGGQDQAGICELSETILLKKFGSQPGKLSQTSIDMVNNVLITGGNAKVPGLKE RIVKEFTGFLPTGTNITVNMSSDPSLDAWKGMAALARNEEQYRKTVISKKEYEEYGPE YIKEHKLGNTKYFED YNL058C MVKKNFIPSVSLVRRDLPTLVTTTTSSTALSKPTSSVVSETSSK SLPSLTSSAFSTSSGATSSSSLIVASITPPSTAGNPFILNAADKPNGTVYIAVGAVIG AIFISILIWWLVSSYLSRRFTMTNSYANDSKNLYRGHHKHSSSLQSNPFDINDEKSYM QDDWDSMSQLESSQYEDAASPFNPIQDPFTDNRRSLFISPTLQVSQYEKSHSRHQSKD TNIFIDDPFLYVGTYLEEEEEEEEERKLNLNRPQRAASPERKEKKINSMEGYHKRNQS SLGLIPVASATSNTSSPKKAHKRQAPSMFLDDVLNGREII YNL056W MKYIPPLNFSPVVSTDVSLYRSGYPMPLNYSFIKHQLHLKTIIY IGDKDRPLEEYQSFLESEKIKYYHIFMDSSRDEGIQERMNQVLHLVLDVRNYPILVHS NKGKHRVGVVVGIIRKLLQGWSTAGICQEYGLFSGGMKDGVDLEFITMFETNLKIPRN VIPGFAKHCLYLNELEAAEGSDDESGSESILTAKQPI YNL055C MSPPVYSDISRNINDLLNKDFYHATPAAFDVQTTTANGIKFSLK AKQPVKDGPLSTNVEAKLNDKQTGLGLTQGWSNTNNLQTKLEFANLTPGLKNELITSL TPGVAKSAVLNTTFTQPFFTARGAFDLCLKSPTFVGDLTMAHEGIVGGAEFGYDISAG SISRYAMALSYFAKDYSLGATLNNEQITTVDFFQNVNAFLQVGAKATMNCKLPNSNVN IEFATRYLPDASSQVKAKVSDSGIVTLAYKQLLRPGVTLGVGSSFDALKLSEPVHKLG WSLSFDA YNL054W-B MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSDWS FYGRPSMIPYTPYQMSPMYFPPGPHSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFLNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTEDSKRVS KTNIRAPREVDPNISKSDILPSKKRSSTPQISDIESTGSGGMHRLDVPLLAPMSQSNT HESSHTSKSKDFRHSDSYSDNETNHTNVPISSTGGTNNKTVPQTSEQETEKRIIHRSP SIDTSSSESNSLHHVVPIKTSDTCPKENTEESIIADLPLPDLPPEPPTKLSDSFKELP PINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSLEPPRSK KRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLLKMKTWD TDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQSNTVHHY ALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLKKSLYGL KQSGANWYETIKSYLIEQCDMEEVRGWSCVFKNSQVTICLFVDDMILFSKDLNANKKI ITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRSKYMKLGMEKSLTEKLPKLNVHL NPKGKKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRFDLLYYI NTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTKPDNKLVAISDASYGNQ PYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSHLVQELN KKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYYIETKKN IADVMTKPLPIKTFKLLTNKWIH YNL054W-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSDWS FYGRPSMIPYTPYQMSPMYFPPGPHSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFLNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YNL054W MTEEDRKLTVETETVEAPVANNLLLSNNSNVVAPNPSIPSASTS TSPLHREIVDDSVATANTTSNVVQHNLPTIDNNLMDSDATSHNQDHWHSDINRAGTSM STSDIPTDLHLEHIGSVSSTNNNSNNALINHNPLSSHLSNPSSSLRNKKSSLLVASNP AFASDVELSKKKPAVISNNMPTSNIALYQTARSANIHGPSSTSASKAFRKASAFSNNT APSTSNNIGSNTPPAPLLPLPSLSQQNKPKIIERPTMHVTNSREILLGENLLDDTKAK NAPANSTTHDNGPVANDGLRIPNHSNADDNENNNKMKKNKNINSGKNERNDDTSKICT TSTKTAPSTAPLGSTDNTQALTASVSSSNADNHNNNKKKTSSNNNGNNSNSASNKTNA DIKNSNADLSASTSNNNAINDDSHESNSEKPTKADFFAARLATAVGENEISDSEETFV YESAANSTKNLIFPDSSSQQQQQQQQPPKQQQQQQNHGITSKISAPLLNNNKKLLSRL KNSRHISTGAILNNTIATISTNPNLNSNVMQNNNNLMSGHNHLDELSSIKQEPPHQLQ QQQPPMDVQSVDSYTSDNPDSNVIAKSPDKRSSLVSLSKVSPHLLSSTSSNGNTISCP NVATNSQELEPNNDISTKKSLSNSTLRHSSANRNSNYGDNKRPLRTTVSKIFDSNPNG APLRRYSGVPDHVNLEDYIEQPHNYPTMQNSVKKDEFYNSRNNKFPHGLNFYGDNNVI EEENNGDSSNVNRPQHTNLQHEFIPEDNESDENDIHSMFYYNHKNDLETKPLISDYGE DEDVDDYDRPNATFNSYYGSASNTHELPLHGRMPSRSNNDYYDFMVGNNTGNNNQLNE YTPLRMKRGQRHLSRTNNSIMNGSIHMNGNDDVTHSNINNNDIVGYSPHNFYSRKSPF VKVKNFLYLAFVISSLLMTGFILGFLLATNKELQDVDVVVMDNVISSSDELIFDITVS AFNPGFFSISVSQVDLDIFAKSSYLKCDSNGDCTVMEQERKILQITTNLSLVEESANN DISGGNIETVLLGTAKKLETPLKFQGGAFNRNYDVSVSSVKLLSPGSREAKHENDDDD DDDGDDGDDENNTNERQYKSKPNARDDKEDDTKKWKLLIKHDYELIVRGSMKYEVPFF NTQKSTAIQKDSMVHPGKK YNL053W MQFHSDKQHLDSKTDIDFKPNSPRSLQNRNTKNLSLDIAALHPL MEFSSPSQDVPGSVKFPSPTPLNLFMKPKPIVLEKCPPKVSPRPTPPSLSMRRSEASI YTLPTSLKNRTVSPSVYTKSSTVSSISKLSSSSPLSSFSEKPHLNRVHSLSVKTKDLK LKGIRGRSQTISGLETSTPISSTREGTLDSTDVNRFSNQKNMQTTLIFPEEDSDLNID MVHAEIYQRTVYLDGPLLVLPPNLYLYSEPKLEDILSFDLVINVAKEIPNLEFLIPPE MAHKIKYYHIEWTHTSKIVKDLSRLTRIIHTAHSQGKKILVHCQCGVSRSASLIVAYI MRYYGLSLNDAYNKLKGVAKDISPNMGLIFQLMEWGTMLSKNSPGEEGETVHMPEEDD IGNNEVSSTTKSYSSASFRSFPMVTNLSSSPNDSSVNSSEVTPRTPATLTGARTALAT ERGEDDEHCKSLSQPADSLEASVDNESISTAPEQMMFLP YNL052W MLRNTFTRAGGLSRITSVRFAQTHALSNAAVMDLQSRWENMPST EQQDIVSKLSERQKLPWAQLTEPEKQAVWYISYGEWGPRRPVLNKGDSSFIAKGVAAG LLFSVGLFAVVRMAGGQDAKTMNKEWQLKSDEYLKSKNANPWGGYSQVQSK YNL051W MTIAPMANDLEDFESLLEPDFDAKQFGNDLLKATNNNDTTILDL NTPLKKLNYDLHEIDSRIDQLMNSNPLEIIELIYKNEHVNSTIVGELKPSLGYMNMSY DRLKNQVLDPYERARKVQLALSKVYQTSFLLRGALLYIHLSNKLNALSKTAQLSTSTA INLASLHYQLEITLEENKNLKSLRKIKQLDQDIVSPNKRELITFLSLQMCKECLNSIK IKSNKEIISQLAYSLYLLSSQEFESAINKIVLSNVTMSSQILSKILNSIRMFPDAFNE VVEKGYNIYILETLLQNIKTDNVTNSSRSIAANKSRLGNLLSEYTSMKSKAGSGTPRD LFWSKVSSAFKKDFDISVNRGGPVGKSLLKNKDFIINTMKQSMKKSSDNSDYQSYLDV MLNSVSISLNK YNL050C MSEFKIVSRKDLYNEGEGLGEDYDSNSSSKNNSEHVEVLVPPTE FEFVEVERTDSSLDLKESNNSAHEQKEEKQEEFEFPLFSFGVVEASTSPAQEEQGSST QEKDTPQTEVSLMKISLKEPEEEIINQERPKDYYFASYSADQKLQFQQSSIDYDVIIQ ESTKILEDDLRIRDKWPYCQGRIIDLYKHNARIELEQQKELKIKKRRPGQKQRAAKKL ALERTKERDTKAREIKKQLKKKFHKRGGKKNKKKVPLNPLAKAGSTPKFRTE YNL049C MSHHKKRVYPQAQVPYIASMPIVAEQQQSQQQIDQTAYAMGNLQ LNNRANSFTQLAQNQQFPGSGKVVNQLYPVDLFTELPPPIRDLSLPPLPITISQDNIV TPSEYSNVPYQYVRSTLKAVPKTNSLLKKTKLPFAIVIRPYLHLQDSDNQVPLNTDGV IVRCRRCRSYMNPFVVFINQGRKWQCNICRFKNDVPFGFDQNLQGAPINRYERNEIKN SVVDYLAPVEYSVREPPPSVYVFLLDVSQNAVKNGLLATSARTILENIEFLPNHDGRT RIAIICVDHSLHYFYVPLDDDYEVSDEDDEESDGEEEDEDEEEEDVDNSETIQMFDIG DLDEPFLPMPSDELVVPLKYCKNNLETLLKKIPEIFQDTHSSKFALGPALKAASNLIK STGGKVEVISSTLPNTGIGKLKKRSEQGILNTPKESSQLLSCKDSFYKTFTIECNKLQ ITVDMFLASEDYMDVATLSHLGRFSGGQTHFYPGFNATSLNDVTKFTRELSRHLSMDI SMEAVMRVRCSTGLRATSFFGHFFNRSSDLCAFSTMPRDQSYLFGISIEDSLMAEYCY LQVSTLLTLNTGERRIRVMTLALPTSESAREVFASADQLAITDFMTQNAVTKALNSSM YSARDFITKSLEDILNAYKKEISMSNINSVTSLNLCANLRMLPLLMNGLSKHIALRPG VVPSDYRASALNRLETEPLHYLIKSIYPTVYSLHDMPDEVGLPDFEGKTVLPEPINAT ISLFERYGLYLIDNSAELFLWVGGDAVPELLIDVFNTDTISQIPVGKSELPLLNDSPF NERLRRIIGRIRENNDTITFQSLYIIRGPSINEPANLNSEKDMASLRLWVLSTLVEDK VLNCASYREYLQSMKTSINR YNL048W MGSAWTNYNFEEVKSHFGFKKYVVSSLVLVYGLIKVLTWIFRQW VYSSLNPFSKKSSLLNRAVASCGEKNVKVFGFFHPYCNAGGGGEKVLWKAVDITLRKD AKNVIVIYSGDFVNGENVTPENILNNVKAKFDYDLDSDRIFFISLKLRYLVDSSTWKH FTLIGQAIGSMILAFESIIQCPPDIWIDTMGYPFSYPIIARFLRRIPIVTYTHYPIMS KDMLNKLFKMPKKGIKVYGKILYWKVFMLIYQSIGSKIDIVITNSTWTNNHIKQIWQS NTCKIIYPPCSTEKLVDWKQKFGTAKGERLNQAIVLAQFRPEKRHKLIIESFATFLKN LPDSVSPIKLIMAGSTRSKQDENYVKSLQDWSENVLKIPKHLISFEKNLPFDKIEILL NKSTFGVNAMWNEHFGIAVVEYMASGLIPIVHASAGPLLDIVTPWDANGNIGKAPPQW ELQKKYFAKLEDDGETTGFFFKEPSDPDYNTTKDPLRYPNLSDLFLQITKLDYDCLRV MGARNQQYSLYKFSDLKFDKDWENFVLNPICKLLEEEERG YNL047C MSYQRNSARASLDLRSQYQQLEGRMRSEHFNPAYQQQQQKGQNI PLSLPSSLAQRNPIPYPIDAVTSDPTIPAQLNVYDHDRQNSIVDAAAGTNTTHSLNSN NIPSSQNNNINNNNINNVGSFTDPSMLTLPKMSLHSHQKQYDSNQNDPRSPLAILIPT SAQPTDVLSARFSAWRNVIRAILVYLSETASIQDEIVRQQLRLSHAVQFPFFSIENQY QPVSNEDKSMQKFFLPLGSGSVQDLPTMLTKYHDNLASLASKSSKELTSEIIPRLEDL RRDLLVKIKEIKALQSDFKNSCNKELQQTKHLMKLFNESLKECKLGTPKSDPFLIKLQ LEKQIKRQLVEENYLHEAFDNLQNSGAQLESVIVMEIQNGLTSYARILGKEAQVVFDS VISKLDSTILNKNTNLEWDSFILRNISNFVPPNLPMRRFKEISYSNQNDPFTFEVKSG FLEKRSKFLKSYSRGFYVLTPSFLHEFKTPDKHKFSTPLMSIPLVECTVTEHSKKTKS NSEQGKNKFILRTNSNGLIHRGHNWVFKVDSYDDMIEWFGNIKALSSLPNYDDKCKYV SKVAKLSKEKAKSNENTTESVTPQVTNEQHTRYDDVSSSNFPLNSIPKLDNLTITNTT SSIPETNDSQIQNRVPEFYIENVDSPRKSNQL YNL046W MEHVSKRSIGQFFKRKTSTVDGSKSQKCGTTNQLRKLLHKRRVQ KQAVPVESQYRIPGDFRDNQSVRVKNSMYNSSPSVTPSTHHINERYVRYDINTRPLVV VLAISIVFFGCLLVLKDIIIQSSENILSVSKWKIIGASFMGTPYTGLLTGLVGPLLSP FSAVSSWLSFIF YNL045W MFLLPFVIRHSSSIYLPTLRFRGLLTVISRNIHISTPHKMLPLS IEQRRPSRSPEYDQSTLSNYKDFAVLHTDLNLSVSFEKSAISGSVTFQLKKLHEGKNK SDELHLDTSYLDVQEVHIDGSKADFQIEQRKEPLGSRLVINNASCNDNFTLNIQFRTT DKCTALQWLNSKQTKGGKPYVFSQLEAIHARSLFPCFDTPSVKSTFTASIESPLPVVF SGIRIEDTSKDTNIYRFEQKVPIPAYLIGIASGDLSSAPIGPRSTVYTEPFRLKDCQW EFENDVEKFIQTAEKIIFEYEWGTYDILVNVDSYPYGGMESPNMTFATPTLIAHDRSN IDVIAHELAHSWSGNLVTNCSWNHFWLNEGWTVYLERRIIGAIHGEPTRHFSALIGWS DLQNSIDSMKDPERFSTLVQNLNDNTDPDDAFSTVPYEKGFNLLFHLETILGGKAEFD PFIRHYFKKFAKKSLDTFQFLDTLYEFYPEKKEILDSVDWETWLYKPGMPPRPHFITA LADNVYQLADKWVEMAQHLKTTEDFRSEFNAIDIKDFNSNQLVLFLETLTQNGHSNKK PKDFDWAKFPVASRALLDIYQDNIVKSQNAEVVFKMFKFQIFAKLQEEYKHLADWLGT VGRMKFVRPGYRLLNSVDRRLALATFDKFKDTYHPICKALVKQDLGL YNL044W MNQLGALAQVSRFTQNFSMENIKSEFQSLQSKLATLRTPQEFFN FKKISKPQNFGEVQSRVAYNLKYFSSNYGLIIGCLSIYTLLTNLLLLFVIVLVVAGIV GINKLKGEELVTPFGSFKTNQLYTGLVCVAVPIGFLASPISTLLWLIGASAVSVFGHA SLMEKPIETVFDEETV YNL042W-B MILALGDFLPKQEDKACERPWVQFPARPVIFFHHQGGIFLFSIN QPNLSCFSKLKEVNSLYVRVATYICQKNESRFRTNRLKGDQ YNL042W MSTFNSYSQPKESNDNSHNNVNKSKSLLDIIFGTNVSEWAFSEN ALMKAMDLKIEQEKTKQQYYKLENLNRSIELFKLASSSGLPINQIHKLFNTDHGVPAS SPMKAGGNQPHNNTEGTQSSENLPRLNGSMKSLKPLNMNTVSPTPMSRQPSPYKFPAS SSTGGISHSTVTNVQRRANSPARIGASAVAALNDNISIKEEDVARRIPSGTKSQESPL NKKPTSLHSRNLSLPIGKFTNPNIPSTMTSILSFNRDQQQPLSQPLPPPPQQQQDLHT HNLHTIPRKPGMVQKKHRRARSTSSFGVIDLSIIDEAKEKQVQRSPSPIHSNVSVALT SHDKPIESNMKEQPNMLQSVREGRQVHDDLDDRTCSESSSRNESPVRTITKDNSVGKI LNST YNL041C MDFVVDYQTYAMADTATPELPEPEPRLNLTSDAQSQPTGKLDLQ FKLPDLQRYSNNNATLPVDNDGAGSKDLHKKMTHYAMSSIDKIQLSNPSKQLGQNSQD EKLSQQESQNFTNYEPKNLDLSKLVSPSSGSNKNTTNLVLSNKLSKILNNYTLINYQA TVQLRKSLKVLEENKERLSLDEQKLMNPEYVGTLARRALRTDLESQLLKEHITVLEEF KPIIRRIKRLSSSVEKIQRTSEKLLSNETNEVPTNNVVLQEIDQYRLKAEQLKLKKKI LLSIRDRFTLNQVEDDVITNGTIDNIFFEVVKKVINIKDESSFLLTLPNLNAGNALIM GVNEILEKTNKKIFNYLIDFLYSFESSSNLLNDHGTTEQESLNIFRKSLVFLSSDLEL FNELLKRVTTLRSKSILDEFLSQFDMNSTTSKPIILSAHDPIRYIGDVLASVHSIIAN EADFVKSLFDFQDEDLKDTPISILQQNKTFLKGIDNKLLNDIIQSLSNSCRIRIEQIV RFEENPIINFEIVRLLKLYRVMFERKGIQDDSSIINNLKSLEDISKNRIIGYYEDYMK QTVMAETKNSSDDLLPPEWLSEYMNKLVELFEIYEKTHAAEDEESEDNKLLSSKNLQT IVEQPIKDVLLKQLQTSFPLAKKNEKEKASLLTIEINCFDLIKSRLQPFEGLFAQDDD SRKITIWVCDKLKEYTKQMLTLQIKFLFENTGLDLYSNLVNMIFPVDSVKDELDYDMY LALRDNSLMELDMVRKNVHDKLNYYLPQALTDVQGNLLFKLTSPMIADEICDECFKKL SLFYNIFRKLLIHLYPNKKDQVFEILNFSTDEFDMLIGIDH YNL040W MPTPMTPVKVGALACQRNSFLFDGFKTLVVSCEPTKNKKGEIEG YEIELQDTILFPEGGGQPSDSGFLKIVEGNRNSSKIEKILVSHVSRFGLHAKHHVNDY IEPGTTVEVAVDEQKRMDYMQQHTGQHLLSAILERNYKVDTVSWSMGGIITKKKPVLE PSDYFNYIELNRKLTLDEITNVSDEINQLIINFPQEIIVEERIGEETVDEVSTSKIPD DYDLSKGVLRTIHIGDIDSNPCCGTHLKCTSQIGSILILSNQSAVRGSNSRLYFMCGK RVSLYAKSVNKILLDSKNLLSCSETQISEKITRQTKQIQQLNKREQYWIKRLARTASE ELMNTLKASGKKRAYFMEEEYGTLELLLQIHKEVSNFLKDDTEGYEIILCGYERQTNT GSLLILSESGEKIANLAANLGSILQNLKGGGGKKGGKWQGKITSISNAEFAALSDYLS HDFASC YNL039W MSSIVNKSGTRFAPKVRQRRAATGGTPTPKPRTPQLFIPESKEI EEDNSDNDKGVDENETAIVEKPSLVGERSLEGFTLTGTNGHDNEIGDEGPIDASTQNP KADVIEDNVTLKPAPLQTHRDQKVPRSSRLASLSKDNESRPSFKPSFLDSSSNSNGTA RRLSTISNKLPKKIRLGSITENDMNLKTFKRHRVLGKPSSAKKPAGAHRISIVSKISP PTAMTDSLDRNEFSSETSTSREADENENYVISKVKDIPKKVRDGESAKYFIDEENFTM AELCKPNFPIGQISENFEKSKMAKKAKLEKRRHLRELRMRARQEFKPLHSLTKEEQEE EEEKRKEERDKLLNADIPESDRKAHTAIQLKLNPDGTMAIDEETMVVDRHKNASIENE YKEKVDENPFANLYNYGSYGRGSYTDPWTVEEMIKFYKALSMWGTDFNLISQLYPYRS RKQVKAKFVNEEKKRPILIELALRSKLPPNFDEYCCEIKKNIGTVADFNEKLIELQNE HKHHMKEIEEAKNTAKEEDQTAQRLNDANLNKKGSGGIMTNDLKVYRKTEVVLGTIDD LKRKKLKERNNDDNEDNEGSEEEPEIDQ YNL038W MISKEYEFGKTSILNRKKYTLVIDEDKNGNFIRFTVLPVSNRKF KKVKQNGRVEINMGIQYHQIVLILLLNILFYVICLRSRFLEHINRTFEVTIARSFQIL IIMGLFALGTIILVRGPSVETVTIFKESGLQLSRVKGMVIFPQQWNRKFFEQVEFISN ERIIDVVINEGFCRGFRVIFYLAAIVRKSSTLKLLFPSNLPSIDDQRLIYNISRKYLS KQEKPLSRPKD YNL037C MLNRTIAKRTLATAAQAERTLPKKYGGRFTVTLIPGDGVGKEIT DSVRTIFEAENIPIDWETINIKQTDHKEGVYEAVESLKRNKIGLKGLWHTPADQTGHG SLNVALRKQLDIYANVALFKSLKGVKTRIPDIDLIVIRENTEGEFSGLEHESVPGVVE SLKVMTRPKTERIARFAFDFAKKYNRKSVTAVHKANIMKLGDGLFRNIITEIGQKEYP DIDVSSIIVDNASMQAVAKPHQFDVLVTPSMYGTILGNIGAALIGGPGLVAGANFGRD YAVFEPGSRHVGLDIKGQNVANPTAMILSSTLMLNHLGLNEYATRISKAVHETIAEGK HTTRDIGGSSSTTDFTNEIINKLSTM YNL036W MSATESSSIFTLSHNSNLQDILAANAKWASQMNNIQPTLFPDHN AKGQSPHTLFIGCSDSRYNENCLGVLPGEVFTWKNVANICHSEDLTLKATLEFAIICL KVNKVIICGHTDCGGIKTCLTNQREALPKVNCSHLYKYLDDIDTMYHEESQNLIHLKT QREKSHYLSHCNVKRQFNRIIENPTVQTAVQNGELQVYGLLYNVEDGLLQTVSTYTKV TPK YNL035C MASYSLVESNSFGSENWCLKLQPSYKHGLLTGLSNGEIRLLDWS TGKSVQKIKASETAINDMKVIGSDFSAGHLVSSASIDAVKVFDIRTNDRIAQIQNEAN SPFISLDSRHGLLACGTELQGIDAAVYIYDIRKWDTPLRSLIDSHHDDVTCIKFHPSD VNILLSGSTDGYTNIYDLKQDEEEDALHQVINYASIHSCGWLSPKRIFTLSHMETFAI HELNDKSDELKEPQPLDFGDVREIWNCDYVVDIYPGLIATGKTQENCGELCLLPFKDE KVDTENGIVIPHAHGDEVVRDIFIPAQHSNMLYSCGEDGCVKIWENKQGPLDIPENFW DYSKKMNVLGDDDREGSINLDEPLIIQKESVSTRPRKEKHKKAKKHSMKSRFKPY YNL034W MSTSFQEFKAFCNKVGLDFQWLNLQSSKSVPESGSSEGFSAVSD TVQENIRPATEPLNVNQSKDPVSNFFYDVKNAPLWNVYKRNHSGHSSTEACSGVSSGQ ASKNIPEAMVKETVLSNHDNVTIINELLPTSSAMHQEESTAMTTSYLLSHSVNDSCNV MLSSSSHNRAMLPPSLVQRNNATTSPTTDSASENNESVPSLTSSVSTSSSVYSSWNPP HSPHISSFPDGNFASLNAEVTCFDFRRTKDSRTKETNESIIPTEIYCPINSTDHHKHY PSQKSKQDACAPAPRNQNISCSVGSAAEFSQSNHTLTTVVPSYMQQYLDRPQNWFESK MGKYCPLFLRSTKNIDYDSLEFKFERKMIAVQYLLLDEQSEPRRYYNPSNKSIPFWKR PFNFDTMPSYDQLMEEAECRFYSYQYKYEGFQRIEPYSIFCPWKNTQREIDLVLDHIH FSLDVGEKKSLNRKGNITLDTLDSKVDPNIQIKPYQIFPSNNLVYEGLPHPAEQSLIL SPGTSLIERAFQALIDICKESIPPSNDCTTRNHNSAPQLTVPEPSKPCRLLLVRESRT ATELETNKKLWLHSQRRNIEVTVPMHPSEHGTKSRLRKWLSTFVHQ YNL033W MLYSRESRTTVLFLALVTSLTVLCHSVDVTTVFTTSTITEITTV TAAPQPQNKAETALNTATNIIQTMQFLFNCAPFKWKGPLKITSCALNFIVLLLTAWGY LLKYLQENKLNSDADMEKMVGLGFGEMVGRIFGKGVGKAFTKMDITQKLVYPFEGSNR QKCLLMTVGENSIVPFHDLFTEICFDQYTLDSLSHHNHGSISILDAGSVSALGFADIS SKMPSVSELYTLFGDYTIEVLGGITKLASTLNREDWQGERNGFAVLSRDRPNQTLLSV HMYSSSLL YNL032W MGLYQAKNDEGSDPKSSSKIDDLIENEAEIIRLIKEDGKLLIDN GDGRDIHNIIQEDKLLSVEFNEVLKRFHGEEKSDIPRKEFDEDEDDGYDSNEHHQKTI EVMNTLNHVINKEVIPPENFSHVVGEIYRSSFPRQENFSFLHERLKLKSILVLIPEEY PQENLNFLKLTGIKLYQVGMSGNKEPFVNIPSHLLTKALEIVLNPANQPILIHCNRGK HRTGCLIGCIRKLQNWSLTMIFDEYRRFAFPKARALDQQFIEMYDDDEIKRIASKNNW LPLQW YNL031C MARTKQTARKSTGGKAPRKQLASKAARKSAPSTGGVKKPHRYKP GTVALREIRRFQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAIGALQESVEAYLV SLFEDTNLAAIHAKRVTIQKKDIKLARRLRGERS YNL030W MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEEVRAVLKSFLESVIRDSVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G YNL029C MLLIRRTINAFLGCIHCNLTATCILIAFVITMYVVLVSEPASVD GTMGNFLPFSKMDLATKRDRPFYSNCVNTQDYLLNPSYIKQNASFVMLTRNGELEDVI KTINSIEEHFNQWFHYPYVFLNDQPFEEDFKAKVRDVTVGALVEFGTIDEISWNFPSD VKDTFEFYNAIEDQGDRSILYGNLESYHKMCRFYSGLFYKHPLVQKYEWYWRLEPDVE FFCDITYDPFLEMLRTNKKYGFTIIIPELYWTVPNLFRHTKSFISQKGVTLGSLWKLF TKDYDIFESDDPELRDWINYDFQAKAKISEKIAIEQLLKKGDDFQQINDDKEGIMNLI HKARSRKHIVEDKFFNEEYNLCHFWSNFEIARLSVFDNDIYNSFFQYLEKSGGFWKER WGDAPVHSIGLSLTLDLDDVHYFRDIGYRHSTIQHCPHNAMGNEEFSYLASDSKFKRK NAAYDEGREFGCGCRCRCPKKKREIEDSMGFCVNIWVNLLNQQRGHERHVEALNGNEM EEHIREDYLRQFGN YNL027W MSFSNGNMASYMTSSNGEEQSINNKNDIDDNSAYRRNNFRNSSN SGSHTFQLSDLDLDVDMRMDSANSSEKISKNLSSGIPDSFDSNVNSLLSPSSGSYSAD LNYQSLYKPDLPQQQLQQQQLQQQQQQQQQQQQQQQKQTPTLKVEQSDTFQWDDILTP ADNQHRPSLTNQFLSPRSNYDGTTRSSGIDSNYSDTESNYHTPYLYPQDLVSSPAMSH LTANNDDFDDLLSVASMNSNYLLPVNSHGYKHISNLDELDDLLSLTYSDNNLLSASNN SDFNNSNNGIINTADTQNSTIAINKSKVGTNQKMLLTIPTSSTPSPSTHAAPVTPIIS IQEFNEGHFPVKNEDDGTLQLKVRDNESYSATNNNNLLRPDDNDYNNEALSDIDRSFE DIINGRKLKLKKSRRRSSQTSNNSFTSRRSSRSRSISPDEKAKSISANREKLLEMADL LPSSENDNNRERYDNDSKTSYNTINSSNFNEDNNNNNLLTSKPKIESGIVNIKNELDD TSKDLGILLDIDSLGQFEQKVGFKNDDNHENNDNGTFSVKKNDNLEKLDSVTNNRKNP ANFACDVCGKKFTRPYNLKSHLRTHTNERPFICSICGKAFARQHDRKRHEDLHTGKKR YVCGGKLKDGKPWGCGKKFARSDALGRHFKTESGRRCITPLYEEARQEKSGQES YNL026W MTSSSGVDNEISLDSPMPIFNESSTLKPIRVAGVVTTGTDHIDP SVLQAYLDDTIMKSITLGQLVKNADVLNKRLCQHHIALNAKQSFHFQGNTYISDEKET HDVVPLMEVVSQLDILPPKTFTAKTGTNFGNDNDAEAYLQFEKLIDKKYLKLPTRVNL EILRGTKIHSSFLFNSYSSLSPQSILNLKVFSQFYNWNTNKGLDIGQRGARLSLRYEP LFLHKLLHNPHSNESPTLFHEWFLETCWRSTKICSQGTSAPYMYSGTMLSQAGDQLRT ILGHTFVLDKRDHIMCPTKGSMLKWSNELSPGKHLKTQLELNSVKSWMNDDFITFSTT IKTGYLKNLSSQQSLPVHICDKFQSGGPSDIRGFQTFGLGPRDLYDAVGGDAFVSYGL SVFSRLPWKKVEKSNFRLHWFFNGGKLVNHDNTSLGNCIGQLSKEHSTSTGIGLVLRH PMARFELNFTLPITAHENDLIRKGFQFGLGLAFL YNL025C MSGSFWTSTQRHHWQYTKASLAKERQKLWLLECQLFPQGLNIVM DSKQNGIEQSITKNIPITHRDLHYDKDYNLRIYCYFLIMKLGRRLNIRQYALATAHIY LSRFLIKASVREINLYMLVTTCVYLACKVEECPQYIRTLVSEARTLWPEFIPPDPTKV TEFEFYLLEELESYLIVHHPYQSLKQIVQVLKQPPFQITLSSDDLQNCWSLINDSYIN DVHLLYPPHIIAVACLFITISIHGKPTKGSSLASAASEAIRDPKNSSSPVQIAFNRFM AESLVDLEEVMDTIQEQITLYDHWDKYHEQWIKFLLHTLYLRPASAI YNL024C-A MSALFNFRSLLQVILLLICSCSYVHGQWPSLLDRYKNHEVLGAF WKMARVGERASPYVSLACILMAISQFNS YNL024C MESIFGGFGDLVVPRPKEHLGQTDLSFGGKLLPALKICEDGGES GCGGKVWIAGELLCEYILEKSVDHLLSKTVNGTKQFKKVLELGSGTGLVGLCVGLLEK NTFHDGTKVYVTDIDKLIPLLKRNIELDEVQYEVLARELWWGEPLSADFSPQEGAMQA NNVDLVLAADCVYLEEAFPLLEKTLLDLTHCINPPVILMAYKKRRKADKHFFNKIKRN FDVLEITDFSKFEHYLKERTHLFQLIRK YNL023C MTEHESLGLEQNQDGGDTYRHHNLSDGCISSVEDANEQPSSYEE ESDDDMQYYERAIQEISSGDSYVCMICTVEMDYTCQMFACKRCYRVFDYGCIREWALK STEKTVDRIWKCPNCYYVSKRVPVKNRPTCWCGKVVNPDPNPLDPNSCGQTCNASTCM HGCSKICHLGPHPECTRMVEIMCHCGKHSKSIFCYQSKVMKKNFNCQEVCGLPLSCSI HTCKKKCHPGLCGPCPEMIISKDSPKKQIKCYCGNHTRANIKCSETKFPKSGKSSKDE NGNRWIGVFACADNRVVDYSCRKHSFIESCISPPTINGEKACPFLPSSLKTCPCGRTA LEELTKPRKHCDDPIPTCDSRCGKPLKCGKHSCPFTCHDKACMEPCLQIDSVKCACEQ STFSVPCGFQGRPRCNIKCESLMSCRRHRCTDRCCSGRPSAIRRKKNLFRTQDLLDES LVEAKHICLKPCNLTLSCGIHKCQRKCHPGKCPPCLESDSNDLVCPCGNTVVPAPVRC GTKLPTCNHPCIKVVRGESTCGHKPMPHTCHSLDVSCPPCTETVFKPCKCGKKTKVRT VCFQTDVSCGIKCGIPLSYCYHTCQKTCHLPGNCQKVCKQTCGQKRLNCNHECPKPCH GKTECPDLPCATLVKIYCKCGRIKKSVTCGAKSDRVSVTESSVLDCNEECEALKRLKE LKEAFGIKEETNNFTSNELDALKKLVSVATTFEELQLPFTEAALSVYSKQERWCSQIE AILNKLMDDKTRSSLHFKPMRPPQRHFIRELAKAYGLYSESQDREPMRSVFIKKEDNG ASNKPVLSLAEAYPLYESFKQLQKERKAQEFQARTTAKLINFEVQDTEPKVEVAKKNG FLVQNLVAGNTAEDLRRFFEPHLKHTLVVNPQYLILDDGKTALVYPENYETASVNTER DMELLVGHFDFMAKEAFLADSISLCSTEEELERRLDTPVIQEDSPVMDNNT YNL022C MNFYRDATWVLEDIEKEAAKERISGSMQTLVLKSCKRYKLKSNP KHIYAVLDSCWKYKPYLEKVMKKAHILEDIPKKKGKPLFSRLTLLLLCHDLLLSKQKR IQMGKHPIKDYVLKFKSPLHSEMVKLKLKLKVRELSELVLSEDISNDLPPVRWIRINP LKCHPNGETEPVLAELRKKFTLKVDKWSELVPGSIYYDEFIPNLFGIHPSDKITAHEL YKHGKIIIQDRASCFPAHILNPGPSDIVIDSCSAPGNKTTHTASYIYPEPPKDNNTRI YAFEKDPERAKVLQKMIKIAGCSPNISVNVGDFTKLATPEKYKDVTCFIVDPSCSGSG IFGRKFFDSFNRRKIDDKDDDGGIVPDEQEEFIAKEELQTRLAKLSSFQFQMVKHAMS FPAAKKIVYSTCSIHAEENERVVIDLLLDKSVREWGWKVAPKREVIPSWPRRGKVEEF EEVFRDGVTYDPQQLAEGCIRALPKSDGGIGFFAVCFERD YNL021W MDSVMVKKEVLENPDHDLKRKLEENKEEENSLSTTSKSKRQVIV PVCMPKIHYSPLKTGLCYDVRMRYHAKIFTSYFEYIDPHPEDPRRIYRIYKILAENGL INDPTLSGVDDLGDLMLKIPVRAATSEEILEVHTKEHLEFIESTEKMSREELLKETEK GDSVYFNNDSYASARLPCGGAIEACKAVVEGRVKNSLAVVRPPGHHAEPQAAGGFCLF SNVAVAAKNILKNYPESVRRIMILDWDIHHGNGTQKSFYQDDQVLYVSLHRFEMGKYY PGTIQGQYDQTGEGKGEGFNCNITWPVGGVGDAEYMWAFEQVVMPMGREFKPDLVIIS SGFDAADGDTIGQCHVTPSCYGHMTHMLKSLARGNLCVVLEGGYNLDAIARSALSVAK VLIGEPPDELPDPLSDPKPEVIEMIDKVIRLQSKYWNCFRRRHANSGCNFNEPINDSI ISKNFPLQKAIRQQQQHYLSDEFNFVTLPLVSMDLPDNTVLCTPNISESNTIIIVVHD TSDIWAKRNVISGTIDLSSSVIIDNSLDFIKWGLDRKYGIIDVNIPLTLFEPDNYSGM ITSQEVLIYLWDNYIKYFPSVAKIAFIGIGDSYSGIVHLLGHRDTRAVTKTVINFLGD KQLKPLVPLVDETLSEWYFKNSLIFSNNSHQCWKENESRKPRKKFGRVLRCDTDGLNN IIEERFEEATDFILDSFEEWSDEE YNL020C MNQPQIGTYNVGTQLTVGSHQVEIIKYLTSGGFAQVYSALINPP DPHSNSSVACLKRVIVPDKPSLNTLRAEVDAMRLLKNNRYVVSYIDSHAAKAMLHNGS YEVFVLMEYCERGGLIDFMNTRLQNRLHEFEILQIMSQVTQGVAAMHALQPPLIHRDI KIENVLISANNEYKLCDFGSVCGIIRPPRNSQELSYVQQDILKNTTAQYRSPEMIDTF RGLPIDEKSDIWALGIFLYKLCYYTTPFEKGGDLAILSGKFEFPLYPNYSEQLKGLIR DILVQDPRHRPNVYQLLKRISIMQNVPCPINDIQVVQAPSSHLNLTELHQLSATQNIL SLNSPTTMENTMPNATFQISMADNTTTAQMHPNRKPSQIAYDASFSNSAKGSQPLFDK SQNMYHALDPPLVEPLASSVSNNDNELKANSATKLKQAIVSEAHTFRQNNSIDFPLQN IIPQYEDSSSSSDESYSGDVDELKKTRSLGSYSTRGNIKKNQSVKESLTSSSLPGTSF TPTSTKVNLKHENSPFKSTFVNTIDNSKDDLNKPSYEDLDVSKQNLKNSIQQRMIDKL NSSEESFNARKMSKVKLHEKGEIDKPTMLKSSGPISKDKKTKPTPPPKPSHLKPKPPP KPLLLAGRKLSLDK YNL019C MLYSRESRTTVLFLALVTSLTVLCHSVDVTTVFTTSTITEITTV TAAPQPQNKAETALNTATNIIQTMQFLFNCAPFKWKGPLKITSCALNFIVLLLTAWGY LLKYLQENKLNSDADMEKMVGLGFGEMVGRIFGKGVGKAFTKMDITQKLVYPFEGSNR QKCLLMTVGENSIVPFHDLSTEICFDQYTLDSLSHHNHGSISILDAGSVSALGFADIS SKMPSVSELYTLFGDYTIEVLGGITKLASTLNREDWQGERNGFAVLSRDRPNQTLLSV HMYSSSLL YNL018C MSTSFQEFKAFCNKVGLDFQWLNLQSSKSVPENGSSEGFSAVSD TVQENIRPATEPLNVNQSKDPVSNFFYDVKNAPLWNVYKRNHSGHSSAEACSGVSSRQ APKNIPEAMVKETVLSNHDNVTIINELLPTSSAMHQEESTAMTTSYLLSHSVNDTCNV MFSSSSHNRAMLPSSLVQRNNATTSPTTDSASENNESVPSLTSSVSTSSSVYSSWNPP HSPHISSFPDGNFASLNAEVTCFDFRRTKDSRTKETNESIIPTEIYCPTNSTDHHKHY PSRKSKQDACAPAPCNQNISCSVVSTAEFSQSNHTLTTVVPSYMQQYLDRPQNWFESK MGKYCPLFLRSTKNIDYDSLEFKFERKMIAVQYLLLDEQSEPRRYYNPSNKSIPFWKR PFNFDTMPSYDQLMEEAECRFYSYQYKYEGFQRIEPYSISCPWKNTQREIDLVLDHIH FSLDVGEKKSLNRKGNITLDTLDSKVDPNIQIKPYQIFPSNNLVYEGLPHPAEQSLIL SPDTSLIERAFQALIDICKESIPSSNDCSTRNNNSAPQLTVPEPSKPCRLLLVRESRT ATELKTNKKLWLHSQRRNIEVTVPMHPSERGTKSWLRKWLSTFVHQ YNL016W MSENNEEQHQQQQQQQPVAVETPSAVEAPASADPSSEQSVAVEG NSEQAEDNQGENDPSVVPANAITGGRETSDRVLYVGNLDKAITEDILKQYFQVGGPIA NIKIMIDKNNKNVNYAFVEYHQSHDANIALQTLNGKQIENNIVKINWAFQSQQSSSDD TFNLFVGDLNVNVDDETLRNAFKDFPSYLSGHVMWDMQTGSSRGYGFVSFTSQDDAQN AMDSMQGQDLNGRPLRINWAAKRDNNNNNNYQQRRNYGNNNRGGFRQYNSNNNNNMNM GMNMNMNMNMNNSRGMPPSSMGMPIGAMPLPSQGQPQQSQTIGLPPQVNPQAVDHIIR SAPPRVTTAYIGNIPHFATEADLIPLFQNFGFILDFKHYPEKGCCFIKYDTHEQAAVC IVALANFPFQGRNLRTGWGKERSNFMPQQQQQGGQPLIMNDQQQPVMSEQQQQQQQQQ QQQ YNL015W MTKNFIVTLKKNTPDVEAKKFLDSVHHAGGSIVHEFDIIKGYTI KVPDVLHLNKLKEKHNDVIENVEEDKEVHTN YNL014W MSDSQQSITVLEELFRKLETATSETREGISSELSSFLNGNIIEH DVPEVFFDEFQKAIQSKQKALNTLGAVAYIANETNLSPSVEPYIVATVPSVCSKAGSK DNDVQLAATKALKAIASAVNPVAVKALLPHLIHSLETSNKWKEKVAVLEVISVLVDAA KEQIALRMPELIPVLSESMWDTKKGVKEAATTTITKATETVDNKDIERFIPKLIECIA NPNEVPETVHLLGATTFVAEVTPATLSIMVPLLSRGLAERETSIKRKAAVIIDNMCKL VEDPQVVAPFLGKLLPGLKNNFATIADPEAREVTLKALKTLRRVGNVGEDDVLPEISH AGDVSTTLGVIKELLEPEKVAPRFTIVVEYIAAIAANLIDERIIDQQTWFTHVTPYMT IFLHEKTAKEILDDFRKRAVDNIPVGPNFQDEEDEGEDLCNCEFSLAYGAKILLNKTQ LRLKRGRRYGLCGPNGAGKSTLMRSIANGQVDGFPTQDECRTVYVEHDIDNTHSDMSV LDFVYSGNVGTKDVITSKLKEFGFSDEMIEMPIASLSGGWKMKLALARAVLKDADILL LDEPTNHLDTVNVEWLVNYLNTCGITSVIVSHDSGFLDKVCQYIIHYEGLKLRKYKGN LSEFVQKCPTAQSYYELGASDLEFQFPTPGYLEGVKTKQKAIVKVSNMTFQYPGTTKP QVSDVTFQCSLSSRIAVIGPNGAGKSTLINVLTGELLPTSGEVYTHENCRIAYIKQHA FAHIESHLDKTPSEYIQWRFQTGEDRETMDRANRQINENDAEAMNKIFKIEGTPRRVA GIHSRRKFKNTYEYECSFLLGENIGMKSERWVPMMSVDNAWLPRGELIESHSKMVAEI DMKEALASGQFRALTRKEIELHCAMLGLDSELVSHSRIRGLSGGQKVKLVLAACTWQR PHLIVLDEPTNYLDRDSLGALSKALKAFEGGVIIITHSAEFTKNLTDEVWAVKDGKMT PSGHNWVAGQGAGPRIEKKEEEGDKFDAMGNKINSGKKKSKLSSAELRKKKKERMKKK KEMGDEYVSSDEDF YNL012W MQKLLFVFSVLLTVVLATAPFQVQCPSSPLIREAKHELCPEETL YLKKKKIKTKNKLIQFLKSLTEAKFSSKFYKRVLKDPPKIGIAISGGGYRSMLVGTGF ISQMNDYGLFEYSDYIAGLSGGSWILMDLVVQNFEVKSLLQEWDLEEDLLLGIPEFDI SEEEIVTNAKKEYNDNDLKMKKRQGGSLITSSSNFYEQIEEIMNSIEEIPEDYMITKR NLNPLARLKKIFFPNNTFTGTDAKIETFKKVLDFYKSLHLKIKPKKMEGFQISFTDYW GKAIVQRLKKNFDDDPNHSFSFSKLVNSSKKFKECSVPIPIFVANCKNGLLSNVIFEF TPFEFGSWENILRLFVKLPYLGSKIVSGKAEKCINNFDDLGFITATSSSIFNNVLIFI WNLASQSSREAMKALNMVMGIFGLGKEEIFSISKDSSRLETDYAVYQPNPFYLYPEKD NVLTNKNHLYLVDGGEDGENIPLRTLVIPERELDVIFVLDSSSDIDNYPNGSKLKRIF EKLDEENVHYQFPNNVKTFTHPIVIGCNATKRTGHDSFLPIIIYHANANHGNASNTST FKITYNQSEVSSMLPTGRGVFSNDYDLYYKNCLGCILTKRTMDRLPRKKKFSPFCLQC FKDYCYS YNL011C MNVVVCSGGTATNSLTPCFSNISILKGHELTYILPISDNGGSTS EILRIVGGPAIGDIRSRIVRLLQDEQLVELFGHRLPNDKLLAKKEWNEIVEGSHPIWK NISIEVKEMCRSFIIHMQAELLKKIKHSNPFQFESASIGNFFLTGARLFLGSLDASIE LMMRIGRCSPLVHVIPCINTNHTHHISALLTNGEMITGQSQISHPSKSVPKDNSIAHS AKFIHLLGSYDDHLKILLDDEEEEAEEEYANPIYILPELKNSQLHFDKLDESQNLPAP VHRILYINPYGEEIKPMGNPRAISKVKKADMVVYSIGSLMTSLLPILILGNLAEVILE SNNTKKVLLINNKYDREVFGLDGLHYVQMIIDSMSRAIAGYRQSKGVHSENDDFEWQD FITDIVYLKNGEIEIDETIFEKHSIRCHQIASSDKMESEELEKVLNQIGLKN YNL010W MVKAVIFTDFDGTVTLEDSNDYLTDTLGFGKEKRLKVFEGVLDD TKSFRQGFMEMLESIHTPFPECIKILEKKIRLDPGFKDTFEWAQENDVPVIVVSSGMK PIIKVLLTRLVGQESIHKIDIVSNEVEIDAHDQWKIIYKDESPFGHDKSRSIDAYKKK FESTLKAGEQRPVYFYCGDGVSDLSAAKECDLLFAKRGKDLVTYCKKQNVPFHEFDTF KDILASMKQVLAGEKTVAELMEN YNL009W MSKIKVVHPIVEMDGDEQTRVIWKLIKEKLILPYLDVDLKYYDL SIQERDRTNDQVTKDSSYATLKYGVAVKCATITPDEARMKEFNLKEMWKSPNGTIRNI LGGTVFREPIIIPKIPRLVPHWEKPIIIGRHAFGDQYRATDIKIKKAGKLRLQFSSDD GKENIDLKVYEFPKSGGIAMAMFNTNDSIKGFAKASFELALKRKLPLFFTTKNTILKN YDNQFKQIFDNLFDKEYKEKFQALKITYEHRLIDDMVAQMLKSKGGFIIAMKNYDGDV QSDIVAQGFGSLGLMTSILITPDGKTFESEAAHGTVTRHFRKHQRGEETSTNSIASIF AWTRAIIQRGKLDNTDDVIKFGNLLEKATLDTVQVGGKMTKDLALMLGKTNRSSYVTT EEFIDEVAKRLQNMMLSSNEDKKGMCKL YNL008C MSTNILQHVKQLLHNRDVFSFFHNKTGNLNYLDNTTQKPEVFVS PNSTIVSAPTLDSFQALMEKGNFTTLQLAKVGIRMFFSYSVSKYAVLCFSTAIILNRL TVMSSLRSNSTNIRLPLWSKTLLHLVATLSLVKALLQILSQFGLMHELHVSDTDFYAL SVYLFVALSDCIEIFISSTTNVPSLICSDFSIWGLSLNLYIISKMPAGQQHIGDNVEL LGAVFHRLVIHLVELFHIRAYRLCGEVILNAGFFTAFVTRTYLNGLDFINICLIHNYF PGFFYISTILLASIGIFLKALFTSNPFRSLYSRYKNLEKWWRSNNYNGEEEFNEIALS LCLLLTSNDYKIFKKSDNVKSVDEVAAFSNSYVVSGHLNQLQSTPEDLLSRKEMTTDS QLPGFARTYLGLFELVRTIILTYSRLLKNLLWSKNFESSIDKKPRVGKRKKRDLNKYV TEKNYKKFLYKPDVKELNIESDLRSLELLLPEDDSSKDYFPPRKIDESVSDEEFDSDM ESQLIIDEEKELTHLSSNAVDSDDLEEIAWNISMWSILNYEMDVHNKVNGPLTRSQYG KRNPQGVLVDVVIERLLHHTNSRYMYKRLNMKDDDKLEFKFDFAFDSCDEVEEMDLSC LICKVNKRNIVTWPCRCLALCDDCRISLGYKGFATCVSCDSEVKGYSKLNIV YNL007C MVKETKLYDLLGVSPSANEQELKKGYRKAALKYHPDKPTGDTEK FKEISEAFEILNDPQKREIYDQYGLEAARSGGPSFGPGGPGGAGGAGGFPGGAGGFSG GHAFSNEDAFNIFSQFFGGSSPFGGADDSGFSFSSYPSGGGAGMGGMPGGMGGMHGGM GGMPGGFRSASSSPTYPEEETVQVNLPVSLEDLFVGKKKSFKIGRKGPHGASEKTQID IQLKPGWKAGTKITYKNQGDYNPQTGRRKTLQFVIQEKSHPNFKRDGDDLIYTLPLSF KESLLGFSKTIQTIDGRTLPLSRVQPVQPSQTSTYPGQGMPTPKNPSQRGNLIVKYKV DYPISLNDAQKRAIDENF YNL006W MSVILVSAGYDHTIRFWEALTGVCSRTIQHSDSQVNRLEITNDK KLLATAGHQNVRLYDIRTTNPNPVASFEGHRGNVTSVSFQQDNRWMVTSSEDGTIKVW DVRSPSIPRNYKHNAPVNEVVIHPNQGELISCDRDGNIRIWDLGENQCTHQLTPEDDT SLQSLSMASDGSMLAAANTKGNCYVWEMPNHTDASHLKPVTKFRAHSTYITRILLSSD VKHLATCSADHTARVWSIDDDFKLETTLDGHQRWVWDCAFSADSAYLVTASSDHYVRL WDLSTREIVRQYGGHHKGAVCVALNDV YNL005C MWNPILLDTSSFSFQKHVSGVFLQVRNATKRAAGSRTSMKDSAG RRLGPKKYEGQDVSTGEIIMRQRGTKFYPGENVGIGKDHSIFALEPGVVRYYLDPFHP KRKFIGVALRRDLKLPSPHFEPTVRRFGRFELTNKRAAYKEENSISRKDYLAKPNILK QLEVRESKRKELQDKLSKVLRDELKLDIKDIELATSYLIRVRASLKNGYPIEDARFNS RYYLKEEERLKARRESWTNEKLSESLSKIDECSDLLNSSTSFNNKLELHQYISEQEKQ ALKAKLLEDLEKSQHLETKKDKNYIKALFKDACNFLTLSEEVHLRRKYLKSVFPETDS TVETKSGKKSIVSRRFDYTKNKVEVIARSRRAFLSKL YNL004W MSDQERGSENNNRSRSRSRSPVRRRMSDDHGYERDNHLSRRSGN YNGRRKFADTYRGSRDRGEYRGGRERSDYRERERFNNRDNPRSRDRYDDRRRGRDVTG RYGNRRDDYPRSFRSRHNTRDDSRRGGFGSSGARGDYGPLLARELDSTYEEKVNRNYS NSIFVGNLTYDSTPEDLTEFFSQIGKVVRADIITSRGHHRGMGTVEFTNSDDVDRAIR QYDGAFFMDRKIFVRQDNPPPSNNIKERKALDRGELRHNRKTHEVIVKNLPASVNWQA LKDIFKECGNVAHADVELDGDGVSTGSGTVSFYDIKDLHRAIEKYNGYSIEGNVLDVK SKESVHNHSDGDDVDIPMDDSPVNEEARKFTENVVGGGERNRLIYCSNLPFSTAKSDL YDLFETIGKVNNAELRYDSKGAPTGIAVVEYDNVDDADVCIERLNNYNYGGCDLDISY AKRL YNL003C MNTFFLSLLSGAAAGTSTDLVFFPIDTIKTRLQAKGGFFANGGY KGIYRGLGSAVVASAPGASLFFISYDYMKVKSRPYISKLYSQGSEQLIDTTTHMLSSS IGEICACLVRVPAEVVKQRTQVHSTNSSWQTLQSILRNDNKEGLRKNLYRGWSTTIMR EIPFTCIQFPLYEYLKKTWAKANGQSQVEPWKGAICGSIAGGIAAATTTPLDFLKTRL MLNKTTASLGSVIIRIYREEGPAVFFSGVGPRTMWISAGGAIFLGMYETVHSLLSKSF PTAGEMRA YNL002C MSSTQDSKAQTLNSNPEILLRKRRNADRTRIERQELAKKKREEQ IKKKRSNKNKFVRAESIVAKTLATSREKERIKRVSILEDKKAKNETQHIASGKDFILK ITEKANGAEENSVDLEETEEEEDDGLIREKTTYDGKPALLFIVRVRGPLAVNIPNKAF KILSLLRLVETNTGVFVKLTKNVYPLLKVIAPYVVIGKPSLSSIRSLIQKRGRIIYKG ENEAEPHEIVLNDNNIVEEQLGDHGIICVEDIIHEIATMGESFSVCNFFLQPFKLNRE VSGFGSLNRLRKIKQREAESRTRQFSNAATAPVIEVDIDSLLAKLN YNL001W MKVISLKKDSFNKGGAVITLLPEDKEDLFTVYQIVDKDDELIFK KKFTSKLDEAGKKKSTDLVKLKIKVISEDFDMKDEYLKYKGVTVTDESGASNVDIPVG KYLSFTLDYVYPFTIIKQNFNKFMQKLLNEACNIEYKSDTAAVVLQEGIAHVCLVTSS STILKQKIEYSMPKKKRTTDVLKFDEKTEKFYKAIYSAMKKDLNFDKLKTIILCSPGF YAKILMDKIFQYAEEEHNKKILDNKGMFFIAHCSTGYLQGINEVLKNPLYASKLQDTK YSKEIMVMDEFLLHLNKDDDKAWYGEKEVVKAAEYGAISYLLLTDKVLHSDNIAQREE YLKLMDSVESNGGKALVLSTLHSLGEELDQLTGIACILKYPLPDLDEDDGEE YNR001C MSAILSTTSKSFLSRGSTRQCQNMQKALFALLNARHYSSASEQT LKERFAEIIPAKAEEIKKFKKEHGKTVIGEVLLEQAYGGMRGIKGLVWEGSVLDPEEG IRFRGRTIPEIQRELPKAEGSTEPLPEALFWLLLTGEIPTDAQVKALSADLAARSEIP EHVIQLLDSLPKDLHPMAQFSIAVTALESESKFAKAYAQGVSKKEYWSYTFEDSLDLL GKLPVIASKIYRNVFKDGKITSTDPNADYGKNLAQLLGYENKDFIDLMRLYLTIHSDH EGGNVSAHTTHLVGSALSSPYLSLAAGLNGLAGPLHGRANQEVLEWLFKLREEVKGDY SKETIEKYLWDTLNAGRVVPGYGHAVLRKTDPRYTAQREFALKHFPDYELFKLVSTIY EVAPGVLTKHGKTKNPWPNVDSHSGVLLQYYGLTEASFYTVLFGVARAIGVLPQLIID RAVGAPIERPKSFSTEKYKELVKKIESKN YNR002C MSDREQSSGNTAFENPKALDSSEGEFISENNDQSRHSQESICKI YTAGKNNEYIYIGRQKFLRDDLFEAFGGTLNPGLAPAPVHKFANPAPLGLSGFALTTF VLSMFNARAQGITIPNVVVGCAMFYGGLVQLIAGIWEIALENTFGGTALCSFGGFWLS FGAIYIPWFGILDAYKDKESDLGNALGFYLLGWALFTFGLSVCTMKSTIMFFALFFLL AVTFLLLSIANFTGEVGVTRAGGVLGVIVAFIAWYNAYAGIATRQNSYIMVHPFALPS NDKVFF YNR003C MSGMIENGLQLSDNAKTLHSQMMSKGIGALFTQQELQKQMGIGS LTDLMSIVQELLDKNLIKLVKQNDELKFQGVLESEAQKKATMSAEEALVYSYIEASGR EGIWSKTIKARTNLHQHVVLKCLKSLESQRYVKSVKSVKFPTRKIYMLYSLQPSVDIT GGPWFTDGELDIEFINSLLTIVWRFISENTFPNGFKNFENGPKKNVFYAPNVKNYSTT QEILEFITAAQVANVELTPSNIRSLCEVLVYDDKLEKVTHDCYRVTLESILQMNQGEG EPEAGNKALEDEEEFSIFNYFKMFPASKHDKEVVYFDEWTI YNR004W MIDLYNYSNLEGLLDGLTDLNRIPKEYSAVLEPYFQNIARNAHL KSRALKICRSNFHKWNEEGAKTVNPEIIRRCLNLWYVLKGKEYKKLKDPPPADNIIKD EIDVSYVKNLNVVRLEFDEFGKLISNPLENLILEEVEVNDFIQE YNR006W MSVSTPSELDALIEQATSESIPNGDLDLPIALEISDVLRSRRVN PKDSMRCIKKRILNTADNPNTQLSSWKLTNICVKNGGTPFIKEICSREFMDTMEHVIL REDSNEELSELVKTILYELYVAFKNDSQLNYVAKVYDKLISRGIKFPEKLTLSNSPTA MFDSKTPADWIDSDACMICSKKFSLLNRKHHCRSCGGVFCQEHSSNSIPLPDLGIYEP VRVCDSCFEDYDLKRHDDSKKSKKHRHKRKKDRDYSTPEDEEELIRKAIELSLKESRN SASSEPIVPVVESKNEVKRQEIEEEEDPDLKAAIQESLREAEEAKLRSERQKASRQMQ PQQPSPQPQPIHSVDLSDEEKDSIYMFASLVEKMKSRPLNEILEDSKLQNLAQRVFAS KARLNYALNDKAQKYNTLIEMNGKISEIMNIYDRLLEQQLQSINLSQQYTLPQVPSDP YNYLTENVQNPAESYQTPPLQQLSSHQYKPQQDVSRQQSVKANSSPTTNIDHLKTIDV TPHAQQKPQSHVELAPSDPPYPKEEAEDEGTQAVQDEESSTQESRERPYPVETENGET SINKRPQGITRYDFPTVPARKFVQPESTVPLPASSSEIPIKEERPPSPQEELLIEL YNR007C MIRSTLSSWREYLTPITHKSTFLTTGQITPEEFVQAGDYLCHMF PTWKWNEESSDISYRDFLPKNKQFLIIRKVPCDKRAEQCVEVEGPDVIMKGFAEDGDE DDVLEYIGSETEHVQSTPAGGTKDSSIDDIDELIQDMEIKEEDENDDTEEFNAKGGLA KDMAQERYYDLYIAYSTSYRVPKMYIVGFNSNGSPLSPEQMFEDISADYRTKTATIEK LPFYKNSVLSVSIHPCKHANVMKILLDKVRVVRQRRRKELQEEQELDGVGDWEDLQDD IDDSLRVDQYLIVFLKFITSVTPSIQHDYTMEGW YNR008W MGTLFRRNVQNQKSDSDENNKGGSVHNKRESRNHIHHQQGLGHK RRRGISGSAKRNERGKDFDRKRDGNGRKRWRDSRRLIFILGAFLGVLLPFSFGAYHVH NSDSDLFDNFVNFDSLKVYLDDWKDVLPQGISSFIDDIQAGNYSTSSLDDLSENFAVG KQLLRDYNIEAKHPVVMVPGVISTGIESWGVIGDDECDSSAHFRKRLWGSFYMLRTMV MDKVCWLKHVMLDPETGLDPPNFTLRAAQGFESTDYFIAGYWIWNKVFQNLGVIGYEP NKMTSAAYDWRLAYLDLERRDRYFTKLKEQIELFHQLSGEKVCLIGHSMGSQIIFYFM KWVEAEGPLYGNGGRGWVNEHIDSFINAAGTLLGAPKAVPALISGEMKDTIQLNTLAM YGLEKFFSRIERVKMLQTWGGIPSMLPKGEEVIWGDMKSSSEDALNNNTDTYGNFIRF ERNTSDAFNKNLTMKDAINMTLSISPEWLQRRVHEQYSFGYSKNEEELRKNELHHKHW SNPMEVPLPEAPHMKIYCIYGVNNPTERAYVYKEEDDSSALNLTIDYESKQPVFLTEG DGTVPLVAHSMCHKWAQGASPYNPAGINVTIVEMKHQPDRFDIRGGAKSAEHVDILGS AELNDYILKIASGNGDLVEPRQLSNLSQWVSQMPFPM YNR009W MSIMKQRLPLGEFSSSKINKLAIANIADASEPRNHGENNVGTVC LPSIKSLMVSPEVYENTKSLPVPLMRSSGGGMACASKSSCQDGISTKTTSRDYSELSK KLQIRLQFAYYKYKTKQTDKNFTDLKSKHSITRPSKVATHSKSEPLTRRRKLVLSQGH YKTPARSKIKTPSSICSHDNTSSFTSFRGVSESSSTTADMNVADTTTPIRNNINTKHS NSHNRTLYQRQETPTSIKAAKSLIHLFTSNQ YNR010W MNLQNNVLNQIHQILLPTNPTLDKPNAEATKEEFSSAENRDEKD YLTNQQPKNLSTPSTSSNGEFIPHIFYSLHQIRKDPNNLSNQLETLTGSIRHRLKLCK SLISENEDTKDLLSKSPSEWQDIIHQREQELQIKRDVLDDLYRKLQR YNR011C MSSITSETGKRRVKRTYEVTRQNDNAVRIEPSSLGEEEDKEAKD KNSALQLKRSRYDPNKVFSNTNQGPEKNNLKGEQLGSQKKSSKYDEKITSNNELTTKK GLLGDSENETKYASSNSKFNVEVTHKIKNAKEIDKINRQRMWEEQQLRNAMAGQSDHP DDITLEGSDKYDYVFDTDAMIDYTNEEDDLLPEEKLQYEARLAQALETEEKRILTIQE ARKLLPVHQYKDELLQEIKKNQVLIIMGETGSGKTTQLPQYLVEDGFTDQGKLQIAIT QPRRVAATSVAARVADEMNVVLGKEVGYQIRFEDKTTPNKTVLKYMTDGMLLREFLTD SKLSKYSCIMIDEAHERTLATDILIGLLKDILPQRPTLKLLISSATMNAKKFSEFFDN CPIFNVPGRRYPVDIHYTLQPEANYIHAAITTIFQIHTTQSLPGDILVFLTGQEEIER TKTKLEEIMSKLGSRTKQMIITPIYANLPQEQQLKIFQPTPENCRKVVLATNIAETSL TIDGIRYVIDPGFVKENSYVPSTGMTQLLTVPCSRASVDQRAGRAGRVGPGKCFRIFT KWSYLHELELMPKPEITRTNLSNTVLLLLSLGVTDLIKFPLMDKPSIPTLRKSLENLY ILGALNSKGTITRLGKMMCEFPCEPEFAKVLYTAATHEQCQGVLEECLTIVSMLHETP SLFIGQKRDAAASVLSEVESDHILYLEIFNQWRNSKFSRSWCQDHKIQFKTMLRVRNI RNQLFRCSEKVGLVEKNDQARMKIGNIAGYINARITRCFISGFPMNIVQLGPTGYQTM GRSSGGLNVSVHPTSILFVNHKEKAQRPSKYVLYQQLMLTSKEFIRDCLVIPKEEWLI DMVPQIFKDLIDDKTNRGRR YNR012W MSHRIAPSKERSSSFISILDDETRDTLKANAVMDGEVDVKKTKG KSSRYIPPWTTPYIIGIGGASGSGKTSVAAKIVSSINVPWTVLISLDNFYNPLGPEDR ARAFKNEYDFDEPNAINLDLAYKCILNLKEGKRTNIPVYSFVHHNRVPDKNIVIYGAS VVVIEGIYALYDRRLLDLMDLKIYVDADLDVCLARRLSRDIVSRGRDLDGCIQQWEKF VKPNAVKFVKPTMKNADAIIPSMSDNATAVNLIINHIKSKLELKSNEHLRELIKLGSS PSQDVLNRNIIHELPPTNQVLSLHTMLLNKNLNCADFVFYFDRLATILLSWALDDIPV AHTNIITPGEHTMENVIACQFDQVTAVNIIRSGDCFMKSLRKTIPNITIGKLLIQSDS QTGEPQLHCEFLPPNIEKFGKVFLMEGQIISGAAMIMAIQVLLDHGIDLEKISVVVYL ATEVGIRRILNAFDNKVNIFAGMIISREKLQNHQYKWALTRFFDSKYFGCD YNR013C MKFSHSLQFNSVPEWSTKYLAYSQLKKLIYSLQKDKLYSNNKHH VVEPHDANDENLPLLADASPDDQFYISKFVAALNQELKKIDKFYISQETGLIANYNEL KDDVMELENTNKATQLFNQQQQHQLQSVARNRKSKSQQRQRRFSSVSSTDSNPSLTDM SIDSAPVIHTQVSNTTNNGNSMQNLASASVSLSNSNPVYLSPFTQHRLSLKKRLISIY TQLSELKDFIELNQTGFSKICKKFDKSLNTNLKQNYLNYIKFHSHVFNPATINRIQHH ITETILTYASLNKGTRRPSNTFNLDADRINNDENSSGNEEDEDGNRQEVLDFQDAERE LSSHLRDHVVWERNTVWKDMMNLERKYQSAKTDNKKFSKLSSSQLRPNANITESMAMS SGGAGIIAPSTDSLTFRELMHLPPKQWLQFIMGQTSLLKFLLITSCFIALLTFNLTPF TQDSLQKNCFAILIYASLLWATETIPLFVTSLMIPLLIVVFPVIKDPITSQPMSPRDS SQFILSTMWSSVIMLLLGGFTLAAALSKYNIAKVLSTHILASAGTNPHFILLTNMFVA LFVSMWVSNVAAPVLCYSIVQPLLRTLPRNCSYAKALILGIALASNIGGMSSPIASPQ NIFSIGIMDPSPSWAEWFMIALPVCFICVMAIWVLLIITFPPEPNVKILQLHPSRDPF TLKQWFVTLVCIITIVLWCLSNQISGIFGEMGIISIIPIVVFFGTGLLTSDDFNNFMW TIVVLAMGGTTLGKAVSSSGLLSTMAQLIKAQVEHEPIFIIVLIFGLVILVMATFVSH TVAAMIIVPLMSEIGSNLPSGDHSRLLIVIAALLCSSAMGLPTSGFPNVTAISMIDEV GDRYLTVGTFITRGVPASLLSYAAIVTVGYGILKVMGF YNR014W MSSTDIKPCAVNIPVSAHITFHYKSIADRSSSRSSSSSSCSSAT SKACSPRGSSVGLPPALSTDNEIVETVLNVSAPVVADPTRPSLFKSNYTAASCLTSDP TSPSLLPSSRRNSVLPASDFHQCAHHKNFQRRASEPQLPSFDNRSSSEMKRSVSYAQH SMMFPISDQQEPQTSASPNDHSDPSCPCNRHHHRRNSVAVKFDKPLYERLET YNR015W MVTYAGKLVLAPMVRAGELPTRLMALAHGADLVWSPEIIDKKLI QCVRKENTALQTVDYVVPSKVQTRPETLVFRTYPKLESSKLIFQIGSASPALATQAAL KVINDVSGIDINAGCPKHFSIHSGMGSALLRTPDTLCLILKELVKNVGNPHSKPISVK IRLLDTKQDTLQLVKRLCATGITNLTVHCRKTEMRNREQPITDYIAEIYEICQANNVS LIVNGAIRDRSHFHDLQANHWKNTNIGGMIAECAERDPTVFDHTSKPSEDGPSWVVAC REFIQWATKFDNHIGNTKYMLSRIVPGKSVFFQYFARCKSPEEVSFVLKQLNDDGSAQ TDPSEYLENCRAQEKALKNANAIAKQKRKQTDHIGSDTKKQKVVPLPTDI YNR016C MSEESLFESSPQKMEYEITNYSERHTELPGHFIGLNTVDKLEES PLRDFVKSHGGHTVISKILIANNGIAAVKEIRSVRKWAYETFGDDRTVQFVAMATPED LEANAEYIRMADQYIEVPGGTNNNNYANVDLIVDIAERADVDAVWAGWGHASENPLLP EKLSQSKRKVIFIGPPGNAMRSLGDKISSTIVAQSAKVPCIPWSGTGVDTVHVDEKTG LVSVDDDIYQKGCCTSPEDGLQKAKRIGFPVMIKASEGGGGKGIRQVEREEDFIALYH QAANEIPGSPIFIMKLAGRARHLEVQLLADQYGTNISLFGRDCSVQRRHQKIIEEAPV TIAKAETFHEMEKAAVRLGKLVGYVSAGTVEYLYSHDDGKFYFLELNPRLQVEHPTTE MVSGVNLPAAQLQIAMGIPMHRISDIRTLYGMNPHSASEIDFEFKTQDATKKQRRPIP KGHCTACRITSEDPNDGFKPSGGTLHELNFRSSSNVWGYFSVGNNGNIHSFSDSQFGH IFAFGENRQASRKHMVVALKELSIRGDFRTTVEYLIKLLETEDFEDNTITTGWLDDLI THKMTAEKPDPTLAVICGAATKAFLASEEARHKYIESLQKGQVLSKDLLQTMFPVDFI HEGKRYKFTVAKSGNDRYTLFINGSKCDIILRQLSDGGLLIAIGGKSHTIYWKEEVAA TRLSVDSMTTLLEVENDPTQLRTPSPGKLVKFLVENGEHIIKGQPYAEIEVMKMQMPL VSQENGIVQLLKQPGSTIVAGDIMAIMTLDDPSKVKHALPFEGMLPDFGSPVIEGTKP AYKFKSLVSTLENILKGYDNQVIMNASLQQLIEVLRNPKLPYSEWKLHISALHSRLPA KLDEQMEELVARSLRRGAVFPARQLSKLIDMAVKNPEYNPDKLLGAVVEPLADIAHKY SNGLEAHEHSIFVHFLEEYYEVEKLFNGPNVREENIILKLRDENPKDLDKVALTVLSH SKVSAKNNLILAILKHYQPLCKLSSKVSAIFSTPLQHIVELESKATAKVALQAREILI QGALPSVKERTEQIEHILKSSVVKVAYGSSNPKRSEPDLNILKDLIDSNYVVFDVLLQ FLTHQDPVVTAAAAQVYIRRAYRAYTIGDIRVHEGVTVPIVEWKFQLPSAAFSTFPTV KSKMGMNRAVSVSDLSYVANSQSSPLREGILMAVDHLDDVDEILSQSLEVIPRHQSSS NGPAPDRSGSSASLSNVANVCVASTEGFESEEEILVRLREILDLNKQELINASIRRIT FMFGFKDGSYPKYYTFNGPNYNENETIRHIEPALAFQLELGRLSNFNIKPIFTDNRNI HVYEAVSKTSPLDKRFFTRGIIRTGHIRDDISIQEYLTSEANRLMSDILDNLEVTDTS NSDLNHIFINFIAVFDISPEDVEAAFGGFLERFGKRLLRLRVSSAEIRIIIKDPQTGA PVPLRALINNVSGYVIKTEMYTEVKNAKGEWVFKSLGKPGSMHLRPIATPYPVKEWLQ PKRYKAHLMGTTYVYDFPELFRQASSSQWKNFSADVKLTDDFFISNELIEDENGELTE VEREPGANAIGMVAFKITVKTPEYPRGRQFVVVANDITFKIGSFGPQEDEFFNKVTEY ARKRGIPRIYLAANSGARIGMAEEIVPLFQVAWNDAANPDKGFQYLYLTSEGMETLKK FDKENSVLTERTVINGEERFVIKTIIGSEDGLGVECLRGSGLIAGATSRAYHDIFTIT LVTCRSVGIGAYLVRLGQRAIQVEGQPIILTGAPAINKMLGREVYTSNLQLGGTQIMY NNGVSHLTAVDDLAGVEKIVEWMSYVPAKRNMPVPILETKDTWDRPVDFTPTNDETYD VRWMIEGRETESGFEYGLFDKGSFFETLSGWAKGVVVGRARLGGIPLGVIGVETRTVE NLIPADPANPNSAETLIQEPGQVWHPNSAFKTAQAINDFNNGEQLPMMILANWRGFSG GQRDMFNEVLKYGSFIVDALVDYKQPIIIYIPPTGELRGGSWVVVDPTINADQMEMYA DVNARAGVLEPQGMVGIKFRREKLLDTMNRLDDKYRELRSQLSNKSLAPEVHQQISKQ LADRERELLPIYGQISLQFADLHDRSSRMVAKGVISKELEWTEARRFFFWRLRRRLNE EYLIKRLSHQVGEASRLEKIARIRSWYPASVDHEDDRQVATWIEENYKTLDDKLKGLK LESFAQDLAKKIRSDHDNAIDGLSEVIKMLSTDDKEKLLKTLK YNR017W MSWLFGDKTPTDDANAAVGGQDTTKPKELSLKQSLGFEPNINNI ISGPGGMHVDTARLHPLAGLDKGVEYLDLEEEQLSSLEGSQGLIPSRGWTDDLCYGTG AVYLLGLGIGGFSGMMQGLQNIPPNSPGKLQLNTVLNHITKRGPFLGNNAGILALSYN IINSTIDALRGKHDTAGSIGAGALTGALFKSSKGLKPMGYSSAMVAAACAVWCSVKKR LLEK YNR018W MKILTQDEIEAHRSHTLKGGIEGALAGFAISAIIFKVLPRRYPK FKPSTLTWSIKTALWITPPTVLTAICAEEASNNFDATMYGSGSSSEDALDEHRRWKSL STKDKFVEGLSNNKYKIITGAWAASLYGSWVIVNKDPIMTKAQKIVQARMYAQFITVG LLLASVGLSMYENKLHPNKQKVNEMRRWENALRVAEEEERLEKEGRRTGYVSNEERIN SKIFKS YNR019W MDKKKDLLENEQFLRIQKLNAADAGKRQSITVDDEGELYGLDTS GNSPANEHTATTITQNHSVVASNGDVAFIPGTATEGNTEIVTEEVIETDDNMFKTHVK TLSSKEKARYRQGSSNFISYFDDMSFEHRPSILDGSVNEPFKTKFVGPTLEKEIRRRE KELMAMRKNLHHRKSSPDAVDSVGKNDGAAPTTVPTAATSETVVTVETTIISSNFSGL YVAFWMAIAFGAVKALIDYYYQHNGSFKDSEILKFMTTNLFTVASVDLLMYLSTYFVV GIQYLCKWGVLKWGTTGWIFTSIYEFLFVIFYMYLTENILKLHWLSKIFLFLHSLVLL MKMHSFAFYNGYLWGIKEELQFSKSALAKYKDSINDPKVIGALEKSCEFCSFELSSQS LSDQTQKFPNNISAKSFFWFTMFPTLIYQIEYPRTKEIRWSYVLEKICAIFGTIFLMM IDAQILMYPVAMRALAVRNSEWTGILDRLLKWVGLLVDIVPGFIVMYILDFYLIWDAI LNCVAELTRFGDRYFYGDWWNCVSWADFSRIWNIPVHKFLLRHVYHSSMSSFKLNKSQ ATLMTFFLSSVVHELAMYVIFKKLRFYLFFFQMLQMPLVALTNTKFMRNRTIIGNVIF WLGICMGPSVMCTLYLTF YNR020C MTMRTNKKNKSSNIKMIRRVRHNLYNQNQGKLIVPNFFFFWHDM NSSGDNAGFEWWRRTMQYKTGIGLTPEEKTRYEDDSKARELKKECLKCYEYRDWMLKY SPTVRFMVQAITKLNKGSDSKFDDSKIICDYCPDWKGGGFHPELGILLCQNRLRDKWH LEDTLSHELIHYFDDLKWQIDWLNLKHHACSEIRASSLSGECRFWEEFKRRGFRTGFH VARGHQDCVRRRAIISVSGNPNCQSKEHAAKIVDEVWDSCFADTRPFDEIYR YNR021W MSSSIFGPLTGFLERVNSLNAPYQALSYDEQKAMTIWQRVKFYN WTFELCALGVLFLVYAFYKFGNSVNLKRGNQIFQSLHSFLANDLKFSRVGFNINDSKI FTVEHQNTWFSSFATGRSAIKSINLNLHLVARSNPFSMCLEYLLGFFFASLKSKQLEE FMEIVIRPNGILVTSESAHPNKNAHEILTKFRFVTSIVNKEFMNQARTENYFLSIAHT SENDKLPNNFVYMSDVNQLSGFMFHYSKPYEVLSQAGNLLKYISFTDLPVNPPRDDKE WESSIEPKAIIRCAVPQNENELKLLNQIISLVVEIYDGFTQDLVQQSPNLFITNDILK RTTNLRQQELNKIKKFMKETELELAKEKKLELEKAKRRQLKASGQQEKVDQKMKEKRE RRLKNKQRTRFQ YNR022C MLHCTQVCLSALTKRTHRVKVQVLKDFPRFQLYKGQVANVKPSL MRNYLHNFNGAKYILSEEHDINTELLKQYQTLEAKLEEDHQQLSKRHETEVQKNMELR KESVFGHKKEEKPKEEKKGLLDSGITIEEVKIPGLDI YNR023W MSKVMKPSNGKGSRKSSKAATPDTKNFFHAKKKDPVNQDKANNA SQITPTVPHSHPSDMVIPDHLAELIPELYSFQQLVDSEKRLDHFIHLRNLHMKRMVAQ WERSKLSQEFLYPHLNFPNVKFLRIFISNVSENQPWQMDTNNEADLMALENATWTMRI EGRLLDNVQANDPAREKFSSFIESIVVDFKNKENDNVPSTKFNAAPEENATEGPSDKK LNLNLPLQFSLPNGDNSTTTNTDQNNATMGEETAKKDMSSTTPKLESVKWQYDPNNPV DFDGLDIKRVGSENVECTISILRKSSPEEPFMSYSPQLTAIIGLKSGTSHDAIFSIYK YIHLNELLTNDESAFENLMGNRNNHNSNTSTSKMLDAASSQVSIVKLDTQLITLLPSS LKESSPDTMKLTDLLSLINSTHLLPLQPIEIDYTVRVDKASTYGELVLDIEVPDVNAL KFNNTQRESQIGAAELNENARELEQIKPKIALQDKEITSVLSNLHESNKRYRFFKKIS EDPVKALNECIASTSNALKVLSGDEGYNEDMVRRANFYKENEAMLRENIEVILSNGRM YNR024W MSANNGVTGKLSSRVMNMKFMKFGKTDDEESSNSNTPSNINSDV EPIEQKGKLFGLDDSAWDLNSYKDDLKKISGKEKKKVKRVVYKKRPNLIISNVGYSEL RKPEGVISGRKTFGDNSDDSGSRKRKFDEGEQNEDEKRDAKDKEFTGSQDDGEDEYDL DKLFKDSIKKKKTNHNGKNKNRNSKK YNR026C MKFVTASYNVGYPAYGAKFLNNDTLLVAGGGGEGNNGIPNKLTV LRVDPTKDTEKEQFHILSEFALEDNDDSPTAIDASKGIILVGCNENSTKITQGKGNKH LRKFKYDKVNDQLEFLTSVDFDASTNADDYTKLVYISREGTVAAIASSKVPAIMRIID PSDLTEKFEIETRGEVKDLHFSTDGKVVAYITGSSLEVISTVTGSCIARKTDFDKNWS LSKINFIADDTVLIAASLKKGKGIVLTKISIKSGNTSVLRSKQVTNRFKGITSMDVDM KGELAVLASNDNSIALVKLKDLSMSKIFKQAHSFAITEVTISPDSTYVASVSAANTIH IIKLPLNYANYTSMKQKISKFFTNFILIVLLSYILQFSYKHNLHSMLFNYAKDNFLTK RDTISSPYVVDEDLHQTTLFGNHGTKTSVPSVDSIKVHGVHETSSVNGTEVLCTESNI INTGGAEFEITNATFREIDDA YNR027W MTSTLHTTKKVLSIQSHVIHGYVGNKAATFPLQYRGWDVDVLNT VQFSNHSGYAHFTGFKCSTEELVDIVEKGLIGSLRIKYDAVLSGYLPNVQALQKVAGI VGQLCEGSENVKWILDPVLGDNGRLYVDRECVAVYQDILQNFKIFLATPNQFEMELLV GMSIRTLDDAKQAFKLFHKKYPRVSRIVVTSLELSEFLSNDTYVVAGFDCSASEEIFF YEIPKINAKFSGSGDLISAMLTDSLLGDRRCTQLSLSASLGQVLWLVTSILQKTYDLN IAERGPQDSTIDIKDLKLIQCRDILKQDLIPSIGKPKTIKI YNR028W MKSFFLYLYVAFMFSCITALPLPVDNKRASSDSLDLKKKYAPDP PITHNVNIGIVFTDPESSEEAGRLITIDLYGTMVPKTVMTFCQYVDSVKDRLASRHSY SPERDFDKILPNGAIEGSSVSSSSIEETEMLAPKLPEENHSLIHDRPGRVSMIKDDKG LKFIIETSETPLEGESVVFGQVTAGLKDLMDKLANVKTDENGKPEQPITIGYISSQEH RIQHAKEAHEKYLQRLQDYQNGDLEKGITLKNYLYQGSQRKLEDAKYNQLHHPLPKIM LGISVLLLFYVLAKYRKRIFNRSSKIVSIRED YNR029C MSALRNIKFNEEEDGELPCLVTGEENNLQEILENVSYDGGNIVS DAKVERVNKQVENTSAGATDVHEKKRIPVSIITGYLGSGKSTLLEKIALKGADKKIAV ILNEFGDSSEIEKAMTIKNGSNSYQEWLDLGNGCLCCSLKNIGVKAIEDMVERSPGKI DYILLETSGIADPAPIAKMFWQDEGLNSSVYIDGIITVLDCEHILKCLDDISIDAHWH GDKVGLEGNLTIAHFQLAMADRIIMNKYDTIEHSPEMVKQLKERVREINSIAPMFFTK YSDTPIQNLLDIHAYDSVRISDILDSGSGNGTIHDDRMGTIMLTFRPLKNEEEYNNKF IKQFLQPLLWKNFGAMTVLGGRRRDDGRDWEVQRTKGLILIEGENPIARVIQGVRDTY DVFPGKYDGSNKECKIVLIGKYLEKESIEELLRKTLE YNR030W MRWSVLDTVLLTVISFHLIQAPFTKVEESFNIQAIHDILTYSVF DISQYDHLKFPGVVPRTFVGAVIIAMLSRPYLYLSSLIQTSRPTSIDVQLVVRGIVGL TNGLSFIYLKNCLQDMFDEITEKKKEENEDKDIYIYDSAGTWFLLFLIGSFHLMFYST RTLPNFVMTLPLTNVALGWVLLGRYNAAIFLSALVAIVFRLEVSALSAGIALFSVIFK KISLFDAIKFGIFGLGLGSAISITVDSYFWQEWCLPEVDGFLFNVVAGYASKWGVEPV TAYFTHYLRMMFMPPTVLLLNYFGYKLAPAKLKIVSLASLFHIIVLSFQPHKEWRFII YAVPSIMLLGATGAAHLWENMKVKKITNVLCLAILPLSIMTSFFISMAFLYISRMNYP GGEALTSFNDMIVEKNITNATVHISIPPCMTGVTLFGELNYGVYGINYDKTENTTLLQ EMWPSFDFLITHEPTASQLPFENKTTNHWELVNTTKMFTGFDPTYIKNFVFQERVNVL SLLKQIIFDKTPTVFLKELTANSIVKSDVFFTYKRIKQDEKTD YNR031C MSHSDYFNYKPYGDSTEKPSSSKMRQSSSSSSSRLRSESLGRNS NTTQARVASSPISPGLHSTQYFRSPNAVYSPGESPLNTVQLFNRLPGIPQGQFFHQNA ISGSSSSSARSSRRPSNIGLPLPKNPQQSLPKLSTQPVPVHKKVEASKTESEIIKKPA PVNSNQDPLLTTPTLVISPELASLNTTNTSIMSTPQNITNQTSNKHIPTRSQPNGSTS SSTLQDIVTTNSSQRSVGHHGGSTTSLRTYKKQYVLNEQLYLRKMRNRANDDYYTRGI VASSNFEDDEENFSNKGEDDLELEMDDLLKVEGEDKDNDFNFGYNFITSSTKNNENVV SMSLNYLKGKLDWLRDVNNDQPCEIEDEEWHSILGSEDLLSKLLQNPMVNNRFEWQTM LSKVLKGDIVRNEKTKIANQGKGPGFNTQFSDDIWIELKAWMNGRTVEDQNKSLRIFR DSTDSVFQEIMAFKLEDNMSADEAAETIKSLVDKYYRVLNLWPNIKRMHAEKPITKTE AFRNRIDTLNSWLNFKFNFDTNIAYLKKWIVGNKELESTTEVDNTTVNLDDPAVFATN CKRFAEQIMKEKDIELIFQKKIFFPLAPWILKAKFFFLKYQKTWNELNLSYLDQDLEF LLMFPMRLVKDIILIRLSYAKKIQNPTLMMIDQMMDDFSTYIKLAVQMKFTVASYCND WFFKVKIDPEFDHTVVEGLEYFFSILELRILYSGKNSFKTSKEPDLLLKYWEMFRNVG YYIDDAGELIAAEFTKLTLRLVHRLHAYLLRQQNTPPKLENEAAAEKWLVQIFEILGS MKRKLNRFTNILTKAFQNFVRYKIEDHNYLLKQLKETGHFLIYTGGYLEQNGTYLIGS PELLGCKDDDILRIIKNSDIGCDLVPKLEINNSLTIYNALDDNWNSNSSLGSDISNDG TPFYYIKNDLTTQPRSYNGNRVNREPDFENSRSTEEEFYELETRLNSLGYVLVLTPQE PLLWEGEMYNLSDNKTIKPEGLNLKVIPNSIDLMCQGSSYALEYQCDRFQQISGSSVS FLEKKSSSETVKNNLQRINKAYFRCTYSVLKNYTKIVTTFKKVSPVNDLLNNIFLFGR DFGLNFLRINVANNEKRSIIILLMMRLSIGWLKFLAEDCDPTDQRVFRWCVTSMEFAM HMVSGWNILALDECQFSSLKQKISECMSLLISHFDIIGARSIEVEKINQQARSNLDLE DVFDDDMMLQVNSEFRVQSIMELEERIKRNPHQTGKVIDDSDKGNKYLVSLASSISNV SMRWQKRNFIGGGTFGRVYSAVDLDNGEILAVKEINIQDSKSMQKIFPLIKEEMSVLE ILNHPNIVSYYGVEVHRDKVNIFMEYCEGGSLAALLEHGRIEDEMVTQVYTLQLLEGL AYLHESGIVHRDVKPENILLDFNGVIKYVDFGAAKKIANNGTRLASMNKIENADGEHE DVTHVSDSKAVKNNENALLDMMGTPMYMAPESITGSTTKGKLGADDVWSLGCVVLEMI TGRRPWANLDNEWAIMYHVAAGHTPQFPTKDEVSSAGMKFLERCLIQNPSKRASAVEL LMDPWIVQIREIAFGDDSSSTDTEERE YNR032W MELDECLERLYKAQLLPEVTVRALCFKLKEMLVKESNVIHIQTP VTVVGDMHGQFHDMLEIFQIGGPVPDTNYLFLGDYVDRGLYSVETIMLLIVLKLRYPS RIHLLRGNHESRQITQSYGFYTECLNKYGGNSRVWQYLTDIFDYLVLCCIIDDEIFCV HGGLSPNVQTIDQIKIIDRFREIPHDGAMADLVWSDPEENNNPTLDHPDNSGQHFQVS PRGAGYTFGRSVVEKFLRMNDMNRIYRAHQLCNEGYQIYFDGLVTTVWSAPNYCYRCG NKASILELYSKDQFYFNVFEEAPENKLLKENSMNDNALEDSISNPVANRKLIADYFED DSASADGSTDPEMYIFSDVYQARSASNRHVDYFL YNR032C-A MIEVVVNDRLGKKVRVKCLAEDSVGDFKKVLSLQIGTQPNKIVL QKGGSVLKDHISLEDYEVHDQTNLELYYL YNR033W MLSDTIDTKQQQQQLHVLFIDSYDSFTYNVVRLIEQQTDISPGV NAVHVTTVHSDTFQSMDQLLPLLPLFDAIVVGPGPGNPNNGAQDMGIISELFENANGK LDEVPILGICLGFQAMCLAQGADVSELNTIKHGQVYEMHLNDAARACGLFSGYPDTFK STRYHSLHVNAEGIDTLLPLCTTEDENGILLMSAQTKNKPWFGVQYHPESCCSELGGL LVSNFLKLSFINNVKTGRWEKKKLNGEFSDILSRLDRTIDRDPIYKVKEKYPKGEDTT YVKQFEVSEDPKLTFEICNIIREEKFVMSSSVISENTGEWSIIALPNSASQVFTHYGA MKKTTVHYWQDSEISYTLLKKCLDGQDSDLPGSLEVIHEDKSQFWITLGKFMENKIID NHREIPFIGGLVGILGYEIGQYIACGRCNDDENSLVPDAKLVFINNSIVINHKQGKLY CISLDNTFPVALEQSLRDSFVRKKNIKQSLSWPKYLPEEIDFIITMPDKLDYAKAFKK CQDYMHKGDSYEMCLTTQTKVVPSAVIEPWRIFQTLVQRNPAPFSSFFEFKDIIPRQD ETPPVLCFLSTSPERFLKWDADTCELRPIKGTVKKGPQMNLAKATRILKTPKEFGENL MILDLIRNDLYELVPDVRVEEFMSVQEYATVYQLVSVVKAHGLTSASKKTRYSGIDVL KHSLPPGSMTGAPKKITVQLLQDKIESKLNKHVNGGARGVYSGVTGYWSVNSNGDWSV NIRCMYSYNGGTSWQLGAGGAITVLSTLDGELEEMYNKLESNLQIFM YNR034W MTTTVPKVFAFHEFAGVAEAVADHVIHAQNSALKKGKVSRSTQM SGTSLNGNGNTESKTMERVNSVRSNASSRGGSEDGATKKLKKEKERRFKIALSGGSLI QVLHEGLLKRDDVQWGKWDIYFADERLVPFSSSESNYGLAKRKIFDLIDTEKYGTPKI YHIDESLINDPQECADNYEKILIKGFAGRDSVKLPMFDLFLLGCAPDGHIASLFPNFQ ENLRENLAWVIPVENAPSGPSNRISLTIPVICHSHRVTFVVEGATKAPVIKTIMERPE KGLPSSIVNEGAAGRVSWFVDDDALKDVFVIKKKYKFYDDENLTE YNR034W-A MKSSIPITEVLPRAVGSLTFDENYNLLDTSGVAKVIEKSPIAEI IRKSNAELGRLGYSVYEDAQYIGHAFKKAGHFIVYFTPKNKNREGVVPPVGITN YNR035C MLHLQPQNLLIQKTLNEAIEALRKGSPLTMDRIVSDFDYTTYHI SNTAEDKSILLLSVKTKAWVSVSECQLDGSLTLLKFLADHYSSLGGVTIPSEVEPGYD YTLQITLAELVQESILQLSVLKTIILSFPFELAISKFIELSQQQPAPVEAEITGGEVA ANGDNTLFTIKYRDEENIFIKPSNDRVTIIFETIFQDETDKIFGKVFLQEFVDARKRN RQIQSAPQVLYSHEPPLELKRLYQPPKVAEQSRRFITFVLFPRHFQTKELQFHSICQL TLFRNYFHYHIKCSKAYMHSRMRFRVDSFIKVLNRAKVDEDDENDELSAEGRQQARRT FTGRKIVY YNR036C MLSRFMSNTWCTPLRQAQRLFSSTTTMQATLNQIKRGSGPPRRK KISTAPQLDQCPQRKGVVLRVMVLKPKKPNSAQRKACRVRLTNGNVVSAYIPGEGHDA QEHSIVYVRGGRCQDLPGVKYHVIRGAGDLSGVVNRISSRSKYGAKKPSKS YNR037C MQPAARLLSRSVWKGPNIVPLPIREAMTKGTPIRTNARAATILP QFVGLKFQIHNGKEYVPIEISEDMVGHKLGEFAPTRKRFSYTQTKNK YNR038W MFASRFDPSQLTAPAASAPEGIVGTTPPAIVPLKRQATESDNEE YGSHQDSDESSNSSSEEDEDRMQVDYGASEEDSSEVEEEESKPSTHSTVLSRFKQTVS LQERLGASDIAESKEDEGIEDEAASTHQLKQIPQPEFVKNPMNLNTNSLQFKSTGWLN TEKIYYDNSLIKPFSDYANELEAKLLQNICKNFSTNTFPIQSIILDSILPVLNFTLNV SKRNFTRRIGDILVNAATGSGKTLAYSIPIVQTLFKRQINRLRCIIIVPTKLLINQVY TTLTKLTQGTSLIVSIAKLENSLKDEHKKLSNLEPDILITTPGRLVDHLNMKSINLKN LKFLIIDEADRLLNQSFQGWCPKLMSHLKTDKLDTLPGNVIKMIFSATLTTNTEKLNG LNLYKPKLFLKQTDKLYQLPNKLNEFNINIPTAKSVYKPLILLYSICQFMAHSPIAAK ILIFVKSNESSIRLSKLLQLICESRSQSSVLKNLQNLAVSINSVNSNNSKAENKKIVA NFSHHSESAGITILITTDIMSRGIDINDITQVINYDPPMSSQQYVHRVGRTARANELG SAYNLLVGRGERTFFDDLNKDLDRDGKSVQPLELDFTLLESDSELYTSSLESLKNYHN NTAQA YNR039C METPQMNAIQEEDNLSPEVAFQTPKLNDSDASSFSLSNMNAVGN VDGIPSQNRTFFASPRPSSLFYSAKEGNNSSSSIIYNPSFTFGENASSNANINEAALM KGKGNEGRRQSLKYIPAPKLVPPPPRTRSPVRGISPDAGSSKRSSMTLDSPFNFTTST LQPHQQTPPSSAASRTSFRKGHRYKHSSVSMNFFQEPEVKIPLNIAKSLPIPDFNDLL SNLPWPKAYIQLSIAALQIFACLITFQVGHLYSWSNFITLSHFITYDIIGSLVIIFVE NLSQFQVWFTGTITFPFGLNRIDVLLSFALAVSLCFVGLDLLFHIIEEFIVLFVESGS SLTNNHDHDEINEQIPHSHIANANDSQNENITLWYSILMINLVLSTLSLYKTFYANKY SNLKTKNPIITITYTAYLFIYPLLLDLLSSISDYLATLVISSLILWHGLTIARWTSTV LLMGFSTTSLSNSALFNNNDSTDTTAHTQQVESKAAKEKPSVRPRSMSSLPIATKNTK IRKTGFLNSAGFTENPTTIKNMIKDQIERLSEFKSRYILNYDDIVISKVNFTLYVVLI KITMKGGSDDDELMLRLAIDKCIQTSIPTCETTIDIDRI YNR040W MTNMAAKNQFKGSSFTLAQLIEEVGRNGGKKPVFQYKVPRSIRW ASTALAVVFLTYGAAYTDMSWRTAREVYGNATEEEKHSPWFKCKTFGPVALGVLPVIL AAATKHVTSRLVTEMKYLPPLKNSTVPRCQLTRRTYLLGRPVSITREINELSKNKATK IFTGVGSQGMEDKATFVFFTVDEKAPSFFNKFYIFSRSGSVVKNDARILDCFFNSVAE NKLLNRSILTQILSHTSAKTLFHSGNSRSSIKNIVKPK YNR041C MFIWQRKSILLGRSILGSGRVTVAGIIGSSRKRYTSSSSSSSSP SSKESAPVFTSKELEVARKERLDGLGPFVSRLPKKWIPYAELMRLEKPVGTWLLYLPC SWSILMGAMMQGATLSATAGMLGIFGVGALVMRGAGCTINDFLDRKLDQRVIRSVERP IASGRVSPRRALVFLGAQTLVGMGVLSLLPAQCWWLGLASLPIVFTYPLFKRFTYYPQ AALSACFNWGALLGFPAMGVMSWPTMIPLYLSSYLWCMTYDTIYAHQDKKFDIKAGIK STALAWGPRTKSIMKAMSASQIALLAVAGLNSGLLWGPGFIGGLGVFAYRLFSMIKKV DLDNPKNCWKYFNANINTGLYFTYALAVDYILRLFGFL YNR043W MTVYTASVTAPVNIATLKYWGKRDTKLNLPTNSSISVTLSQDDL RTLTSAATAPEFERDTLWLNGEPHSIDNERTQNCLRDLRQLRKEMESKDASLPTLSQW KLHIVSENNFPTAAGLASSAAGFAALVSAIAKLYQLPQSTSEISRIARKGSGSACRSL FGGYVAWEMGKAEDGHDSMAVQIADSSDWPQMKACVLVVSDIKKDVSSTQGMQLTVAT SELFKERIEHVVPKRFEVMRKAIVEKDFATFAKETMMDSNSFHATCLDSFPPIFYMND TSKRIISWCHTINQFYGETIVAYTFDAGPNAVLYYLAENESKLFAFIYKLFGSVPGWD KKFTTEQLEAFNHQFESSNFTARELDLELQKDVARVILTQVGSGPQETNESLIDAKTG LPKE YNR044W MTLSFAHFTYLFTILLGLTNIALASDPETILVTITKTNDANGVV TTTVSPALVSTSTIVQAGTTTLYTTWCPLTVSTSSAAEISPSISYATTLSRFSTLTLS TEVCSHEACPSSSTLPTTTLSVTSKFTSYICPTCHTTAISSLSEVGTTTVVSSSAIEP SSASIISPVTSTLSSTTSSNPTTTSLSSTSTSPSSTSTSPSSTSTSSSSTSTSSSSTS TSSSSTSTSPSSTSTSSSLTSTSSSSTSTSQSSTSTSSSSTSTSPSSTSTSSSSTSTS PSSKSTSASSTSTSSYSTSTSPSLTSSSPTLASTSPSSTSISSTFTDSTSSLGSSIAS SSTSVSLYSPSTPVYSVPSTSSNVATPSMTSSTVETTVSSQSSSEYITKSSISTTIPS FSMSTYFTTVSGVTTMYTTWCPYSSESETSTLTSMHETVTTDATVCTHESCMPSQTTS LITSSIKMSTKNVATSVSTSTVESSYACSTCAETSHSYSSVQTASSSSVTQQTTSTKS WVSSMTTSDEDFNKHATGKYHVTSSGTSTISTSVSEATSTSSIDSESQEQSSHLLSTS VLSSSSLSATLSSDSTILLFSSVSSLSVEQSPVTTLQISSTSEILQPTSSTAIATISA STSSLSATSISTPSTSVESTIESSSLTPTVSSIFLSSSSAPSSLQTSVTTTEVSTTSI SIQYQTSSMVTISQYMGSGSQTRLPLGKLVFAIMAVACNVIFS YNR045W MHLKKGKRSISTVWRLLWKRFYSVNSKTNMHFSRSRKKPVTNFT RTNGLLLSCNGDTFPYLRTLWRYFNAPGNLMFVTTNIVAFMGIVTYNTLVTISSERAF EEQMMAAQVSLAKQREELETTALSLPRDIELRGEEDDIKWEQPDVAHVREDPLVEEQN AKLDTPIKQYTLGDLILNKRENVTDYDSQRAKASIFHMLYAYMLYRDVIQPTTMTQNN NSEEWRREVELLTKGKEVQGTHRRIDVFYDLWNKNFDKIVTSPEKVQNFQLPNWSKYP TILKFICTELHDNSLKTLGEFKQFYGKVRSNEVKKLLGLWLYDHSFLFPHNIYDNRTE EDFYDILINDSMQDNRIFQKYSSIVMNPYNERTQLFFPNVNSPSVNKPVPSISLETYT RLLKGYINLQETGCKYDYNDNIFKLISILKLNCFLQRNKKKHAGPTVRILLPRDEDRS QILGTISQAEKRTCYQILSKNRDVVALLKRISDIQADSS YNR046W MKFLTTNFLKCSVKACDTSNDNFPLQYDGSKCQLVQDESIEFNP EFLLNIVDRVDWPAVLTVAAELGNNALPPTKPSFPSSIQELTDDDMAILNDLHTLLLQ TSIAEGEMKCRNCGHIYYIKNGIPNLLLPPHLV YNR047W MAGHHHEHEQERDHEQEHEHDSLQRPTTGSERTRSISFSKLLTR SWKRNASSSNNMSVSSVNLYSDPENSRESDHNNSGSEGQSSRFSKLKSMFQSGNSSKN ASAHNSSQSSLEGDSASSSSKLRYVKPMTSVANASPASPPLSPTIPETDVLQTPKMVH IDQHEHEREHSNCGSPIMLSSSSFSPTVARTGTGRRRSPSTPIMPSQNSNNSSSTSAI RPNNYRHHSGSQGFSSNNPFRERAGTVRSSNPYFAYQGLPTHAMSSHDLDEGFQPYAN GSGIHFLSTPTSKTNSLTNTKNLSNLSLNEIKENEEVQEFNNEDFFFHDIPKDLSLKD TLNGSPSRGSSKSPTITQTFPSIIVGFDNEYEEDNNNDKHDEKEEQQTTTDNKTRNLS PTKQNGKATHPRIKIPLRRAASEPNGLQLASATSPTSSSARKTSGSSNINDKIPGQSV PPPNSFFPQEPSPKISDFPEPRRSRRLRTKSFSNKFQDIMVGPQSFEKIRLLGQGDVG KVFLVREKKTNRVYALKVLSKDEMIKRNKIKRVLTEQEILATSNHPFIVTLYHSFQSE DYLYLCMEYCMGGEFFRALQTRKTKCICEDDARFYASEVTAALEYLHLLGFIYRDLKP ENILLHQSGHIMLSDFDLSIQAKDSKVPVVKGSAQSTLVDTKICSDGFRTNSFVGTEE YIAPEVIRGNGHTAAVDWWTLGILIYEMLFGFTPFKGDNTNETFTNILKNEVSFPNNN EISRTCKDLIKKLLTKNESKRLGCKMGAADVKKHPFFKKVQWSLLRNQEPPLIPVLSE DGYDFAKLSSNKKRQTSQDSHKHLDEQEKNMFEERVEYDDEVSEDDPFHDFNSMSLME QDNNSMIYGNTNSYGKIAYTPNSNRSRSNSHRTFFKR YNR048W MGLILRWKEKKQLSSKQNAQKSRKPANTSFRQQRLKAWQPILSP QSVLPLLILMACVFAPIGIGLVVSTISVQRLVVNYTECDALAPAKHFETIPSEYVDYH FSKKVAVQPQWMVLTDPELGNQTCRIQFEVPNHIKKSTYVYYRLTNFNQNYREYVQSL DLDQLKGKALIGNDLDPNCDPLRTVENKTIFPCGLIANSMFNDTFGTTLTGVNDTADY LLTTKGIAWDTDSHRYGKTEYNASDIVPPPNWAKLFPNGYTDDNIPDLQNWEQFKIWM RTAALPNFYKLAMKNETNGLGKGIYIADIELNYPVRSFYGTKSFVLTTNSIIGAGNEA LGIVYLIVAGIATLFAILFLIKVIFKPRPMHDHSYLNFENSDTPFDESSVVSIPLREI L YNR049C MMSQVSHSQEGSGRFWNKFKSSTKSLSTSLAHLSIKAEKDGDTV NTTLVHKGLVKFYENQHPFQGFPGWLGEKEDLPNERKILDTQVKHDMKKQNSRHFSPS FSNRRKASSEDPMGTPSSNGNTPEYTPASKSFQDIYNNHTSSSSATPRRASSRPTRPS AGQEFRASLGRSKTSNSFNTSSTPTPPPDASSGVMAMKDRLKRRNNDYGF YNR050C MGKNVLLLGSGFVAQPVIDTLAANDDINVTVACRTLANAQALAK PSGSKAISLDVTDDSALDKVLADNDVVISLIPYTFHPNVVKSAIRTKTDVVTSSYISP ALRELEPEIVKAGITVMNEIGLDPGIDHLYAVKTIDEVHRAGGKLKSFLSYCGGLPAP EDSDNPLGYKFSWSSRGVLLALRNSAKYWKDGKIETVSSEDLMATAKPYFIYPGYAFV CYPNRDSTLFKDLYHIPEAETVIRGTLRYQGFPEFVKALVDMGMLKDDANEIFSKPIA WNEALKQYLGAKSTSKEDLIASIDSKATWKDDEDRERILSGFAWLGLFSDAKITPRGN ALDTLCARLEELMQYEDNERDMVVLQHKFGIEWADGTTETRTSTLVDYGKVGGYSSMA ATVGYPVAIATKFVLDGTIKGPGLLAPYSPEINDPIMKELKDKYGIYLKEKTVA YNR051C MGVTVQDICFAFLQNYYERMRTDPSKLAYFYASTAELTHTNYQS KSTNEKDDVLPTVKVTGRENINKFFSRNDAKVRSLKLKLDTIDFQYTGHLHKSILIMA TGEMFWTGTPVYKFCQTFILLPSSNGSTFDITNDIIRFISNSFKPYVLTDASLSQSNE ENSVSAVEEDKIRHESGVEKEKEKEKSPEISKPKAKKETVKDTTAPTESSTQEKPIVD HSQPRAIPVTKESKIHTETVPSSTKGNHKQDEVSTEELGNVTKLNEKSHKAEKKAAPI KTKEGSVEAINAVNNSSLPNGKEVSDEKPVPGGVKEAETEIKPIEPQVSDAKESGNNA STPSSSPEPVANPPKMTWASKLMNENSDRISKNNTTVEYIRPETLPKKPTERKFEMGN RRDNASANSKNKKKPVFSTVNKDGFYPIYIRGTNGLREEKLRSALEKEFGKVMRITAA DNFAVVDFETQKSQIDALEKKKKSIDGIEVCLERKTVKKPTSNNPPGIFTNGTRSHRK QPLKRKD YNR052C MQSMNVQPRVLAVGGEQFFSQRQASEQHQQQNMGPQVYSPKVNR ARMFPQGMPVNTINGSVNQEMNNAYLLKQKNEPLLTQQQQQQQQQQQPFNIGTPVSVA SLPPGLNVLQQQQQQQQQQQQQQQGVGLNRPLASQLPKHLTNQSMPPIFLPPPNYLFV RDVWKSNLYSEFAVIRQLVSQYNHVSISTEFVGTLARPIGTFRSKVDYHYQTMRANVD FLNPIQLGLSLSDANGNKPDNGPSTWQFNFEFDPKKEIMSTESLELLRKSGINFEKHE NLGIDVFEFSQLLMDSGLMMDDSVTWITYHAAYDLGFLINILMNDSMPNNKEDFEWWV HQYMPNFYDLNLVYKIIQEFKNPQLQQSSQQQQQQQYSLTTLADELGLPRFSIFTTTG GQSLLMLLSFCQLSKLSMHKFPNGTDFAKYQGVIYGIDGDQ YNR053C MGTGKKEKSRRIREGDTKDGNLRVKGENFYRDSKRVKFLNMYTS GKEIRNKKGNLIRAASFQDSTIPDARVQPDRRWFGNTRVISQDALQHFRSALGETQKD TYQVLLRRNKLPMSLLEEKDADESPKARILDTESYADAFGPKAQRKRPRLAASNLEDL VKATNEDITKYEEKQVLDATLGLMGNQEDKENGWTSAAKEAIFSKGQSKRIWNELYKV IDSSDVVIHVLDARDPLGTRCKSVEEYMKKETPHKHLIYVLNKCDLVPTWVAAAWVKH LSKERPTLAFHASITNSFGKGSLIQLLRQFSQLHTDRKQISVGFIGYPNTGKSSIINT LRKKKVCQVAPIPGETKVWQYITLMKRIFLIDCPGIVPPSSKDSEEDILFRGVVRVEH VTHPEQYIPGVLKRCQVKHLERTYEISGWKDATEFIEILARKQGRLLKGGEPDESGVS KQILNDFNRGKIPWFVLPPEKEGEEKPKKKEVEKTA YNR054C MSEKVNSDFEDFSSDEETDQHNVLIQTKKKISSKDDIFSKKVED IESENESDIEEEQKQEEKEDVEQPDKENGEKLDREVEEQASSTTSLDLKTEKLRQLVK SKAAKKSKHKTGVVYFSSIPPYMKPAKMRQILTRFGEVDRLFLKKEDDQKYKQRVKGG GNKKNKYEEGWAEFIRKRDAKLCAETLNGNIIGGKKGTFYHDDILNVKYLPGFKWADL TEQIARENDIRQAKLEMEISQANKLNAEFIRNVEQSKMIQNIKNSRKRAGKEGESADS HPHREFKQRRVETSRANAPSDIKQQSSGSKDLGNVLTNLL YNR055C MDKYTNRDHPDYIPGTFNIYSSQNLENGIIYESKLKKTSSGVVL IPQPSYSPNDPLNWSSWRKLAHFGLMAFITAFTAATSNDAGAAQDSLNEIYGISYDSM NTGAGVLFLGIGWSTLFLAPFANLYGRKITYIVCTTLGLFGALWFALAKRTSDTIWSQ LFVGISESCAEAQVQLSLSDIFFQHQLGSVLTVYIMCTSIGTFLGPLIAGYISAFTNF RWVGWVAVIISGGLLITIIFGCEETYFDRGQYMTPLTSCQSGYEDGTTLQNSDNTAVS RRKRHLDAKLSTPGAMGEKGVDLSETAEFEVNNEEEVTIPETRELIDGSKEHLKPYPK RVAILTKATNLKGYGFKQYFKYLKINLRMFLFPPVWLSGMFWGIQDVFLTFYLTTQES AYYEPPWNYSDFGVAIMNVPTLIGAVIGCICAGIVSDYFVLWMARHNRGILEAEFRLY FSIATAIIGPAGLLMFGIGTARQWPWQAIYVGLGFVGFAWGCSGDIAMAYLMDCYPDM VLEGMVCTAIINNTISCIFTFTCSDWLAASGTENTYIALAVINFGITAFALPMYYYGK RIRLWTKRWYLQSVNLRDGV YNR056C MNRVGAVFLFVYERNFFLSIVPDRHRTEIRMSSSERSEVKFDKH FNWWSLLGIAFSLSCSWVGISASMAVGIASGGPLLIIYGLIIAAFFSLMCGISLGDFA AILPNSSGGSFWVLKMLEQESVTLKTPEYEDPSDDDEEVFLENYCQTFNVEVSSKFQK VSSMVVGLLNYFGAIFTTASICSSLSMSCIGIHKLLHPDYELKHWHVFVGYECINAVL TLFNIYSTPLPYISQFGLYTSLLSFAMTFIICIVSRSDNTVDPWPKASNIFGSFDNQT GWNSSGMAFVVGLVNPIWAFVGIDSATHMIDEVGYSKSRFLVPKVIITTIIVGFVTSF IYCVGLFFCITDQTAVVESILPIVEIFYQATGNRNLSVFLQCMCITTGFVSGIASGTW QSRILQSFGKSYAPFYKEGSLGNKSLKKLAVLTPGFKSPLYAHFLSQICVTIIGCIFM GSSTAFNAIITACITLLLMSYAVPSFIFLFVIKKEKFIHRIESDVNCVSRPNRRRMSM IPHIICILWTLFCLVFLSFPYTLPVTAGNMNYTSVVYAVVFCIISIVVFPTCI YNR057C MNSKSQQQEQQPIVFVTGTDTDVGKTFVSTLLVHKWKAAYWKPV QTGIESDQGDSETLKNFKIAASTWQPPIFTPTYALQKPLSPLQAMEYEPNVDIRLLDF VVPEEWSAENPLVVEGAGGVCVPITRKLEITTDLIKHLIETSGHPVYVVVVARSGLGT LNHTLLTWNHLCDNGLRSHLFGVILNGEPNEGNVQALKKFGVNIMAQVAQCTTAHDQD MELHELPSVESLMTQQDVE YNR058W MSQEISYTPDVAELLDFDKKHIWHPYTSLSSPLNVYPVKSAHGC KLVLDTDSPVDVEVIDAMSSWWCVIHGYNNPELNEALTKQMLKFSHVLLGGFTHKGAV NLVQKLLKVIDEPSLQYCFLADSGSVAVEVALKMALQSNMSGEATKNRTKFLTIKNGY HGDTFGAMSVCDPENSMHHIYNDRLSENIFAQAPSIVDGLPTSQNGFEDHWNAEEVTD LKKQFELHSDKICAVILEPILQGAGGLRPYHPQFLIEVQKLCNQYDVLFIMDEIATGF GRTGEIFAFKHCQKYQDQHGISPSDQIKVVPDILCVGKGLTSGYMTMSAVVVNDKVAS RISSPNSPTGGCFMHGPTFMGNALACSVAEKSMDILLRGEWRKQVSAIENQIYRELYQ YIKNPDNGLIGTVVKRVSVIGAVGIVELYKKTDPEWFQKKFISKGVHIRPFNCLCYIM PPYVITTEELTKVNQVLIEVLHEWKSHINQ YNR059W MVLRIRRIKKLAPLIFTSLLSLIVLFRVYRQYPFSDHFETRRED DRSGNVHCFSRLRQIEEYEKPELTSKFYEPNRWKSFISYVTRSRKDVKTVSRSLSNLD LYQKCSKEIRADQDISLLHSIETKLFPYINFTALNSEQSHNFWPVHTRFDGTKYRGQV LQFSSENNSFIGTSPIEFKASEPFWENWLNSALQRNSKGVVMSVSEYLVADTIRLIRV LRLLNNSLPIEIVHKSDLHESSQQLLIAAARESGSLNYPPQELWFLDVKDMLNDEYLA RFKRFSNKWLAITFCSFQIPIFLDSDTVPFVPLDTLYEIDGFKRTGTLFFKDRSFPTS KLSPLQVKVLKQIINNSLDVSSDSEQGFEILKHNLNDEMAIDAIEALIFKKQKHYMDS GLVIFDKQKHFFCLPIAIMLQFSPIQEFFHGDKEWFWLSLFISKKEFTFYPIEASNVG RLEKPETLESSTICSTQLSHTDVYGNLLWLNGGLSVCKKNCWNYDFTKRKEIAAKYKS VDELRNYYQSPVKLEAVIIPDVSKSPWSQQSECVMYSYCTHYRKGQYGKLIEFTDSQK KYYEKVVELWNKVV YNR060W MLLVHIISFLLFFQLSAAKAPPSKTSLINTHERRSIYSCYVGLR KETWGFNGSAICRYEPAIQSMLYCLYEDTHEKGYSNKTLEKGFEEMRQFCYTPKFLNM TDAEFYTSLDNGTYYIQDQPKAGINITYPIRLNTTLRKAYYDAYYGYYYNHDIPYYFG GIICAYFVGVMLLAGLIRFLNYTPIKKIMFQQKLVNYVRGYTTLPTLYEKHAEPFSYL KVITGYLPTRFETLVILGYLILHTIFMAYKYQYDPYHIIFAAHRAEVAHFVAYRSGIL SFAHLPLIVLFAGRNNFLQLISGLKHTSFIVFHKWLGRMMFLDAIIHAAGFTNYYLYY KKWNTVRLRVYWKFGIATTCLAGMLIFFSIAAFRRHYYETFMALHIVFAALFLYTCWE HVTNFSGIEWIYAAIAIWGVDRIVRITRIALLGFPKADLQLVGSDLVRVTVKKPKKFW KAKPGQYVFVSFLRPLCFWQSHPFTVMDSCVNDRELVIVLKAKKGVTKLVRNFVERKG GKASMRLAIEGPYGSKSTAHRFDNVLLLAGGSGLPGPISHALELGKTTAASGKNFVQL VIAVRGLDMLNACKKELMALKGLNVQVHIYNSKQELASAEKISSNEVKNGETTAEKAP SSLSNSEKAPSESENTELPLSLNDTSISDLEFATFHVGRPNVEEILNESVNHSGSLAV VCCGPPIFVDTARNQTAKAVIRNPSRMIEYLEEYQAW YNR061C MLKLTTTSVTFHVLRYFQLGLSVTNLLLASFAIITNYKVDRILR LSLAVSIISSVYFGIVRFLPVLLIFVMEIVQTVLWFTAFVTLASKFGSMSCSSMPRGI NFDYSGSCKIAKIDILPEAVLFILFLATTYASYITVLSQAKENGSSTRSVLKACVKAL RDTVDRLETSLEESEPLLDLEVQEDARTETESIEDSTDSEDNANIEQEKVIDGSIEHS S YNR062C MSIAQDRGIVFKLLSIYRAAAGIFMALAQLIVIFFGYCDFKIKG YRIASYNAPTFASSFIILAVCLLLVVVLENPEVKVTNSENSLFSALKQFFRVERKKLI SCLILLWSMFLSSFIMSEVVYFMPLFLTLHVNWDTKFQGIAFMVASILGVTGSYFAPK LINVGCSCGRAKDGGLEESDTTGSETVEVKKKDSLYSGQVFLSIFALFVSLLGQAFMI GASEALKHKSMPPTNSGIFFSAGMSITLLGYNFLASSIPALFSMYIDPKLKVQLMPSI GAISGIGKLVAPIVLAALYGTRLGLSIAVGFGMILVAVSIPPLIWLRKKRC YNR063W MDRSKDARKRSISLACTVCRKRKLKCDGNKPCGRCIRLNTPKEC IYNIDKRKDKRKIKNGSKVFLFKNNTIDNGNNSILENKGLNEDLSSHIYEKEAPKFDS DIDISRFGTNDAVIFNNDGWDTSLPIDFDFDEFNTETTDFDDFLKLLGDNSPSKEQKS LSYSPTATGLSGVVKETESEDNAPTRSRLIDVLFENKLHSVPGISKWHLYELESQYPN LECTEGNSDEKFLLSTVLCLGSLTIRKRELLNHSNIDNRPLLPENSISKLTTDAFKYY NAAKTLVPDLLSHPTIDGFCGLVLMANFMTMMISLEHQLYLSINALQLAVALNLNNNT KCKELLESNSDGIGVILLFWNIWCSSCMLATIHGKNPFITLEQITTPLPCEISPRNKT NKLLIDFMQIRIKLATLQSKIFQRLYTSSTANEVPFVNLEREFEEVSLQITRLKGFPI FEEHLFYRSRVLMLELSCLRAQASFLLYRPYLITGESLQAVTMAKSIIHEIWSQYTKQ FPDNEKERHERLDWNFCYPLRTASLTLCISCIILLRYKQVVQFLKGTELFEYILALEI LQDLVQVLPIEQNLIDIIKYPISPVQLSGDSFVEFWGRILY YNR064C MSNIIARFHKIQVQDGVKVWYREAGAAGNPTILLLHGFPTSSNM FRNLIPLLAGQFHIIAPDLPGFGFTETPENYKFSFDSLCESIGYLLDTLSIEKFAMYI FDYGSPVGFRLALKFPSRITGIVTQNGNAYEEGLDDRFWGPLKEYWKSYQSDPVFVKS LIPYLEDPANVICQYHDGVPAIESVDPAAYTLDIALIQRTGQTDIQLRLFFDYQNNIK LYPAFQKFLRDSKIPVLVAWGANDTIFSVAGAEAYRKDVDNLKVVYYDTGHFALETHV VAIAEEIISMFAEN YNR065C MLMTGSVGDGSEFDWEDQKTFISRDGGLTWRFVHNSSGLYATGD LGNIIVYIPYDPEEDGDFQSEFYYSLDQGRTWNEYELTNAISSVHPYKLINPTPDGSG SKFIFKGTFATTDSETNSITSLKGVEYIIDFSAAFDSRTCEEEDFEDWDLADGKCVNG AKYKYRRRKQDAQCLVKKAFKDLSLDETPCNSCGESDYECSFEFVRDANGLCIPDYNL IAFSNICDKSKDKSVLVEPLQLIKGDECKTPMKIEPVDIPCDEIPEEGSSDREIVTTE NKFDFEIKFYQYFDTVADESLVMLNSIGDAYISHDGGQTIKRFDTNGEKIVEVVFNPY FNSSAYLFGSKGNIFSTHDRGHSFMIAKLPEARQLGMPLDFSAKAQDTFIYYGGKNCE SILSPECHAVAYLTKDGGETFTEMLDNAIHCEFAGTLFEYPSNEEMVMCQVKKKSSET RSLVSSIDFFQGDNKIIFENIIGYLSTGGYIIVAVPHEDNELRAYVTIDGTEFAEAKF PYGQDVSKQEAFTILGSEKGSIFLHLATNLESGHDFGNLLKSNSNGTSFVTLEHAVNR NTFGYVDFEKVQGLEGIIITNIVSNREKVGENKEDEQLKTKITFNDGSDWNFLKPPKK DSEGKKFPCDSVSLDKCSLHLHGYTERKDIRDTYSSGSALGMMFGVGNVGDKLLPYEE CSTFLTTDGGETWTEVKKGPHQWEYGDHGGVLVLVPENAETDSISYSTDFGKTWKDYK FCGDKVLVKDIITVPRDSALRFLLFGEAKNMGSGSFRTYTIDFRNIFERQCEFDITGK KRADFKYSPLGSRTGCLFGHQTEFLRKTDEKCFIGNIPLSEFSRNVKNCSCTRQDFEC DYNFYKASDGTCKLVKGLSSANGADICKKEPDLIEYYDSSGYRKIPLSTCKGGLKLDA HLAPHPCPGKEKAFREKYSINTGAYALVFVTILLVIFFAAWFVYDRGIRRNGGFSRFE EIRLGDDGLIENNRTDRVVNIIVRLGLCISLITKSAFQRTKAGVARFSSKLRARFGNR KGPTYSSLLQGQFSDESDGLHEDANDLSSFTSQDSNFEIEQEDAYRPEQEHTSQIDQP ATSNIPDALPARSAIHKPDSTAVRNEDE YNR066C MILLQVICTIWTCLFIPLLNAEEFVPKVTETLSEYSFSLESFDD SNSLIRLDNQVVWISSDSGENWEAVKEIEGHILELIVDPLHGQDRAFVSIHLSPKFYV TDDRGKSWRALTIPVSENCRLGTSCSIATHPTDKKYLIADCPCFINDNGYIQIQNETY FTNDGESFYNIEPSLKKKEDDHITSSSCNFVKSSKDSDIEGNDASILCLFSNHGYDSD RHLSAAYTQLALSTDGGKTFKKFDEFNDKIIYQYKILKSHIIVSTQDDRYNEMSPMDI WISNDASTFQKARLPAQVRHVHMYGIYEDSIGRIIIPISTIFTDEKNDQPAPSEILIS DSQGLKFLPVEWTINPHFGYIDIASPHFLEGTIIGSFHPSFDYSHNKGKYNKKIARYE TKISVDNGLTWSNLKVVDEENADSFPCDITRPERCSLQNPFYSI YNR067C MQLYLTLLFLLSFVECSYISFISNNADEILETDLIETLSYATLT VGEPYVAQSVVVTRVSAASHSPLSVSPKNRVSASPINSQDSDSNTRTAVQLSLSLSNY ASQVSQKISAQTNNDPVTVSNIYANDNSKSKSSVHNLSSVSGVASVMPSASTMRKVTT LLSQTASTSTSTLFSSSLSISGTQLNGTLLTSVSKGTIDPLVTQMPSYSSQETKIIPS SLTSNKTIYTISVRTNAATATGEDSFIASTPASSTLFYPSNSTQDLVQTLASTTASPA YPSNRTQITLSPSVSLYSTTSPIYPSNITENGSSPSPSLSSTVSPVYPSSSTGNILLS SLFSTVDSSSSPVSSTLDTIYVSSSMQATISSSSSSRQTKTSSSSLSTSTSSTATTTE NSSTTTIVNLFNAVSTDEPPTVFDRSPNPMSLADGVSNDGPIQTNKFYTNLIVGSQES PAFVYPYSLWKYTSSSYGFAVQHTTVDQYSYGGYDSSGNAEYLVNPLGIAHVVFSASN FDSSMTMQVDEMTLSSTRVVLSESNDSSNYLEIPLVQGMGFATGIYHGSLNAKIGSSV GFNTIVSESSSNLAQGILKYRITLLNGVTWLCYVIGPDDLTSTDFSLEVSSEYEIKAS ASVDGLIIQLAVAPSETDYEVFYDQAAGMYVTNFKLQGVSDGSTATYEFSYTTQGESA SGSTMIFALPHHESSFSDIMQDYYTGIQLASTTKGVMNGYLTTSLQFSTSLNRQISWL PWSSQLGSNLLEYSKEQLQLLAEVANSELQVSISESISGLNTYYLGKVIDKYSYILLT VSEIIQDEASTKSTLENIKSAFDILLQNEQTYPLIYDTKFNGLVSSGDWGSTSTQYDF GNTYYNDHHFHYGYIIHAAAVIGYVDSKLNGTWAADNKDWVNSLVRDVANPSEKDEYF AQSRMFDWFNGHSWAAGLYENGNGKNEESSSEDYNFAYAMKLWGATIGDQSMELRGDL MISIMKDAMNDYFYYQNDNTVEPEEIIGNKVSGILFDNIIDYTTYFGTNTEYIHGIHM LPITPVSSNIRSETFVEEEWQTKIEPIIESIESGWTGILKLNQALFDPVDSYAFFSDS TFDSSTYLDNGMSRTWALAFSGGLANSIA YNR068C MRNRQNQKNWTNLRGLLSVEEREKLENLRLELVCMQAANSIPHD PPEISSLETELICLTTNTKDCKPVRFHSDLLLKKHKYNEIKKIFKEILENIEAYRDEF TKNQTKINLLLADDARASLRNRSLDFSDLMPSSIIKDVQVLANMEANVVVMKNALKTK LVGEKSVPVASSPISSIIPRTSRNKKTSPSNYHHSVLSHRKSNEWNQVSSTEYKRTLL LNIKYNDDFKATIVPSFESCLCSRSYFLRVKLHFDKGVGSAEIDIPVQVKNSFI YNR069C MQESKEPQNKFEGCQRISSSSSTLFGGTSFEEPRCGTSQGKEED AFACNNGDHCSSITNVQEDDFVLPELLPSFEMYENLLSNIPQSSFDTYFPENPPFYEV ASRNQSIPSEGESGNDMRILTGDIVGPDNHEVTVDGRRFASGPAESQIRNYDDTKGIP VENIYALPRIKTPIATELYVTKTAPKFGQLPKHESMLREYTSGDIIHGYFTVENKSTK PIKFDMFYLTLEGTTSSKTQSPFGIQKTTERILRMVDMAASWSYNHEDVNTGEDLCGF FDSIDKTSFGLPNSRILNPGDKRKKFFTFKIPNQLLDVTCKHGHFSHSLLPPTLGFDR PSSSHPELSTLKFSESLGYGRLSERGSSLWLNDSSSGSLINYSINAMIVGKDVASGRV CLMSEKKYSIRIVPFGFQNNPISREKCLKDLEDFDIEIANRLGMIEKVFSKIERAIPI HKEDIQEANRSDQLSPLRGKYEWNAVAGNTENGTLKKKH YNR070W MECVSVEGLDSSFLEGQTFGDILCLPWTIIKGIRERKNRNKMKI ILKNVSLLAKSGEMVLVLGRPGAGCTSFLKSAAGETSQFAGGVTTGHISYDGIPQKEM MQHYKPDVIYNGEQDVHFPHLTVKQTLDFAISCKMPAKRVNNVTKEEYITANREFYAK IFGLTHTFDTKVGNDFISGVSGGERKRVSIAEALAAKGSIYCWDNATRGLDSSTALEF ARAIRTMTNLLGTTALVTVYQASENIYETFDKVTVLYAGRQIFCGKTTEAKDYFENMG YLCPPRQSTAEYLTAITDPNGLHEIKPGFEYQVPHTADEFEKYWLDSPEYARLKGEIQ KYKHEVNTEWTKKTYNESMAQEKSKGTRKKSYYTVSYWEQIRLCTIRGFLRIYGDKSY TVINTCAAIAQAFITGSLFYQAPSSTLGAFSRSGVLFFSLLYYSLMGLANISFEHRPI LQKHKVYSLYHPSAEALASTISSFPFRMIGLTFFIIILYFLAGLHRSAGAFFTMYLLL TMCSEAITSLFQMVSSLCDTLSQANSIAGVVMLSIAMYSTYMIQLPSMHPWFKWISYI LPIRYAFESMLNAEFHGRHMDCGGTLVPSGPGFENILPENQVCAFVGSRPGQSWVLGD DYLRAQYQYEYKNTWRNFGIMWCFLIGYIVLRAVFTEYKSPVKSGGDALVVKKGTKNA IQRSWSSKNDEENLNASIATQDMKEIASSNDDSTSADFEGLESTGVFIWKNVSFTIPH SSGQRKLLDSVSGYCVPGTLTALIGESGAGKTTLLNTLAQRNVGTITGDMLVDGLPMD ASFKRRTGYVQQQDLHVAELTVKESLQFSARMRRPQSIPDAEKMEYVEKIISILEMQE FSEALVGEIGYGLNVEQRKKLSIGVELVGKPDLLLFLDEPTSGLDSQSAWAVVKMLKR LALAGQSILCTIHQPSATLFEQFDRLLLLGKGGQTIYFGEIGKNSSSVIKYFEKNGAR KCQQNENPAEYILEAIGAGATASVQQNWPDIWQKSHEYANINEKINDMIKDLSSTTLH KTATRASKYATSYSYQFHHVLKRSSLTFWRNLNYIMAKMMLLMISGLFIGFTFFHVGV NAIGLQNSLFACFMAIVISAPATNQIQERATVAKELYEVRESKSNMFHWSLLLITHYL NELPYHLLFSTIFFVSSYFPLGVFTEASRSSVFYLNYAILFQLYYIGLALMILYMSPN LQSANVIVGFILSFLLSFCGAVQPASLMPGFWTFMWKLSPYTYFLQNLVGLLMHDKPV RCSKKELSLFNPPVGQTCGEFTKPFFEFGTGYIANPDATADCAYCQYKVGDEYLARIN ASFSYLWRNFGFI YNR071C MSNSNGDNKYGVITIGDEKKFQATIAPLGATLVDLKVNGQSVVQ GYSNVQDYLTDGNMMGATVGRYANRIAKGVFSLDDGPHKLTVNNCGNTNHSSISSLNL KQYKASPVENPSKGVYVVEFKLLDDHTQPNPNEFPGDLEVTVKYTLNVAEMTLDMEYQ AQLVRGDATPINMTNHSYFNLNKVKSEKSIRGTEVKVCSNKSLEVTEGALLPTGKIIE RNIATFDSTKPTVLHEDTPVFDCTFIIDANKDLKTTDSVSVNKLVPVFKAYHPESHIK FEVSTTEPTVHLYTGDNLCGKFVPRSGFAVQQGRYVDAINRDEWRGCVLLKRGEVYTS KTQYKFDI YNR072W MQSSTESDRDIQDGPDADIHVAPPVEKEWSDGFDDNEVINGDNV EPPKRGLIGYLVIYLLCYPISFGGFLPGWDSGITAGFINMDNFKMNFGSYKHSTGEYY LSNVRMGLLVAMFSIGCAIGGLIFARLADTLGRRLAIVIVVLVYMVGAIIQISSNHKW YQYFVGKIIYGLGAGGCSVLCPMLLSEIAPTDLRGGLVSLYQLNMTFGIFLGYCSVYG TRKYDNTAQWRVPLGLCFLWTLIIIIGMLLVPESPRYLIECERHEEARASIAKINKVS PEDPWVLKQADEINAGVLAQRELGEASWKELFSVKTKVLQRLITGILVQTFLQLTGEN YFFFYGTTIFKSVGLTDGFETSIVLGTVNFFSTIIAVMVVDKIGRRKCLLFGAAGMMA CMVIFASIGVKCLYPHGQDGPSSKGAGNAMIVFTCFYIFCFATTWAPVAYIVVAESFP SKVKSRAMSISTACNWLWQFLIGFFTPFITGSIHFYYGYVFVGCLVAMFLYVFFFLPE TIGLSLEEIQLLYEEGIKPWKSASWVPPSRRGIPSEESKTEKKDWKKFLKFSKGSD YNR073C MTKSDETTATSLNAKTLKSFESTLPIPTYPREGVKQGIVHLGVG AFHRSHLAVFMHRLMQEHHLKDWSICGVGLMKADALMRDAMKAQDCLYTLVERGIKDT NAYIVGSITAYMYAPDDPRAVIEKMANPDTHIVSLTVTENGYYHSEATNSLMTDAPEI INDLNHPEKPDTLYGYLYEALLLRYKRGLTPFTIMSCDNMPQNGVTVKTMLVAFAKLK KDEKFAAWIEDKVTSPNSMVDRVTPRCTDKERKYVADTWGIKDQCPVVAEPFIQWVLE DNFSDGRPPWELVGVQVVKDVDSYELMKLRLLNGGHSAMGYLGYLAGYTYIHEVVNDP TINKYIRVLMREEVIPLLPKVPGVDFEEYTASVLERFSNPAIQDTVARICLMGSGKMP KYVLPSIYEQLRKPDGKYKLLAVCVAGWFRYLTGVDMNGKPFEIEDPMAPTLKAAAVK GGKDPHELLNIEVLFSPEIRDNKEFVAQLTHSLETVYDKGPIAAIKEILDQV YNR074C MTINTKNIVVVGAGVFGVSVANHLYRELGGTYAIKLVTASNYVY FLPSAVRLTVSKDYTKSILPLKNVLDSGIEVIKDTAASFDDKEVVLGSDRAIKFDILV LATGSKWADPIGSTYTFGDNYKEYFEREASRISDADHILFLGGGFVNCELAGELLFKY LEEIRSGKKRISIIHNSDKLLPDSGLYNDTLRKNVTDYLSKNGITLYLNTVGASLDTS PKRIFLGEGSSKYIDADLIYRGVGISPNVPVNSISDLCDKKGFIQVEKNFRVKAVEAG NVFAIGDVTNFRYHGLVKRDNWVDVLTRNVISSLQEGTEASLVDADCLETGHAPSGVS LGPNAGFGQFPLPLLGTINIPSFLISRAKSKNLFSDKMEPLFKK YNR075W MGEEIRLLSSKGLETHSSFCLPEDIFRNRLTWLCSEIRNSGFWI WSLSWLPLAVWWGMASTWFYPLLTSVVLILALIAILPVVQIQYSKYTLSNQLTQLSKE IIKSAPGAYSADWDAVAIHFNSYLYENKAWKTAHFFFNGTDCQEAFRKTILEPAVLRR QNEDPRFSSIEILVPYTEDAVQVYFTKVNAQWRLIHGKKECKLTMLENVKLPKETYRC KLAWSCQRIRISFSPLDFLPDMSDFFICANLSPACLVSYWLIDIFFRMIDDFQNIRPK SMKVDDKMQYLSDIINEQGASPEKWDTIARKTNTRLFEKRVWKNEEFFFDGTDCQAFF ERNFSSLLFSKKSASPRSLNVELWKYIQEAQLSCNYEPLP YNR075C-A MPIIGVPRCLENPFCAPAKFPLSVKKKIRI YNR076W MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSTRL KPAISKALSKDGIYTIAN YNR077C MHGTCLSGLYPEPFTHNSHDYPHFNIYISFGGPKYCITALNTYV IPLLHHILTTQFIHTYFNIPTKSPPKSPKHKNYLSFNFTK YOL166W-A MHGACLSGLYPLPFTHKFHDYLHFNIYISFGGPKYCITALNTYV ILFYTVY YOL165C MARHFGMALAPWDVMGGGRFQSKKAMEERRKNGECIRSFVGASE QTDAEIKISEALAKVAEEHGTESVTAIAIAYVRSKAKNVFPSVEGGKIEDLKENIKAL SIDLTPDNIKYLENVVPFDIGFPNTFIVLNSLTQKYGTNNV YOL164W-A MFAKLYRGLTYVTNKILSTLLSHHSIHYCINSFKPTTLAIIILL HPCCRCNVYIIRCIHH YOL164W MIGAFKRNRGSSQSFAKECQPSTLKANLEVAKELPFSDRRDFED ATQGYIGSLSDEQIIGPDGGVVWCMKSYGFLEPETPANTVNPSLWRQAQLNAIHGLFK ITDNVYQVRGLDISNMTIIEGNTSLIIIDTLFTTETAQESLKLYYRHRPQKPVRTVIY THSHSDHYGGVKGIVKEADVKSGEVQIIAPVGFMESVVAENILAGNAMHRRSQYQFGM LLSPSVKGHVDCGIGKAASHGTVTLIAPTIIIEEPVEERTIDGVDFVFQLAPGSEAPS EMLIYMPQQRVLNMAEDVTHHMHNLYALRGVEVRDGNQWAKYIDAARVAFGSKTDVLI AQHHWPTTGQMRINELLKKQRDMYKFIHDQTLRLLNQGYTSRDIAETLRMPSSLEQEW STRGYYGTLSHNVKAVYQKYLGWYDANPANLNPLPPVAYAKKAVEYMGGADAVLARAY KDFQKGEFRWVASVVNQLVFADPNNHQARELCADALEQLGYQAEASTWRNAYLVGAME LRQGVPKRRSTGKRNNIAVLNNEMFFDFLAVRLNATKAEGKIIVSNWCFINSNERFVI TLENCALTYIQGWQTDADATITLKRTTFEALLANEITMVDFLRSKEVEIEGNRLRIEE LLKLFDDFDQSFPVVEPMGGST YOL163W MIAWSLVATLQCKMTGKSSFYTCRALMGLFEGGFVADLVLWMSY FYSSSELSIRLSFFWVTLSLTQIITSIVAFGVFHMRGIGGMAGWQWLFLIERIFTLVI GISAYFLMVPSVVQTKKPWSKKGWFTEREEKIIVNKILRDDPTKGDMNNRQGMSLKML WQGITDYYI YOL162W MGLLAYIPTNVLATYLTLVLRSIGFTTFQANLLAIPNFVLHILL LFGLTWSTEKCNNRLGLSLLQPLYTVPLLAVLRFWKGTMFNKWGTYAIITLILDNPYI HAICVSLCSRNSQSVKTRTVSTCLYNMFVQAGLIISSNIYAKSDAPLYRKGNGVLFGL ALFMFPILIGSKLIYVYINKQRDKRWNAMSEEEKDHYLSTTSDAGSRRLDFRFYH YOL161C MVKLTSIAAGVAAIAAGASATTTLAQSDERVNLVELGVYVSDIR AHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSTRL KPAISKALSKDGIYTIAN YOL160W MENIAFICLQSCTRGIYGCQFYSATLENYHNISFPIFLLQTTLF NHCISLNWSKAVFNRIKRRKYMMELKKHCYNYQVSRIGNRKKNGCFFLKMANNRVFSV KNSPRLLLFFI YOL159C-A MQYCELDLSGQWLDTVYCEENFSDFVFIKFLNPSQFEEKIYCYT LHITKRTLENKRLLLYYEDEFKKHGHDINELVGDGIILRSCWNPRQ YOL159C MVPLFGLFCIFSQLYSLCSAYVDITSGYQVFFNLPTNMTNNQIC WLFQASYYDIYSDKSGRTLRTGRFEPGDQQSLIYRDTLVELEAITDSYEYSNLDLSTY NGPEPYNSETDYCTDIMDLVMRVYDEEGHYVHPVANNSTNACAHPTPPTLNNLLISNY SDGRNYKESSI YOL158C MLETDHSRNDNLDDKSTVCYSEKTDSNVEKSTTSGLRRIDAVNK VLSDYSSFTAFGVTFSSLKTALLVALFLQGYCTGLGGQISQSIQTYAANSFGKHSQVG SINTVKSIVASVVAVPYARISDRFGRIECWIFALVLYTIGEIISAATPTFSGLFAGIV IQQFGYSGFRLLATALTGDLSGLRDRTFAMNIFLIPVIINTWVSGNIVSSVAGNVAPY KWRWGYGIFCIIVPISTLILVLPYVYAQYISWRSGKLPPLKLKEKGQTLRQTLWKFAD DINLIGVILFTAFLVLVLLPLTIAGGATSKWREGHIIAMIVVGGCLGFIFLIWELKFA KNPFIPRVYLGDPTIYVALLMEFVWRLGLQIELEYLVTVLMVAFGESTLSAQRIAQLY NFLQSCTNIVVGIMLHFYPHPKVFVVAGSLLGVIGMGLLYKYRVVYDGISGLIGAEIV VGIAGGMIRFPMWTLVHASTTHNEMATVTGLLMSVYQIGDAVGASIAGAIWTQRLAKE LIQRLGSSLGMAIYKSPLNYLKKYPIGSEVRVQMIESYSKIQRLLIIVSISFAAFNAV LCFFLRGFTVNKKQSLSAEEREKEKLKIKQQSWLRRVIGY YOL157C MTISSAHPETEPKWWKEATIYQIYPASFKDSNNDGWGDMKGIAS KLEYIKELGADAIWISPFYDSPQDDMGYDIANYEKVWPTYGTNEDCFALIEKTHKLGM KFITDLVINHCSSEHEWFKESRSSKTNPKRDWFFWRPPKGYDAEGKPIPPNNWRSYFG GSAWTFDEKTQEFYLRLFCSTQPDLNWENEDCRKAIYESAVGYWLDHGVDGFRIDVGS LYSKVAGLPDAPVIDENSKWQPSDPFTMNGPRIHEFHQEMNKFIRNRVKDGREIMTVG EMQHATDETKRLYTSASRHELSELFNFSHTDVGTSPKFRQNLIPYELKDWKVALAELF RYVNGTDCWSTIYLENHDQPRSITRFGDDSPKNRVISGKLLSVLLVSLSGTLYVYQGQ ELGEINFKNWPIEKYEDVEVRNNYDAIKEEHGENSKEMKRFLEAIALISRDHARTPMQ WSREEPNAGFSGPNAKPWFYLNESFREGINAEDESKDPNSVLNFWKEALRFRKAHKDI TVYGYDFEFIDLDNKKLFSFTKKYDNKTLFAALNFSSDSIDFTIPNNSSSFKLEFGNY PRSEVDASSRTLKPWEGRIYISE YOL156W MSGVNNTSANELSTTMSNSNSAVGAPSVKTEHGDSKNSLNLDAN EPPIDLPQKPLSAYTTVAILCLMIAFGGFIFGWDTGTISGFVNLSDFIRRFGQKNDKG TYYLSKVRMGLIVSIFNIGCAIGGIVLSKVGDIYGRRIGLITVTAIYVVGILIQITSI NKWYQYFIGRIISGLGVGGIAVLSPMLISEVAPKHIRGTLVQLYQLMGTMGIFLGYCT NYGTKNYHNATQWRVGLGLCFAWATFMVSGMMFVPESPRYLIEVGKDEEAKRSLSKSN KVSVDDPALLVEYDTIKAGIELEKLAGNASWSELLSTKTKVFQRVLMGVMIQSLQQLT GDNYFFYYGTTIFKSVGLKDSFQTSIIIGVVNFFSSFIAVYTIERFGRRTCLLWGAAS MLCCFAVFASVGVTKLWPQGSSHQDITSQGAGNCMIVFTMFFIFSFATTWAGGCYVIV SETFPLRVKSRGMAIATAANWMWGFLISFFTPFITGAINFYYGYVFLGCLVFAYFYVF FFVPETKGLTLEEVNTMWLEGVPAWKSASWVPPERRTADYDADAIDHDNRPIYKRFFS S YOL155W-A MFYGSFNKCVTGYSCRMAIHYYVYRIIKSATRPDYKSNTQILVL YOL155C MFNRFNKLQAALALVLYSQSALGQYYTNSSSIASNSSTAVSSTS SGSVSISSSIELTSSTSDVSSSLTELTSSSTEVSSSIAPSTSSSEVSSSITSSGSSVS GSSSITSSGSSVSSSSSATESGSSASGSSSATESGSSVSGSSTSITSGSSSATESGSS VSGSTSATESGSSASGSSSATESGSSASGSSSATESGSSVSGSSSATESGSSVSGSSS ATESGSASSVPSSSGSVTESGSSSSASESSITQSGTASGSSASSTSGSVTQSGSSVSG SSASSAPGISSSIPQSTSSASTASGSITSGTLSSITSSASSATATASNSLSSSDGTIY LPSTTISGDITLTGSVIATEAVEVAAGGKLTLLDGDKYVFSADFIIHGGVFVEKSKPT YPGTEFDISGENFDVSGTFNAEEPAASSASAYSFTPGSFDNSGDISLSLSESTKGEVT FSPYSNSGAFSFSNAILNGGSVSGLQRRAESGSVNNGEINLENGSTYVVVEPVSGSGT INIISGNLYLHYPDTFTGQTVVFKGEGVLAVDPTETNTTPIPVVGYTGENQIAITADV TALSYDSATGVLTATQGNSQFSFSIGTGFSSSGFNVSEGTFAGAYAYYLNYGGVVASS ATPSSTSTTSGATNSTSGSTSFGASVTGSTASTSFGASVTGSTASTLISGSPSVYTTT LTYATTTSTVVVSCSETTDSNGNVYTITTTVPCSSTTATITSCDETGCHVTTSTGTVA TETVSSKSYTTVTVTHCDNNGCNTKTVTSECPEETSATTTSPKSYTTVTVTHCDDNGC NTKTVTSEAPEATTTTVSPKTYTTATVTQCDDNGCSTKTVTSEAPKETSETSETSAAP KTYTTATVTQCDDNGCNVKIITSQIPEATSTVTATSASPKSYTTVTSEGSKATSLTTA ISKASSAISTYSKSAAPIKTSTGIIVQSEGIAAGLNANTLNALVGIFVLAFFN YOL154W MKFSSGKSIIFATIASLALSAPVTYDTNSTAELQSPSSQEILGW SHATFPTIYQTCNETNARMLNAAFKDTAEITAYGKDRLLNYGVDDVYYKRWFGNGSIF TVMGVFEQLMEASKGAMLMRCDDIDGLCAANPNYYAGHHRQSAPAETVICDYFYTSKK PLSTICFEGTIVDVGPKHYAGIDMLHRYLHVPTMSMDGYVGEYAETLEEVVDYTQNNA TYAVRNTDNYLYYLADVYSASVIPGGCLGNL YOL152W MIEERDLVLSNGIHCIADIHSELYARLKKESQAATPWVYQKQYG KFVTYFVAVIIFLSLIKKLAFMYYDSSEEFLPEKKNSPTTPSVFLARIMTKLVAFNRY ICYRKFPTLIFSYLGIPTSVGTFLVVMATTLYTLLYCFVPHPFYRPCAGFGSPPLSVR AGIMAISLVPFVFSLSGKINVIGWLVGLSYEKINIYHQWASILCLFFSWVHVIPFLRQ ARHEGGYERMHQRWKASDMWRSGVPPILFLNLLWLSSLPIARRHFYEIFLQLHWILAV GFYISLFYHVYPELNSHMYLVATIVVWFAQLFYRLAVKGYLRPGRSFMASTIANVSIV GEGCVELIVKDVEMAYSPGQHIFVRTIDKGIISNHPFSIFPSAKYPGGIKMLIRAQKG FSKRLYESNDDMKKILIDGPYGGIERDIRSFTNVYLICSGSGISTCLPFLQKYGPILH KTNLEVITLDWVVRHREDISWIRDEMCTLSNNLRQLFLDGKIVVRIYVCSDSTVPGII KTFPQTIDTASDQSDLAKREKDTEFGQDDTESNSTFDKSNNEYKGLITIIPSKPDLNQ VINDYQIGFRNCFICSGSDSLRYTVGNSVAGLQAKVFSNKNVEECYLHSESFGY YOL151W MSVFVSGANGFIAQHIVDLLLKEDYKVIGSARSQEKAENLTEAF GNNPKFSMEVVPDISKLDAFDHVFQKHGKDIKIVLHTASPFCFDITDSERDLLIPAVN GVKGILHSIKKYAADSVERVVLTSSYAAVFDMAKENDKSLTFNEESWNPATWESCQSD PVNAYCGSKKFAEKAAWEFLEENRDSVKFELTAVNPVYVFGPQMFDKDVKKHLNTSCE LVNSLMHLSPEDKIPELFGGYIDVRDVAKAHLVAFQKRETIGQRLIVSEARFTMQDVL DILNEDFPVLKGNIPVGKPGSGATHNTLGATLDNKKSKKLLGFKFRNLKETIDDTASQ ILKFEGRI YOL149W MTGAATAAENSATQLEFYRKALNFNVIGRYDPKIKQLLFHTPHA SLYKWDFKKDEWNKLEYQGVLAIYLRDVSQNTNLLPVSPQEVDIFDSQNGSNNIQVNN GSDNSNRNSSGNGNSYKSNDSLTYNCGKTLSGKDIYNYGLIILNRINPDNFSMGIVPN SVVNKRKVFNAEEDTLNPLECMGVEVKDELVIIKNLKHEVYGIWIHTVSDRQNIYELI KYLLENEPKDSFA YOL148C MSANSPTGNDPHVFGIPVNATPSNMGSPGSPVNVPPPMNPAVAN VNHPVMRTNSNSNANEGTRTLTREQIQQLQQRQRLLLQQRLLEQQRKQQALQNYEAQF YQMLMTLNKRPKRLYNFVEDADSILKKYEQYLHSFEFHIYENNYKICAPANSRLQQQQ KQPELTSDGLILTKNNETLKEFLEYVARGRIPDAIMEVLRDCNIQFYEGNLILQVYDH TNTVDVTPKENKPNLNSSSSPSNNNSTQDNSKIQQPSEPNSGVANTGANTANKKASFK RPRVYRTLLKPNDLTTYYDMMSYADNARFSDSIYQQFESEILTLTKRNLSLSVPLNPY EHRDMLEETAFSEPHWDSEKKSFIHEHRAESTREGTKGVVGHIEERDEFPQHSSNYEQ LMLIMNERTTTITNSTFAVSLTKNAMEIASSSSNGVRGASSSTSNSASNTRNNSLANG NQVALAAAAAAAAVGSTMGNDNNQFSRLKFIEQWRINKEKRKQQALSANINPTPFNAR ISMTAPLTPQQQLLQRQQQALEQQQNGGAMKNANKRSGNNATSNNNNNNNNLDKPKVK RPRKNAKKSESGTPAPKKKRMTKKKQSASSTPSSTTMS YOL147C MVCDTLVYHPSVTRFVKFLDGSAGREKVLRLLQYLARFLAVQNS SLLARQLQAQFTTVRKFLRFLKPLNHLQAAAKFYDNKLASDNVVRVCNVLKNIFFAAY LSLDQVNLLRILKVIPVTVLTGKKIPRWSNWCWLFGLLSGLAMDLRKIQTSHAQIAAF VKAKSQSQGDEHEDHKKVLGKAYQDRYTALRRLFWDAADSFIVLNNLGYLSSNEEYVA LSGVVTSILGMQDMWKAT YOL146W MGYYDIDDVLADGTEFPCKFQYDIPGLGYLENNPGRPITKNTKL SLPLWLARILAIVGGDEALVDEEPVPFVELLPPDMFSTKVMNAIKTDPVALDLHSINS HFFSLAIKWIMLFSEKELANVVSELLLQRAQELNHHASSLSIDLNADSTGKNSANTNI ATSTFLLKLEEMEKEIYKKSHESYKDTKRWMFKK YOL145C MTNAMKVEGYPSMEWPTSLDIPLKASEELVGIDLETDLPDDPTD LKTLLVEENSEKEHWLTIALAYCNHGKTNEGIKLIEMALDVFQNSERASLHTFLTWAH LNLAKGQSLSVETKEHELTQAELNLKDAIGFDPTWIGNMLATVELYYQRGHYDKALET SDLFVKSIHAEDHRSGRQSKPNCLFLLLRAKLLYQKKNYMASLKIFQELLVINPVLQP DPRIGIGLCFWQLKDSKMAIKSWQRALQLNPKNTSASILVLLGEFRESFTNSTNDKTF KEAFTKALSDLNNIFSENQHNPVLLTLLQTYYYFKGDYQTVLDIYHHRILKMSPMIAK IVLSESSFWCGRAHYALGDYRKSFIMFQESLKKNEDNLLAKLGLGQTQIKNNLLEESI ITFENLYKTNESLQELNYILGMLYAGKAFDAKTAKNTSAKEQSNLNEKALKYLERYLK LTLATKNQLVISRAYLVISQLYELQNQYKTSLDYLSKALEEMEFIKKEIPLEVLNNLA CYHFINGDFIKADDLFKQAKAKVSDKDESVNITLEYNIARTNEKNDCEKSESIYSQVT SLHPAYIAARIRNLYLKFAQSKIEDSDMSTEMNKLLDLNKSDLEIRSFYGWYLKNSKE RKNNEKSTTHNKETLVKYNSHDAYALISLANLYVTIARDGKKSRNPKEQEKSKHSYLK AIQLYQKVLQVDPFNIFAAQGLAIIFAESKRLGPALEILRKVRDSLDNEDVQLNLAHC YLEMREYGKAIENYELVLKKFDNEKTRPHILNLLGRAWYARAIKERSVNFYQKALENA KTALDLFVKESSKSKFIHSVKFNIALLHFQIAETLRRSNPKFRTVQQIKDSLEGLKEG LELFRELNDLKEFNMIPKEELEQRIQLGETTMKSALERSLNEQEEFEKEQSAKIDEAR KILEENELKEQGWMKQEEEARRLKLEKQAEEYRKLQDEAQKLIQEREAMAISEHNVKD DSDLSDKDNEYDEEKPRQKRKRSTKTKNSGESKRRKAAKKTLSDSDEDDDDVVKKPSH NKGKKSQLSNEFIEDSDEEEAQMSGSEQNKNDDNDENNDNDDNDGLF YOL144W MDSVIQKRIFVGNIFHNADDCYSELLDRFGKFGDCQDFQFEKHN HFAFIDIRFNDEADFNKLRKSFNNVKFKGNILKVDEAKPNWESTWAVQHAKDLKEDII LNAKMKKKNWQHYKKMENVAKSWKDHKEVIAGRMREAPRKRSQLRNITFRINVNGSLK VYKCYKTKLWGYERNKELNDLVYKFTNNFWKNGYNHIVDRLDYSRAVKTVRFKNGLKQ LTVSKDENVCSGEMDSDENMSEEEKEKNNVILNDLLKDFDFDKPMTLNDSDEELLTEQ RKGEEEEEEEEEKEVNAPEYENVNKTKDQSTLPQEKPEERKEQDEGDGQEDNEFIPTF TKEIGQGTISNTETLRNLFNPNEAEPVSQFKLIEDSDNDIDHAKDVDVNQLEEEVSKS SDTLGLTSAPVPHVSRDKDNKNFLFFPHLQSPFLVGQTQLSKVRAPGRETMLSNWDEE FWANRGNWTRDMRRKMKDALKHRKRKQSKSGLLL YOL143C MAVKGLGKPDQVYDGSKIRVGIIHARWNRVIIDALVKGAIERMA SLGVEENNIIIETVPGSYELPWGTKRFVDRQAKLGKPLDVVIPIGVLIKGSTMHFEYI SDSTTHALMNLQEKVDMPVIFGLLTCMTEEQALARAGIDEAHSMHNHGEDWGAAAVEM AVKFGKNAF YOL142W MSTFIFPGDSFPVDPTTPVKLGPGIYCDPNTQEIRPVNTGVLHV SAKGKSGVQTAYIDYSSKRYIPSVNDFVIGVIIGTFSDSYKVSLQNFSSSVSLSYMAF PNASKKNRPTLQVGDLVYARVCTAEKELEAEIECFDSTTGRDAGFGILEDGMIIDVNL NFARQLLFNNDFPLLKVLAAHTKFEVAIGLNGKIWVKCEELSNTLACYRTIMECCQKN DTAAFKDIAKRQFKEILTVKEE YOL141W MKNLTTIKQTNKNVKQERRKKYADLAIQGTNNSSIASKRSVELL YLPKLSSANNFQMDKNNKLLEYFKFFVPKKIKRSPCINRGYWLRLFAIRSRLNSIIEQ TPQDKKIVVVNLGCGYDPLPFQLLDTNNIQSQQYHDRVSFIDIDYSDLLKIKIELIKT IPELSKIIGLSEDKDYVDDSNVDFLTTPKYLARPCDLNDSKMFSTLLNECQLYDPNVV KVFVAEVSLAYMKPERSDSIIEATSKMENSHFIILEQLIPKGPFEPFSKQMLAHFKRN DSPLQSVLKYNTIESQVQRFNKLGFAYVNVGDMFQLWESADEATKKELLKVEPFDELE EFHLFCHHYVLCHATNYKEFAFTQGFLFDRSISEINLTVDEDYQLLECECPINRKFGD VDVAGNDVFYMGGSNPYRVNEILQLSIHYDKIDMKNIEVSSSEVPVARMCHTFTTISR NNQLLLIGGRKAPHQGLSDNWIFDMKTREWSMIKSLSHTRFRHSACSLPDGNVLILGG VTEGPAMLLYNVTEEIFKDVTPKDEFFQNSLVSAGLEFDPVSKQGIILGGGFMDQTTV SDKAIIFKYDAENATEPITVIKKLQHPLFQRYGSQIKYITPRKLLIVGGTSPSGLFDR TNSIISLDPLSETLTSIPISRRIWEDHSLMLAGFSLVSTSMGTIHIIGGGATCYGFGS VTNVGLKLIAIAK YOL140W MFKRYLSSTSSRRFTSILEEKAFQVTTYSRPEDLCITRGKNAKL YDDVNGKEYIDFTAGIAVTALGHANPKVAEILHHQANKLVHSSNLYFTKECLDLSEKI VEKTKQFGGQHDASRVFLCNSGTEANEAALKFAKKHGIMKNPSKQGIVAFENSFHGRT MGALSVTWNSKYRTPFGDLVPHVSFLNLNDEMTKLQSYIETKKDEIAGLIVEPIQGEG GVFPVEVEKLTGLKKICQDNDVIVIHDEIQCGLGRSGKLWAHAYLPSEAHPDIFTSAK ALGNGFPIAATIVNEKVNNALRVGDHGTTYGGNPLACSVSNYVLDTIADEAFLKQVSK KSDILQKRLREIQAKYPNQIKTIRGKGLMLGAEFVEPPTEVIKKARELGLLIITAGKS TVRFVPALTIEDELIEEGMDAFEKAIEAVYA YOL139C MSVEEVSKKFEENVSVDDTTATPKTVLSDSAHFDVKHPLNTKWT LWYTKPAVDKSESWSDLLRPVTSFQTVEEFWAIIQNIPEPHELPLKSDYHVFRNDVRP EWEDEANAKGGKWSFQLRGKGADIDELWLRTLLAVIGETIDEDDSQINGVVLSIRKGG NKFALWTKSEDKEPLLRIGGKFKQVLKLTDDGHLEFFPHSSANGRHPQPSITL YOL138C MSLSPHVENASIPKGSTPIPKNRNVSSIGKGEFLGSSSSNNSSF RMNHYSNSGQPSVLDSIRRPNLTPTFSYSNGVYMPESHRTSSFNDSYLPYDKNPYAKT TGSMSNKSNMKIKTKKNAINTNTRKSSGLIYTTKVDKELSSIDKVNDPNINGLVCAGK THLGLYKFSPSDRSIKCVHDFITPNSNTSTRGTTSLLPKLSKRTRQNKFSTIADVKTG FNNYKNCIAVCNNSTAISIYDLNKSSSIDNPLITSLCEHTRSINSFDFNMVESNLIIS GGQDSCVKIWDLRSNKSKSSNRSDISINTASDSIRDVKWMPGYNFASKNDQGSSTYGN LKSGYKFASIHDSGYLLKFDLRQPAQYEKKLNAHTGPGLCLNWHPNQEYIATGGRDGK CCLWFVGDNANAAENTVLNYGNSPSLHAPNTSLNNSGSLAFPKLTINTGYPVTKLKFK PAYSSNIYNSLLGISSMGDEAEVRIYSLARKYIPKHVLLSETPSLGLVWWDENLIFNI DKGTRINGWDINKEPTVLENLSKNTTTWRDLDGNGLLSVDQEIGSYEVVEPELQPTSS TTCKKHPGTIKNPKNGNPENQGIIGGIKKGFSHTGLTSFTPERPPTLKAGPTFSTKSL TLASGASSFNSSSASLTSLTPQTENREEIAIEPPCIITLDIPQIFNNIRLTKIAHSRK KNVISESSSMKNSPVEKFKYLARQLKFSYIREHNVSDSADTAYKNDIENIDVVKNATE THGDNTTTTNNNDDGDDDDDDDDDDKIIESHLLKKYNFPENNTWATLMNEKVNNKKSK RNSSSSREFDEKDVRSSISSISASRQSHDRARKIDKNVEAELQEKIQTLVDLISIATH NASVYLSIDDLTNFKIWILIRDSLLWDLKWMTSSQISSDNASNMDANESSDFEAGENL KTGKEFPEEDGAGTSGAESLVEERPQAFRANSDEPSDAEKKPVSKLKEQLKNTEIIPY AQPNEDSDEVLTKLKELQNQRLESRTKMGETVSDDVIIEEDEHEHQEEEQPHDSPTKS AQFHASPIAKSIPILQKREHRKSFIDTFMLHSPNGYNGDTDIGNEDDNISPRFTYNSV SPRSKVSSLQSYATTTSQLETFKKLSSHTAPIIGSPRHAPSRPDSIGREQLSSSLTKK LAKCKKIIADPPWDTKKLIKQLYNQATETGNVVLTVNILFLFQTIYQITEIDIAKDAI AHFLLLLHRYELFGIAADVLKYCPFEDIMGSEGDQSSIRLFCERCGELITNESSKEKL RAEAQQTGNKKIMDKFGYWYCDSCKKKNTSCVLCERPLKKLTMVILPCGHEGHFQCIQ EWFLDENEQECPGGCPGVAFI YOL137W MDASSVPPKVDDYGMYTTEISHHNPIELKNLLSSSDSRRNSQDE DSLPNNTNLIKEIDWQGEKVKTYPLNYQTVPLVKLQVIACLIMFVVFGMNDQTVGALL PTLIEYYHISRVDVSNVFIVQLCGYVMASLSKERLNKHFGMRGGMLLAAGLCIVFLII LATAPSSFYVCMFCGLPLGLGIGILDSTGNVLMGSLLVHKNELMGIMHGLYGAAAMVT PPLVSYFVEWGHWSLFFLIPLFFSIIGMIVIFPAFKFETASKYDYLCSVENKESNNDV EEAGDNSLMESTKASPGFFELLRNPAIFLYSLYLFLYLGAEITTGSWFFSYLLETKSS NKVAMSYIAASFWTGLTVGRLCLGFVTERFFENEYKASKAYAFLTLSSYTLFVLVGLI NSSSVFYFVVLFFVVFCCGTFIGPLFPNASIVALQVLPKRLHVSGVGVAVAVGGCGGA AIPYLAGVIAHTVGIQYIPLLCWIMVALFTLEWTLYPKFIKGHEEYF YOL136C MGGSSDSDSHDGYLTSEYNSSNSLFSLNTGNSYSSASLDRATLD CQDSVFFDNHKSSLLSTEVPRFISNDPLHLPITLNYKRDNADPTYTNGKVNKFMIVLI GLPATGKSTISSHLIQCLKNNPLTNSLRCKVFNAGKIRRQISCATISKPLLLSNTSSE DLFNPKNNDKKETYARITLQKLFHEINNDECDVGIFDATNSTIERRRFIFEEVCSFNT DELSSFNLVPIILQVSCFNRSFIKYNIHNKSFNEDYLDKPYELAIKDFAKRLKHYYSQ FTPFSLDEFNQIHRYISQHEEIDTSLFFFNVINAGVVEPHSLNQSHYPSTCGKQIRDT IMVIENFINHYSQMFGFEYIEAVKLFFESFGNSSEETLTTLDSVVNDKFFDDLQSLIE SNGFA YOL135C MSNDPGNEVSSLYPPPPPYVKFFTQSNLEKLPKYKEKKAASAKQ TAPNNSNGGSEEEITCALDYLIPPPMPKNQQYRAFGSIWQVKDQLPDLESMGLTQLYK KSTENESTNYQYKIQELRKLLKSLLLNYLELIGVLSINPDMYERKVENIRTILVNIHH LLNEYRPHQSRESLIMLLEEQLEYKRGEIREIEQVCKQVHDKLTSIQDTLRTGSQSPP SSSQ YOL133W MSNEVDRMDVDEDESQNIAQSSNQSAPVETKKKRFEIKKWTAVA FWSWDIAVDNCAICRNHIMEPCIECQPKAMTDTDNECVAAWGVCNHAFHLHCINKWIK TRDACPLDNQPWQLARCGR YOL132W MMVFSSTFIFLILELVVLCEASVHTIQIKDKHFVDTVTGKPFFI KGVDYQPGGSSDVSEKQDPLSNPDACARDILLFQELGINTVRIYSINPDLNHDACMTM LAMAGIYLILDVNSPLQNQHLNRYEPWTTYNEVYLEHVFKVVEQFSHYNNTLGFFAGN EIVNDKRSAQYSPAYVKELIGTMKNYISAHSPRTIPVGYSAADDLNYRVSLSEYLECK DDDKPENSVDFYGVNSYQWCGQQTMQTSGYDTLVDAYRSYSKPVFFSEFGCNKVLPRQ FQEIGYLFSEEMYSVFCGGLVYEFSQEDNNYGLVEYQEDDSVQLLADFEKLKSHYQNI EFPSMKTLKETVQMEETPSCAEDYENLKIESKIAKNLGSSLIKKGVKVEKGKYIDIHE DQLSTNVTILDKHGDRWNGPKKIEIRQSLTLADLEGEEQEDADEDKDDLKRKHRNSAS ISGPLLPLGLCLLFFTFSLFF YOL131W MNTNKIAQDEVQDKVLQRAELAHSVWNLRFNLSKVAKRIRMETK VFPEIKINDAQSQLERSRCRIFSPDLEEEHVPLIQGFKCLDSPPPVPPSSSQGEDEEN TVDSQY YOL130W MSSSSSSSESSPNLSRSNSLANTMVSMKTEDHTGLYDHRQHPDS LPVRHQPPTLKNKEIAKSTKPSIPKEQKSATRYNSHVDVGSVPSRGRMDFEDEGQGMD ETVAHHQLRASAILTSNARPSRLAHSMPHQRQLYVESNIHTPPKDVGVKRDYTMSSST ASSGNKSKLSASSSASPITKVRKSSLVSPVLEIPHESKSDTHSKLAKPKKRTYSTTSA HSSINPAVLLTKSTSQKSDADDDTLERKPVRMNTRASFDSDVSQASRDSQETEEDVCF PMPPQLHTRVNGIDFDELEEYAQFANAEKSQFLASLQVPNEQKYSNVSQDIGFTSSTS TSGSSAALKYTPRVSQTGEKSESTNETEIHEKKEDEHEKIKPSLHPGISFGKNKVEGE ENENIPSNDPAYCSYQGTDFQIPNRFSFFCSESDETVHASDIPSLVSEGQTFYELFRG GEPTWWLDCSCPTDDEMRCIAKAFGIHPLTAEDIRMQETREKVELFKSYYFVCFHTFE NDKESEDFLEPINVYIVVCRSGVLTFHFGPISHCANVRRRVRQLRDYVNVNSDWLCYA LIDDITDSFAPVIQSIEYEADAIEDSVFMARDMDFAAMLQRIGESRRKTMTLMRLLSG KADVIKMFAKRCQDEANGIGPALTSQINIANLQARQDNASHIKNNSSTTVPNNYAPTT SQPRGDIALYLGDIQDHLLTMFQNLLAYEKIFSRSHTNYLAQLQVESFNSNNKVTEML GKVTMIGTMLVPLNVITGLFGMNVKVPGENSSIAWWFGILGVLLLLAVLGWFLASYWI KRIDPPATLNEAAESGAKSVISSFLPKRNKRFNDRSKNINVRAGPSNKSVASLPSRYS RYD YOL129W MEADDHVSLFRFPFKIPTFRGIRKGGVYLSGALYALGFWIFLDA VLYSRYSNASDVHVTFIDWIPFLCSTLGTLIVNSIEKNRLLQGALSSDGGAFGSGVGD LDSSMAWQARTVLFFGFALLAGGLSGSIVVLIIKFLVKDYNTYPTLGMGVNNVLGNVC ILLSCVVLWIAQNVEDEYSYSLTL YOL128C MLKVNNVFGSNPNRMTKLEDEHYFIDDIVSIKNRQKSKMYVREG KRIGHGSFGTVTQSILSSNSIEWLGPYAIKRVVKSPKVQSLELEILQNIRHPNLVTLE FFFESHCTTKDGGHLYQKNFVMEYIPQTLSSEIHEYFDNGSKMPTKHIKLYTFQILRA LLTLHSMSICHGDLKPSNILIIPSSGIAKVCDFGSAQRLDDNTELKTYFCSRFYRAPE LLLNSKDYTTQIDIWSLGCIIGEMIKGQPLFKGDSANSQLEEIAKLLGRFPKSSIKNS QELQDSLNDQKFKKFMHWFPSIEFFDVEFLLKVLTYDATERCDARQLMAHEFFDALRN ETYFLPRGSSMPVHLPDLFNFSASEKRALGEYYNLIVPSLD YOL127W MAPSAKATAAKKAVVKGTNGKKALKVRTSATFRLPKTLKLARAP KYASKAVPHYNRLDSYKVIEQPITSETAMKKVEDGNILVFQVSMKANKYQIKKAVKEL YEVDVLKVNTLVRPNGTKKAYVRLTADYDALDIANRIGYI YOL126C MPHSVTPSIEQDSLKIAILGAAGGIGQSLSLLLKAQLQYQLKES NRSVTHIHLALYDVNQEAINGVTADLSHIDTPISVSSHSPAGGIENCLHNASIVVIPA GVPRKPGMTRDDLFNVNAGIISQLGDSIAECCDLSKVFVLVISNPVNSLVPVMVSNIL KNHPQSRNSGIERRIMGVTKLDIVRASTFLREINIESGLTPRVNSMPDVPVIGGHSGE TIIPLFSQSNFLSRLNEDQLKYLIHRVQYGGDEVVKAKNGKGSATLSMAHAGYKCVVQ FVSLLLGNIEQIHGTYYVPLKDANNFPIAPGADQLLPLVDGADYFAIPLTITTKGVSY VDYDIVNRMNDMERNQMLPICVSQLKKNIDKGLEFVASRSASS YOL125W MLQDNNGPAVKRAKPSERLQCEYFMEKKKRRCGMTRSSQNLYCS EHLNLMKKAANSQVHNKNGSEAEKERERVPCPLDPNHTVWADQLKKHLKKCNKTKLSH LNDDKPYYEPGYNGENGLLSSSVKIDITAEHLVQSIELLYKVFEGESMDELPLRQLNN KLMSLKRFPQLPSNTKHAVQQSSLIENLVDAGAFERPESLNFIEFGCGRAEFSRYVSL YLLTQLTSLPAEHSGSNSNEFVLIDRATNRMKFDKKIKDDFSEIKSNSPSKPISCPSI KRIKIDIRDLKMDPILKSTPGDDIQYVCISKHLCGVATDLTLRCIGNSSILHGDDNNG CNPKLKAICIAMCCRHVCDYGDYVNRSYVTSLVEKYRAHGSILTYETFFRVLTKLCSW GTCGRKPGTAITDIVNVVESFEGAEPYTITIKERENIGLMARRVIDEGRLVYVKEKFT EFNAELIRYVESDVSLENVAMLVYKK YOL124C MKKYLLYMVQVHLNFRRAELESLADLYNLSIDFSQYDANSPFFI VELENDQQAKDWIKRSILTRGIYEYWGQGTTLDELHKDIQRQSNFEQDLQLKFKHSTF KFEFECYKGNSKAKRVEQIETFRYLGFEGKIDMKHPQEVFTVIEEYTPISENVGGKTP TRIYFGRQVQMSNRSAMEKYDLKKRPYKGTTSFEAELSLVSANIAQVKPGTIMYDPFA GTGSFLVAGGHFGSLVIGSDIDGRMIRGKGAQVNISANFKKYGESSQFLDVLTMDFTN NALRNNLVIDTILCDPPYGIRESIKVLGAKDPERFLGKEDMEIDGEKAYLRRDYIPTK KPYALDSLLDDLLQYSSERLPIGGRLAFWMPTANDANIETIVPMHENLELKYNCVQEF NKWSRRLLVYINRGSTFNGSSNHGIKRSKDNFRERYFNNFN YOL123W MSSDEEDFNDIYGDDKPTTTEEVKKEEEQNKAGSGTSQLDQLAA LQALSSSLNKLNNPNSNNSSSNNSNQDTSSSKQDGTANDKEGSNEDTKNEKKQESATS ANANANASSAGPSGLPWEQLQQTMSQFQQPSSQSPPQQQVTQTKEERSKADLSKESCK MFIGGLNWDTTEDNLREYFGKYGTVTDLKIMKDPATGRSRGFGFLSFEKPSSVDEVVK TQHILDGKVIDPKRAIPRDEQDKTGKIFVGGIGPDVRPKEFEEFFSQWGTIIDAQLML DKDTGQSRGFGFVTYDSADAVDRVCQNKFIDFKDRKIEIKRAEPRHMQQKSSNNGGNN GGNNMNRRGGNFGNQGDFNQMYQNPMMGGYNPMMNPQAMTDYYQKMQEYYQQMQKQTG MDYTQMYQQQMQQMAMMMPGFAMPPNAMTLNQPQQDSNATQGSPAPSDSDNNKSNDVQ TIGNTSNTDSGSPPLNLPNGPKGPSQYNDDHNSGYGYNRDRGDRDRNDRDRDYNHRSG GNHRRNGRGGRGGYNRRNNGYHPYNR YOL122C MVNVGPSHAAVAVDASEARKRNISEEVFELRDKKDSTVVIEGEA PVRTFTSSSSNHEREDTYVSKRQVMRDIFAKYLKFIGPGLMVSVAYIDPGNYSTAVDA GASNQFSLLCIILLSNFIAIFLQCLCIKLGSVTGLDLSRACREYLPRWLNWTLYFFAE CAVIATDIAEVIGTAIALNILIKVPLPAGVAITVVDVFLIMFTYKPGASSIRFIRIFE CFVAVLVVGVCICFAIELAYIPKSTSVKQVFRGFVPSAQMFDHNGIYTAISILGATVM PHSLFLGSALVQPRLLDYDVKHGNYTVSEEQDKVKKSKSTEEIMEEKYFNYRPTNAAI KYCMKYSMVELSITLFTLALFVNCAILVVAGSTLYNSPEADGADLFTIHELLSRNLAP AAGTIFMLALLLSGQSAGVVCTMSGQIVSEGHINWKLQPWQRRLATRCISIIPCLVIS ICIGREALSKALNASQVVLSIVLPFLVAPLIFFTCKKSIMKTEITVDHTEEDSHNHQN NNDRSAGSVIEQDGSSGMEIENGKDVKIVYMANNWIITVIAIIVWLFLSLLNVYAIVQ LGMSHGDIS YOL121C MPGVSVRDVAAQDFINAYASFLQRQGKLEVPGYVDIVKTSSGNE MPPQDAEGWFYKRAASVARHIYMRKQVGVGKLNKLYGGAKSRGVRPYKHIDASGSINR KVLQALEKIGIVEISPKGGRRISENGQRDLDRIAAQTLEEDE YOL120C MGIDHTSKQHKRSGHRTAPKSDNVYLKLLVKLYTFLARRTDAPF NKVVLKALFLSKINRPPVSVSRIARALKQEGAANKTVVVVGTVTDDARIFEFPKTTVA ALRFTAGARAKIVKAGGECITLDQLAVRAPKGQNTLILRGPRNSREAVRHFGMGPHKG KAPRILSTGRKFERARGRRRSKGFKV YOL119C MLNIPIIANSKRFLFSKDHEAQSTRDHDVELETREGPSSGYNPN FNAADAILKKNSDQVDLDVNKLTNVTSRVLNTPEASLIYDDDREFPDGGLKAWLVVFG AFMGLVPVFGLINSLGAIESYISKHQLANISSSTISWIFSLYLAISFLSCILSGGYFD RNGSIGLMCTGTVIYAGGLFALANCKSVWQFILAFSVCSGLGTGILMTPLIGTVATWF LKRRGIATSISTMGGSIGGIVFPIMLRKLYKEVGFQWAIRILSFICLTCLICASVLAR ERTKPVVQPFKSKAEVAKWYISSVFNWRYFLEGKFLFVAIGASFAESSLTSCATYLAS YSMTRGNTENVAYTMITASNAVGILGRYIPGYFADKFIGRFNVEIITISMAALFNFVM WLPFGGNTKVLWAYVCLWGFSTGSILSLTPVCIGQISKTTDFGKRYATVYLLQALVTI PVLPIGGTLIGKGTVANYNHFIIFNSALMAAGAACYIISRHICVGAKLCKF YOL118C MSFRKKKLKPPAGSQFIINDSIMSYIDRTKTLIRMIGCKNQYIK ARMKDKTFFYTKQFRTAKNKFFFHLYHWEATHINVDHYICTCHPIFWGSIGQKLRRSA YOL117W MSDEDNNYDDFMLSDDEGMESIEMEEETDDEDKQNIEINEDNSQ DDQDRGAARHKQHEQGTFEKHDRVEDICERIFEQGQALKEDERYKEARDLFLKIYYKE EFSSDESIERLMTWKFKSLIEILRLRALQLYFQKNGAQDLVLQILEDTATMSVFLQRI DFQIDGNIFELLSDTFEVLAPKWERVFLFDIEKVDRENMICKIDFQKNFMDQFQWILR KPGKDCKLQNLQRIIRKKIFIAVVWYQRLTMGNVFTPEISSQIEILVKDNECSSFEEN NDLESVSMLLQYYILEYMNTARINNRRLFKKCIDFFEMLISKSLTFSQESGLMVILYT SKIVFILDSDSENDLSFALMRYYDRKEELKNMFLYILKHLEEMGKLRERDITSLFHKF ILSGFIFTSMILEAISTDKINPFGFEQVKIALGSPIVNVLEDVYRCFAQLELRQLNAS ISLIPELSVVLSGIIQDIYYLAQTLKLWRKIARLYSCISISDIISMLQISDDNEMTRD DLLTILMRSIMKNRSVVYFKLDLTSDLVYFGDENKVMLPRCSKEEFRLMISPKDEETT EKARLIDFEYVNDVAIYNNPTRIRTKSSKEFFNTLRKSRETVKLPRVSNQSNEDTFLP SYMKFSNKYLELCKLASNNLE YOL116W MASNQHIGASNLNENEAILTNRVAELERRMSMFEGIFHALSNRL DLHFKKYDVVVNSQQQQINELTAFLSTLLNDQQRHAEILSEKLSGTLHGVSATSISLS QTLDPQGFTDGTTAPGAPRNYTSVPMNNDQTAHPQNEGAVSNETLFEDILNGNSQEND KSQQQTNSSNSISQENNSTNPSVDTRFNKPQNYNSNLVPSLEEYSANPPNNDGGQSQG LYISSNSSQSRQSPNLQKVSPNHENAVESNAQESVPTFEEEQYETKTGLKRKRIVCTR PFEFIKSPHSVMEVWKEYTEGVNGQPSIRKMEALYQTAWRRDPAVNKRYSRRKVLWKA IQTGLNRGYSLNYVVEILENSRYVNDKQKVKQPIGWLCHSSHIPETLK YOL115W MGAKSVTASSSKKIKNRHNGKVKKSKKIKKVRKPQKSISLNDEN EVEILPSRNEQETNKLPKDHVTADGILVLEHKSDDDEGFDVYDGHFDNPTDIPSTTEE SKTPSLAVHGDEKDLANNDDFISLSASSEDEQAEQEEEREKQELEIKKEKQKEILNTD YPWILNHDHSKQKEISDWLTFEIKDFVAYISPSREEIEIRNQTISTIREAVKQLWPDA DLHVFGSYSTDLYLPGSDIDCVVTSELGGKESRNNLYSLASHLKKKNLATEVEVVAKA RVPIIKFVEPHSGIHIDVSFERTNGIEAAKLIREWLDDTPGLRELVLIVKQFLHARRL NNVHTGGLGGFSIICLVFSFLHMHPRIITNEIDPKDNLGVLLIEFFELYGKNFGYDDV ALGSSDGYPVYFPKSTWSAIQPIKNPFSLAIQDPGDESNNISRGSFNIRDIKKAFAGA FDLLTNRCFELHSATFKDRLGKSILGNVIKYRGKARDFKDERGLVLNKAIIENENYHK KRSRIIHDEDFAEDTVTSTATATTTDDDYEITNPPAKKAKIEEKPESEPAKRNSGETY ITVSSEDDDEDGYNPYTL YOL114C MTTLMGKFKLTGRSPLFVLQPMLHCKKQQFVEEAVRLISNKKIG KKSDFVQARNWVGALNVTGLPLNQFILRYDRASGPGGQNVNKVNSKCTLTLSGLSNCA WIPQEVRNILSSGRFRYYAKGSDSIVIQSDETRSRETNKLKCFEKLVQEIRQTCQFPN DTTAETSKKWNKIKEKANKERLLDKKVHSDKKKNRSKIKFNY YOL113W MKGVKKEGWISYKVDGLFSFLWQKRYLVLNDSYLAFYKSDKCNE EPVLSVPLTSITNVSRIQLKQNCFEILRATDQKENISPINSYFYESNSKRSIFISTRT ERDLHGWLDAIFAKCPLLSGVSSPTNFTHKVHVGFDPKVGNFVGVPDSWAKLLQTSEI TYDDWNRNSKAVIKALQFYEDYNGLDTMQFNDHLNTSLDLKPLKSPTRYIINKRTNSI KRSVSRTLRKGKTDSILPVYQSELKPFPRPSDDDYKFTNIEDNKVREEGRVHVSKEST ADSQTKQLGKKEQKVIQSHLRRHDNNSTFRPHRLAPSAPATKNHDSKTKWHKEDLLEL KNNDDSNEIIMKMKTVAIDVNPRPYFQLVEKAGQGASGAVYLSKRIKLPQENDPRFLK SHCHRVVGERVAIKQIRLSEQPKKQLIMNELLVMNDSRQENIVNFLEAYIIDDEELWV IMEYMEGGCLTDILDAVARSNTGEHSSPLNENQMAYIVKETCQGLKFLHNKKIIHRDI KSDNILLNSQGLVKITDFGFCVELTEKRSKRATMVGTPYWMAPEIVNQKGYDEKVDVW SLGIMLIEMIEGEPPYLNEDPLKALYLIANNGSPKLRHPESVSKQTKQFLDACLQVNV ESRASVRKLLTFEFLSMACSPEQLKVSLKWH YOL112W MIMSSTMSTEAALVPNESVFDTVSSFNEDDANYSVLDLYDDDDE GDDSSTVERKEILTTRELEKAKAFTSLIMADPENFDRYGFSKKGYFISQEEYDKWWTE YNRYTERRKKKWENFLLKNKIELHNDNPLVYPARTDELSKFVRKGIPAEWRGNAWWYF AGGQRQLDANVGVYDRLKSDCREGAVSGKDMEAIERDLYRTFPDNIHFHKESFQNGEP AIIRSLRRVLMAFSVYDKTIGYCQSMNFLVGLLLLFMEEEKAFWMLVIITGKYLPGVY ESDLEGANVDQGVLVLCIKEYLPEIWSHIESSYMNGNGSTDQISGPASGEEYLCRLPT LTLCTASWFMSCFVGVVPIETTLRIWDCLFYEESHFLFKVALGILKLSESEFLESKSQ KLFRQYSSYTFGGSNDSDSTFKRLKNKIKTQEEADMEILQVIQNFPKRLLNPNDIFEK VLMKKKVALNGITQEKIDRGREYVAMARNRQRASSRPKERRK YOL111C MSTSASGPEHEFVSKFLTLATLTEPKLPKSYTKPLKDVTNLGVP LPTLKYKYKQNRAKKLKLHQDQQGQDNAAVHLTLKKIQAPKFSIEHDFSPSDTILQIK QHLISEEKASHISEIKLLLKGKVLHDNLFLSDLKVTPANSTITVMIKPNPTISKEPEA EKSTNSPAPAPPQELTVPWDDIEALLKNNFENDQAAVRQVMERLQKGWSLAK YOL110W MCDSHQKEEDNANTSERALFFNYHEFSYSFYEDLGSEDAKPTEH DEDHKLCITHFPNVYAARGSAEFQVTRVVRVPRRFDESRSSLETPQFSTQLPGSEPAA IVGDDGTSFVRCGRYDIGDHVFGCSSVSPLSEYLSAAELAEVVHRVNGFLLREEGEVF GWRNLSGLLLDMLTGGLWSWVLGPLLSRPVFQESLALEQYVAQLNSPGGLLHERGVRL VLPRRSGCLSLDFVVPRPK YOL109W MSEIQNKAETAAQDVQQKLEETKESLQNKGQEVKEQAEASIDNL KNEATPEAEQVKKEEQNIADGVEQKKTEAANKVEETKKQASAAVSEKKETKKEGGFLK KLNRKIASIFN YOL108C MTNDIKEIQTIQPGLSEIKEIKGELANVKKRKRRSKKINKLTDG QIRINHVSSEKKRRELERAIFDELVAVVPDLQPQESRSELIIYLKSLSYLSWLYERNE KLRKQIIAKHEAKTGSSSSSDPVQEQNGNIRDLVPKELIWELGDGQSGQ YOL107W MQYSSRFLELNIPDSFLNINKIPDATKFITVTYICLTATLFCIR RSLYNKLVLEDPNLDYNLITSPLLQMVPSQIWRYPTSLVLSNFIDTKAWKVVVNLLNL IIGGSFIERNWNSSKEMFKFIIVLGSLTNVLIIMLTLLVSFFSNKVRLDIPLDGNYTI LIGFPIIYRQLLPETTIIHLKTPQFLAKNFRFKLLPIFVMFTMTVTQIIWFHHFAQLF SIWVTFFASWSYLRFFQKLAPLNCPSLPTTNSQGGQEILVGDASDTFQLIYFFPDLIK PILRPIFNFIYNVVVVKFKVIKPFHDIDIDIGNTIAESRGAKKIMTVEERRRQLALQV LEERMVNP YOL105C MERVWFAKLTNKGTIKIGYISFILLSLLCQSLIGLVNADFNYEG CYSAADIQSAGLSLKNSYIYQSVSYCQNQCPESAVVALFNGSDCYCGNSVSFLTSLTK STDSNCGTKCSGWPYQMCGGSSYMNVYVNAETFVSSVESSSSKEGSSTSYMPSTTSSL SSAQISSTTRRTSTDMKSSEMIATTVSTTSTTSSSTSSTTSSTTSSTTSSTTSSTTSS STSSTTSSTTSSTTSSTTSSTTSSTTSSTTSSTTSSTTSIFSVTSSSSSITLSSSEHT TVDSRTSSPSSTLVPVSSSSSTLSTPKVTSMTPSTSSTIPIVTSVELVTSVVTKAIVS TSDQHQETIFVTRTSVVERSSEVATATAAASNNRSNSTSKQRLSGGAIAGIVIGVVFG VIFIILILLFLIWRRRKSHDQLDLEETKHYQPYSFGDEDANPIGPPPSSGTTNWMRHS RGNTAGSIGTSNMYGFSMSNGANYSSPSSNTSGSIINNLAGLQDATVQKQNLPSTVFE EANTLNSANERFSANSLPDMMMSGPLQVVNPDNPDNPELSSTVSHNRA YOL104C MSKDNRLASILLQPVASSSGNCTEFHDSKLHTLQEELNFLPLEG VASNVCPPMFRGHKNYVFVLYCLNQVDLVTNLQDSTKRYYPLQIFKDCQLSSLVQKDF SHYFQLSRQKEGEDRNDSDTTLVNVVNSGVSRHRSQLLKMCIIPRICSFDKSNSKTYK LIQEYVNRFETVLTKFGPEKDFTKVYANWSKLIESFNELILHDLLVKWQQWSELTQPN ATVHQNIPNVLRELVIKLTQRYFTFQPSYSCSIDEFTTILLNKNALSLLDVFRKPRKY KLNFGLWLDCQNGILIFTNGIVQMADEITSERVKSFVRPAHLLVLEDHSNDEAVKKLM FFTFSAILQCFTDEILNC YOL103W-B MESQQLSNYPQISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIINRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YOL103W-A MESQQLSNYPQISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIINRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YOL103W MKNSTAASSRWTKSRLSHFFPSYTNSSGMGAASTDQSSTQGEEL HHRKHCEEDNDGQKPKKSPVSTSTMQIKSRQDEDEDDGRIVIKPVNDEDDTSVIITFN QSISPFIITLTFVASISGFMFGYDTGYISSALISINRDLDNKVLTYGEKELITAATSL GALITSVGAGTAADVFGRRPCLMFSNLMFLIGAILQITAHKFWQMAAGRLIMGFGVGI GSLISPLFISEIAPKMIRGRLTVINSLWLTGGQLIAYGCGAGLNHVKNGWRILVGLSL IPTVLQFSFFCFLPDTPRYYVMKGDLKRAKMVLKRSYVNTEDEIIDQKVEELSSLNQS IPGKNPITKFWNMVKELHTVPSNFRALIIGCGLQAIQQFTGWNSLMYFSGTIFETVGF KNSSAVSIIVSGTNFVFTLIAFFCIDKIGRRYILLIGLPGMTVALVICAIAFHFLGIK FNGADAVVASDGFSSWGIVIIVFIIVYAAFYALGIGTVPWQQSELFPQNVRGVGTSYA TATNWAGSLVIASTFLTMLQNITPTGTFSFFAGVACLSTIFCYFCYPELSGLELEEVQ TILKDGFNIKASKALAKKRKQQVAEGAAHHKLKFEPTQEIVES YOL102C MRQVLQKDKRDVQLSKALSYLLRHTAVKEKLTIDSNGYTPLKEL LSHNRLKTHKCTVDDIHRIVKENDKQRFHIKTLGADEEWICATQGHSIKSIQPSDEVL VPITEASQLPQELIHGTNLQSVIKIIESGAISPMSRNHVHLSPGMLHAKGVISGMRSS SNVYIFIDCHSPLFFQTLKMFRSLNNVYLSSSIPVELIQKVVVKGNLKDEEKLDTLRR ILHERNIPLEKI YOL101C MVSLTTIEQSPVKCETTTEKESNDTRGTDSNENAETKETKKGFP FHDLAKLQKQYKNKSSRNESLVALIYLLGSMLSFCLLIFFTDFYLIPLFPTTTTMTDY IVFNFYLLNVFVFCMVHFIYHFVKNISLQQHLEHWQKFSYLSNINLLISSQITILYYL FYDYVFFFKIFTLLMNFIGLVAYFFILTDKLISSKRFNKTVFFISVSVVCCSLPLLTA IITFDGLENLKERIKVNAITWELVALVAASIIYVTRFPESLFRRNKKEEGWNHSEYLF HLLISGTAFYHFFILIQSYILMHSSLNQPELINFKS YOL100W MYFDKDNSMSPRPLLPSDEQKLNINLLTKKEKFSHLDPHYDAKA TPQRSTSNRNVGDLLLEKRTAKPMIQKALTNTDNFIEMYHNQQRKNLDDDTIKEVMIN DENGKTVASTNDGRYDNDYDNNDINDQKTLDNIAGSPHMEKNRNKVKIEHDSSSQKPI AKESSKAQKNIIKKGIKDFKFGSVIGDGAYSTVMLATSIDTKKRYAAKVLNKEYLIRQ KKVKYVSIEKTALQKLNNSPSVVRLFSTFQDESSLYFLLEYAPNGDFLSLMKKYGSLD ETCARYYAAQIIDAIDYLHSNGIIHRDIKPENILLDGEMKIKLTDFGTAKLLNPTNNS VSKPEYDLSTRSKSFVGTAEYVSPELLNDSFTDYRCDIWAFGCILFQMIAGKPPFKAT NEYLTFQKVMKVQYAFTPGFPLIIRDLVKKILVKNLDRRLTISQIKEHHFFKDLNFKD GSVWSKTPPEIKPYKINAKSMQAMPSGSDRKLVKKSVNTLGKSHLVTQRSASSPSVEE TTHSTLYNNNTHASTESEISIKKRPTDERTAQILENARKGINNRKNQPGKRTPSGAAS AALAASAALTKKTMQSYPTSSSKSSRSSSPATTSRPGTYKRTSSTESKPFAKSPPLSA SVLSSKVPMPPYTPPMSPPMTPYDTYQMTPPYTTKQQDYSDTAIAAPKPCISKQNVKN STDSPLMNKQDIQWSFYLKNINEHVLRTEKLDFVTTNYDILEKKMLKLNGSLLDPQLF GKPRHTFLSQVARSGGEVTGFRNDPTMTAYSKTEDTYYSKNIIDLQLLEDDYRIEGGD LSELLTNRSGEGYKCNQNSSPMKDDDKSESNNKGSSVFSGKIKKLFHPTSAAETLSSS DEKTKYYKRTIVMTSFGRFLVFAKRRQPNPVTNLKYELEYDINLRQQGTKIKELIIPL EMGTNHIVVIQTPYKSFLLSTDKKTTSKLFTVLKKILNSNTNKIEKELLQRNQKVIER RTSSSGRAIPKDLPTSKSPSPKPRTHSQSPSISKHNSFSESINSAKSNRSSRIFETFI NAKEQNSKKHAAPVPLTSKLVNGLPKRQVTVGLGLNTGTNFKNSSAKSKRS YOL098C MGFKKLVSFQPDYVPQYHITKYISERTKLQLVHINHKTSPLVHG YFAVPTECLNDSGAPHTLEHLIFMGSKSYPYKGLLDTAGNLSLSNTNAWTDTDQTVYT LSSAGWKGFSKLLPAYLDHILHPTLTDEACLTEVYHIDPENLGDKGVVFSEMEAIETQ GWYISGLEKQRLMFPEGSGYRSETGGLTKNLRTLTNDEIRQFHKSLYSSDNLCVIVCG NVPTDELLTVMEEWDNKLPEIPSNIPKKRPFLDNKLSHIPQSRDKVTESTVEFPELDE SQGELLFSWIGVPYSDFRNDLAVDVLLDYFTDSALAVFTRELVEIDDPMANSTDCCTD YFMRTIIDLRIQGVPTEKIAATKTKVLEILKTHTIDLSRVRQVVENTKWEYLLNYEKN GESRFSSAVITDYIYGNEDGSSLVSSLKDLSDFDALLQWSQKDWQSLLNRIFVDNKPI IVTAKPSALMYEQLEKEKSDLIKQREAEFDDEKKLVLLKRLNNAKNINDRPIPKSLLQ KFEIDNPSKSVEFVNTKSIATVDSYKYNNVSDPLTKKILETRPDNFPLFIHLNHFPSQ FIELHFLVNSASIKDTSLLPYFNMFDELFSMPMKILDEESNVETMLSFEEVVAKLKSE TIDAQINQGLKGSCPDLINFKIQCRAGGYSNSVQWIKHCLFDMVFDENRVRILLENYL NSIVEWKRNGNVMLSSLTNRNLYSARSLKKSTDPLFVEAKLQEIFAEIENGNFEKEIL PRIETMRKQLRANFNKFHILVLGDISKIDDVYEPWNPLIKCLNIAHPVEKLKIPPVPR ALDTISSICRTPGEKAFIITTPASESAYMNLITSIPFNLDYHDPEYAIVSLASEYLEC VEGPFWKGIRGAGLAYGASMLKLCEINSWGFNIYRGADIIKCYEVGKQIVQDYASGAL EFDEQLIQGAISSIINRLATIECGYFETALSKYVDEFCLQRGNNFNELYLERLQNVTK TDLKNAMQKYFVNMFDSNKSVAFVSCHPAKLESVQEFFETQGFTVEIEELEDDDDEID SEEDENA YOL097W-A MQSMICSSEHENLTCKYWPVSFLASWCENGSGTLMQKDGSLLYA VKNFSHIFEKKIFHTNL YOL097C MSNDETVEKVTQQVSELKSTDVKEQVVTPWDVEGGVDEQGRAQN IDYDKLIKQFGTKPVNEETLKRFKQVTGREPHHFLRKGLFFSERDFTKILDLYEQGKP FFLYTGRGPSSDSMHLGHMIPFVFTKWLQEVFDVPLVIELTDDEKFLFKHKLTINDVK NFARENAKDIIAVGFDPKNTFIFSDLQYMGGAFYETVVRVSRQITGSTAKAVFGFNDS DCIGKFHFASIQIATAFPSSFPNVLGLPDKTPCLIPCAIDQDPYFRVCRDVADKLKYS KPALLHSRFFPALQGSTTKMSASDDTTAIFMTDTPKQIQKKINKYAFSGGQVSADLHR ELGGNPDVDVAYQYLSFFKDDDVFLKECYDKYKSGELLSGEMKKLCIETLQEFVKAFQ ERRAQVDEETLDKFMVPHKLVWGEKERLVAPKPKTKQEKK YOL096C MLLRSRFLKVIHVRKQLSACSRFAIQTQTRCKSTDASEDEVKHF QELAPTWWDTDGSQRILHKMNLTRLDFVQRTVRNQVKIQNPEIFVPGFNYKEFLPEYV CDNIQREMQESIETNLDKRPEVSVLDVGCGGGILSESLARLKWVKNVQGIDLTRDCIM VAKEHAKKDPMLEGKINYECKALEDVTGQFDIITCMEMLEHVDMPSEILRHCWSRLNP EKGILFLSTINRDLISWFTTIFMGENVLKIVPKGTHHLSKYINSKEILAWFNDNYSGQ FRLLDLKGTMYLPYQGWVEHDCSDVGNYFMAIQRLN YOL095C MDKLTPSQWKVINKSYEPASTIKVIAGPGSGKTLTLLYKVLHLI TVENIKPEEILIFSLTNKAVDSIIENLLSIFENSHTNKEIVHQIGCYTVHGLANRIVV ENEGMINIIEEIGWRGLMKLLPPSKRTPHHFRSYKELEKVVKDYKLNNAKNNNPVIEK LVELMDNCKVMTNDDLIIRAKKYLELDSSDSDASSFTQDLRNKYKVVLIDEFQDLYPS LAPLITMICKGKQLIMFGDTNQSIYGFLGSNNEIMSQLDNLHPKNSTTVLKLFDNFRS TPEIISLASKIINRPLAEKQIIDDTDETPSELVRKLPSGVSPQIMTFDDLAAESEFII DKITQLICSSAKFSDIAILSRTNSHLTAIASILKKYGIPYQKLKSQPDWMDDLRIQFL LDILKVCSLASDEKHNREFNTGDKWQSNFSILVTMSALKGIGDASIQALYKACSLKNL SIWKYLTMVPNFEWPLGLSIKKKMENYTSNLYEMIENDQVHQLDDPMELLEKVASITN NLNLNPTYFQSLSDAQSSLEFKTHLQEMAQVMKVSKSNKPPGISFVKWFLETYFDQTM VFHQSQQALQTTGPGTVKLSTIHSAKGLEFPIVFLTNGSMSNFPMDTNALYVGITRAR NLLYMCNMKHERLVSKSSPYSRNIMSNNLFWTYYNKDLKRSVCDVKVTHGYNVQRYNQ LRKNFGFYRAYSSLRGCKSVFRRI YOL094C MSKTLSLQLPWVEKYRPQVLSDIVGNKETIDRLQQIAKDGNMPH MIISGMPGIGKTTSVHCLAHELLGRSYADGVLELNASDDRGIDVVRNQIKHFAQKKLH LPPGKHKIVILDEADSMTAGAQQALRRTMELYSNSTRFAFACNQSNKIIEPLQSRCAI LRYSKLSDEDVLKRLLQIIKLEDVKYTNDGLEAIIFTAEGDMRQAINNLQSTVAGHGL VNADNVFKIVDSPHPLIVKKMLLASNLEDSIQILRTDLWKKGYSSIDIVTTSFRVTKN LAQVKESVRLEMIKEIGLTHMRILEGVGTYLQLASMLAKIHKLNNKA YOL093W MSNDEINQNEEKVKRTPPLPPVPEGMSKKQWKKMCKRQRWEENK AKYNAERRVKKKRLRHERSAKIQEYIDRGEEVPQELIREPRINVNQTDSGIEIILDCS FDELMNDKEIVSLSNQVTRAYSANRRANHFAEIKVAPFDKRLKQRFETTLKNTNYENW NHFKFLPDDKIMFGDEHISKDKIVYLTADTEEKLEKLEPGMRYIVGGIVDKNRYKELC LKKAQKMGIPTRRLPIDEYINLEGRRVLTTTHVVQLMLKYFDDHNWKNAFESVLPPRK LDAEAKSASSSPAPKDT YOL092W MQLVPLELNRSTLSGISGSISISCWIIVFVPQIYENFYRKSSDG LSLLFVVLWLAGDVFNLMGAVMQHLLSTMIILAAYYTVADIILLGQCLWYDNEEKPAV DPIHLSPANPINENVLHDVFNEQQPLLNSQGQPNRIDEEMAAPSSDGNAGDDNLREVN SRNLIKDIFIVSGVVFVGFISWYVTYCVNYTQPPPVEDPSLPVPELQINWMAQIFGYL SALLYLGSRIPQILLNFKRKSCEGISFLFFLFACLGNTTFIFSVIVISLDWKYLIMNA SWLVGSIGTLFMDFVIFSQFFIYKRNKKFILN YOL091W MDNILKASNMEGTSTMTVTSRSSEDSSCISNHEQDTDTHKDGDT SGLENSKISKRKWMKEFFKLSKSPASKSSRSIGSMKSNQSLVSMKSSDDGNSYKNDYS SICGNSLPSAGLSRSNSVKELKLDSTGSQRSKNNVAMLARSSTTSQTTCSSSSSSSSY NSIKGNENDILLQNNNHFRHNKEIPQSKGSSNINTASIMSQYNVDTQATAIMSDMQKQ YDSQQMTSPFVNEDLHFDPNGEVSHVIKAIFKEIGYKYDDFSDIPVFQLMQEMYQLVK KNSSARRTKITDYASKLKEKEAQLKSQNDKILKLETTNKAYKTKYKEVSLENKKIKEA FKELDNESYNHDEELLKKYKYTRETLDRVNREQQLIIDQNEFLKKSVNELQNEVNATN FKFSLFKEKYAKLADSITELNTSTKKREALGENLTFECNELKEICLKYKKNIENISNT NKNLQNSFKNERKKVLDLRNERNLLKKEILLIECHGSYSLLLVSNILTCYRFLLPSDT IIETESLIKELLNMNNSLSNHVSSSDEPPAEYSKRLELKCVEFEEKLLYFYQELVTKK IIDVIYKCFINYYKKSRQTDQKSNQNSSTPYKQSQRQVPHSIK YOL090W MSSTRPELKFSDVSEERNFYKKYTGLPKKPLKTIRLVDKGDYYT VIGSDAIFVADSVYHTQSVLKNCQLDPVTAKNFHEPTKYVTVSLQVLATLLKLCLLDL GYKVEIYDKGWKLIKSASPGNIEQVNELMNMNIDSSIIIASLKVQWNSQDGNCIIGVA FIDTTAYKVGMLDIVDNEVYSNLESFLIQLGVKECLVQDLTSNSNSNAEMQKVINVID RCGCVVTLLKNSEFSEKDVELDLTKLLGDDLALSLPQKYSKLSMGACNALIGYLQLLS EQDQVGKYELVEHKLKEFMKLDASAIKALNLFPQGPQNPFGSNNLAVSGFTSAGNSGK VTSLFQLLNHCKTNAGVRLLNEWLKQPLTNIDEINKRHDLVDYLIDQIELRQMLTSEY LPMIPDIRRLTKKLNKRGNLEDVLKIYQFSKRIPEIVQVFTSFLEDDSPTEPVNELVR SVWLAPLSHHVEPLSKFEEMVETTVDLDAYEENNEFMIKVEFNEELGKIRSKLDTLRD EIHSIHLDSAEDLGFDPDKKLKLENHHLHGWCMRLTRNDAKELRKHKKYIELSTVKAG IFFSTKQLKSIANETNILQKEYDKQQSALVREIINITLTYTPVFEKLSLVLAHLDVIA SFAHTSSYAPIPYIRPKLHPMDSERRTHLISSRHPVLEMQDDISFISNDVTLESGKGD FLIITGPNMGGKSTYIRQVGVISLMAQIGCFVPCEEAEIAIVDAILCRVGAGDSQLKG VSTFMVEILETASILKNASKNSLIIVDELGRGTSTYDGFGLAWAIAEHIASKIGCFAL FATHFHELTELSEKLPNVKNMHVVAHIEKNLKEQKHDDEDITLLYKVEPGISDQSFGI HVAEVVQFPEKIVKMAKRKANELDDLKTNNEDLKKAKLSLQEVNEGNIRLKALLKEWI RKVKEEGLHDPSKITEEASQHKIQELLRAIANEPEKENDNYLKYIKALLL YOL089C MENQGGDYSPNGFSNSASNMNAVFNNEITGRSDISNVNHQTGTP RLVPETQIWSMPVPDQLMTMPNRENTLMTGSTIGPNIPMNVAYPNTIYSPTEHQSQFQ TQQNRDISTMMEHTNSNDMSGSGKNLKKRVSKACDHCRKRKIRCDEVDQQTKKCSNCI KFQLPCTFKHRDEILKKKRKLEIKHHATPGESLQTSNSISNPVASSSVPNSGRFELLN GNSPLESNIIDKVSNIQNNLNKKMNSKIEKLDRKMSYIIDSVARLEWLLDKAVKKQEG KYKEKNNLPKPARKIYSTALLTAQKLYWFKQSLGVKASNEEFLSPISEILSISLKWYA TQMKKFMDLSSPAFFSSEIILYSLPPKKQAKRLLENFHATLLSSVTGIISLKECLDLA EKYYSESGEKLTYPEHLLLNVCLCSGASATQSIIRGDSKFLRKDRYDPTSQELKKIEN VALLNAMYYYHKLSTICSGTRTLQALLLLNRYFQLTYDTELANCILGTAIRLAVDMEL NRKSSYKSLDFEEAIRRRRMWWHCFCTDKLYSLMLSRPPIVGERDMDMLTDQNYYEVI KTNILPDLIDKKEDLDKITDVNSALNVVVNFCQHISLFISYYVSKLVSIESKIYSTCF AVRSTLDLSFDAMLDKIKDLNDSLNNWRDNLHVSMKLKSYKQYLSVLYAQKSQENPAL SFEIACSRVLNCHFRALYSKVILSMMTTSLLIDNERLYKGSRHDIPQLFILFSSQYLN ASKEMLQLFQGINYQAHMYNEVMYQFSTAMFVLFFYVVDNMNDLKKKGEVKEIIDILK KSYDRLVGENDEQLLFDNVKWNTLIVFYSHFLKYVLQRYHALNDSTSIFDSKPYDETI TKVIMHSRKIKDETVDQLIMSLKSYGSLHSLQKGNEADLADDGLNTNDISSEDFAEEA PINLFGELSVEILKLLKSHSPISNFGDLSPSSNRKGISDDSSLYPIRSDLTSLVYPIH SSDTGDTLSSGLETPENSNFNSDSGIKEDFEAFRALLPLGKLIYDRDYSFVNTFRDYE YOL088C MKLHGFLFSVLSTCVVILPALAYSEAVTMVKSIEQYFDICNRND SYTMIKYYTSWCQHCKTLAPVYEELGELYAKKANKDDTPINFLEVNCEFFGPTLCTDL PGFPIIELVKPRTKPLVLPKLDWSSMKFHERLWQRIKTWFNNPKYQLDTSRVVRFEGS RNLKSLSNFIDTVRSKDTEERFIEHIFDDSRNCNEELRSQQLLCKAGKEYYSDTLSKL YGDVNGLEKERRRLEALIKQNGDDLSKEVKEKLKIIRLQLSLLSHIEDQLEDTSSHDE L YOL087C MNQLTVSYGLISPDYCTSQDAHILPITKILYPDIPGKNYFLTSG RDGSIILHKNTQLSNEPETAATTIKNDAIRMQVHSDWASDLIHVNMKNSDPSAGDTFI SVSHDFSIVLISVNAQLTTWDKKIIGDHDDYIKCIVPIHYEMSNDYELEEQEGGPDNV HDGINNGIVVDEQNNFLFVTGGLDRKIKLWCLSSGPEKMATLLHTFDNAQSNDTGSIY SMSPIIPKYSFDDNQTSRPFDFVAGDCNGDLIFYSCKYRKEVIRIQNAHRTNIKVVRT LDDSTRLISTSSDGVINVWDLNCRHDQTTGALQLPKKIGSWSWDSSIWCVQGTSLDKL YFGDSQGNVMRANLSSYEDAKLTRIFKPDHHHHHHHHHEHEEQNISTTDAKVKKYGGI LDIALLPNEKLLFSFCTDSNLNVLDLTNNHFSVNEGGFALTRSSLLTNRRHVITENTK GQMQRWDIVSCELLNTFDSSEGSFDDIVMKYTSKEILSHWCTVSVKVGMLFVKINPKF LKTEVYGSALKDYQVVNNIEINSDERYNLGKIVINSLFNEFISYEVQKDKLLRKKIFS LKKKDLTNSLTLDTGYNSESKKNNKDKKRKSTFKISSTLSIGNTNSSGTPPNSAPATP VMAETIVLEEQPLLQSASDKAIDDSLELVQPLPASKKPYFRTQSSGSLLSRKFKSFRS TSGRATTGLNTPEEPKGILPDTPHVINDDSAFPQAINTTQQSKDATPESMLWNHPFKL EQKLSAISSQDLPSNNTHNKLRSSENSRANSTSTLEGNEKKKPEFMPDLLEQIQESYK QQYMNTSSLKYLTKRLPVTKIIKASSCPIIRVKSATLVLVHLWKEGSCGGRVLFSTLL PPSHVDNETVSGGKENSKPPDDEEVDLQAVDDDKLGKYDLIDGELGSRLNRRQIFEQL EENLPYWFAKALFRDIKTVEEQPKLNFLIMPWSSVGGSEAAGNENKKKFISASDTTES SGNDSSDSSLGNGNEAVSPSTQQQFHNMLKFGRPKTSEQELNPTDLPRISEANVKLVA PGMIRVKKIKLYVADRFETKTPEMKAKMEPSLWLDLLCRGQVLDNDMTLNTVRTLYWK SQGDIVLEYRRKVHNSPLVHEVNGNEGK YOL086W-A MNDDEDRAQLKARLWIRVEERLQQVLSSEDIKYTPRFINSLLEL AYLQLGEMGSDLQAFARHAGRGVVNKSDLMLYLRKQPDLQERVTQE YOL086C MSIPETQKGVIFYESHGKLEYKDIPVPKPKANELLINVKYSGVC HTDLHAWHGDWPLPVKLPLVGGHEGAGVVVGMGENVKGWKIGDYAGIKWLNGSCMACE YCELGNESNCPHADLSGYTHDGSFQQYATADAVQAAHIPQGTDLAQVAPILCAGITVY KALKSANLMAGHWVAISGAAGGLGSLAVQYAKAMGYRVLGIDGGEGKEELFRSIGGEV FIDFTKEKDIVGAVLKATDGGAHGVINVSVSEAAIEASTRYVRANGTTVLVGMPAGAK CCSDVFNQVVKSISIVGSYVGNRADTREALDFFARGLVKSPIKVVGLSTLPEIYEKME KGQIVGRYVVDTSK YOL085C MKAKDKYKGRTKNKVKSVDMMYLALRRRKNEFTQLHNHADSVAD PRSCRPGDNAGREAVPGGVFCACIFQGLPCAKGRDWRSNKNAGWGCDDDDHDNWCHYL SCRKNLSAFAT YOL084W MADSSSTSAFISTLIIYGLTAVVFVWLFLLLRPKNRRVYEPRSL KDIQTIPEEERTEPVPEGYFGWVEYLLSKPHSFLIQHTSVDGYFLLRYIGIVGSLSFV GCLLLLPILLPVNATNGNNLQGFELLSFSNVTNKNRFYAHVFLSWIFFGLFTYVIYKE LYYYVVFRHAMQTTPLYDGLLSSRTVIVTELHKSIAQEGEMQMRFPKASNVAFAYDLS DLQELCKERAKNAAKYEAALNKVLNKCVKMTRNKTQKQLDKLYNNGTKPKDDLETYVP HKKRPKHRLGKLPLCLGGKKVNTLSYSSKRIGELNEEIHEKQADWASNDRQPACFIQF ETQLEAQRCYQSVEAILGKKNFGKRLIGYSPEDVNWGSMRLSSKERHSRRAVANTIMV LLIIFWAFPVAVVGIISNVNFLTDKVPFLRFINNMPTFLMGVITGLLPTIALVVLMSL VPPFIVMLGKLSGCVTRQETDLYSQAWYYAFAVIQIFLVVTATSSASSTVDSIIDRPR SAMTLLANNLPKASNFYIMYFILKGLTGPTWTILQAVNLLLSKVLGRVLDSTPRQKWN RYNTLATPRMGIVYPGIEILVCIYICYSIIAPILLFFSTVMLTLLYVAYLYNLNYVFG FSFDLKGRNYPRALFQIFVGIYLSEVCLLGLFIMAKTWGPLVLEVFWIVVTALAHIYM KRKFIPLFDAVPLSAIRHARGEPGYSYPTSDLGLQEIKDIADEMKGKYEQDNTHGILT PVTKDDLKKANLIPDNDGSSENGTPSNPFESGSERASLSGSNAESDSIKKLNDTVIKK SSTLSSSTKDNNESTFVPEGEKFRKFHYSDVEALRNKRPYDEDDHSKHGPEGAVPVNA DAGVIYSDPAAVMKEPQAFPPDVLETNTWTRRILQFFNPRRSYPFDSVRMRFPLVFNT SIEYDEEYLSSAYTDPCVREKDPIVWCCKDPLGVSKQQIQEARSNGLDVRDDFTRYDE KGKVIFTYNPPDYEPEAKK YOL083W MKIAVETTLFDFFVIDQFKKSTFSAPNTKVDTIKGCINKFIEQF NVYDEQHIFWQPPGKSNVRLLSNANDFGQLGNFLHKKIKCNIFIGEEALRKYDLNICG PYDKFVENSDPSVKKVVNRDDVMLSRKCLNIISEQLSILEKSISKAQNQVLQSSEVEG KKCIILPEDKPELIKFFSKFETSVQLQEVYEGYKVYEKLLQKFGGQKKRMESFLNENT PMSGAEAIKQINISEELKEKGERLTTPNDPLLHVEVSNEDNSLHFILYNKTNIIIPGN CTFEFSSQISEVFSIKMGPHEIGIKGQKELWFFPSLPTPLSNYTMKVVNQDGETILVG KCADSNEITLKSPLASFSTGSFQTGSFHTLQDPTNVFRADALSSPDESSIMSTPFLGE TDEVYNSGSTLSRPFTWEEI YOL082W MNNSKTNQQMNTSMGYPLTVYDECNKFQLIVPTLDANIMLWCIG QLSLLNDSNGCKHLFWQPNDKSNVRILLNNYDYGHLFKYLQCQRKCSVYIGEGTLKKY NLTISTSFDNFLDLTPSEEKESLCREDAHEDPVSPKAGSEEEISPNSTSNVVVSRECL DNFMKQLLKLEESLNKLELEQKVTNKEPNHRISGTIDIPEDRSELVNFFTELKTVKQL EDVFQRYHDYERLSQECDSKTEIASDHSKKETKIEVEPPNERSLQITMNQRDNSLYFQ LFNNTNSVLAGNCKLKFTDAGDKPTTQIIDMGPHEIGIKEYKEYRYFPYALDLEAGST IEIENQYGEVIFLGKYGSSPMINLRPPSRLSAESLQASQEPFYSFQIDTLPELDDSSI ISTSISLSYDGDDNEKALTWEEL YOL081W MSQPTKNKKKEHGTDSKSSRMTRTLVNHILFERILPILPVESNL STYSEVEEYSSFISCRSVLINVTVSRDANAMVEGTLELIESLLQGHEIISDKGSSDVI ESILIILRLLSDALEYNWQNQESLHYNDISTHVEHDQEQKYRPKLNSILPDYSSTHSN GNKHFFHQSKPQALIPELASKLLESCAKLKFNTRTLQILQNMISHVHGNILTTLSSSI LPRHKSYLTRHNHPSHCKMIDSTLGHILRFVAASNPSEYFEFIRKSVQVPVTQTHTHS HSHSHSLPSSVYNSIVPHFDLFSFIYLSKHNFKKYLELIKNLSVTLRKTIYHCLLLHY SAKAIMFWIMARPAEYYELFNLLKDNNNEHSKSLNTLNHTLFEEIHSTFNVNSMITTN QNAHQGSSSPSSSSPSSPPSSSSSDNNNQNIIAKSLSRQLSHHQSYIQQQSERKLHSS WTTNSQSSTSLSSSTSNSTTTDFSTHTQPGEYDPSLPDTPTMSNITISASSLLSQTPT PTTQLQQRLNSAAAAAAAAASPSNSTPTGYTAEQQSRASYDAHKTGHTGKDYDEHFLS VTRLDNVLELYTHFDDTEVLPHTSVLKFLTTLTMFDIDLFNELNATSFKYIPDCTMHR PKERTSSFNNTAHETGSEKTSGIKHITQGLKKLTSLPSSTKKTVKFVKMLLRNLNGNQ AVSDVALLDTMRALLSFFTMTSAVFLVDRNLPSVLFAKRLIPIMGTNLSVGQDWNSKI NNSLMVCLKKNSTTFVQLQLIFFSSAIQFDHELLLARLSIDTMANNLNMQKLCLYTEG FRIFFDIPSKKELRKAIAVKISKFFKTLFSIIADILLQEFPYFDEQITDIVASILDGT IINEYGTKKHFKGSSPSLCSTTRSRSGSTSQSSMTPVSPLGLDTDICPMNTLSLVGSS TSRNSDNVNSLNSSPKNLSSDPYLSHLVAPRARHALGGPSSIIRNKIPTTLTSPPGTE KSSPVQRPQTESISATPMAITNSTPLSSAAFGIRSPLQKIRTRRYSDESLGKFMKSTN NYIQEHLIPKDLNEATLQDARRIMINIFSIFKRPNSYFIIPHNINSNLQWVSQDFRNI MKPIFVAIVSPDVDLQNTAQSFMDTLLSNVITYGESDENISIEGYHLLCSYTVTLFAM GLFDLKINNEKRQILLDITVKFMKVRSHLAGIAEASHHMEYISDSEKLTFPLIMGTVG RALFVSLYSSQQKIEKTLKIAYTEYLSAINFHERNIDDADKTWVHNIEFVEAMCHDNY TTSGSIAFQRRTRNNILRFATIPNAILLDSMRMIYKKWHTYTHSKSLEKQERNDFRNF AGILASLSGILFINKKILQEMYPYLLDTVSELKKNIDSFISKQCQWLNYPDLLTRENS RDILSVELHPLSFNLLFNNLRLKLKELACSDLSIPENESSYVLLEQIIKMLRTILGRD DDNYVMMLFSTEIVDLIDLLTDEIKKIPAYCPKYLKAIIQMTKMFSALQHSEVNLGVK NHFHVKNKWLRQITDWFQVSIAREYDFENLSKPLKEMDLVKRDMDILYIDTAIEASTA IAYLTRHTFLEIPPAASDPELSRSRSVIFGFYFNILMKGLEKSSDRDNYPVFLRHKMS VLNDNVILSLTNLSNTNVDASLQFTLPMGYSGNRNIRNAFLEVFINIVTNYRTYTAKT DLGKLEAADKFLRYTIEHPQLSSFGAAVCPASDIDAYAAGLINAFETRNATHIVVAQL IKNEIEKSSRPTDILRRNSCATRSLSMLARSKGNEYLIRTLQPLLKKIIQNRDFFEIE KLKPEDSDAERQIELFVKYMNELLESISNSVSYFPPPLFYICQNIYKVACEKFPDHAI IAAGSFVFLRFFCPALVSPDSENIIDISHLSEKRTFISLAKVIQNIANGSENFSRWPA LCSQKDFLKECSDRIFRFLAELCRTDRTIDIQVRTDPTPIAFDYQFLHSFVYLYGLEV RRNVLNEAKHDDGDIDGDDFYKTTFLLIDDVLGQLGQPKMEFSNEIPIYIREHMDDYP ELYEFMNRHAFRNIETSTAYSPSVHESTSSEGIPIITLTMSNFSDRHVDIDTVAYKFL QIYARIWTTKHCLIIDCTEFDEGGLDMRKFISLVMGLLPEVAPKNCIGCYYFNVNETF MDNYGKCLDKDNVYVSSKIPHYFINSNSDEGLMKSVGITGQGLKVLQDIRVSLHDITL YDEKRNRFTPVSLKIGDIYFQVLHETPRQYKIRDMGTLFDVKFNDVYEISRIFEVHVS SITGVAAEFTVTFQDERRLIFSSPKYLEIVKMFYYAQIRLESEYEMDNNSSTSSPNSN NKDKQQKERTKLLCHLLLVSLIGLFDESKKMKNSSYNLIAATEASFGLNFGSHFHRSP EVYVPEDTTTFLGVIGKSLAESNPELTAYMFIYVLEALKNNVIPHVYIPHTICGLSYW IPNLYQHVYLADDEEGPENISHIFRILIRLSVRETDFKAVYMQYVWLLLLDDGRLTDI IVDEVINHALERDSENRDWKKTISLLTVLPTTEVANNIIQKILAKIRSFLPSLKLEAM TQSWSELTILVKISIHVFFETSLLVQMYLPEILFIVSLLIDVGPRELRSSLHQLLMNV CHSLAINSALPQDHRNNLDEISDIFAHQKVKFMFGFSEDKGRILQIFSASSFASKFNI LDFFINNILLLMEYSSTYEANVWKTRYKKYVLESVFTSNSFLSARSIMIVGIMGKSYI TEGLCKAMLIETMKVIAEPKITDEHLFLAISHIFTYSKIVEGLDPNLDLMKHLFWFST LFLESRHPIIFEGALLFVSNCIRRLYMAQFENESETSLISTLLKGRKFAHTFLSKIEN LSGIVWNEDNFTHILIFIINKGLSNPFIKSTAFDFLKMMFRNSYFEHQINQKSDHYLC YMFLLYFVLNCNQFEELLGDVDFEGEMVNIENKNTIPKILLEWLSSDNENANITLYQG AILFKCSVTDEPSRFRFALIIRHLLTKKPICALRFYSVIRNEIRKISAFEQNSDCVPL AFDILNLLVTHSESNSLEKLHEESIERLTKRGLSIVTSSGIFAKNSDMMIPLDVKPED IYERKRIMTMILSRMSCSA YOL080C MALSSNWQALLASESNPTSNGKNKQSNRKIRNVKKVSKTVNVSS TTQYAPRKRKNGSKIMDMVYNMNKEISKHEKDKLEGKVFEFNPNKANTSTTIKEPVKV GISEDTRINSNKSKEIGKYIAMDCEFVGVGPEGKESALARISIVNYFGHVVLDEFVKP REKVVEWRTWVSGIKPEHMKNAITFKEAQKKTADILEGRILVGHALKHDLEALMLSHP KSLLRDTSRHLPFRKLYAKGKTPSLKKLTREVLKISIQEGEHSSVEDARATMLLYKKE KTEFEKIHRNTFN YOL078W MDTVTVLNELRAQFLRVCPEKDQMKRIIKPYIPVDEFNTEQCLD SSIRELYMNSDGVSLLPELESPPVSKDFMENYASLGKMRIMRENEGQKGKANQNLIGA EKTERDEEETRNLQDKSAKNTLIVEENGTLRYNPLNSSASNSLLNDDDHTSGKHHKTS SKEDSYLNSSMEMQKKSSKRSSLPFVRIFKSRRDHSNTSGNKNVMNTTNTRAKSSTLH PPGARHNKKGSKFDMNFDFDENLEEEDDDDDDDEEGDDIHSQFFQLDDDFDAKGSGAS PAHKGINGMSNNKNNTYTNNRNSISILDDRESSNGNIGSASRLKSHFPTSQKGKIFLT DNKNDGQKSDSLNANKGIHGDGSSASGNGSVSRDGLTETESNNISDMESYINEKDLDD LNFDTVTSNINKTVSDLGGHESTNDGTAVMNRDSKDSRSNSNEFNAQNRDRITPGSSY GKSLLGSEYSEERYSNNDSSTMESGEMSLDSDMQTNTIPSHSIPMSMQKYGIYHGDDD STLNNVFDKAVLTMNSSRHPKERRDTVISGKEPTSLTSSNRKFSVSSNLTSTRSPLLR GHGRTSSTASSEHMKAPKVSDSVLHRARKSTLTLKQDHSQPSVPSSVHKSSKEGNILI EKTTDYLVSKPKASQLSNMFNKKKKRTNTNSVDVLEYFSFVCGDKVPNYESMGLEIYI QASKKYKRNSFTTKVRKSSTIFEVIGFALFLYSTEKKPDNFEEDGLTVEDISNPNNFS LKIVDEDGEPFEDNFGKLDRKSTIQSISDSEVVLCKVDDAEKSQNEIETPLPFETGGG LMDASTLDANSSHDTTDGTINQLSFYKPIIGNEDDIDKTNGSKIIDVTVYLYPNVNPK FNYTTISVLVTSHINDILVKYCKMKNMDPNEYALKVLGKNYILDLNDTVLRLDGINKV ELISKKDARELHLEKMKPDLKKPVLPTIQSNDLTPLTLEPLNSYLKADAGGAVAAIPE NTKVTSKAKKISTKYKLGLAKQHSSSSVASGSVSTAGGLANGNGFFKNKNSSKSSLHG TLQFHNINRSQSTMEHTPDTPNGVGDNFQDLFTGAYHKYKVWRRQQMSFINKHERTLA IDGDYIYIVPPEGRIHWHDNVKTKSLHISQVVLVKKSKRVPEHFKIFVRREGQDDIKR YYFEAVSGQECTEIVTRLQNLLSAYRMNHK YOL077W-A MGAAYHFMGKAIPPHQLAIGTLGLLGLLVVPNPFKSAKPKTVDI KTDNKDEEKFIENYLKKHSEKQDA YOL077C MSSIYKALAGKSKDNKSEKKQGNVKQFMNKQRTLLISSRGVNYR HRHLIQDLSGLLPHSRKEPKLDTKKDLQQLNEIAELYNCNNVLFFEARKHQDLYLWLS KPPNGPTIKFYIQNLHTMDELNFTGNCLKGSRPVLSFDQRFESSPHYQLIKELLVHNF GVPPNARKSKPFIDHVMSFSIVDDKIWVRTYEISHSTKNKEEYEDGEEDISLVEIGPR FVMTVILILEGSFGGPKIYENKQYVSPNVVRAQIKQQAAEEAKSRAEAAVERKIKRRE NVLAADPLSNDALFK YOL076W MSDKIQEEILGLVSRSNFKQCYAKLGQLQKQFPNALYFKILETY VKFKQSPGKFDYNKLLEEPYGLKGTTITGDTRSLEFLHNFFVELGKYDEALHVYERGN FKFPSYELSYHWFMKALEDSNYNQMSKASLQLAKYSDSGNLPKRAYYFWNAISILAVS RFQENTLSDPKKILLSRLARQSLLDLKPFQNVQEIIVYCLVLDELFPQSREISEEIVA ITFANFDTSVNLYLKNFILKHTKLLNSPQKLFEVCSKLIEKGLDDYELITNLIDAAYK LSKSKDEVKQWIDENLGDSRNTRLARLKLDIMYTDSVSESSLSYYLSKYHNKPCCSID LNHYSGHINIDMLKSIMSKYDPEDKDLIHHCNILELGLIGSDSINNYNKFKGTLEKKS VTDYSSCSTFLLEIVKDKCKKTNPELKDVLLCITILENYQAKDPHNFDTMCWLIVLYM YLGLVPDAYFHFINLKIKNVQTDSLDYMIFSRFSTLFPNKQSDFYSKTFHEHNNLYDT SLANLPRYIQVAFERNSYSKILGMLEMRDKLMKSYTRWTKTLENLQFSRLCNDKRGHL LQKLHEDWRSLEMTQSVSFSDNRDFSILDENFAQFLNRGKILEYANLNEESIFLTLIR ELIIEALPNGEKTEQISALLKKLPSINLEELLNNNLTEVESASFLIFFEIYENNGKNL HDLISRLMKVPINAKQNWMVSHTYLTKMATLKTLDSLKRIKDKEIQKLIKNSLKELRS CCDDVFKGYSKALVQAYEELKKDECGNLLKELDVKAENVKNIKNSLLGIQKSVRNL YOL075C MSQQENGDVATELIENRLSFSRIPRISLHVRDLSIVASKTNTTL VNTFSMDLPSGSVMAVMGGSGSGKTTLLNVLASKISGGLTHNGSIRYVLEDTGSEPNE TEPKRAHLDGQDHPIQKHVIMAYLPQQDVLSPRLTCRETLKFAADLKLNSSERTKKLM VEQLIEELGLKDCADTLVGDNSHRGLSGGEKRRLSIGTQMISNPSIMFLDEPTTGLDA YSAFLVIKTLKKLAKEDGRTFIMSIHQPRSDILFLLDQVCILSKGNVVYCDKMDNTIP YFESIGYHVPQLVNPADYFIDLSSVDSRSDKEEAATQSRLNSLIDHWHDYERTHLQLQ AESYISNATEIQIQNMTTRLPFWKQVTVLTRRNFKLNFSDYVTLISTFAEPLIIGTVC GWIYYKPDKSSIGGLRTTTACLYASTILQCYLYLLFDTYRLCEQDIALYDRERAEGSV TPLAFIVARKISLFLSDDFAMTMIFVSITYFMFGLEADARKFFYQFAVVFLCQLSCSG LSMLSVAVSRDFSKASLVGNMTFTVLSMGCGFFVNAKVMPVYVRWIKYIAFTWYSFGT LMSSTFTNSYCTTDNLDECLGNQILEVYGFPRNWITVPAVVLLCWSVGYFVVGAIILY LHKIDITLQNEVKSKQKKIKKKSPTGMKPEIQLLDDVYHQKDLEAEKGKNIHITIKLE DIDLRVIFSAPFSNWKEGNFHHETKEILQSVNAIFKPGMINAIMGPSGSGKSSLLNLI SGRLKSSVFAKFDTSGSIMFNDIQVSELMFKNVCSYVSQDDDHLLAALTVKETLKYAA ALRLHHLTEAERMERTDNLIRSLGLKHCENNIIGNEFVKGISGGEKRRVTMGVQLLND PPILLLDEPTSGLDSFTSATILEILEKLCREQGKTIIITIHQPRSELFKRFGNVLLLA KSGRTAFNGSPDEMIAYFTELGYNCPSFTNVADFFLDLISVNTQNEQNEISSRARVEK ILSAWKANMDNESLSPTPISEKQQYSQESFFTEYSEFVRKPANLVLAYIVNVKRQFTT TRRSFDSLMARIAQIPGLGVIFALFFAPVKHNYTSISNRLGLAQESTALYFVGMLGNL ACYPTERDYFYEEYNDNVYGIAPFFLAYMTLELPLSALASVLYAVFTVLACGLPRTAG NFFATVYCSFIVTCCGEALGIMTNTFFERPGFVVNCISIILSIGTQMSGLMSLGMSRV LKGFNYLNPVGYTSMIIINFAFPGNLKLTCEDGGKNSDGTCEFANGHDVLVSYGLVRN TQKYLGIIVCVAIIYRLIAFFILKAKLEWIKW YOL073C MSMEPPVGLTAMPVTKLAMITTLVVPLVASIASYKHIFLLQYDP FLQTYHQYYRLLIFQFCAINESDTVILALIWYLFRHLERLLGSHKYLTLIVLSWAYTT LGIWGLNLIWNAFIGQYKWLQWNNFSTGSLPIVLSLVHFYKEYTPQIYEWNIRLLGPR GGASSHNDNKREDKSAVEWKINDQFLLNGLILLLILNQGFAGILCGFISWMCGIFIDK GLLPGLDHWRIPFVSYFISQGPPTRANVAIAANAATNTAAARATVEAATAATGNGNTG NSGPTSLPLRGSSTTPTNTSSAGDDEPGADEPARPLGVQFLDTFRR YOL072W MDMANQLLDELAHGNFSHLTLNLSQNGREIAILQKQLTGFDDKQ LETFVEQHPAMPNDTRFKIMCTSFLNYARDVDPWSAWSSSDLIFEFYQCLINCLINDN APHIEMLIPVATRETEFIINLAGKLDSFHLQLHTRSHQFLSHISSILSRLFNSIKPPR GNASSTNIPGKQRILLYLVNKLNNIYFRIESPQLCSNIFKNFQPKSMLAHFNEYQLDQ QIEYRYLLGRYYLLNSQVHNAFVQFNEAFQSLLNLPLTNQAITRNGTRILNYMIPTGL ILGKMVKWGPLRPFLSQETIDNWSVLYKHVRYGNIQGVSLWLRQNERHLCARQLLIVL LEKLPMVTYRNLIKTVIKSWTTEWGQNKLPYSLIERVLQLSIGPTFEDPGAQEITIYN GIHSPKNVENVLVTLINLGLLRANCFPQLQLCVVKKTTMIQEIVPPVNERITKMFPAH SHVLW YOL071W MHNMFPALTKTLSLQGYKIINSQTGSAAWSCGRRWFSSDKDDHD DVVTRIKIAPIKRTNEPLDKKRARLIYQSRKRGILETDLLLSGFAAKYLKKMNEEELE EYDSLLNELDWDIYYWATKNFKTSPLPDKWANSKLLKQLQEFSENKEKEILSMPDLSK YQ YOL070C MSEEREENGISRATLNTQRLSAMIDSLNNEKDDRLFPSPTTTRT MITEEKADQSDVFKPPSRLLRSPAGDVSLPPGDNRSSMISNYSGIIQEGVEVSYVVKN RQQTQERRTSKDSNSLYSLKEPVSKNELPSLPMLPSEATLTKHLSDNQSTKSNTNADE IVIKPVTNAKPVGRFNSNTSKKVEGRGSLKLLSSPLRQEKVMRSSIGSGNLASESGSS TYNTKFHQSIQEQLEEEEEGNVSDKLSIVSSVIPELYTTTNEAPKAINPIRSETNDYN PTIPPRSKDRPRSRLFIEEGDGEGDLLTEEILPTPVQPGGHYKNSSQISTVSEQKSES YYSAATSMPPEEETYLTRPLPSTPNEDSRVTSNLKRDDTLKAIHDRANHTSTSTNKQD DDMYEDIIEETPKKTKLKKDTKKKLNKKKSVKELRSFDIDTLNQLLSVTKGTLIGSEF AQLGMKIEEKRALERLVDSLSRLTADMVLDPDRYEEGLKRLDKATKALEGF YOL069W MSRNQDVFPILDLQELVICLQSCDFALATQENISRPTSDYMVTL YKQIIENFMGISVESLLNSSNQETGDGHLQEENENIYLDTLNVLVLNKICFKFFENIG VQDFNMTDLYKPEAQRTQRLLSAVVNYARFREERMFDCNSFILQMESLLGQLRSKFDD YNLIQQQLKQYEDVDGDNIPDEQELQKLEEQNKELEIQLKKLTKIQETLSIDYNDYKI SKQSIFKDLEALSFQIVELESNRDKLIKISNTDMEELSEGIKELNDLLIQRKKTLDDL TAQQKNLQDTVTTFETIISELYDVLRIISSEVQESNRTETELVGLKQNLINNKLKLMN VLETGIMYKLEILQEQLDLQLKNLEKLSQDTKEESRLNDTKLMDLQIKYENEIKPKID KTDIFIQEELISGKINKLNDEIKQLQKDFEVEVKEIEIEYSLLSGHINKYMNEMLEYM Q YOL068C MNILLMQRIVSFILVVSQGRYFHVGELTMTMLKRPQEEESDNNA TKKLKTRLTYPCILGKDKVTGKFIFPAITKDDVMNARLFLKDNDLKTFLEYFLPVEVN SIYIYFMIKLLGFDVKDKELFMALNSNITSNKERSSAELSSIHAKAEDEDELTDPLEK KHAVKLIKDLQKAINKVLSTRLRLPNFNTIDHFTATLRNAKKILVLTGAGVSTSLGIP DFRSSEGFYSKIRHLGLEDPQDVFNLDIFLQDPSVFYNIAHMVLPPENMYSPLHSFIK MLQDKGKLLRNYTQNIDNLESYAGIDPDKLVQCHGSFATASCVTCHWQIPGEKIFENI RNLELPLCPYCYQKRKQYFPMSNGNNTVQTNINFNSPILKSYGVLKPDMTFFGEALPS RFHKTIRKDILECDLLICIGTSLKVAPVSEIVNMVPSHVPQILINRDMVTHAEFDLNL LGFCDDVASLVAKKCHWDIPHKKWQDLKKIDYNCTEIDKGTYKIKKQPRKKQQ YOL067C MSSIPAGTDPGSCGANFKNDRKRRDKINDRIQELLSIIPKDFFR DYYGNSGSNDTLSESTPGALGLSSKAKGTGTKDGKPNKGQILTQAVEYISHLQNQVDT QNREEVELMVKATQLAKQTGTIVNDINLENTSAEVALSRIGVGPLAATNDDSVRPPAK RLSSFEYGGYGEYGNGS YOL066C MEDSNNEASDDFNNLLNKEIESAKEVKLRKFANRNNNRNENSSK VKDASGFRLRVIQTDGHKTKKTDPDYEVTIDGPLRKIEPYFFTYKTFCKERWRDRKLV DVFVSEFRDREPSYYSKTIAEGKVYLNDEPANLDTIIRDGDLITHKVHRHEPPVTSKP IDIVFEDEDILVIDKPSSIPVHPTGRYRFNTITKMLERQLGYSVHPCNRLDKPTSGLM FLAKTPLGADRMGDQMKAREVTKEYVARVKGEFPIGIVEVDKPVRSVNPKVALNAVCE MSDENAKHAKTVFQRVSYDGQTSIVKCKPLTGRTHQIRVHLQYLGFPIANDPIYSNPD IWGPDLGRGGLQNYDDIVLKLDAIGKTNPAESWIHPHSEGEYLLGRQCEECEAEMYTD PGTNDLDLWLHAFRYESLERNSDTQKPLWSYRTKYPEWALEPHRRYMEMAVKEAGKCG PTKTAFSVGAVLVHGTQVLATGYSRELPGNTHAEQCALIKYSQLHPNCPTIVPMGTVL YTTMEPCSFRLSGNEPCCDRILATQGAIGTVFVGVMEPDTFVKNNTSLNKLESHGVNY IQIPGYEEECTIIAFKGHDNSDDKA YOL065C MNKTNWKVSVTTFNCGKEFPVENSKAIVKQLLFPYDDGISQLEL QDLYVLGFQEVVPIWQGSFPAVNRDLIDRITTTAVNCLNEKVSATQGDEQYSCLGVNS LGAITIIVLYNNNALKVKDDILKRNGKCGWFGTHLKGGTLISFQMTRNGEENWERFSY ICAHLNANEGVNNRNQRIDDYKRIMSEVCDSEVAKSDHFFFLGDLNFRVTSTYDPTTN YSSTTTLRRLLENHEELNLLRKGEDEPLCKGFQELKITFPPTYKFKLFEKETYNTKRI PSWCDRILYKSYAVPTFAQEGTYHSVPRSNALLFSDHQPVNLTVRLPRSTGTPVPLSL HIEKYPLSWSSGLIGQIGDAVIGYCGWLVTKNVHYWILGSLLLYLLLKIL YOL064C MALERELLVATQAVRKASLLTKRIQSEVISHKDSTTITKNDNSP VTTGDYAAQTIIINAIKSNFPDDKVVGEESSSGLSDAFVSGILNEIKANDEVYNKNYK KDDFLFTNDQFPLKSLEDVRQIIDFGNYEGGRKGRFWCLDPIDGTKGFLRGEQFAVCL ALIVDGVVQLGCIGCPNLVLSSYGAQDLKGHESFGYIFRAVRGLGAFYSPSSDAESWT KIHVRHLKDTKDMITLEGVEKGHSSHDEQTAIKNKLNISKSLHLDSQAKYCLLALGLA DVYLRLPIKLSYQEKIWDHAAGNVIVHEAGGIHTDAMEDVPLDFGNGRTLATKGVIAS SGPRELHDLVVSTSCDVIQSRNA YOL063C MPPQIPNENDDLFTRWLKSRAIIQRAVSTRECFDSEVFLASGGW NITNEIITLKKYYQLKWPNSSCNSFHPKTVEFIKERLHNLEEHDSSWKIPNPAYSFKK AFLEDTKSAFSNLEPVWGPSRLLNPAELLLPQDEKLLVQEIPLEFAPFQYTNRFAYGG LQFKNNLFVTYGSYSFLAAGQCVEVHNFDILLNVSSLEICHALLPVIIPDDGDVRNFR NSSYVKFKDTQFNSIPELCSINFMKICNFMHQDFLLACGDNGIVYIWEINKVIKIFNK FTSDILGGKDNSRERYINVDPYMVLRVEESCWSVDVIDINGIIYIAVGHNKPGVTVFA FDKDVKKERRYIRPLDLPSSHNVPCVNFVPNSKDSVGYITLSYCSIFGNVVTVKLKEH DCTILTSFLDTQFFGDDLWTITPLTKKDFAKVDNFELLNLNYQDGFKESMLYSICRDD FLLGYYCDNAYLSGNFGIGTLLNQFQVPVTDLRLTSSAGIPDEVIPLRFTSFDRNYTT TGSIKYEYSREDFALILHAGDLDDMNDAVTKNTSCEQHLHQWTFWEDSGYKHYRATER GFSKYKDIINTFPQLITPSGRNKTSQYQNTSGRKICEPSTYKLTDLENDIEDISREFN RSIRNLKMDKQRQLRTSKEFKSLSSVNHIPNIESGNFLWYNTDAAADWRTLFGKDLNT VLKDPEICSLQLNSTEEDDVNSDPENEESGSSLTSFQRRYRDTEQRAHLKSESQKSWG FHNYVRNVKRLLESAVPGSEDSPLGYQLSEMHDEFFFLTTAHRLVLMKANPLIIISAT HHEIFPLDGVVTCASKSLLQALNRINFVCHIKELNCIAVASQLGLISLLRLTEYRGIY SFRQEYILGWEVQDPVNPSPECRCNRNLFDAPMYGADGESSDTYCGVCDVYFPMGDIC GLDYTYASDSEELKRKGYATLYVASRGSLRAFKITTEHGTTQQ YOL062C MISGVLVYSSRGELVLNKFFKNSLKRSISDIFRVQVINNLDVRS PVLTLGSTTFHHIRSRHGDNLWLVTITRSNANSAAIWEFLYKLDAVMNAYRLDREEAL KEEFMIVHEMLDIMLGGNGIPIDTELNSVIAQMSVKPVRNMGGLLDSPDGNDVLSSSS SPTSSAGELHFPKFLTKRSSSFLGQGDSTSDFYDNNKITWRPKGIIHKKDEVFLYVNE RINILVSRDGSILKSYVDGTIDITTHLSGTPICRFGLNDSLGMQSEDEKKWLAQQQRH SGSDFGNKNFIPKAAAGSVLLEDCKFHECVSLDKFNRNHIIEFVPPDGSMELMKYHVR DNINLPFKVTPIVTHSTRDNEIDYRITLKSLFPGKLSAKDVVLHIPVPPSTVDCKISV SNGHCKFVPEENAMIWRFNKYNGLTENTLSAVTVSTSDTTQLNLQQWTRPPISLEFEV MMFSNSGLVVRYFTISGKDSKHRAVKWIKYISKAGSYEVRY YOL061W MSMSNIVVFGGDSHPELVTKICENLDIHPSKVELGKFSNGETNI ALRESVREKDVYIIQSGCGQVNDTFMQLLILISACKSASASRVTAVMPYLCYSRQPDI PYTAKGAPIISKPKENYTFESHPGTPVSSSLMTQRPGAESSLKSLDSAIRSTINLENP QPIRTPNSSATANNNFDIKKTLSFSRIPMIPGGKLQNTSNSTDAGELFNAQNAGYKLW VVQAGTLIAHLLSAAGADHVITMDLHDPQFPGFFDIPVDNLYCKPIAQNYIQHRIPDY QDAVIVSPDAGGAKRATAIADALELSFALIHKERRSQLLKGPPDATLTSGGSLPVSPR PLVTTLVSSQNTTSSGATGVAALEMKKTTSTSSTSSQSSNSSKFVQTTMLVGDVRNKV CIIVDDLVDTSYTITRAAKLLKDQGSTKVYALITHGVFSGDALERIGQSSIDKLIISN TVPQDRTLQYLGKDRVDVIDVSCIIGEAIRRIHNGESISMLFEHGW YOL060C MSFLPLRSRSRSGAPHWVYIILYHIFTIPKIYSLPLLSGSHVLN SRDVADSGHSVGDEASVTTYYIISIILVLLGGVFAGLTLGLMGQDEVYLKVISTSGSN SEKKLAKRVLDLISRGKHWVLVTLLLSNVITNETLPIVLDRCLGGGWQAVVSSTILIV IFGEIIPQSVCVKYGLQVGAFFCPFVLVLMYLMYPVAYPIATLLDYMLGEDHGTMYKK SGLKTLVTLHRTMGVERLTKDEVTIISAVLDLKAKRVEEIMTPIENVFTMSADTILDD KTVEKIFNSGFSRIPIFLPNEPNNFIGMLLVRVLISYDPDDCLPISHFPLATLPETSP NTSCLNILNYFQEGKAHMCVVSKEPGSSHGAIGVLTLEDVIEELIGEEIVDESDVFVD MHQHIMRQQPGPLSKRHITSYLHHLYTSSHKEHKAADQADESSPLLSPSNSNHPSEHP QQDLNNKSWKQKSNDGYDRSNAVLSPTPQVTEHGTIIPSNLASNPLNVNKSFVTIKKP ANVPKIITTHTPHSSKEPSPAPHSNDKSLSAEEQQLLSDHAELSRQAVLHTQRSGQPT QVTTSTKTTRNSPDSISIPNSGANHGNENQNVTISTSYQNTKNGIVESVITVKGVPKT IIGPAKDWDESKSEYGNENINQENSNRSDDRESSSSNASLFSSIKNKFKNENANNNDR SNFTDSLSRTSNYDANGSSSTIKR YOL059W MLAVRRLTRYTFLKRTHPVLYTRRAYKILPSRSTFLRRSLLQTQ LHSKMTAHTNIKQHKHCHEDHPIRRSDSAVSIVHLKRAPFKVTVIGSGNWGTTIAKVI AENTELHSHIFEPEVRMWVFDEKIGDENLTDIINTRHQNVKYLPNIDLPHNLVADPDL LHSIKGADILVFNIPHQFLPNIVKQLQGHVAPHVRAISCLKGFELGSKGVQLLSSYVT DELGIQCGALSGANLAPEVAKEHWSETTVAYQLPKDYQGDGKDVDHKILKLLFHRPYF HVNVIDDVAGISIAGALKNVVALACGFVEGMGWGNNASAAIQRLGLGEIIKFGRMFFP ESKVETYYQESAGVADLITTCSGGRNVKVATYMAKTGKSALEAEKELLNGQSAQGIIT CREVHEWLQTCELTQEFPLFEAVYQIVYNNVRMEDLPEMIEELDIDDE YOL058W MSKGKVCLAYSGGLDTSVILAWLLDQGYEVVAFMANVGQEEDFD AAKEKALKIGACKFVCVDCREDFVKDILFPAVQVNAVYEDVYLLGTSLARPVIAKAQI DVAKQEGCFAVSHGCTGKGNDQIRFELSFYALKPDVKCITPWRMPEFFERFAGRKDLL DYAAQKGIPVAQTKAKPWSTDENQAHISYEAGILEDPDTTPPKDMWKLIVDPMDAPDQ PQDLTIDFERGLPVKLTYTDNKTSKEVSVTKPLDVFLAASNLARANGVGRIDIVEDRY INLKSRGCYEQAPLTVLRKAHVDLEGLTLDKEVRQLRDSFVTPNYSRLIYNGSYFTPE CEYIRSMIQPSQNSVNGTVRVRLYKGNVIILGRSTKTEKLYDPTESSMDELTGFLPTD TTGFIAIQAIRIKKYGESKKTKGEELTL YOL057W MSHFFADHDAPLSMLSVKTEYFPQLTDKEQKYAHFMSKASHAGS RVVMRQVSHESEPIFDLILAIHSKLNGKYPEDDITQKQQTGLYLEYVSQFLSNLGNFK SFGDTKFIPRCEVKFFKQLLELAKINPCSSPLTLSPVDVNHEFTSHHLFSTINELIDI GIYHVEEKAALLGFPSQGYTSAYYLGLPVTPEDMALLKEQLFAELAILPENTRINKVG ENSFQIWVASENVKNQITETYPSGQITLSNAVTKVEFIFGDHSREMRLVASYLKEAQK FAANDTQKAMLQEYINHFVTGSSQAHKEAQKLWVKDISPVIETNIGFIETYREPSGII GEFESLVAIQNKERTAKFSSLVNNAEEFISLLPWSKDYEKPIFNPPDFTSLEVLTFTG SGIPAGINIPNYDDVRLKIGFKNVSLGNILSAAAKSSSKHPPSFISQEDRPIFEKYQS DSFEVQVGIHELLGHGSGKLLTEFTDGFNFDKENPPLGLDGKPVSTYYKVGETWGSKF GQLAGPFEECRAEVIAMFLLTNKKILDIFGFHDVESQDKVIYAGYLQMARAGLLALEY WNPKTGKWGQPHMQARFSIMKTFMKHSTDKNFLKLEMNSTNDDFAIKLDKSLIKTAGH ECVKDYLKHLHVYKCSGDVEQGSKYFIDRSTVTPDLASLRDIVLSKRLPRRQFIQSNS YIDDNNKVTLKEYDETPQGMLQSFLDREL YOL056W MTVTDTFKLFILRHGQSELNSENIFCGWIDAQLTEKGKSQARHS AKLIKQFCDSNNISLPQIGYTSRLIRTQQTMDVILEELGLKHTNYVITTNTNIKEELQ DTRFEGSMPVLQTWRLNERHYGAWQGQRKPDILKEYGKEKYMYIRRDYNGKPPKVNLN LEMVQEENDQGSSTGYDFKEPNRHLKYGPEEKANERLPESESLCEVVVRLKPFLNNVV LSTANKISQESCVIVGHGSSVRSLLKVLEGISDEDIKDVDIPNGIPLVIELDRDNYSF VRKFYLDPESAKVNAQMVRDEGFEKNP YOL055C MTYSTVSINTPPPYLTLACNEKLPTVLSIAGTDPSGGAGIEADV KTITAHRCYAMTCITALNAQTPVKVYSINNTPKEVVFQTLESNLKDMKCNVIKTGMLT AAAIEVLHEKLLQLGENRPKLVVDPVLVATSGSSLAGKDIVSLITEKVAPFADILTPN IPECYKLLGEERKVNGLQDIFQIAKDLAKITKCSNILVKGGHIPWNDEKEKYITDVLF LGAEQKFIIFKGNFVNTTHTHGTGCTLASAIASNLARGYSLPQSVYGGIEYVQNAVAI GCDVTKETVKDNGPINHVYAVEIPLEKMLSDECFTASDVIPKKPLKSAADKIPGGNFY EYLINHPKVKPHWDSYINHEFVKKVADGTLERKKFQFFIEQDYAYLVDYARVHCIAGS KAPCLEDMEKELVIVGGVRTEMGQHEKRLKEVFGVKDPDYFQKIKRGPALRAYSRYFN DVSRRGNWQELVASLTPCLMGYGEALTKMKGKVTAPEGSVYHEWCETYASSWYREAMD EGEKLLNHILETYPPEQLDTLVTIYAEVCELETNFWTAALEYE YOL054W MGDELHNRLLHQNDGTKDAILYKIIESLVCSICHDYMFVPMMTP CGHNYCYGCLNTWFASNTQKELACPQCRSDITTIPALNTTLQQYLSFILEKLRDQNDE SFKKLLTTKTKEENDYKNDKEKDTLFDKVFKNSALAVADDSDDGITRCSNCHWELDPD EVEDGNVCPHCNARIRNYAGGRDEFDEEEYSEGELDEIRESMRRRRENRFASTNPFAN RDDVSSEDDDSSEEEPMREHIPLGRWARSHNRSIAVDAVDDEDDEEEDEEEEEEMDSD LKDFIEDDEDDEDEDGSRRNLVLSALKNRHVIITDDEEEEQRRHATEEEDRDSDFYEH NDDGFVSGDSLDEDQKEVTRIQSSSDSEDRSLSYSGSSDVKDNNDDNTEELDDPQPKR QKRFRVVLGDSDDE YOL053W MWGLCKKHFPSNKIQVQERNKALKPKKSGSEHKTKQLFPVFNCK KKEKGVMIRFAILRNANTSLLSARSICLFTQAPTYCHVRLNTLNKSITTKRNSLTESK RHVHDGKHFFTTPHQQQQTKLGEIEEGHSPNIKGEDLRSIGQAITHQRNKRRKQIWSA IFGGIFGVILGYSLIYRVIYLKEQSFLPLFPSSKIRKLSTRDLKKVDVNQVQKLSKLR VLEILSGHDMIKEQYGVPLLDKDGNSPTLNEFSMWCEDQDPCVTGIVMEPDDKRDSSH TWYRIPFVCKWRITHRPISIRGTIDDLLNRIGLETADLFEIISPERVYGSFKYEYPLQ GDSHALHLWFHGEIELDDDSLIVYNGKYHVDVKLQEIDLFRREKNGQLIQYVLYKNEA GDK YOL052C-A MKVSQVFISAISVFGLATSVNAQNASNTTSNAAPALHAQNGQLL NAGVVGAAVGGALAFLI YOL052C MTVTIKELTNHNYIDHELSATLDSTDAFEGPEKLLEIWFFPHKK SITTEKTLRNIGMDRWIEILKLVKCEVLSMKKTKELDAFLLSESSLFVFDHKLTMKTC GTTTTLFCLEKLFQIVEQELSWAFRTTQGGKYKPFKVFYSRRCFLFPCKQAAIHQNWA DEVDYLNKFFDNGKSYSVGRNDKSNHWNLYVTETDRSTPKGKEYIEDDDETFEVLMTE LDPECASKFVCGPEASTTALVEPNEDKGHNLGYQMTKNTRLDEIYVNSAQDSDLSFHH DAFAFTPCGYSSNMILAEKYYYTLHVTPEKGWSYASFESNIPVFDISQGKQDNLDVLL HILNVFQPREFSMTFFTKNYQNQSFQKLLSINESLPDYIKLDKIVYDLDDYHLFYMKL QKKI YOL051W MSAAPVQDKDTLSNAERAKNVNGLLQVLMDINTLNGGSSDTADK IRIHAKNFEAALFAKSSSKKEYMDSMNEKVAVMRNTYNTRKNAVTAAAANNNIKPVEQ HHINNLKNSGNSANNMNVNMNLNPQMFLNQQAQARQQVAQQLRNQQQQQQQQQQQQRR QLTPQQQQLVNQMKVAPIPKQLLQRIPNIPPNINTWQQVTALAQQKLLTPQDMEAAKE VYKIHQQLLFKARLQQQQAQAQAQANNNNNGLPQNGNINNNINIPQQQQMQPPNSSAN NNPLQQQSSQNTVPNVLNQINQIFSPEEQRSLLQEAIETCKNFEKTQLGSTMTEPVKQ SFIRKYINQKALRKIQALRDVKNNNNANNNGSNLQRAQNVPMNIIQQQQQQNTNNNDT IATSATPNAAAFSQQQNASSKLYQMQQQQQAQAQAQAQAQAQAQAQAQAQAAQAAQAQ AQAQAQAQAQAQAQAQAQAQAQAQAQAQAQAHAQHQPSQQPQQAQQQPNPLHGLTPTA KDVEVIKQLSLDASKTNLRLTDVTNSLSNEEKEKIKMKLKQGQKLFVQVSNFAPQVYI ITKNENFLKEVFQLRIFVKEILEKCAEGIFVVKLDTVDRLIIKYQKYWESMRIQILRR QAILRQQQQMANNNGNPGTTSTGNNNNIATQQNMQQSLQQMQHLQQLKMQQQQQQQQQ QQQQQQQQQQQQQQHIYPSSTPGVANYSAMANAPGNNIPYMNHKNTSSMDFLNSMENT PKVPVSAAATPSLNKTINGKVNGRTKSNTIPVTSIPSTNKKLSISNAASQQPTPRSAS NTAKSTPNTNPSPLKTQTKNGTPNPNNMKTVQSPMGAQPSYNSAIIENAFRKEELLLK DLEIRKLEISSRFKHRQEIFKDSPMDLFMSTLGDCLGIKDEEMLTSCTIPKAVVDHIN GSGKRKPTKAAQRARDQDSIDISIKDNKLVMKSKFNKSNRSYSIALSNVAAIFKGIGG NFKDLSTLVHSSSPSTSSNMDVGNPRKRKASVLEISPQDSIASVLSPDSNIMSDSKKI KVDSPDDPFMTKSGATTSEKQEVTNEAPFLTSGTSSEQFNVWDWNNWTSAT YOL049W MAHYPPSKDQLNELIQEVNQWAITNGLSMYPPKFEENPSNASVS PVTIYPTPIPRKCFDEAVQIQPVFNELYARITQDMAQPDSYLHKTTEALALSDSEFTG KLWSLYLATLKSAQYKKQNFRLGIFRSDYLIDKKKGTEQIKQVEFNTVSVSFAGLSEK VDRLHSYLNRANKYDPKGPIYNDQNMVISDSGYLLSKALAKAVESYKSQQSSSTTSDP IVAFIVQRNERNVFDQKVLELNLLEKFGTKSVRLTFDDVNDKLFIDDKTGKLFIRDTE QEIAVVYYRTGYTTTDYTSEKDWEARLFLEKSFAIKAPDLLTQLSGSKKIQQLLTDEG VLGKYISDAEKKSSLLKTFVKIYPLDDTKLGREGKRLALSEPSKYVLKPQREGGGNNV YKENIPNFLKGIEERHWDAYILMELIEPELNENNIILRDNKSYNEPIISELGIYGCVL FNDEQVLSNEFSGSLLRSKFNTSNEGGVAAGFGCLDSIILY YOL048C MKAGIELISHSQASHATYANSMTLAEKGPQRLKRQFKEHSSSKE SNVSRWLKIFIRQFDIWFPETIPTMKVRYELLRKNFIKEIFNSRAFIYPFLGFYEVLT NPVYWKHILLFAVCYALIFVTIAGLFYVTLVPLLVTWAILLLGPLGVILVHIQWILQT NVLTAFVCRTLVLTHITNQIFDISLVLQDQDEFLNEVKVLPKPQKPHRKIDEPDAVRN FNTIKGSRIFKIPRLLFRMFFKVSNFTSLTLLSLIPIVGPILANQLMAPKRTFTYLQR YFLLKGFSKKQAKDFQYEHYASFICFGMSAGLLELIPFFTIVTISSNTVGAAKWCTSL LKGERKKE YOL047C MSTRPQPDWYYHRHPYASTPLAEGEEPQLLPIQDQGNHKKSKIW MAYKAPIVRWYKNAMLVKDNFWKDLESSHQIIWYPYKGISESVGNSDYLHLFFLIFGY YLLNLLLIVAFTSILAWSLLVCIYLPFLGLFALPLAYMQTILISTTLCNSMVKGTDFV LFTRIYGVTFARKGLTELSEACETISFTPFVYRRSHRLGGLFSKRFYLVSLPQFFIFF FWYIFIAFMFLLLLLVPIVGPITINMLPFSPGMGFYYFEPYFVDVLHLDSRKLSKVYY KGFAKWLLYSISSGLLESIPILGGLFIGTNAVGASLWIVKEIKDRDQPAVPPSPPAEP EEPTVGSYAPPIQQSIAHINPP YOL045W MTYPVSAAAPADISYSKNTPLVGLSKPPCLYQHASSSVDSFSST FSDDDRSDLVAVPNESPHAFSYNPISPNSLGVRLTILRRSLEIMVNSPDILHELKKKA PVIAYPPSLRHTRNLTETATLSASRDPLNGSLISPLVSNMPSPASRPVIQRATSLMVL PDNDTASKLNPAKSELENLLFLLNLALENNSFERASDLHMLSLLNIKKINFDSDIQKS ETLKKVLLDSLAEPFFENYKKFPHKDLGSKSQYNEYEEKHDDIVSLADIKPQQDYSRI LHPFTSAKNSGPEAIFTCSQQYPWNFKAANDLACLTFGISKNVIKALTLLDLIHTDSR NFVLEKIMNAEDDNQEIVFTGETIPIVQPNSTSNNNVPNLIWASLWAKRKNGLLVCVF EKTPCDYIDVMLNLRDFSVDSIIDTTHFLENFDKKKQQESTSPMTEKKTVKFANEIHD IGSVSHSLSKLIDDVRFGKVFSADDDLLPLSIRVANHVNEERYFTLNCLSENIPCAVT TSVLENEIKLKIHSLPYQAGLFIVDSHTLSLLSFNKSVAKNMFGLRLHELAGSSVTKL VPSLADMISYINKTYPMLNITLPENKGLVLTEHFFRKIEAEMHHDKDSFYTSIGLDGC HKDGNLIKVDVQLRVLNTNAVLLWITHSRDVVIENYTTVPSQLPMLKENEIDVVGSRG SSSASSKKSSEKIPVNTLKAMADLSISSAETISNSDDEVDLNQVNEKLRETSCGKVRG IESNDNNNYDDDMTMVDDPELKHKIELTKMYTQDKSKFVKDDNFKVDEKFIMRIIEPI NGEEIKKETNELDKRNSTLKATYLTTPEANIGSQKRIKKFSDFTILQVMGEGAYGKVN LCIHNREHYIVVIKMIFKERILVDTWVRDRKLGTIPSEIQIMATLNKNSQENILKLLD FFEDDDYYYIETPVHGETGSIDLFDVIEFKKDMVEHEAKLVFKQVVASIKHLHDQGIV HRDIKDENVIVDSHGFVKLIDFGSAAYIKSGPFDVFVGTMDYAAPEVLGGSSYKGKPQ DIWALGVLLYTIIYKENPYYNIDEILEGELRFDKSEHVSEECISLIKRILTREVDKRP TIDEIYEDKWLKI YOL044W MAASEIMNNLPMHSLDSSLRDLLNDDLFIESDESTKSVNDQRSE VFQECVNLFIKRDIKDCLEKMSEVGFIDITVFKSNPMILDLFVSACDIMPSFTKLGLT LQSEILNIFTLDTPQCIETRKIILGDLSKLLVINKFFRCCIKVIQFNLTDHTEQEEKT LELESIMSDFIFVYITKMRTTIDVVGLQELIEIFIFQVKVKLHHKKPSPNMYWALCKT LPKLSPTLKGLYLSKDVSIEDAILNSIDNKIQKDKAKSKGKQRGVKQKIHHFHEPMLH NSSEEQVKVEDAFNQRTSTDSRLQSTGTAPRKKNNDITVLAGSFWAVLKHHFTRSVLN KNGLLLTGLLLLLCLKKYKSLMAIFKHVPAAFHTVYPQIVGLLKLLASI YOL043C MREESRSRKRKHIPVDIEEVEVRSKYFKKNERTVELVKENKINK DLQNYGGVNIDWIKALKPIEYFEWIESRTCDDPRTWGRPITKEEMINDSGAKVPESFL PIYNRVRLMRSKVKTPVDAMGCSMIPVLVSNKCGIPSEKVDPKNFRLQFLIGTMLSAQ TRDERMAQAALNITEYCLNTLKIAEGITLDGLLKIDEPVLANLIRCVSFYTRKANFIK RTAQLLVDNFDSDIPYDIEGILSLPGVGPKMGYLTLQKGWGLIAGICVDVHVHRLCKM WNWVDPIKCKTAEHTRKELQVWLPHSLWYEINTVLVGFGQLICMARGKRCDLCLANDV CNARNEKLIESSKFHQLEDKEDIEKVYSHWLDTVTNGITTERHKKK YOL042W MFTRRFIPVVQSTKQNIGKYVRKDARFTLLTYNMLSPSYMWPQV YTYVAEPYKNWSYRHRLLEKELLNTFKADIMCLQEMTARDYEDYWHDSIGVDVNYGSK FISKTPPKYWKKPVKDMDGVSIFYNLAKFDFISSSGIYLNQLLNVFNQRELKYLYNKK VTLTDGASNVIGEDSLLDVLKGKNQVCLFVSLRHKETGTIFVVLNTHLYWKYDEVKLT QCMIIMRELSKIIKQLLPGDVKGQERVKILFTGDLNSTRDSLVVNFLQGQIVSHGDLN LINPMRPYLDRCVYDDIPKDYFVHTCYSGKLKGIFDYVWYHDSDFLLTKILTGNEVSD ELLASNQLGLPNENHPSDHIPLLTEFKIL YOL041C MSSAIDNLFGNIDEKKIESSVDKLFSSSCGPINKLEVKSKTRTV LPDSKKRERAAEADQEEKEASKPDVSDEQTEEVALPKVKKAKKSKRNDEDEDLEARYY AKLLNEEAEAEDDKPTVTKTDETSVPLTSAAKKVDFKEDELEKAERTVFIGNILSTVI TSKKVYKEFKKLFGTNPIAETEESGNEKEEESSKKSDNNEFAIESIRFRSISFDEALP RKVAFVQQKFHKSRDTINAYIVYKNKSAVRKICSNLNAVVFQDHHLRVDSVAHPAPHD KKRSIFVGNLDFEEIEESLWKHFEPCGDIEYVRIIRDSKTNMGKGFAYVQFKDLQSVN KALLLNEKPMKSQKQEDENTKKPTKKARKLRVSRCKNMKKGTTIGTGLDRNGLTDSQR TRAGRAKKILGKADRATLGQEITIEGLRAKKGEGSTHLKKKKQRSATGRVTKRSIAFK KAQAEKSKK YOL040C MSQAVNAKKRVFKTHSYRGVDLEKLLEMSTEDFVKLAPARVRRR FARGMTSKPAGFMKKLRAAKLAAPENEKPAPVRTHMRNMIIVPEMIGSVVGIYNGKAF NQVEIRPEMLGHYLGEFSITYTPVRHGRAGATTSRFIPLK YOL039W MKYLAAYLLLNAAGNTPDATKIKAILESVGIEIEDEKVSSVLSA LEGKSVDELITEGNEKLAAVPAAGPASAGGAAAASGDAAAEEEKEEEAAEESDDDMGF GLFD YOL038C-A MKYMGSFLRKAATTNLFNSIKKRKVQNRAMS YOL038W MSGYDRALSIFSPDGHIFQVEYALEAVKRGTCAVGVKGKNCVVL GCERRSTLKLQDTRITPSKVSKIDSHVVLSFSGLNADSRILIEKARVEAQSHRLTLED PVTVEYLTRYVAGVQQRYTQSGGVRPFGVSTLIAGFDPRDDEPKLYQTEPSGIYSSWS AQTIGRNSKTVREFLEKNYDRKEPPATVEECVKLTVRSLLEVVQTGAKNIEITVVKPD SDIVALSSEEINQYVTQIEQEKQEQQEQDKKKKSNH YOL036W MEHQDSSPPRFRNSGSNRVTVYNGTTLPTMPKSATPTSSSTTVT THLQNIKEEETNDDELTQVDRSSPRVLGRISSTSSSSSNIDLRDNLDMLHEIEKSNTN ISLSAPNLHEELGVLSDKGNSKEELALLPPLPHTGEMEITPQFDINEAIFERDDISHS SRLEPDDVLTKLANSTRDATGEDQGFVVMTHGHDASTNDDSQLSATILDNQTSFDLSK ALEMTSHSNISNIINSSGSEGRRSRTPVSNSTLKPNLSSPESAEREANTTSSSSTSDH GATMQYDPKKIITPIPVLPSSVREQQQNNAPLRERSRSNSSALASTLRDTIISGLPQN INSVERKLSRKSNRSRKNTVTFEDRLQKLPPLSTQISNQYAKVAPAENNIALHFHNLP TPVSNTQTPVTFQSESGLTGGEKKMPFLRRASSALLRKTSAKNCSNLTRTNTPTLSTS STFESDLNARQPMLIRRSSTIDNKLPRRQLSCSKLYSRLNSDSKFANSSRASEEVLVS TPNDTEHVYRKTSLGSKIKRGFTRILSDSNNSKEILTLSPKSMVTTGPTELSFSSLST VGGHPTTPVSKENDRVSIDGVSTFNRASTSLPESSTDDISPLREEGKINVPKRTSSRK ILSKNSSKKNVLPEQQTKPSEIYLDKEALQSFVPVLSVTEGTHRINRSSLQTQSTIGL CITNLRNKEGMKLNAKEYVEILAQQQRKEDERYAVLERKFASCRWCSDKDLQYLKKKR ISMNKIWSDYVRFYRGKLNNP YOL034W MTSLIDLGRYVERTHHGEDTEPRSKRVKIAKPDLSSFQPGSIIK IRLQDFVTYTLTEFNLSPSLNMIIGPNGSGKSTFVCAVCLGLAGKPEYIGRSKKVEDF IKNGQDVSKIEITLKNSPNVTDIEYIDARDETIKITRIITRSKRRSDYLINDYQVSES VVKTLVAQLNIQLDNLCQFLSQERVEEFARLKSVKLLVETIRSIDASLLDVLDELREL QGNEQSLQKDLDFKKAKIVHLRQESDKLRKSVESLRDFQNKKGEIELHSQLLPYVKVK DHKEKLNIYKEEYERAKANLRAILKDKKPFANTKKTLENQVEELTEKCSLKTDEFLKA KEKINEIFEKLNTIRDEVIKKKNQNEYYRGRTKKLQATIISTKEDFLRSQEILAQTHL PEKSVFEDIDIKRKEIINKEGEIRDLISEIDAKANAINHEMRSIQRQAESKTKSLTTT DKIGILNQDQDLKEVRDAVLMVREHPEMKDKILEPPIMTVSAINAQFAAYLAQCVDYN TSKALTVVDSDSYKLFANPILDKFKVNLRELSSADTTPPVPAETVRDLGFEGYLSDFI TGDKRVMKMLCQTSKIHTIPVSRRELTPAQIKKLITPRPNGKILFKRIIHGNRLVDIK QSAYGSKQVFPTDVSIKQTNFYQGSIMSNEQKIRIENEIINLKNEYNDRKSTLDALSN QKSGYRHELSELASKNDDINREAHQLNEIRKKYTMRKSTIETLREKLDQLKREARKDV SQKIKDIDDQIQQLLLKQRHLLSKMASSMKSLKNCQKELISTQILQFEAQNMDVSMND VIGFFNEREADLKSQYEDKKKFVKEMRDTPEFQSWMREIRSYDQDTKEKLNKVAEKYE EEGNFNLSFVQDVLDKLESEIAMVNHDESAVTILDQVTAELRELEHTVPQQSKDLETI KAKLKEDHAVLEPKLDDIVSKISARFARLFNNVGSAGAVRLEKPKDYAEWKIEIMVKF RDNAPLKKLDSHTQSGGERAVSTVLYMIALQEFTSAPFRVVDEINQGMDSRNERIVHK AMVENACAENTSQYFLITPKLLTGLHYHEKMRIHCVMAGSWIPNPSEDPKMIHFGETS NYSFD YOL033W MIMLRIPTRSYCSPSKLIKGVGLSPLKKSLLSKKIKEDIHPSLP VRTRFAPSPTGFLHLGSLRTALYNYLLARNTNGQFLLRLEDTDQKRLIEGAEENIYEI LKWCNINYDETPIKQSERKLIYDKYVKILLSSGKAYRCFCSKERLNDLRHSAMELKPP SMASYDRCCAHLGEEEIKSKLAQGIPFTVRFKSPERYPTFTDLLHGQINLQPQVNFND KRYDDLILVKSDKLPTYHLANVVDDHLMGITHVIRGEEWLPSTPKHIALYNAFGWACP KFIHIPLLTTVGDKKLSKRKGDMSISDLKRQGVLPEALINFCALFGWSPPRDLASKKH ECFSMEELETIFNLNGLTKGNAKVDDKKLWFFNKHFLQKRILNPSTLRELVDDIMPSL ESIYNTSTISREKVAKILLNCGGSLSRINDFHDEFYYFFEKPKYNDNDAVTKFLSKNE SRHIAHLLKKLGQFQEGTDAQEVESMVETMYYENGFSRKVTYQAMRFALAGCHPGAKI AAMIDILGIKESNKRLSEGLQFLQREKK YOL032W MFAAIASGNPLQLSVEVPNSNGLQHTIVLSRTKPKLYSHITLFI LPNVTFPQDYIATVYFKLSPQEEFKLFGYLSSEKPSAIFKVQIPSSKKDAGDTSDGLG EIDMDVDDGSGAADPFTDTNGSSSNNISELIIGISIEPREQGMMKLEEWKASMNAEAQ KNNSLILSRPNLGIIRNITTAGQLAQVYPSLTQELAAKIVQHAYNYLSGFLDAQGNVP IKRFDTWWDKFRNRLANDGTFLDEVTKN YOL031C MVRILPIILSALSSKLVASTILHSSIHSVPSGGEIISAEDLKEL EISGNSICVDNRCYPKIFEPRHDWQPILPGQELPGGLDIRINMDTGLKEAKLNDEKNV GDNGSHELIVSSEDMKASPGDYEFSSDFKEMRNIIDSNPTLSSQDIARLEDSFDRIME FAHDYKHGYKIITHEFALLANLSLNENLPLTLRELSTRVITSCLRNNPPVVEFINESF PNFKSKIMAALSNLNDSNHRSSNILIKRYLSILNELPVTSEDLPIYSTVVLQNVYERN NKDKQLQIKVLELISKILKADMYENDDTNLILFKRNAENWSSNLQEWANEFQEMVQNK SIDELHTRTFFDTLYNLKKIFKSDITINKGFLNWLAQQCKARQSNLDNGLQERDTEQD SFDKKLIDSRHLIFGNPMAHRIKNFRDEL YOL030W MLLRSLTSAFVLSAGLAQAASSSNSSTPSIEIKGNAFFNSESGE RFYIRGVDYQPGGSSNLTDPLADASVCDRDVPVLKDLGINTVRVYTVDNSQDHSHCMK LLQENGIYLILDVNTPTSAISRYDPACSYNADYLQNVFATIDTFADYDNVLGFFAGNE VINSVNTTNTATYVKAVVRDMKKYIKARKYRQIPVGYSAADIVANRQLAAEYFNCGDE ADARIDMFGVNDYSWCGESSFVVSGYSTKMKLYQDYSVPVFLSEFGCNQVKSSRPFTE IEAIYSTQMSSVFSGGLVYEYSNETNNYGLVQIDGDKVTKLTDFENLKNEYSKVSNPE GNGGYSTSNNYSTCPDYEKGVWEANNTLPAMPSAASAYFTSGAGSPMGTGIATQQSCD AKDDDDEEDDDTSSSSSSSSSSSSSASSSSESSSSTSKASSSSPSASETSLLKSAASA TSSSQSSSKSKGAAGIIEIPLIFRALAELYNLVL YOL029C MKPVTCCNQKNNIMPSLVPVCCSEKKIESDAKKSISKCCGDKEI YDSENRPITKEDGSWIPGSCKQCRSDPHSRNFCQSLSNKCSSSSFSSNSALSPDLNEQ QTDVNYNSIKLPEICSCKNAQMNAASDAKRYLPISYTYQKIRQHMQKNKSIQEQLNPE DSTSISSALENIASGLHVRGQKVELQSIKDALHKMDKNVLE YOL028C MRQRRSVVAVSVKPKGFKLGHKQGSMSTTSPPPSSPDGNVSTSG PSAIKLSKNWELPQRLKPGRKPKSKRGDASANNDGSSKIKKVQTSNQKDQMTTKDHEN EGAKGHEGKSDDEGNGSGDENGVDSVEKRRRQNRDAQRAYRERRTTRIQVLEEKVEML HNLVDDWQRKYKLLESEFSDTKENLQKSIALNNELQKALPLIVNTPFQQQPENPPDNP ISILEMVENFKPIGAVSLKKGKLKAHC YOL027C MLNFASRASCVTRRQASLYFVKNQGPRLIASTIPSCHWPLRAQG VQPNYPLSLRFYSTDKSKSVTKPVAPTSTDAPAKPKETLMVKVKHALKHYANGTKLLG YEIKVSTKLLIKFAQGYELSRRERNQLRRTMGDVFRLIPFSAFLIIPFAELFLPFALK LFPNLLPSTYESGKDKQAKRNKLIEIRKKTSEFLHETLEESNLITYNTIENAEKKQKF LNFFRKLYSAKEGKIMTFQHDEISAIAQMFKNDSVLDNLSRPQLAAMSKFMSLRPFGN DNMLRYQIRSKLKDIMNDDKTIDYEGVESLSQEELYQACVSRGMKAYGVSKEDLVDNL KVWLELRLRQKIPSVLMVLSSTFTFGGLPKENYSKAFSPLAEKKETKSKYDDLLDLYY DGILQVLSSIPDPVYNVAKLDVSESKSSAAETEAEKQVAEKKIKTEEKPEETAIPKEE ATAKESVIATTASAVTPKLVVVNEKAETAKTEEISQEKENAEPTDSAEATEAEEKKTS DDNEFKLNVLKEQEELIKKEEEEAKQRASREHVPDDINLDEEEEAKSVPPIPADQAAK TFVIKKD YOL026C MTEVVGFWESVSDDESEDKDCMEVQNTVSADESPLVQSLVSFVG SCSINLLLPFLNGMMLGFGELFAHELCWRFNWFNHRNKGYKVYPESRKIAALKEISSP GTRGRVASKFL YOL025W MSLHISKLIEQYRSTKDNDLKYMLLRQNFKINDIEDELAPLVNE LLLPVLVEEQDMEILNLVSFQVLPDLVLSMISDPAAAQLGWVISLICDPLLNQSMIHA NRSFVLIETLRNVLQKIENSPHLDYHQPVNSSLEFISKFIVEMKRHMCDVDAAQLSHS LSESNMLIYIESLNLLLKFSFFSDAASPSVMVTLPFDILNDVFTIAQDYSATNTNESI DRITEKLLLTSTQLTHPVDLENLCPKMKYNTLAAVSRIWYKFGPIVDKLFTNRLLPVL FPPQMGEECNVEDVLEIVHNFHPYFSIRRLKDNRPLLSDSTISQLREGLFGMLSILND SLTRTQNENDHGSDNLIDSDDGFGSDNDPEQQAYLDELVSEGYDENMYDGDTDDEDAD DINVEKNDEATKDITETNKILLIFSELHYPQEERFSELLVELQTKIAINTSLIDKILS KETTELPTHNGEIADLNEILNEVKGNKPIRKNVIFCTLAHTLSLQSGSELSVLQLSIE VIDHLLVKNHSNNITRGEQFQLIKLILPHLKTNKSFIDTLKAGNFTQKIDEGVTLRTM ILSLLLQLFPLDYSMLGEILPTIARYSVRDKDLGVRDLSFQLLDQILRTYYNYLIGID WEWYKDDFYQVLQETCIKKDINTNLLLQFPPYLPHD YOL024W MSKLSSYPHAADFINMEEPPKSKEFFDDLCAVPNLLKRRFPNSR RSTHYCEALNYSRKKLPVVLSKMTLQELRHNMSTFFLQEKDQINIYDTCKVIDMGDRV LLETMPPQPRDLFEKLHASKTNLVVQTAALDEPLLTVKAELQSSSFPQKSSLFLYEDY KKFIYQQLDMFS YOL023W MLRRHGLFWLKTCPRLNVLLNQSIPIPHLLHSRDICQQRWYAKG KRRNQISKKELKPLNFSIPNYISVNKLANLLNCRVERLIKDLTALGFENITTTYILSK EYVELILQEYNFALPNLSTSTNLDNVYDELKSPVNPKLLTKRAPVVTIMGHVDHGKTT IIDYLRKSSVVAQEHGGITQHIGAFQITAPKSGKKITFLDTPGHAAFLKMRERGANIT DIIVLVVSVEDSLMPQTLEAIKHAKNSGNEMIIAITKIDRIPQPKEREKKIEKVINDL IVQGIPVEKIGGDVQVIPISAKTGENMDLLEESIVLLSEVMDIRAENSPKTIAEGWII ESQVKKQVGNVATVLVKKGTLQKGKILICGNTFCKIKNLIDDKGIPILKATPSYATEV LGWKDVPHVGDEVIQVKSEAIAKKFISKRQDLIEVQKNSSIVEKLNEERALAKEQHLN KELEHENTVQEHEQNTGPKLINYIIKCDVSGSAEAVSESISSLGNDEVRCNVISSSVG IPTESDLKMAQITESTILCFNLGNLPSEVINNRAGIKIKQYNVIYKLIEDVTETLTEN LKPIFEKKIVSTVDVRETFDFRLKKKIIRIAGCKVNNGVIKKNSLVQVVRGPNEDVIF DGKISTLKHNKDDVAEVSKGHECGITFESGFEGFKPGDKILVYENVRVPRYL YOL022C MSKIEELPPSDTDDHSYSSKPGDVFLAFVDAPVKETDDILVEDS FIGGEPKWLHPDSEPPAELLKCGACKSADNMKLLLQAFSPLDDEQMSAIQQRLGINNM SYINPQDDRVLYVFLCTECQRKGNSVRCIRGVKKNKNVDSLSEKMASTSLEKDFQINP FDLSNNSDSKCNAFSSNPFGGANANPFGADSINSNISQSKDEGKKKESATVSAKTARK LHDLQKDKEYDGNKCFKSCLLYVEEETFKNKKPAHLQLPKNLKIDKEALDLTGDEDLE KDPIKLDPRTEKLSKFLDDDTFQKFQEVVGYNPLQVLRYDLGGKPLLYAETKVDILST VPRPGYNPSSQRIFEMQLMPKMIFDLEEVVSVDNGMEWGTILVFTDVENYMPEFDEHG VGYVEECVKVQWESRT YOL021C MSVPAIAPRRKRLADGLSVTQKVFVRSRNGGATKIVREHYLRSD IPCLSRSCTKCPQIVVPDAQNELPKFILSDSPLELSAPIGKHYVVLDTNVVLQAIDLL ENPNCFFDVIVPQIVLDEVRNKSYPVYTRLRTLCRDSDDHKRFIVFHNEFSEHTFVER LPNETINDRNDRAIRKTCQWYSEHLKPYDINVVLVTNDRLNREAATKEVESNIITKSL VQYIELLPNADDIRDSIPQMDSFDKDLERDTFSDFTFPEYYSTARVMGGLKNGVLYQG NIQISEYNFLEGSVSLPRFSKPVLIVGQKNLNRAFNGDQVIVELLPQSEWKAPSSIVL DSEHFDVNDNPDIEAGDDDDNNESSSNTTVISDKQRRLLAKDAMIAQRSKKIQPTAKV VYIQRRSWRQYVGQLAPSSVDPQSSSTQNVFVILMDKCLPKVRIRTRRAAELLDKRIV ISIDSWPTTHKYPLGHFVRDLGTIESAQAETEALLLEHDVEYRPFSKKVLECLPAEGH DWKAPTKLDDPEAVSKDPLLTKRKDLRDKLICSIDPPGCVDIDDALHAKKLPNGNWEV GVHIADVTHFVKPGTALDAEGAARGTSVYLVDKRIDMLPMLLGTDLCSLKPYVDRFAF SVIWELDDSANIVNVNFMKSVIRSREAFSYEQAQLRIDDKTQNDELTMGMRALLKLSV KLKQKRLEAGALNLASPEVKVHMDSETSDPNEVEIKKLLATNSLVEEFMLLANISVAR KIYDAFPQTAMLRRHAAPPSTNFEILNEMLNTRKNMSISLESSKALADSLDRCVDPED PYFNTLVRIMSTRCMMAAQYFYSGAYSYPDFRHYGLAVDIYTHFTSPIRRYCDVVAHR QLAGAIGYEPLSLTHRDKNKMDMICRNINRKHRNAQFAGRASIEYYVGQVMRNNESTE TGYVIKVFNNGIVVLVPKFGVEGLIRLDNLTEDPNSAAFDEVEYKLTFVPTNSDKPRD VYVFDKVEVQVRSVMDPITSKRKAELLLK YOL020W MTEDFISSVKRSNEELKERKSNFGFVEYKSKQLTSSSSHNSNSS HHDDDNQHGKRNIFQRCVDSFKSPLDGSFDTSNLKRTLKPRHLIMIAIGGSIGTGLFV GSGKAIAEGGPLGVVIGWAIAGSQIIGTIHGLGEITVRFPVVGAFANYGTRFLDPSIS FVVSTIYVLQWFFVLPLEIIAAAMTVQYWNSSIDPVIWVAIFYAVIVSINLFGVRGFG EAEFAFSTIKAITVCGFIILCVVLICGGGPDHEFIGAKYWHDPGCLANGFPGVLSVLV VASYSLGGIEMTCLASGETDPKGLPSAIKQVFWRILFFFLISLTLVGFLVPYTNQNLL GGSSVDNSPFVIAIKLHHIKALPSIVNAVILISVLSVGNSCIFASSRTLCSMAHQGLI PWWFGYIDRAGRPLVGIMANSLFGLLAFLVKSGSMSEVFNWLMAIAGLATCIVWLSIN LSHIRFRLAMKAQGKSLDELEFVSAVGIWGSAYSALINCLILIAQFYCSLWPIGGWTS GKERAKIFFQNYLCALIMLFIFIVHKIYYKCQTGKWWGVKALKDIDLETDRKDIDIEI VKQEIAEKKMYLDSRPWYVRQFHFWC YOL019W-A MSNTFVAVEFSWLYAISLILPCETIRVAWAPKRAYHGTSEEKRR LAPADI YOL019W MKKNSSVVFFLVGLSQFVTMAFLIIGSITAPIFKQIGYSKYDEI TYGTFGYCKEGSCSKASYNYHPDELSDSDSNWKLNSNARSILGKIIFITPIAAGLNFL GFLCTIMSVLLINVLSSDRVGSASAIMFFVNLTFSTLGFLSASLICIVVFLLFYPHVT WCSWVLIPGAALSLLVIPLIFSAYSRSSGSRDDDETEELEEKGMLLNDPYLSSKSGRF DIDADSEANLRGDSRTNLLGDNFKNGTNITVVPDIISHNQDPKLSNITTSTTSDISTF DKEAKDMENSNGSGLNEEEDDGMAYDKRRSTSTYSVIESESGLKNGSVSNNYVRNNGS NTSNNINYKVPLGKTEISSSASLASSDYSQREVIPHRNPSRLLNDIMETSFNEPNDSH INSMSSYNDKDSTLTSISQRGVNPEVYNQMPRETAAGPANIRPYAGQPHPAPLVYPQQ RLQPQQQQPQQQYHQYNLYQRTTPAGPDPSNVILQSNPYFNVAPNQVPQHRNPVPGVG FAPNPLPNQSPITQGYKPAYKRRMQNKNLPRATTSLNNPYGFR YOL018C MFRDRTNLFLSYRRTFPHNITFSSGKAPLGDDQDIEMGTYPMMN MSHDISARLTDERKNKHENHSDALPPIFIDIAQDVDDYLLEVRRLSEQLAKVYRKNSL PGFEDKSHDEALIEDLSFKVIQMLQKCYAVMKRLKTIYNSQFVDGKQLSREELIILDN LQKIYAEKIQTESNKFRVLQNNYLKFLNKDDLKPIRNKASAENTLLLDDEEEEAAREK REGLDIEDYSKRTLQRQQQLHDTSAEAYLRERDEEITQLARGVLEVSTIFREMQDLVV DQGTIVDRIDYNLENTVVELKSADKELNKATHYQKRTQKCKVILLLTLCVIALFFFVM LKPHGGGSGGRNNGSNKYNNDDNKTVNNSHDDGSNTHINDEESNLPSIVEVTESENDA LDDLL YOL017W MTEIIDLDLVDDFIKKPMVKQQKNQSSKPRVKRRGQLTFDDFRN IKIVEEPVVLSHNSSIDESLDAATQNTKKREKYEGTCDEEMKTKEMEANMASKYSNIK THSNDTNKVESISEHTTSNNRPLNTLNWSPNIPLRYSDFAKFMSDETVTESNWAPPLC TPLPYAGDVMKILSFIVKFKWVFSDDLLNLSFQDVEIGLELKVAGHSAKNIRICQDKM NLLFCSLLRLLFCSEKRADNQTHRNFTLKRFLSLKNPYGKLVGKLRSLIQEWGLPKEW RGNSDILSTLNFNGGGLLTMEPLDRIILLRCMIDWNCSYSALFHNEIQRLTHLKGDTG FNHQTFHASRFAMCGANNILDSCEVLCSLMSQKLENRKKRKPSDKGKLSKINSQMKFL KGVRKSLSEKVTTDRLRAAVKINEEWGEYFANEFTHTPIDDPTVDEIYKLRTSEFMIA RIPRVGDFYLPPFWIGNECSSVNTSYSFNDMSTYLNYFVKFKEEGTKILPAKTAQNEN KCQLKLIYRNTPACIRNLQFNDVHFAEVPHWFEVAGDSNSLSNFIEYLESLSSLTEND TDDTKKGIDNLIEFLKIFSIFINETIQRITAAPTGSTEGRHLRTSSQRRTTVHYSSDV NGDVSEESENEVDIDVSDDYDSEYLSEENTLTRKGEDRTDKSFGKRELHNGAKDCDRN CDDIEIFSEPVRQLQDNSREKRSLRRNARKGL YOL016C MPKESEVINSEFHVDVQDPERLNGHPVAKFINKLSGQPESYVNR TNYIFGRTLGAGSFGVVRQARKLSTNEDVAIKILLKKALQGNNVQLQMLYEELSILQK LSHPNIVSFKDWFESKDKFYIVTQLATGGELFDRILSRGKFTEVDAVEIIVQILGAVE YMHSKNVVHRDLKPENVLYVDKSENSPLVIADFGIAKQLKGEEDLIYKAAGSLGYVAP EVLTQDGHGKPCDIWSIGVITYTLLCGYSPFIAESVEGFMEECTASRYPVTFHMPYWD NISIDVKRFILKALRLNPADRPTATELLDDPWITSKRVETSNILPDVKKGFSLRKKLR DAIEIVKLNNRIKRLRNMYSLGDDGDNDIEENSLNESLLDGVTHSLDDLRLQSQKKGG ELTEEQMKLKSALTKDAFVQIVKAATKNKHKVLAGEEEDDSKKTLHDDRESKSED YOL015W MFIEYSRLPGFESINISFSRGMLRLAKFTNFATYKQKLEYFRLL AGSNKYIQRISVADFERHPDEINYIYIILISILQMEECMPVLVLCPTVYWVRFHWPGK CSVNSLNFTNETLKSAFHAVFTPYFALMKKVLGRIKNNMLLFAEPHANLNNLFVKHFH DLIYKSVKDEKTGEAILYLRTNVNVPNVFIDDKRAVFHGDGMKIGKFTGKFLCFSFKR TIRWSKLDSVDSFAVTTVNYRVSVNWEKTPRKTFLSLDSDTKNLHYISKKILNKKGKN ATTSKTTKSSCTSENVCDDKTFSVEFPLTTSAKTEYLLRSNFSLEKINESNNPTLQEL TLNRTHRLYRSNFRNEQSTTQRKFEKIGRTVSTDSGNKLLTFPEQKATRDSNPFSIEL THATVISSDESALKDTTNQAIAEMQRITPAIAKTISRRTANWVCSNPAPDPYGEPSTW SRILTPNLKIISESSPYYPVHLASPNSTFSRDQSVRSVVMRRSSVCVEKQNSFFRNYE HFKNILSRRTIKVKTSCPRLSVDVSDNKRENLSQEHLILPNKSREKVNRFKNCLHRVA EALRAAKENWDQHNPRNSIH YOL014W MRPHHFFCGNMGVMYTAMSGYETEDAQAYWACGRAYESAFATLT KKVPGTTFSADMPTSTWHGVLDCGYSSSINVAENKSSPIDYWNCGRTYARNYALSDAL SLKPTNMLQYFLLVLFFICIIL YOL013W-A MMCIINSESFHGSQKRSGVWSSGMILALGDFLINRGTKHARGPG FNSQLAPFFTIEKYSVRRS YOL013C MVPENRRKQLAIFVVVTYLLTFYCVYSATKTSVSFLQVTLKLNE GFNLMVLSIFILLNSTLLWQLLTKLLFGELRLIEHEHIFERLPFTIINTLFMSSLFHE RYFFTVAFFGLLLLYLKVFHWILKDRLEALLQSINDSTTMKTLIFSRFSFNLVLLAVV DYQIITRCISSIYTNQKSDIESTSLYLIQVMEFTMLLIDLLNLFLQTCLNFWEFYRSQ QSLSNENNHIVHGDPTDENTVESDQSQPVLNDDDDDDDDDRQFTGLEGKFMYEKAIDV FTRFLKTALHLSMLIPFRMPMMLLKDVVWDILALYQSGTSLWKIWRNNKQLDDTLVTV TVEQLQNSANDDNICIICMDELIHSPNQQTWKNKNKKPKRLPCGHILHLSCLKNWMER SQTCPICRLPVFDEKGNVVQTTFTSNSDITTQTTVTDSTGIATDQQGFANEVDLLPTR TTSPDIRIVPTQNIDTLAMRTRSTSTPSPTWYTFPLHKTGDNSVGSSRSAYEFLITNS DEKENGIPVKLTIENHEVNSLHGDGGEQIAKKIVIPDKFIQHI YOL012C MSGKAHGGKGKSGAKDSGSLRSQSSSARAGLQFPVGRIKRYLKR HATGRTRVGSKAAIYLTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDDEL DSLIRATIASGGVLPHINKALLLKVEKKGSKK YOL011W MIRPLCSKIIISYIFAISQFLLAANAWSPTDSYVPGTVSCPDDI NLVREATSISQNESAWLEKRNKVTSVALKDFLTRATANFSDSSEVLSKLFNDGNSENL PKIAVAVSGGGYRSMLTGAGVLAAMDNRTEGAYEHGLGGLLQSTTYLSGASGGNWLVG TLALNNWTSVQDILNNMQNDDSIWDLSDSIVTPGGINIFKTAKRWDHISNAVESKQNA DYNTSLADIWGRALAYNFFPSLNRGGIGLTWSSIRDFPVFQNAEMPFPISVADGRYPG TKVINLNATVFEFNPFEMGSWDPSLNSFANVKYLGTNVSNGVPLERGKCTAGFDNAGF IMGTSSTLFNQFLLRINSTHLPSFITRLARHFLKDLSQDFNDIAVYSPNPFKDTKFLD SDYTTSIVDSDSLFLVDGGEDDENVPVLPLIQKERDVDIIFAVDNSADMRLAWPDGSS LVHTYERQFVKQGQGMSFPYVPDTNTFVNLGLNKKPTFFGCDANNLTDLQYIPPLVVY LPNAEYSFNSNQSAFKLSYSESQRRSMIQNGFEIATRNNFTDDPEFMGCVGCAIIRRK QQALNITLPPECETCFKNYCWNGTLDTTPLPDVEKDVHHSFINVNSFNSSIGQEESLY AGSSASQSSSSSSSSSSSSEIPSATATLEKKAATNSGSHLSGISVKFSAMIMLTLLMF TGAV YOL010W MSSSAPKYTTFQGSQNFRLRIVLATLSGKPIKIEKIRSGDLNPG LKDYEVSFLRLIESVTNGSVIEISYTGTTVIYRPGIIVGGASTHICPSSKPVGYFVEP MLYLAPFSKKKFSILFKGITASHNDAGIEAIKWGLMPVMEKFGVRECALHTLKRGSPP LGGGEVHLVVDSLIAQPITMHEIDRPIISSITGVAYSTRVSPSLVNRMIDGAKKVLKN LQCEVNITADVWRGENSGKSPGWGITLVAQSKQKGWSYFAEDIGDAGSIPEELGEKVA CQLLEEISKSAAVGRNQLPLAIVYMVIGKEDIGRLRINKEQIDERFIILLRDIKKIFN TEVFLKPVDEADNEDMIATIKGIGFTNTSKKIA YOL009C MSFDINWSTLESDNRLNDLIRKHLNSYLQNTQLPSYVSNLRVLD FDLGKVGPAITLKEITDPLDEFYDSIREEADQETEENNDNKEDSEHICPDRTIANHEG PKDDFEAPVVMPSPNDIQFLLEVEYKGDLLVTIGADLVLNYPVEKFMTLPVKLSISDI GLHSLCIVACLSKQLFLSFLCDVSDPALDDNQTVLDPKGPILAATKPLERISIVRSMK IETEIGEQYQGQGSVLRSVGELEQFLFTIFKDFLRKELAWPSWINLDFNDGDE YOL008W MVLIIRPSQTLILFRKAMLKPIGRYPLKRNFFGLSGTNHTIREQ RYVLRKAINAPPSTVYAAVSEVAQYKEFIPYCVDSFVDKRNPVDNKPLIAGLRVGFKQ YDEEFICNVTCKDTDHTYTVVAETISHNLFHLLISKWTIMPHPNRPNAAMVELLLRFK FKSRIYNSVSLIFAKTVTELVMNAFAKRAYHLVRLAMLKPSSKEGSP YOL007C MRLPEISIWKVILLLHLFALQEFQLVSAANLPSLSSSTKAADSS SKGSSSAKTTTSLGKSSVTSKDVSSSHNVTSSTKMPKITTSASTSLYTNSSLWSNNSV ISTSSITPSSVYIPVTDGNKFLYQAHHPNGTVFIAFAGCLGAILLSLTGAWIALNIKS WRSARKENKLRNLENQYQHDPFYFQTNINDDESETSSHSDDSDISEKVLKNNSSRMSL YTLGSTSVLNLLNNKTDANDNFRSSMFISPTEILQSDANNSNTWSQSNESAIYDSLSS TPREPGATQILGKFTDSTNPFNYTSYNLSPDSEDRSTPKSNVSQGKVKKYRPPSVHLD QLLDGKE YOL006C MTIADASKVNHELSSDDDDDVPLSQTLKKRKVASMNSASLQDEA EPYDSDEAISKISKKKTKKIKTEPVQSSSLPSPPAKKSATSKPKKIKKEDGDVKVKTT KKEEQENEKKKREEEEEEDKKAKEEEEEYKWWEKENEDDTIKWVTLKHNGVIFPPPYQ PLPSHIKLYYDGKPVDLPPQAEEVAGFFAALLESDHAKNPVFQKNFFNDFLQVLKESG GPLNGIEIKEFSRCDFTKMFDYFQLQKEQKKQLTSQEKKQIRLEREKFEEDYKFCELD GRREQVGNFKVEPPDLFRGRGAHPKTGKLKRRVNPEDIVLNLSKDAPVPPAPEGHKWG EIRHDNTVQWLAMWRENIFNSFKYVRLAANSSLKGQSDYKKFEKARQLKSYIDAIRRD YTRNLKSKVMLERQKAVAIYLIDVFALRAGGEKSEDEADTVGCCSLRYEHVTLKPPNT VIFDFLGKDSIRFYQEVEVDKQVFKNLTIFKRPPKQPGHQLFDRLDPSILNKYLQNYM PGLTAKVFRTYNASKTMQDQLDLIPNKGSVAEKILKYNAANRTVAILCNHQRTVTKGH AQTVEKANNRIQELEWQKIRCKRAILQLDKDLLKKEPKYFEEIDDLTKEDEATIHKRI IDREIEKYQRKFVRENDKRKFEKEELLPESQLKEWLEKVDEKKQEFEKELKTGEVELK SSWNSVEKIKAQVEKLEQRIQTSSIQLKDKEENSQVSLGTSKINYIDPRLSVVFCKKY DVPIEKIFTKTLREKFKWAIESVDENWRF YOL005C MNAPDRFELFLLGEGESKLKIDPDTKAPNAVVITFEKEDHTLGN LIRAELLNDRKVLFAAYKVEHPFFARFKLRIQTTEGYDPKDALKNACNSIINKLGALK TNFETEWNLQTLAADDAF YOL004W MSQVWHNSNSQSNDVATSNDATGSNERNEKEPSLQGNKPGFVQQ QQRITLPSLSALSTKEEDRRDSNGQQALTSHAAHILGYPPPHSNAMPSIATDSALKQP HEYHPRPKSSSSSPSINASLMNAGPAPLPTVGAASFSLSRFDNPLPIKAPVHTEEPKS YNGLQEEEKATQRPQDCKEVPAGVQPADAPDPSSNHADANDDNNNNENSHDEDADYRP LNVKDALSYLEQVKFQFSSRPDIYNLFLDIMKDFKSQAIDTPGVIERVSTLFRGYPIL IQGFNTFLPQGYRIECSSNPDDPIRVTTPMGTTTVNNNISPSGRGTTDAQELGSFPES DGNGVQQPSNVPMVPSSVYQSEQNQDQQQSLPLLATSSGLPSIQQPEMPAHRQIPQSQ SLVPQEDAKKNVDVEFSQAISYVNKIKTRFADQPDIYKHFLEILQTYQREQKPINEVY AQVTHLFQNAPDLLEDFKKFLPDSSASANQQVQHAQQHAQQQHEAQMHAQAQAQAQAQ AQVEQQKQQQQFLYPASGYYGHPSNRGIPQQNLPPIGSFSPPTNGSTVHEAYQDQQHM QPPHFMPLPSIVQHGPNMVHQGIANENPPLSDLRTSLTEQYAPSSIQHQQQHPQSISP IANTQYGDIPVRPEIDLDPSIVPVVPEPTEPIENNISLNEEVTFFEKAKRYIGNKHLY TEFLKILNLYSQDILDLDDLVEKVDFYLGSNKELFTWFKNFVGYQEKTKCIENIVHEK HRLDLDLCEAFGPSYKRLPKSDTFMPCSGRDDMCWEVLNDEWVGHPVWASEDSGFIAH RKNQYEETLFKIEEERHEYDFYIESNLRTIQCLETIVNKIENMTENEKANFKLPPGLG HTSMTIYKKVIRKVYDKERGFEIIDALHEHPAVTAPVVLKRLKQKDEEWRRAQREWNK VWRELEQKVFFKSLDHLGLTFKQADKKLLTTKQLISEISSIKVDQTNKKIHWLTPKPK SQLDFDFPDKNIFYDILCLADTFITHTTAYSNPDKERLKDLLKYFISLFFSISFEKIE ESLYSHKQNVSESSGSDDGSSIASRKRPYQQEMSLLDILHRSRYQKLKRSNDEDGKVP QLSEPPEEEPNTIEEEELIDEEAKNPWLTGNLVEEANSQGIIQNRSIFNLFANTNIYI FFRHWTTIYERLLEIKQMNERVTKEINTRSTVTFAKDLDLLSSQLSEMGLDFVGEDAY KQVLRLSRRLINGDLEHQWFEESLRQAYNNKAFKLYTIDKVTQSLVKHAHTLMTDAKT AEIMALFVKDRNASTTSAKDQIIYRLQVRSHMSNTENMFRIEFDKRTLHVSIQYIALD DLTLKEPKADEDKWKYYVTSYALPHPTEGIPHEKLKIPFLERLIEFGQDIDGTEVDEE FSPEGISVSTLKIKIQPITYQLHIENGSYDVFTRKATNKYPTIANDNTQKGMVSQKKE LISKFLDCAVGLRNNLDEAQKLSMQKKWENLKDSIAKTSAGNQGIESETEKGKITKQE QSDNLDSSTASVLPASITTVPQDDNIETTGNTESSDKGAKIQ YOL003C MPVKLRWPWLGIAIPTFLISFIGYGAHYFILSNFLSVPKQITFE FCLSMIWLSYYLAICTNPGRPLPNYKPPPDIWRNFCKKCQSYKPERSHHCKTCNQCVL MMDHHCPWTMNCVGFANYPHFLRFLFWIIVTTSVLFCIQAKRIYFIWQQRHLPGYFFK KSELIFLTISSPLNSFVLLTITILFLRCLFNQILNGRSQIESWDMDRLESLFNSGRLT QKLIDNTWRIYPESRSFQNKKDAEEHLTKKRPRFDELVNFPYDFDLYTNALLYLGPIH LWLWPYGVPTGDGNNFPKNGISKYEANSSLEDHILSLPWPPDGGKTNTVFNHGSSTIE MRNESGEQLIRTRLPQNGRHASREKWYNDWGESLDDFGVDVDME YOL002C MSTLLERTKSVQELKKRAAGKTSANPAEVAKAKKVLRRLYSWDE IPEWQRDNDFILHGYVKETSSFIETFKSLFYLHNESVNIYSHLIPALGFFTVLLLDKS TIKVFATTTWLDHMVIDLFYSGAFACLILSSSFHCLKSHSLRIATLGNKLDYLGICIL IVTSMVSILYYGYFEKFSLFCLFALITVSFGIACSIVSLKDKFRKREWRPYRAGLFVC FGLSSIIPIFSGLYCYSFSEIWTQIQLFWVLLGGVLYIIGAVLYGMRFPEKICPGKFD IWGHSHQLFHFLVVIAALCHLRGLLNSYELVHIKMENGIVS YOL001W MESTSGERSENIHEDQGIPKVILPADFNKCSRTDLVVLISRMLV SLIAINENSATKKSDDQITLTRYHSKIPPNISIFNYFIRLTKFSSLEHCVLMTSLYYI DLLQTVYPDFTLNSLTAHRFLLTATTVATKGLCDSFSTNAHYAKVGGVRCHELNILEN DFLKRVNYRIIPRDHNITLCSIEQKQKKFVIDKNALGSLDLDSYSYVNRPKSGYNVLD KYYRRIVQLVGSFNASPDKSRKVDYVLPPNIDIVSESGSQTTQLKGSSSPNSHSSQKR YSEAKDAHIYNKRSKPD YOR001W MTSENPDVLLSRVINVVRAASSLASQDVDFYKNLDRGFSKDLKS KADKLADMANEIILSIDEHHESFELKEEDISDLWNNFGNIMDNLLEMSDHSLDKLNCA INSKSRGSDLQYLGEFSGKNFSPTKRVEKPQLKFKSPIDNSESHPFIPLLKEKPNALK PLSESLRLVDDDENNPSHYPHPYEYEIDHQEYSPEILQIREEIPSKSWDDSVPIWVDT STELESMLEDLKNTKEIAVDLEHHDYRSYYGIVCLMQISTRERDYLVDTLKLRENLHI LNEVFTNPSIVKVFHGAFMDIIWLQRDLGLYVVGLFDTYHASKAIGLPRHSLAYLLEN FANFKTSKKYQLADWRIRPLSKPMTAYARADTHFLLNIYDQLRNKLIESNKLAGVLYE SRNVAKRRFEYSKYRPLTPSSEVYSPIEKESPWKILMYQYNIPPEREVLVRELYQWRD LIARRDDESPRFVMPNQLLAALVAYTPTDVIGVVSLTNGVTEHVRQNAKLLANLIRDA LRNIKNTNEEATPIPSSETKADGILLETISVPQIRDVMERFSVLCNSNISKSRAKPVT NSSILLGKILPREEHDIAYSKDGLPNKVKTEDIRIRAQNFKSALANLEDIIFEIEKPL VVPVKLEEIKTVDPASAPNHSPEIDNLDDLVVLKKKNIQKKQPAKEKGVTEKDAVDYS KIPNILSNKPGQNNRQQKKRRFDPSSSDSNGPRAAKKRRPAAKGKNLSFKR YOR002W MAIGKRLLVNKPAEESFYASPMYDFLYPFRPVGNQWLPEYIIFV CAVILRCTIGLGPYSGKGSPPLYGDFEAQRHWMEITQHLPLSKWYWYDLQYWGLDYPP LTAFHSYLLGLIGSFFNPSWFALEKSRGFESPDNGLKTYMRSTVIISDILFYFPAVIY FTKWLGRYRNQSPIGQSIAASAILFQPSLMLIDHGHFQYNSVMLGLTAYAINNLLDEY YAMAAVCFVLSICFKQMALYYAPIFFAYLLSRSLLFPKFNIARLTVIAFATLATFAII FAPLYFLGGGLKNIHQCIHRIFPFARGIFEDKVANFWCVTNVFVKYKERFTIQQLQLY SLIATVIGFLPAMIMTLLHPKKHLLPYVLIACSMSFFLFSFQVHEKTILIPLLPITLL YSSTDWNVLSLVSWINNVALFTLWPLLKKDGLHLQYAVSFLLSNWLIGNFSFITPRFL PKSLTPGPSISSINSDYRRRSLLPYNVVWKSFIIGTYIAMGFYHFLDQFVAPPSKYPD LWVLLNCAVGFICFSIFWLWSYYKIFTSGSKSMKDL YOR003W MKFSTILPILWANCCLCMIIPDFDGIVRFIENIDGTRSVRAGEG LGQHDPGNFHTEHQHVAHKTEFLPYRYVIVFNEDISLQQIQSHMQVVQKDHSTSVGKL TENDAFWRVISSSVSSKSQFGGIDNFFDINGLFRGYTGYFTDEIIKIISQDPIIKFVE QETTVKISNSSLQEEAPWGLHRVSHREKPKYGQDLEYLYEDAAGKGVTSYVLDTGIDT EHEDFEGRAEWGAVIPANDEASDLNGHGTHCAGIIGSKHFGVAKNTKIVAVKVLRSNG EGTVSDVIKGIEYVTKEHIESSKKKNKEFKGSTANLSLGSSKSLAMEMAVNAAVDSGV HFAIAAGNEDEDACLSSPAGAEKSITVGASTFSDDRAFFSNWGTCVDVFAPGINIMST YIGSRNATLSLSGTSMASPHVAGILSYFLSLQPAPDSEFFNDAPSPQELKEKVLKFST QGVLGDIGDDTPNKLIYNGGGKKLDGFW YOR004W MRQKRAKSYRKQLLVYSHTFKFREPYQVLVDNQLVLECNNSNFN LPSGLKRTLQADVKVMITQCCIQALYETRNDGAINLAKQFERRRCNHSFKDPKSPAEC IESVVNISGANKHRYVVASQDIDLRRKLRTVPGVPLIHLTRSVMVMEPLSTASAKASK ITEEQKLYKGLNDPNIEKLQESGDGSGKESITKKRKLGPKAPNPLSVKKKKKVNSPSD EVKDKEDTSKEKKKRRRRKHKSNTNVPVSNGTTAAQ YOR005C MISALDSIPEPQNFAPSPDFKWLCEELFVKIHEVQINGTAGTGK SRSFKYYEIISNFVEMWRKTVGNNIYPALVLALPYRDRRIYNIKDYVLIRTICSYLKL PKNSATEQRLKDWKQRVGKGGNLSSLLVEEIAKRRAEPSSKAITIDNVNHYLDSLSGD RFASGRGFKSLVKSKPFLHCVENMSFVELKYFFDIVLKNRVIGGQEHKLLNCWHPDAQ DYLSVISDLKVVTSKLYDPKVRLKDDDLSIKVGFAFAPQLAKKVNLSYEKICRTLHDD FLVEEKMDGERIQVHYMNYGESIKFFSRRGIDYTYLYGASLSSGTISQHLRFTDSVKE CVLDGEMVTFDAKRRVILPFGLVKGSAKEALSFNSINNVDFHPLYMVFDLLYLNGTSL TPLPLHQRKQYLNSILSPLKNIVEIVRSSRCYGVESIKKSLEVAISLGSEGVVLKYYN SSYNVASRNNNWIKVKPEYLEEFGENLDLIVIGRDSGKKDSFMLGLLVLDEEEYKKHQ GDSSEIVDHSSQEKHIQNSRRRVKKILSFCSIANGISQEEFKEIDRKTRGHWKRTSEV APPASILEFGSKIPAEWIDPSESIVLEIKSRSLDNTETNMQKYATNCTLYGGYCKRIR YDKEWTDCYTLNDLYESRTVKSNPSYQAERSQLGLIRKKRKRVLISDSFHQNRKQLPI SNIFAGLLFYVLSDYVTEDTGIRITRAELEKTIVEHGGKLIYNVILKRHSIGDVRLIS CKTTTECKALIDRGYDILHPNWVLDCIAYKRLILIEPNYCFNVSQKMRAVAEKRVDCL GDSFENDISETKLSSLYKSQLSLPPMGELEIDSEVRRFPLFLFSNRIAYVPRRKISTE DDIIEMKIKLFGGKITDQQSLCNLIIIPYTDPILRKDCMNEVHEKIKEQIKASDTIPK IARVVAPEWVDHSINENCQVPEEDFPVVNY YOR006C MGKGKNKMHEPKNGRPQRGANGHSSRQNHRRMEMKYDNSEKMKF PVKLAMWDFDHCDPKRCSGKKLERLGLIKSLRVGQKFQGIVVSPNGKGVVCPDDLEIV EQHGASVVECSWARLEEVPFNKIGGKHERLLPYLVAANQVNYGRPWRLNCVEALAACF AIVGRMDWASELLSHFSWGMGFLELNKELLEIYQQCTDCDSVKRAEEEWLQKLEKETQ ERKSRAKEEDIWMMGNINRRGNGSQSDTSESEENSEQSDLEGNNQCIEYDSLGNAIRI DNMKSREAQSEESEDEESGSKENGEPLSYDPLGNLIR YOR007C MSASKEEIAALIVNYFSSIVEKKEISEDGADSLNVAMDCISEAF GFEREAVSGILGKSEFKGQHLADILNSASRVPESNKKDDAENVEINIPEDDAETKAKA EDLKMQGNKAMANKDYELAINKYTEAIKVLPTNAIYYANRAAAHSSLKEYDQAVKDAE SAISIDPSYFRGYSRLGFAKYAQGKPEEALEAYKKVLDIEGDNATEAMKRDYESAKKK VEQSLNLEKTVPEQSRDADVDASQGASAGGLPDLGSLLGGGLGGLMNNPQLMQAAQKM MSNPGAMQNIQKMMQDPSIRQMAEGFASGGGTPNLSDLMNNPALRNMAGNLFGGAGAQ STDETPDNENKQ YOR008C MRPNKTSLLLALLSILSQANAYEYVNCFSSLPSDFSKADSYNWQ SSSHCNSECSAKGASYFALYNHSECYCGDTNPSGSESTSSSCNTYCFGYSSEMCGGED AYSVYQLDSDTNSNSISSSDSSTESTSASSSTTSSTTSSTTSTTSSTTSSTTSSMASS STVQNSPESTQAAASISTSQSSSTVTSESSLTSDTLATSSTSSQSQDATSIIYSTTFH TEGGSTIFVTNTITASAQNSGSATGTAGSDSTSGSKTHKKKANVGAIVGGVVGGVVGA VAIALCILLIVRHINMKREQDRMEKEYQEAIKPVEYPDKLYASSFSSNHGPSSGSFEE EHTKGQTDINPFDDSRRISNGTFINGGPGGKNNVLTVVNPDEAD YOR008C-A MWRSYLVFLFFMTPRIQTYCPVPVLRSMAVLNIISPLIIFVSPI KKQDSLHSSACYANLTLVEKLQLWHSMSND YOR009W MAYSKITLLAALAAIAYAQTQAQINELNVVLDDVKTNIADYITL SYTPNSGFSLDQMPAGIMDIAAQLVANPSDDSYTTLYSEVDFSAVEHMLTMVPWYSSR LLPELEAMDASLTTSSSAATSSSEVASSSIASSTSSSVAPSSSEVVSSSVAPSSSEVV SSSVAPSSSEVVSSSVASSSSEVASSSVAPSSSEVVSSSVASSSSEVASSSVAPSSSE VVSSSVAPSSSEVVSSSVASSSSEVASSSVAPSSSEVVSSSVASSTSEATSSSAVTSS SAVSSSTESVSSSSVSSSSAVSSSEAVSSSPVSSVVSSSAGPASSSVAPYNSTIASSS STAQTSISTIAPYNSTTTTTPASSASSVIISTRNGTTVTETDNTLVTKETTVCDYSST SAVPASTTGYNNSTKVSTATICSTCKEGTSTATDFSTLKTTVTVCDSACQAKKSATVV SVQSKTTGIVEQTENGAAKAVIGMGAGALAAVAAMLL YOR010C MAYIKIALLAAIAALASAQTQEEIDELNVILNDVKSNLQEYISL AEDSSSGFSLSSLPSGVLDIGLALASATDDSYTTLYSEVDFAAVSKMLTMVPWYSSRL LPELESLLGTSTTAASSTEASSAATSSAVASSSETTSSAVASSSEATSSAVASSSEAS SSAATSSAVASSSEATSSTVASSTKAASSTKASSSAVSSAVASSTKASAISQISDGQV QATSTVSEQTENGAAKAVIGMGAGVMAAAAMLL YOR011W MSISKYFTPVADGSLTFNGANIQFGADAQGESKKSYDAEDSMPN PANQLNDITFQAEAGEMVLVLGYPTSTLFKTLFHGKTSLSYSPPGSIKFKNNEFKSFS EKCPHQIIYNNEQDVHFPFLTVEQTIDFALSCKFDIPKGERDQIRNELLREFGLSHVL KTIVGNDFFRGVSGGERKRISIIETFIANGSVYLWDNSTKGLDSATALDFLEILRKMA KATRSVNLVRISQASDKIVDKFDKILMLSDSYQLFYGTVDECLTYFRDTLGIEKDPND CIIEYLTSILNFQFKNKNLGNLSNSSSASVLKTATGEVTKYTYNSDFDLYDQWKHSSY YRNIKQQIQGSSIDDSIKEVDPSDVSPIFNIPLKKQLLFCTKRAFQRSLGDKAYMTAQ FISVVIQSLVIGSLFYEIPLTTIGSYSRGSLTFFSILFFTFLSLADMPIAFQRQPVVK KQSQLHFYTNWVETLSTTVFDYCFKLCLVIVFSIILYFLAHLQYKAARFFIFLLFLSF YNFCMVSLFALTTLVAPTISVANLFAGILLLAIAMYASYVIYLKNMHPWFVWIAYLNP AMYAMEAILSNELYNLKLDCSETIVPRGPTYNDVPFSHKACAWQGATLGNDYVRGRDY LKQGLSYTYHHVWRNFGIIIGFLVFFIACTLFASQYIKPYFNKDEIERNNSRLTRWLP FLNKKRGTRSSARNDSKYVGIPKSHSVSSSSSSLSAVPYQISPSNKEMALNDYNEQPI TETVETQKHIISWKNINYTVGTKKLINNASGFISSGLTALMGESGAGKTTLLNVLSQR VETGVVSGEILIDGHPLTDEDAFKRSIGFVQQQDLHLDLLSVKESLEISCLLRGDGDR AYLDTVSNLLKLPSDILVADLNPTQRKLLSIGVELVTKPSLLLFLDEPTSGLDAEAAL TIVKFLKQLSLQGQAIFCTIHQPSKSVISHFDNIFLLKRGGECVFFGPMDDACGYFMS HDNTLVYDKEHDNPADFVIDAVGNSNSSAGKDTAEEALTLNKEAIDWSALWESSVEKK LVKKETARLEDDARASGVDYTTSLWKQPSYLQQLALITRRQYICTKRDMTYVMAKYCL NGGAGLFIGFSFWHIKHNIIGLQDSIFFCFMALCVSSPLINQIQDKALKTKEVYVARE ARSNTYHWTVLLLSQSIIELPLALTSSTLFFVCAFFSCGFNNAGWSAGVFFLNYMLFA AYYSTLGLWLIYTAPNLQTAAVFVAFIYSFTASFCGVMQPYSLFPTFWKFMYRVSPYT YFVETFVSILLHNWEIKCDMSEMVPGQPLTGQSCGQFMEAFIEEYGGYLHNKNTFTVC AYCTYTVGDDFLKNENMSYDHVWRNFGIEWAFVGFNFFAMFAGYYLTYVARIWPKVFK IITKVIPHRGKKPVQN YOR011W-A MAKSVFNFFHFEILEYLNRFVYHSQYFLPYYCSLEVLGKSRKNW TFQYWCLYITTDKKIIKKKDFYHR YOR012W MVASSINEESSLAVNLTSDVEKASKTLFKAFEKSYANDYLMKKF FHIPITEKVSRARINAMIHYYTTCYHDLDGEIAEANDFDAVAIWSRPGCHLPATLSDD ESFNKIFFSRLDCEEARSHASGNGLLLPLCHRKRS YOR014W MMRGFKQRLIKKTTGSSSSSSSKKKDKEKEKEKSSTTSSTSKKP ASASSSSHGTTHSSASSTGSKSTTEKGKQSGSVPSQGKHHSSSTSKTKTATTPSSSSS SSRSSSVSRSGSSSTKKTSSRKGQEQSKQSQQPSQSQKQGSSSSSAAIMNPTPVLTVT KDDKSTSGEDHAHPTLLGAVSAVPSSPISNASGTAVSSDVENGNSNNNNMNINTSNTQ DANHASSQSIDIPRSSHSFERLPTPTKLNPDTDLELIKTPQRHSSSRFEPSRYTPLTK LPNFNEVSPEERIPLFIAKVDQCNTMFDFNDPSFDIQGKEIKRSTLDELIEFLVTNRF TYTNEMYAHVVNMFKINLFRPIPPPVNPVGDIYDPDEDEPVNELAWPHMQAVYEFFLR FVESPDFNHQIAKQYIDQDFILKLLELFDSEDIRERDCLKTTLHRIYGKFLSLRSFIR RSMNNIFLQFIYETEKFNGVAELLEILGSIINGFALPLKEEHKVFLVRILIPLHKVRC LSLYHPQLAYCIVQFLEKDPLLTEEVVMGLLRYWPKINSTKEIMFLNEIEDIFEVIEP LEFIKVEVPLFVQLAKCISSPHFQVAEKVLSYWNNEYFLNLCIENAEVILPIIFPALY ELTSQLELDTANGEDSISDPYMLVEQAINSGSWNRAIHAMAFKALKIFLETNPVLYEN CNALYLSSVKETQQRKVQREENWSKLEEYVKNLRINNDKDQYTIKNPELRNSFNTASE NNTLNEENENDCDSEIQ YOR015W MPHFKRAAVYEEQKRTGKWGQLVEETKDRIPEYSNKTIAKISHL DNGCLWPEIKVSFSHHLSILQSMCLHFIISILFSKYIFVFLFAFLLPSAFPLFILHST LFRKPCLSIIGFLKTKV YOR016C MRVFTLIAILFSSSLLTHAFSSNYAPVGISLPAFTKECLYYDLS SDKDVLVVSYQVLTGGNFEIDFDITAPDGSVIVTERQKKHSDFLLKSFGIGKYTFCLS NNYGTSPKKVEITLEKEKEIVSSHESKEDIIANNAIEEIDRNLNKITKTMDYLRAREW RNMYTVSSTESRLTWLSLLIMGVMVGISIVQALIIQFFFTSRQKNYV YOR017W MGFYNCRFLSRRLSVEPCRIGSAAKSYQQRSIYHFGAALTNAPS GRETPDKLRSDLHSALEMVDEIYDTNSTVEDIGNKEKGGRQKYTEEMDKAINLLKTNI KKEYRHDKYLERTKVGTYPGRRTYPGRRTYPARRTYPASRTYSDSNSYTFRINVQKIR HALVRYNQDGVQKHNQKPPRIGHGLTRVLYQPLSLQKLRDNRSRMYNFDPAVENINPE YLEKKSEKDVNTDSSGEGQSKPIFITPHKDESLLKVAKEHRKKYISSSSSMTSVLSQL HYLLSNFRRLNIIDSSISKNFPQKNCNYSESAYFPSAVILRKKRNGICSIDSDRSLDR EIVLSVLGHYLEDFLTEKSLKNSSKSENYHYSSIDEFIVRSQLDAYDPNLPGTGVFDL KTRAVSAIRYDLSHVESNNNQTGYEIDKVYGEFESLEREYFELIRSALLKYSLQARIG KMDGIFVAYHNISKMFGFQYLPLDELDYIIHSSYNSKFDSLLKEKNDITKGIYGEEDY ILHYDRDDRKIACLVANREFKMSMNLFSNILKHVEQLLNSSNTKWEKCKIMLKTEVEE KRSKSGRFFNEPVLNIVALPLSPEYEDKSLLVKDTSNEQLTEELLNLRSYNENLLEEH LNSLVGFKVNVKHFYHHHPNTTHLPDFALKKNDILDTESRKYISDMMKRDWYKDIPST QTPNFFHASDVSTWEVNSTFTDINDKQILRKLYFKYLDVKLNALKNQVITRQEPDMSK KDEIMNRIKSLQARNDHRDNGSNKRYSNFGPTRLQTKLRAYAKKGALRRKLLERSNKF HI YOR018W MFSSSSRPSKEPLLFDIRLRNLDNDVLLIKGPPDEASSVLLSGT IVLSITEPIQIKSLALRLFGRLRLNIPTVLQTVHGPHKRYSKFERNIYSHFWDDFNIK SYFQNLYDNHNNGKITISSKSSTNLAALPKRKRALSTASLISSNGQTSASKNYHTLVK GNYEFPFSAIIPGSLVESVEGLPNAAVTYALEATIERPKQPDLICKKHLRVIRTLAID AVELSETVSVDNSWPEKVDYTISIPTKAIAIGSSTMINILIVPILKGLKLGPVRISLV ENSQYCGSYGGVINQERMVAKLKLKDPLKHVAQIKKKRSLNEAADEGVDTDTGEFQDK WEVRALLNIPASLTKCSQDCRILSNIKVRHKIKFTISLLNPDGHISELRAALPVQLFI SPFVPVNVKTSDVIERTLKTFGPSYQVTSQHDNSFSSKNFVDDSEEDVIFQRSASALQ LSSMPTIVSGSTLNINSTDAEATAVADTTMVTSLMVPPNYGNHVYDRVYGEVTNEDET SASASSSAVESQAIHNIQNLYISDSNNSNNPILAPNPQIKIEDDSLNNCDSRGDSVNN SNLNLVNSNLTISENWNNNSPSANRYNNIINAGLNSPSLTPSFAHLSRRNSYSRQTSS TSLKNDLELTDLSRVPSYDKAMKSDMIGEDLPPAYPEEELGVQENKKIELERPQILHH KSTSSLLPLPGSSKSSNNLKRSSSRTHLSHSPLPRNNSGSSVSLQQLARNNTDSSFNL NLSFTSAKSSTGSRHFPFNMTTSFTSNSSSKNNSHFDKTDSTSDANKPREEENYTSAT HNRRSRSSSVRSNNSNSPLRQGTGSFANLMEMFTKRDRS YOR019W MISVCPQNDLQKCYRSLTFDVPGQQFEERNEQNLKKRAKKKGSF QPSVAFDTVPSTAGYSSIDDSREGFKGVPVPNYYTMEECYDDETDSFSPNLQYYLRDT FQSSPFLNTRKENKSESSSFPMRSSKLLEKNSDIKKYFLVSKNGKIVRRDYPSTPVIV NETLMINRFEKNWIKLWRQRKLQINERLNDKKKWFTYPELIFSEERIKPLYRGDDSAP CTKEQKRKHKILQQKVGYPNNPKTIVCHINGKKHTWVALDWTVYKFARNLDHIVVITT LPKMISNRKKTAKDDTEWAPGYQKEVIDQKLNDIFDYILQLVKVVKISVKITLEIIVG KIKKSLVDVINVHTPDFLVLATLKHERNENLITYKSKKLTDVFPVSYPIPTFVVPSKR MYSFELNLQREVNEHYVSKNHMKHEHTDVESMSSSMFKKNTISDISSHISVDSYAEDF KRQGYIKKQFNTSNDSIPRKLTGLAQHSRRKITGDIEKLQDDEKDRECTKEKLLLKKI DIIIRESLKSSLAIETLPGKNVSQSSHGDQISSFKNALIGNGSKNTKFRKSLIPYSSS EEQNTTTTIKLSSSPTSQIKFATSVKHKDGRAALGKARNLPDIRHSISFDKENSFDPS DKSSSVDNSIPLRKVKSAGALRKVKTNDSSSSAGSKKSSSSFSTVNTFTGGGVGIFKV FKSGSSSGNKSSSRRNSSSGDVFESDDRNDKKKKKKKKKKSLFLFGKI YOR020C MSTLLKSAKSIVPLMDRVLVQRIKAQAKTASGLYLPEKNVEKLN QAEVVAVGPGFTDANGNKVVPQVKVGDQVLIPQFGGSTIKLGNDDEVILFRDAEILAK IAKD YOR020W-A MGAAYKVFGKTVQPHVLAISTFIATAAVASYFTTKPKTKNEGKN SSALSQQKSGESSNSDAMGKDDDVVKSIEGFLNDLEKDTRQDTKAN YOR021C MKYIIEHMEEGFSEWVILEYSQILREVGAENLILSSLPESTTEK DIPQRLLKLGLRWTTKDLKGINEDFKDLELLKDGRVCLLDPRATIDLQPEDATKFDYF VFGGILGDHPPRDRTKELKTAYPNLLISRRLGDKQMTTDTAIRTTQLIIKDRIAFEDI KFIDYPEFRFNKNEATEMPFRYVLDKEGKPILPEGMLDLIKKDSAQSLDDLLM YOR022C MLRFTHRGLPSSTRFRNIFVRLNHIYVPWFYAIDVPNSKPYLPT YQTLHSPKKFKPFSVDDSNRLEKASKRQERRPVLVNEDYLFKVDLSHMELSPTYWEGP TYQVRRGVWFDSSNQPLSSDLTSEIEGLYKQLKFDDSNDDPTTTPPAESQDIFRLKGK YPVDKENEGEQKNGSSNKDENESTFKFILFANKQTAFLLSDLDGGKLQLAFLRSNLAQ SLPINATMITRSYKYSSSATTKQTSTSFKAAKTPQTEVADGSNSSKSRSIETKLEKKV SNLFNLSDFLQLFNGNASKDQDDAQSLEKQMETDYNNADNSQGANASSKIEDGKNSGA SDRQIRSNRRDVDNLILCVHGIGQTLGKKYEYVNFAHTVNLLRSNMKKIYNNSEKLQS LNTAPDYKSNCNVQVLPITWRHSISFQTDAKEENIENPDLPTLSQVTVNGVLPLRKLL ADGLLDILLYVEPYYQDMILQQVTSQLNKTYRIFKEFNPEFDGKVHLVGHSLGSMILF DILSKQKKYELEFQVDNLFFIGSPIGLLKLIQRTKIGDRPEFPNDLERKLTVQRPQCK DIYNVYHVCDPISYRMEPLVSKEMAHYEQTYLPHCSEAYGLTSKVLEFGENIWKDLPG TDENNLQSKKTSPEKKEVKLSENLTRMLTGLNYTGRLDYAMSPSLLEVDFISAIKSHV SYFEEPDIAAFILKEILSKHENASEIYVKRKTG YOR023C MMSPAQDKLQHQHHNPNSSSSSSSKMTNVYQVTTPKSPQDLENN MDEPFKMDTATSNPDKDSENTQRLKYECAKGEIQNVLNLHIMLNHKHVRHLRRNVQKV NAKLALLETLHKDTGLLNKIERTYQLKIKQHQQHSVLGGHFHDSTATENTNASNYNLS YPVLSDYNINCQPLSSSSNRNLSTTRIPHHHYHTRSKSNGLLLEPSALRPANSNIIDY RLTGSKSLSEAITKPTPVSLPHSNSDGISSPRSSSISPLDEQPGFQILPFKPSQMHLN HRRNYSSTCLTSNSGIIGKTENNEPIFRRYDGILVIITCSKCDRSGFTSAQGIVNHTR LKHSKLYSSQPLAVLNNQKLLPNDKQDPEILSKFKKLNLDPNKDYLPSDIAIPKPQSP INHSENHTRAPKTVKNTPHLEKLYQNKEDFKKLIDMVNETPDDLNEYLKQREIQLRYQ KEQEEESSKSDDEASYVPSPSLSATATTTTTTDPPSPPVLSSSLQRKLLRKRKLSLNS STPMEDLPLRERLRANPTDKKPRKAALLTNELEGPDPAAKSSSYYNLRSKSRLRGSHT YOR025W MTSVSPSPPASRSGSMCSDLPSSLQTEKLAHIIGLDADDEVLRR VTKQLSRSRRIACLTGAGISCNAGIPDFRSSDGLYDLVKKDCSQYWSIKSGREMFDIS LFRDDFKISIFAKFMERLYSNVQLAKPTKTHKFIAHLKDRNKLLRCYTQNIDGLEESI GLTLSNRKLPLTSFSSHWKNLDVVQLHGDLKTLSCTKCFQTFPWSRYWSRCLRRGELP LCPDCEALINKRLNEGKRTLGSNVGILRPNIVLYGENHPSCEIITQGLNLDIIKGNPD FLIIMGTSLKVDGVKQLVKKLSKKIHDRGGLIILVNKTPIGESSWHGIIDYQIHSDCD NWVTFLESQIPDFFKTQDQIKKLRQLKREASDLRKQMKAQKDSIGTPPTTPLRTAQGI DIQGNNELNTKIKSLNTVKRKILSPENSSEEDEEENLDTRKRAKIRPTFGDNQAS YOR026W MQIVQIEQAPKDYISDIKIIPSKSLLLITSWDGSLTVYKFDIQA KNVDLLQSLRYKHPLLCCNFIDNTDLQIYVGTVQGEILKVDLIGSPSFQALTNNEANL GICRICKYGDDKLIAASWDGLIEVIDPRNYGDGVIAVKNLNSNNTKVKNKIFTMDTNS SRLIVGMNNSQVQWFRLPLCEDDNGTIEESGLKYQIRDVALLPKEQEGYACSSIDGRV AVEFFDDQGDDYNSSKRFAFRCHRLNLKDTNLAYPVNSIEFSPRHKFLYTAGSDGIIS CWNLQTRKKIKNFAKFNEDSVVKIACSDNILCLATSDDTFKTNAAIDQTIELNASSIY IIFDYEN YOR027W MSLTADEYKQQGNAAFTAKDYDKAIELFTKAIEVSETPNHVLYS NRSACYTSLKKFSDALNDANECVKINPSWSKGYNRLGAAHLGLGDLDEAESNYKKALE LDASNKAAKEGLDQVHRTQQARQAQPDLGLTQLFADPNLIENLKKNPKTSEMMKDPQL VAKLIGYKQNPQAIGQDLFTDPRLMTIMATLMGVDLNMDDINQSNSMPKEPETSKSTE QKKDAEPQSDSTTSKENSSKAPQKEESKESEPMEVDEDDSKIEADKEKAEGNKFYKAR QFDEAIEHYNKAWELHKDITYLNNRAAAEYEKGEYETAISTLNDAVEQGREMRADYKV ISKSFARIGNAYHKLGDLKKTIEYYQKSLTEHRTADILTKLRNAEKELKKAEAEAYVN PEKAEEARLEGKEYFTKSDWPNAVKAYTEMIKRAPEDARGYSNRAAALAKLMSFPEAI ADCNKAIEKDPNFVRAYIRKATAQIAVKEYASALETLDAARTKDAEVNNGSSAREIDQ LYYKASQQRFQPGTSNETPEETYQRAMKDPEVAAIMQDPVMQSILQQAQQNPAALQEH MKNPEVFKKIQTLIAAGIIRTGR YOR028C MLMQIKMDNHPFNFQPILASHSMTRDSTKPKKMTDTAFVPSPPV GFIKEENKADLHTISVVASNVTLPQIQLPKIATLEEPGYESRTGSLTDLSGRRNSVNI GALCEDVPNTAGPHIARPVTINNLIPPSLPRLNTYQLRPQLSDTHLNCHFNSNPYTTA SHAPFESSYTTASTFTSQPAASYFPSNSTPATRKNSATTNLPSEERRRVSVSLSEQVF NEGERYNNDGQLIGKTGKPLRNTKRAAQNRSAQKAFRQRREKYIKNLEEKSKLFDGLM KENSELKKMIESLKSKLKE YOR029W MMQTSTSSRVRRYPYQITLSLVLKGFYSPSAPSYDFCLVLLPTL FLIDLMPIKFSLHVTIGIGEATPVPIFFFSAPWYFRSGNPLPHCVRAYRCKVNFPFFR LGWSTWLHY YOR030W MIIRLHFYYLLTLVYHLGLVGAYEKAARKRIQPPDLIPGPPGHK LGDERPPHYDHRPPYKKHIDNIPAYNLTDLIDDKLLNKYENSCTVNVLTGGFISLASN SWHLRAYNYTLNYPSFLIRCDNGSANPNFSHVLQDFVYDINNKFNVQDDSSKYIGKDP FPLGMIMITFASGCICVATWMLFLVVLLLPSDNHNRRNKVVHVYVLFSAIIRTVFLNE TIAVIFDSQYHDDYQDASQFESFIVETAPYKICELVANILSDINWIYIVHYLQSNYGK PTWNWIPFKMKKGTHIIITVGCFLSLADNILFANLLWRKNLVVLKVFYKLIELLIYTI FISIICYFTWHNFAYILLPKTAEINTDGKCKTKLRILWENYHETIPLLAYNILIFILF YFTTIFFAAFTKHVRGWTFNFVHLLKVLITVNVWGLIGVLEKRELHISKKTVLGRKIN NRDKFFANPTVNYYGEDLGKHLSAITLNRDLNTTKSNTTSHDSSSLVGSPSPTWKSPI ERIRDRRRRHKIMKSENKFGQNPSFGSKSNGKPNTKTTLSKYRQLLRKPRRKTNSYEP KNGIGQNKEGSTVRPGADKHIRDSNYLATDISDNESMETELRTNHIYNYENSD YOR032C MPNFQKPFSGSSDGNSVMNDLGNKVAIKVFDCRSAQDGSEEQNV NVTTNQMYLMFQSNNYNVPPPNYNTEDLGSQGPPTHAYYAPFQHPIHLQPPVPPVYKN NTYSATDQYSDSSFPNTSGHTPVIDSNYYNDALASIPTTTTGSTTMTTDNGNTIDSEE YIDNMEVFSSEENENIDNVKQTDLKSEKDSSLLSAASIVKKEQLSGFENFLPLSKTES PLVTADEIKSSLNLENIDNADSMSFKLKTSPIRKHFHVKPKRITRVRTGRVSHNIIEK KYRSNINDKIEQLRRTVPTLRVAYKKCNDLPITSRDLADLDGLEPATKLNKASILTKS IEYICHLERKCLQLSLANQHLSNDTRDSFVHLTEPSQPLSDNSSSEQVQKQTRSCQRQ RQRQPRQQQPLHNIQYNIPHQNGLMSGTNNSHDMDFNNAGDF YOR032W-A MRRALFIAGQTYLWLNLTHLLLIFSWSSTMAFSQSRRLLTPTVP CPTLLGIDFLILVLRHFDEIFI YOR033C MGIQGLLPQLKPIQNPVSLRRYEGEVLAIDGYAWLHRAACSCAY ELAMGKPTDKYLQFFIKRFSLLKTFKVEPYLVFDGDAIPVKKSTESKRRDKRKENKAI AERLWACGEKKNAMDYFQKCVDITPEMAKCIICYCKLNGIRYIVAPFEADSQMVYLEQ KNIVQGIISEDSDLLVFGCRRLITKLNDYGECLEICRDNFIKLPKKFPLGSLTNEEII TMVCLSGCDYTNGIPKVGLITAMKLVRRFNTIERIILSIQREGKLMIPDTYINEYEAA VLAFQFQRVFCPIRKKIVSLNEIPLYLKDTESKRKRLYACIGFVIHRETQKKQIVHFD DDIDHHLHLKIAQGDLNPYDFHQPLANREHKLQLASKSNIEFGKTNTTNSEAKVKPIE SFFQKMTKLDHNPKVANNIHSLRQAEDKLTMAIKRRKLSNANVVQETLKDTRSKFFNK PSMTVVENFKEKGDSIQDFKEDTNSQSLEEPVSESQLSTQIPSSFITTNLEDDDNLSE EVSEVVSDIEEDRKNSEGKTIGNEIYNTDDDGDGDTSEDYSETAESRVPTSSTTSFPG SSQRSISGCTKVLQKFRYSSSFSGVNANRQPLFPRHVNQKSRGMVYVNQNRDDDCDDN DGKNQITQRPSLRKSLIGARSQRIVIDMKSVDERKSFNSSPILHEESKKRDIETTKSS QARPAVRSISLLSQFVYKGK YOR034C MTSMSIIDDENVKKTSNGAAVVTDVAQHAVSDSDNNKAQLLGDG SNTEYVVDIFIEAAKDGDLKVVKDVVESGAVDINNDRIDELSGLHWACINNRFSVAKF LLLRGANPNQAAGPGGATALHWAARYGNIYIVDLLLKHGADPTLKDEQGLNIMHFSVY SSNILLVVYVLYFVVNNNDNVDIDSKDNNNRTPLLWAAYQGDFLTVELLLKFGSTVAW TDNRGFNALHCALVGGDQRVICDLILSGANFYERNNQKQDCFDLAEGMGTKSLFEQAL QHHGYDRLGNQKDKLFKKSSHAQFTIFLSPFLLMVYIYLISLVLSPVLAIMLSLLVTV VMVNTLKKFVLPCLPRKNTYKVSLTRTPFFSGLFLSTFCFLIYIWTKKLYPYSVSDYT MKNVQFLVTSFLTVVLFLRLVRSDPGCLKTDDSLTSIQETIKQLIDLGKFDRENFCVE TLERKPLRSKYSFFSGALVARYDHYCPWIYNDVGLKNHKLFVFFAVTVQYHMFLFMWL CLAYFKKTNYIYEQVEEYARCALLKNETLCKGSNYDPSTFFLFIWISVNFIWLGAMLI VQFFQILKGITTPELFILIKEEHKAKFINLIPFENSIYTSESKGVEDSDMIPEGPSAT TITHTISIDGLEPRNRRRAILSACFSMMGINQWLVTIKEIVGITHILHGQVPQQHHSS LLRSFLVTNHWKTNLTDFWLNSDVTAPLWQRFFYSSDTSKAMLGGTEVDYYELYEYPA REGEVLRPN YOR034C-A MNTQELCKIFVAREYPLVVVPFIYFVLFLHQKYHTTLNYVWYPT CSKRIWVREKGRKCSFFFFSKVPRSDGFANNRCQRK YOR035C MPLCEKGNDPIDSSTIDSLCAAFDKTLKSTPDVQKYNDAINTIF QLRQKSESGKMPADLTNSEALKDRQKIEEILTRSYQDHSESRVHLSKLIQNDIPFALN LFEILSRSSIHVFVGCFSNKDATIALLNELQIRIHYGEDTHVTYLLSIILQLLNKFKY NFKEVRFLVKELILRISEDEVKSMMLIIFAELQSSFQKDFDKAVVDFMSSLIVEAEID VGNDPLSIIVKTLSELYPSLTTLCSEIFLTKGLSKLFKKRVFEEQDLQFTKELLRLLS SACIDETMRTYITENYLQLLERSLNVEDVQIYSALVLVKTWSFTKLTCINLKQLSEIF INAISRRIMPKIENVNESAVKLEEVPKVEMSVEALAYLSLKASVKIMIRSNESFTEIL LTMIKSQKMTHCLYGLLVIMANLSTLPEESNGSSQSINDLKNYADLKGPGADKVGAEK ESKEDILLFNEKYILRTELISFLKREMHNLSPNCKQQVVRVIYNITRSKNFIPQCISQ GGTTIILEYLANKQDIGEPIRILGCRALTRMLIFTNPGLIFKKYSALNAIPFLFELLP RSTPVDDNPLHNDEQIKLTDNYEALLALTNLASSETSDGEEVCKHIVSTKVYWSTIEN LMLDENVPLQRSTLELISNMMSHPLTIAAKFFNLENPQSLRNFNILVKLLQLSDVESQ RAVAAIFANIATTIPLIAKELLTKKELIENAIQVFADQIDDIELRQRLLMLFFGLFEV IPDNGTNEVYPLLQENQKLKDALNMSLKRGDSGPEFSAAIPVILAKIKV YOR036W MSEDEFFGGDNEAVWNGSRFSDSPEFQTLKEEVAAELFEINGQI STLQQFTATLKSFIDRGDVSAKVVERINKRSVAKIEEIGGLIKKVNTSVKKMDAIEEA SLDKTQIIAREKLVRDVSYSFQEFQGIQRQFTQVMKQVNERAKESLEASEMANDAALL DEEQRQNSSKSTRIPGSQIVIERDPINNEEFAYQQNLIEQRDQEISNIERGITELNEV FKDLGSVVQQQGVLVDNIEANIYTTSDNTQLASDELRKAMRYQKRTSRWRVYLLIVLL VMLLFIFLIMKL YOR037W MLWKNYVLSSSRITRRLHKSPRKSSFSKNFFITGCLLTVGAVSS YLTYRYTSERENKHELSPSYFVKYKISHKRDIDSSHFLLEVTPLFKQKVNIWSLMTAE NLWSVEIKQPEVMVVRNYTPLPLKFNPASKEIEILKDGDNADGKLSFYIKKYENGEVA RWLHHLPKGHIIEIRGPFIDYEFPHLPNELKRSRDCLYMDNRNERGNNVRENSQFIYQ PYDIMMFTAGTGIVTALQLLLTESPFRGTIKLFHTDKNIKQLGPLYPILLRLQASNRV QLKIFETDRQTKQDVLKSIQKSITKPYPYKGLLPFSNVNNKNIMPVLALVCGPESYIS SISGRKYDLNQGPVGGLLSKEGWNSDNVYKLS YOR038C MRLLKYPLDIHNEQVNALAALGPYIILAGSGGHVMAWRQQQLVD TAFDRVMIKDLKPEVSFQVDQDTTGDIFFITGDLETLYIGSEHRLWGYSGWLCRDTNN INSVEKMNSKLLFECKSPSTITDVKYDINLGILFVLLSNENKILLFRHKTFDKLSEIT IDKASKPITGIIDPTGQTFTVMTSDRSILVYQINKTGTHKLINKLTQHVQMYPLHYRI SMSPQADILPVINSVKGVPNNATSCTALLDRNNNYKVTKTLVTPSSNGCRVLVYSPAF YEKPNLKKGTSTRYNLIATSGSTDGTILVWNTKRMKPLFNALQVSSTAINDMSWSQDG FTLFAISNDATLYTFAFQEKDLGVALPQTEIKSLQEVNKKLPKLEEPLAEQIPKSFPE NIKLEESASAAPIPNDIGRSAVGKKPTKKKTANNQTNGIKTIQSTSMEFNTPSYTVPR DLKRKPKEATPSNIAPGSKKQKKELQPIDFLDTGLLLPNTSFSRIRLATPKIRSTFKY SPINNPNLILDVKNGSGNEQRPTIVKLTSKVLDQDQVLFQDFIPKLITICTAGDTFWS FCSEDGSIYIYSDSGRKLMAPLVLGVSISFLEACGTYLLCLTSIGELYCWNIEQKKLA FPTNTIYPLLNPSLRYSDDILTRAENITLCSITKKGVPLVTLSNGDGYLFDKNMETWL LVSDGWWAYGSQYWDTTNTTGLSSSKANTDSFNGSESNINEIVSDIKNDNQSIINFLE CKTNDELNRKGRIKNLQRFARTILMKEGFENMEEIVTLSHLENKILISIRLEEPEEFS KLMMVYCIRLSELGYMDRLNDVFQWLYDDLPISGTGSAFADKDFKRNLLKKILIACGD IRQVQRVTTRYAKEMNIIS YOR039W MGSRSENVGTVTREGSRVEQDDVLMDDDSDSSEYVDMWIDLFLG RKGHEYFCDVDPEYITDRFNLMNLQKTVSKFSYVVQYIVDDLDDSILENMTHARLEQL ESDSRKLYGLIHARYIITIKGLQKMYAKYKEADFGRCPRVYCNLQQLLPVGLHDIPGI DCVKLYCPSCEDLYIPKSSRHSSIDGAYFGTSFPGMFLQAFPDMVPKHPTKRYVPKIF GFELHKQAQLTRWQELQRLKLVEKLESKDVDLTKSGGFKT YOR040W MKFLLQQIRNMHVKPIKMRWLTGGVNYSYLLSTEDRRNSWLIDP AEPLEVSPKLSAEEKKSIDAIVNTHHHYDHSGGNLALYSILCQENSGHDIKIIGGSKS SPGVTEVPDNLQQYHLGNLRVTCIRTPCHTKDSICYYIKDLETGEQCIFTGDTLFIAG CGRFFEGTGRDMDMALNQIMLRAVGETNWNKVKIYPGHEYTKGNVSFIRAKIYSDIGQ NKEFDALEQYCKSNECTTGHFTLRDELGYNPFMRLDDRAVRLAVGDTAGTYPRSVVMQ ELRKLKNAM YOR042W MEEKEGIKDSSLLEKSNVPESINEDISKTTDVDLNSDGKKDNDT SAKDGTPKVEEKVNKSSGIDEDEVVTPAEDAKEEEEEHPPLPARRKSEEEPSKENPIL QELKDAFPNLEEKYIKAVIIASQGVLSPAFNALLFLSDPESGKDIELPTQPVRKNPEA PARRRQTQLEQDELLARQLDEQFNSSHSRRRNRDRATRSMHEQRRRRHNPNEREQHHE DSEEEDSWSQFVEKDLPELTDRAGRSLQDTANKVSNWISDAYRRNFASGNEQNDNQHG HQDQQEWEPEIVDLSQGGKNSRPQQPERRRFNSFGVQVGDDSLESHGITLHNEDGFED DEDVPPQLPTRTKSGESTGKVVAETTYIDTPDTETKKKWQPLPPEPLDTTPTKVNAVS RNKKNPDEDEFLINSDDEM YOR043W MDDIITQVSPDNAESAPILQEQQQQQNSQYEGNEEDYGDSLIHL NIQENHYFITRDQLMSLPESLLLCLFPSGVFLDRCGQVITNLTRDDEVYIVNFPPDCF EYIMEIYTKAHDDLYNHPVEKFFDRPSSSFVSNAKGFFGLSSNNSISSNNEQDILHQK PAIIVLREDLDYYCVPQEEFQFDSTNEENNEDLLRHFMAQVKMAAGSYLTSKTSIFQG LYSSNRLKQQQQQQKIEKGSNSSSNTKSTSKKLGPAEQHLMDMLCSSGFTKETCWGNR TQETGKTVISSLSLCRLANETTEGFRQKFNEAKAKWEAEHKPSQDNFITPMQSNISIN SLSASKSNSTISTARNLTSGSTAPATARDKRKSRLSKLADNVRSHSSSRHSSQTRSKP PELPKLYDLVPKPNINAKLLLFWRKPARKCWWGEEDIELEVEVFGSWKDESKKIIELI LPTNVDPEAELHKIIVPVRLHIRRVWTLELSVIGVQ YOR044W MIEALEIVLLLVIQSLQYICRTCIAFLLIPFLGLYAFDLFLYVY RMILYLSQMFNYKRKLGRSKTNNRPHSPRLHKIYSSGDCMDTLIGQVRDLRVFLLSTI HSHSKRFFSTRFQTKSGINSAIDANDVETTSDVSSFTNLHLTRSSEEGYYIAGSI YOR045W MDGMFAMPGAAAGAASPQQPKSRFQAFKESPLYTIALNGAFFVA GVAFIQSPLMDMLAPQL YOR046C MSDTKRDPADLLASLKIDNEKEDTSEVSTKETVKSQPEKTADSI KPAEKLVPKVEEKKTKQEDSNLISSEYEVKVKLADIQADPNSPLYSAKSFDELGLAPE LLKGIYAMKFQKPSKIQERALPLLLHNPPRNMIAQSQSGTGKTAAFSLTMLTRVNPED ASPQAICLAPSRELARQTLEVVQEMGKFTKITSQLIVPDSFEKNKQINAQVIVGTPGT VLDLMRRKLMQLQKIKIFVLDEADNMLDQQGLGDQCIRVKRFLPKDTQLVLFSATFAD AVRQYAKKIVPNANTLELQTNEVNVDAIKQLYMDCKNEADKFDVLTELYGLMTIGSSI IFVATKKTANVLYGKLKSEGHEVSILHGDLQTQERDRLIDDFREGRSKVLITTNVLAR GIDIPTVSMVVNYDLPTLANGQADPATYIHRIGRTGRFGRKGVAISFVHDKNSFNILS AIQKYFGDIEMTRVPTDDWDEVEKIVKKVLKD YOR047C MFVSPPPATARNQVLGKRKSKRHDENPKNVQPNADTEMTNSVPS IGFNSNLPHNNQEINTPNHYNLSSNSGNVRSNNNFVTTPPEYADRARIEIIKRLLPTA GTKPMEVNSNTAENANIQHINTPDSQSFVSDHSSSYESSIFSQPSTALTDITTGSSLI DTKTPKFVTEVTLEDALPKTFYDMYSPEVLMSDPANILYNGRPKFTKRELLDWDLNDI RSLLIVEQLRPEWGSQLPTVVTSGINLPQFRLQLLPLSSSDEFIIATLVNSDLYIEAN LDRNFKLTSAKYTVASARKRHEEMTGSKEPIMRLSKPEWRNIIENYLLNVAVEAQCRY DFKQKRSEYKRWKLLNSNLKRPDMPPPSLIPHGFKIHDCTNSGSLLKKALMKNLQLKN YKNDAKTLGAGTQKNVVNKVSLTSEERAAIWFQCQTQVYQRLGLDWKPDGMS YOR048C MGVPSFFRWLSRKYPKIISPVLEEQPQIVDGVILPLDYSASNPN GELDNLYLDMNGIVHPCSHPENKPPPETEDEMLLAVFEYTNRVLNMARPRKVLVMAVD GVAPRAKMNQQRARRFRSARDAQIENEAREEIMRQREEVGEIIDDAVRNKKTWDSNAI TPGTPFMDKLAAALRYWTAFKLATDPGWKNLQVIISDATVPGEGEHKIMNFIRSQRAD PEYNPNTTHCIYGLDADLIFLGLATHEPHFKILREDVFAQDNRKRNNLKDTINMTEEE KQFLQKQNSEQPFLWLHINVLREYLSAELWVPGLPFTFDLERAIDDWVFMCFFCGNDF LPHLPCLDVRENSIDILLDIWKVVLPKLKTYMTCDGVLNLPSVETLLQHLGSREGDIF KTRHIQEARKKEAFERRKAQKNMSKGQDRHPTVATEQLQMYDTQGNLAKGSWNLTTSD MVRLKKELMLANEGNEEAIAKVKQQSDKNNELMKDISKEEIDDAVSKANKTNFNLAEV MKQKIINKKHRLEKDNEEEEIAKDSKKVKTEKAESECDLDAEIKDEIVADVNDRENSE TTEVSRDSPVHSTVNVSEGPKNGVFDTDEFVKLFEPGYHERYYTAKFHVTPQDIEQLR KDMVKCYIEGVAWVLMYYYQGCASWNWFYPYHYAPLATDFHGFSHLEIKFEEGTPFLP YEQLMSVLPAASGHALPKIFRSLMSEPDSEIIDFYPEEFPIDMNGKKMSWQGIALLPF IDQDRLLTAVRAQYPLLSDAERARNIRGEPVLLISNKNANYERFSKKLYSKENNNNNV VVKFQHFKSGLSGIVSKDVEGFELNGKIVCPIQGGSLPNLSTTLILKMSYRLIPLPSR NKSIILNGFIPSEPVLTAYDLDSIMYKYNNQNYSRRWNFGNDLKQNIVPVGPKGITQY KPRTGGYRAFFYFAELSRNNVQPAHNYGRNSYNSQPGFNNSRYDGGNNNYRQNSNYRN NNYSGNRNSGQYSGNSYSRNNKQSRYDNSRANRR YOR049C MVPNLRFNITMIVIWGILLTIHVVQLLMRQYWFSIAFICTGILE VLGFIGRTWSHSNVADMDAFLLNMICLTIAPVFTMGGIYYQLAKLIEVYGHRFSLLPS PMAYSFIFICSDIVSLVVQAVGGGLCGVAVTDGTSTTTGNHVFIAGLAIQVASMAIFL MLWFHFLFRIYISVRWEHINSRPISLSLLKISQTEVDYLYREKFHFLRLEPKRWVFHY FNLAITVAVLTIFTRCCYRLAELVVGWDGYLITHEWYFIILDALMMAIATVTLTIFHP GFAFKGRSTSIPITPGHVDPETLPHTDDVEDILDTSDSKQFDIEKEEFQASMKYPIST FKQFMSKIANLFSSKKKAKL YOR051C MAKRPLGLGKQSREKKRKVESVEKKSDEPSRESTPVRSQMSVEL DDDADLDDELAQLKGLWSKYFHSDRDDEYVLNGIVHECDRLLRLSEEDKEIKKTLNDI FHGIYALALSELTIFKAGDEEATEEKRKKDVSSFFESAIERVELGLSHFPESQFLKLV LAKIIFQRIPLEYISNLHLKSKDKKLDLVGQLEHGKKHFSIYENDTEFTFEILQMVND LLDIVENFGREQSIQEGIDSDNEEEEELIDIELEPEHPVYPLQQSLEANYEWLRNHFD KLLDNTNTDVKIYASIANTLGELYLKKAEEPSKVFLSLQYDDGGSEKVSDKEAKNAQE TALKHTKKALEYLEKAKLEDDPDTWVQVAEAYIDLGNLLDNESAEQEEAYKTAEEILG KANKASHGKFQDVLDNFLQG YOR052C MSDINEIEIPSRKDEIRQVTPKDPMHEIEDKSTYHAKIKKSDSG TVLGAIPLNSRSSSNSSVTSTGQSSRRVTKKTTKKKKKNACYFDTCSSAASKFIGDCN FCKGHFCSKHRLMENHACNGLTSCKEQLHQRNADKLEAEQTKAPKIQI YOR054C MTNKSSLKNNRKGVASNTLSGAEQANIGSSAMPDTNSTGPFSSV SSLDTPVVRKSTSPTGSQTKSIMNASGTSGAVVSNTPEPGLKRIPTVTFSDPKLGSLR SDVEQTPPNQVARQSSEKKATSVHIAAEGANQGRNLKDINTKVPKDGEASASSFSTPT SILSNADMGNNISSLLAKKLSFTGGTDSILNSDNSSDSPRKEHPHFYVEDPLHTPSVR SRSNSTSPRPSVVVNTFNPINIEREGSISKTGEPTLLESVLEEAMSPNAVSNPLKREN IMTNMDPRLPQDDGKLHVLFGATGSLSVFKLKHMIRKLEEIYGRDKICIQVILTNSAT KFFAMKYMRKNKKQHNSIDTSFNSTNSNAGNITGNKKKVASLEKFSIQKTSSNSAASQ TNNKQEEEKQMASTTGFPSTLGGSRTYSNSSNVVSQHPQIELPAHIQFWTDQDEWDVW RQRTDPVLHIELRRWADILVVAPLTANTLAKIALGLCDNLLTSVIRAWNPTFPIFLAP SMGSGTFNSIMTKKHFRIIQEEMPWVTVFKPSEKVMGINGDIGLSGMMDANEIVGKIV VKLGGYPDVSAGKEEEEDEDNDEEDDNKKNDTGGKDEDNDDDDDDDDDDDDDDDDDDD DDDDDDDDDDDDDDDDDDDDDDDDDDEDDEDEDEDDEGKKKEDKGGLQRS YOR056C MTENQTAHVRALILDATPLITQSYTHYQNYAQSFYTTPTVFQEI KDAQARKNLEIWQSLGTLKLVHPSENSIAKVSTFAKLTGDYSVLSANDLHILALTYEL EIKLNNGDWRLRKKPGDALDASKADVGTDGKQKLTEDNKKEEDSESVPKKKNKRRGGK KQKAKREAREAREAENANLELESKAEEHVEEAGSKEQICNDENIKESSDLNEVFEDAD DDGDWITPENLTEAIIKDSGEDTTGSLGVEASEEDRHVALNRPENQVALATGDFAVQN VALQMNLNLMNFMSGLKIKRIRNYMLRCHACFKIFPLPKDGKPKHFCASCGGQGTLLR CAVSVDSRTGNVTPHLKSNFQWNNRGNRYSVASPLSKNSQKRYGKKGHVHSKPQENVI LREDQKEYEKVIKQEEWTRRHNEKILNNWIGGGSADNYISPFAITGLKQHNVRIGKGR YVNSSKRRS YOR057W MPVEKDLKTAYKALYDEKEPLKALHLYDEILKGSPTNLTALIFK AACLEKLYFGFSDWHSDATMENAKELLDKALMTAEGRGDRSKIGLVNFRYFVHFFNIK DYELAQSYFKKAKNLGYVDDTLPLWEDRLETKLNKKNKKQKDSTNKHTIKPVESIENR GDNNSSHSPISPLKIETAPQESPKFKIDWYQSSTSVTISLFTVNLPESKEQVNIYISP NDRRTLSISYQVPKSGSEFQYNAKLSHEVDPKAVSLKIFPKKLEITLSKIDSTQWKKL EEDILTESSRLSDEGKNSDSATRLLSAETASKERLSYPSSSKKKIDWSKLDIDEEADE EAGSADSFFQKLYAGADPDTKRAMMKSFIESNGTALSTDWEDVSKGTVKTSPPEGMEP KHW YOR058C METATSSPLPIKSRRNSENSGSTTVIPHMNPSLATPLTVSTMVN QSNSKEFMKLTPVRIRDFGSPLKNVSTNYHFLDSENGKGNTMDNMYRENFILISKDLE KLLENLNVIYQNIGYSNTEIITKEKIIFTTISNSIKQFFEQADEELKRLSAENGIEQD ILNNILERINDPSGIKTIPDLYIRNAILLQESKTVPQSPKKPLSLLSKKAALDTAKKF VLGSFLPRLRDYLKSLITLKHLIQSVKENLPGLTEADNEAIAEFPELSTLTAYLLQIE NGKGDIGLSMKFIIDNRKDILKGSAFKTINEESVKHMNEVIKIYEEEYERRFKSVLTK KVSISSICEQLGTPLATLIGEDFEQDLRSYGEEENSTSEIPNFHPVDRERMSKIDITL EKLQAIHKERADKKRLLMEQCQKLWTRLKISQEYIKTFMRNNSSLSTESLGRISKEVM RLEAMKKKLIKKLISDSWDKIQELWRTLQYSEESRSKFIIVFEELRNSATTLQEDELL LETCENELKRLEEKLTLYKPILKLISDFESLQEDQEFLERSSKDSSRLLSRNSHKILL TEEKMRKRITRHFPRVINDLRIKLEEADGLFDQPFLFKGKPLSEAIDIQQQEIEAKYP RCRVRMQRSKKGKCGANKENKVIKNTFKATESSIRVPIGLNLNDANITYKTPSKKTIQ GLTKNDLSQENSLARHMQGTTKLSSPNRRATRLLAPTVISRNSKGNIERPTLNRNRSS DLSSSPRINHTHGEHAVKPRQLFPIPLNKVDTKGSHIPQLTKEKALELLKRSTGTTGK ENVRSPERKSSLEDYAQKLSSPYKEPEHSIYKLSMSPEGKFQLNIQQKDIESGFDDTS MMEDENDKDFITWKNEQVSKLNGFSFTDI YOR059C MTSDKHLFVLIHGLWGNYTHMESMRTILSTTLKKEDVNDDMIYF LPKQNAMFKTFDGIEIIGYRTLIEVCEFIRDYKDGKITKLSVMGYSQGGLVARFMIGK MLTEFKELFEDIEPQLFITMATPHLGVEFYNPTGIAYKSALYSALRTLGSTILGKSGR EMFIANSSNNILVKLSQGEYLEALSLFKWRIAFANVKNDRTVAFYTAFITDCDPFIDF DNKLKYTFEEKIPGSGYKGILPKIVDLNALNVNSHAPTKPTKTYKKWGRTILIILVAT FLILPIALVMNGLGTAYSYIVTCKYRKMLSNGILHNEVRGKLGLTEQLKGYVTDAYGS IINSALDMDANYEASNSNLVNEEELPWKEFIQKYTTINDGVWKSKFKKLPFDENRKVI LRNLNKLKWIRVPIYIKAVNAHGVIVARRGMDENTAATGIACIEFTAQLLAYLMHKSN YOR060C MSRKLCTLNFTLSGKQGSLVIRDIQLWSNRPTASKSTSELRGQF IQYVDLAKLPLWVRSTNMNTYRCYSTSATAQAYFKSKLRNANRGIVIELFDKVDQRSQ EPAYLIIFRENTELNCFQVDLTMKHEFDGQVTKLKQDIGKTRASVSKEGSIDIIIQQS QQRKIGTKTEVYRNVHINDKRLQFNETLSKLILGGLRLRGISNSITDYQKLYKITFDA AEFTHRDELKRISMGSGEEVSFESLQETVETLLKLFTKS YOR061W MPLPPSTLNQKSNRVYSVARVYKNACEERPQEYWDYEQGVTIDW GKISNYEIINKIGRGKYSEVFSGRCIVNNQKCVIKVLKPVKMKKIYRELKILTNLTGG PNVVGLYDIVQDADSKIPALIFEEIKNVDFRTLYPTFKLPDIQYYFTQLLIALDYCHS MGIMHRDVKPQNVMIDPTERKLRLIDWGLAEFYHPGVDYNVRVASRYHKGPELLVNLN QYDYSLDLWSVGCMLAAIVFKKEPFFKGSSNPDQLVKIATVLGTKELLGYLGKYGLHL PSEYDNIMRDFTKKSWTHFITSETKLAVPEVVDLIDNLLRYDHQERLTAKEAMDHKFF KTKFE YOR062C MTSLDDSVLTKKNIALLDNATNYIRPAIDYFHFKFNYDSLDVST TWRLLLKMRKHKLLRLPSCSSENEFDYSIYMARLYHCIWRRWSIKHFNLDEYKIDPLS INWNKEIDVTVLYGPDLVGIHEREQPTPTDFPMGNIKEQGKQLLDVRKEGSASSLLKK GSVFYSKGKWLSQRSISFDDTVRRRDIDKRGRFRESCVLINDVEQFQNYSIVWDESRH RYRRQALPDTYDYEHLYPNGDETPRNTPHDNIIIHQNLHSITEGSYIYIK YOR063W MSHRKYEAPRHGHLGFLPRKRAASIRARVKAFPKDDRSKPVALT SFLGYKAGMTTIVRDLDRPGSKFHKREVVEAVTVVDTPPVVVVGVVGYVETPRGLRSL TTVWAEHLSDEVKRRFYKNWYKSKKKAFTKYSAKYAQDGAGIERELARIKKYASVVRV LVHTQIRKTPLAQKKAHLAEIQLNGGSISEKVDWAREHFEKTVAVDSVFEQNEMIDAI AVTKGHGFEGVTHRWGTKKLPRKTHRGLRKVACIGAWHPAHVMWSVARAGQRGYHSRT SINHKIYRVGKGDDEANGATSFDRTKKTITPMGGFVHYGEIKNDFIMVKGCIPGNRKR IVTLRKSLYTNTSRKALEEVSLKWIDTASKFGKGRFQTPAEKHAFMGTLKKDL YOR064C MEHLANENSDSDIRYSFLSTLDHLPCELIRSLRLMQTIDLFKNE EDEPGMERACRDLLLVATYINDLVDDQIHFLKQHKKELEIQKSVTKNFNSSLENIKSK LTLEEPGAYKEPKLLLKINLKKAKSRERKESITSPTIGINQGDVTEGNNNQEEVYCFC RNVSYGPMVACDNPACPFEWFHYGCVGLKQAPKGKWYCSKDCKEIANQRSKSKRQKRR K YOR065W MFSNLSKRWAQRTLSKSFYSTATGAASKSGKLTQKLVTAGVAAA GITASTLLYADSLTAEAMTAAEHGLHAPAYAWSHNGPFETFDHASIRRGYQVYREVCA ACHSLDRVAWRTLVGVSHTNEEVRNMAEEFEYDDEPDEQGNPKKRPGKLSDYIPGPYP NEQAARAANQGALPPDLSLIVKARHGGCDYIFSLLTGYPDEPPAGVALPPGSNYNPYF PGGSIAMARVLFDDMVEYEDGTPATTSQMAKDVTTFLNWCAEPEHDERKRLGLKTVII LSSLYLLSIWVKKFKWAGIKTRKFVFNPPKPRK YOR066W MDKSMIKKRGRPPITKDYPNPLQSPMAHSSMQVQKQGPHSFAKP LMKVGQSSPSPNKRRLSIDHHHNLAATTRKGRYRGVLLSTPTKKSSTNGSTPISTPSS NDSYNNTVFSETRKTFLQSSPPIMTSSPAFQKKNDYMFPSQEQFKLSLTITESGKAVI AGSLPFSPSSKSSHLMNNNNKKIMQNEKIHKGSKKNAPKFEKRRILSLLKQMKNEKYC DTDTLPEAPPAKPSRSDIIDTELPTIIETSASPIGSARNNNILLSQPPQSPPSSAQLK PPSTPKSSLQFRMGFTPNVALNSVSLSDTISKSTNAVGASNNNNQNGNSISNIADANT LLTLTNSPGVFLSPRNKMLPKSTTASNEQQQEFVFKFSSGDPLLLTDDADGNWPEMLF NVSNTPRRQKCFNTPPSWINFGSPGLFSPPRSSNVMVNGTTVATASDSGNVHRQLQAQ LEAQVQVQSQSNSPTQRQQQQRQFQIPPPHINMNSSPPQINIASPPHQSMSRVSSIYF NKEKTTTGVANMLGNTKSENLQPPANLFTAAHGPSTPRNQEFQLPTLIECTPLIQQTM NGSLGTKYIPGTSISNSATPNLHGFPVGTGKAPSSFDDSLKQNPYSNKQDDARTALKR LIDDQ YOR067C MKGDRSRQNMAVTKKAKLKKNDEPKKVLKTAATEKGEGSKRYSL WNFWISTLFLKLLLIPDYFSTDFDVHRNWLAITNKLPISEWYYEHTSQWTLDYPPFFA YFEWFLSQFVPKSVRDDGCLDIVEIGKFGLPTIVFQRLTVIFSEILLFVILQIYINTT KLSERSQSFVVASSIVLSPGFLIIDHIHFQYNGFLFAILIGSIVAAKNKRYILCAVLY TTAICFKHIFLYLAPCYFVFLLRAYVLNVNNFKFKSYKDFLFLIRWANLLKLATVVVG IFTICFLPFAHQMPQVLSRLFPFSRGLTHAYWAPNFWALYSFMDKILTTVMLKLPYVH TFATKFIKPPLIPQNIKEINERLAANNNGSKGLVQDVFFVILPQIPPKLTFILTIFYQ VLAVLPLLFDPSFKRFVGSLTLCGLASFLFGWHVHEKAIMLVIIPFTFLVGFDRRLLV PFMLVASAGYVSLYPLLYKGQDFFIKTLYTYVWCIIYFAAFRKTTKISSSVERRIFFL DRLALTYIFSLLPIVTVLQILDEVKWRYSFLQKFEFLGLMIYSVYCSLGIISSWFALS WLYNFDELLWQ YOR069W MDYEDNLEAPVWDELNHEGDKTQSLVSNSIESIGQISTNEERKD NELLETTASFADKIDLDSAPEWKDPGLSVAGNPQLEEHDNSKADDLINSLAPEQDPIA DLKNSTTQFIATRESGGALFTGNANSPLVFDDTIYDANTSPNTSKSISGRRSGKPRIL FDSARAQRNSKRNHSLKAKRTTASDDTIKTPFTDPLKKAEKENEFVEEPLDDRNERRE NNEGKFTASVEKNILEQVDRPLYNLPQTGANISSPAEVEENSEKFGKTKIGSKVPPTE KAVAFKVEVKDPVKVGELTSIHVEYTVISESSLLELKYAQVSRRYRDFRWLYRQLQNN HWGKVIPPPPEKQSVGSFKENFIENRRFQMESMLKKICQDPVLQKDKDFLLFLTSDDF SSESKKRAFLTGSGAINDSNDLSEVRISEIQLLGAEDAAEVLKNGGIDAESHKGFMSI SFSSLPKYNEADEFFIEKKQKIDELEDNLKKLSKSLEMVDTSRNTLAASTEEFSSMVE TLASLNVSEPNSELLNNFADVHKSIKSSLERSSLQETLTMGVMLDDYIRSLASVKAIF NQRSKLGYFLVVIENDMNKKHSQLGKLGQNIHSEKFREMRKEFQTLERRYNLTKKQWQ AVGDKIKDEFQGFSTDKIREFRNGMEISLEAAIESQKECIELWETFYQTNL YOR068C MLFEVFGEVLASYIVSSKTKGELAFPVNNAPPDSLVAINCVVLF LRSAIGSCSGAKELIRSSALELSCSSSCGLPATDKPGSFHSGALSKSILSANEAVVSK SSLSFLSSFVDI YOR070C MGVRSAAKEMHERDHNSDSSSLVTSLMKSWRISSASSSKKPSLY KMNTTESTSLPSGYASSADRDRRTSDGNFEAMAKQQASTRRTSNSYSPLRYVNPTLST ASNESPRPALLLRQHHQRHHHHQQPRHSSSGSVGNNCSNSTEPNKKGDRYFKDLDEDW SAVIDDYNMPIPILTNGGFGTPVAPTRTLSRKSTSSSINSISNMGTSAVRNSSSSFTY PQLPQLQKEKTNDSKKTQLEIENERDVQELNSIIQRISKFDNILKDKTIINQQDLRQI SWNGIPKIHRPVVWKLLIGYLPVNTKRQEGFLQRKRKEYRDSLKHTFSDQHSRDIPTW HQIEIDIPRTNPHIPLYQFKSVQNSLQRILYLWAIRHPASGYVQGINDLVTPFFETFL TEYLPPSQIDDVEIKDPSTYMVDEQITDLEADTFWCLTKLLEQITDNYIHGQPGILRQ VKNLSQLVKRIDADLYNHFQNEHVEFIQFAFRWMNCLLMREFQMGTVIRMWDTYLSET SQEVTSSYSMSSNDIKPPVTPTEPRVASFVTPTKDFQSPTTALSNMTPNNAVEDSGKM RQSSLNEFHVFVCAAFLIKWSDQLMEMDFQETITFLQNPPTKDWTETDIEMLLSEAFI WQSLYKDATSHWL YOR071C MSFSSIVSKFLRYLEIPAKNRTAVNFLRNPDLQPIKSANQTWGF WSNLAYWGAVSFTAGTWMSGSAALSVGLSYPETIVSFLLGNVLTIIFTMANSYPGYDW KIGFTLAQRFVFGIYGSAFGIIIRILMSIVNYGSNAWLGGLSINMILDSWSHHYLHLP NTLSPSVAMTTKQLVGFIIFHVLTALCYFMKPYHMNYLLIWSCVATCFAMLGIVIYLT KNAHGVGELFTSTKSTVTGSKRAWAWVYMISYWFGSISPGSTNQSDYSRFGSSNLAIW TGSVCALLIPATLVPIFGVISASTCDKLYGKQFWMPMDIFDYWLTNNYSAGARAGAFF CGLCFTMSQMSSTISNCGFATGMDMAGLLPKYVDIKRGALFCACISWACLPWNFYNSS STFLTVMSSFGVVMTPIIAVMICDNFLIRKRQYSITNAFILKGEYYFTKGVNWRAIVA WVCGMAPGLPGIAWEVNNNYFHDSGIVKFFYGDSFFSFLISFFVYWGLCVFFPFKITV RHDDKDYYGAFTDEEARKKGMIPYSEISEEEIRAYTLGECYTTGHEYKPESSDNESPE LIKTSSENTNVFEIVHQKDDEKHSFSTTQQVV YOR072W MLTKVVFLFFWSRSDSTKKLAACNHATLAHYILTPALYSDACAI YSDVYSITIIVVATVVRNPARCSLRARKFCRLFSSFFQFHYLKELFYFIRKPDDKFSS FI YOR072W-B MILALGDFLTNRKTKHARGPGFNSQLAPFIFDYLFPIGRVTDFF YFFQGPFVL YOR073W MPKRKIAPNKESSRRTVSHDDLTPQIQEFQNLMDLESQKVENIR QSYSRQNSLLAKDNSILKIKVNSLEKKISQLVQENVTLRSKTSISEAIYRERLSNQLQ VIENGIIQRFDEIFYMFENVRKNENLPSSSLRTMLKRTSSRSRSCSLSSPTYSKSYTR LSNHENNLSHESSFNKDDGPDLEPKAKKRKSSRRQSMFVSTSLEPEDETGENEPMMEN SSVEVPAESHESAQVEETIDALNPEEENSDSVSNFTNSIIEYSIPEENPTEPEHSSSK LEIFNDSTNMLSTVPSNPLPLPLPGPSATLPTTTSDASTVYPSSSSSTNSHPKTKIKH SMKPPRIELKKKVIDEVMPVSNMSSNSEISFTRTRRTRGKAVDYTLPSLRAKMRRPSE KLVDATTVIDIHDLQVSKRNRETSHKRKSLSQDSIPDEPQLREVVVSKDYGTPKGKKT EDEIHEDTAHLMTTSNNNSNNKNEKKLTSNNSPKKSSPLLDITNKSENKKKSTRTKKL FKNAIVNNLSDENSTTRPSKSSKGTSNNNNNYNNFDNNNSNINNVNNKSVSFRLNEDD LAVFDLFGNGKAVKHQPKTYRTKK YOR074C MTMDGKNKEEEQYLDLCKRIIDEGEFRPDRTGTGTLSLFAPPQL RFSLRDDTFPLLTTKKVFTRGIILELLWFLAGDTDANLLSEQGVKIWDGNGSREYLDK MGFKDRKVGDLGPVYGFQWRHFGAKYKTCDDDYTGQGIDQLKQVIHKLKTNPYDRRII MSAWNPADFDKMALPPCHIFSQFYVSFPKEGEGSGKPRLSCLLYQRSCDMGLGVPFNI ASYALLTRMIAKVVDMEPGEFIHTLGDAHVYKDHIDALKEQITRNPRPFPKLKIKRDV KDIDDFKLTDFEIEDYNPHPRIQMKMSV YOR075W MMSDLTPIFRKYVAVIDDARNEQNGIDDHVERKQEDFGNSNETC EMFRDSFIKECARLLKFLVELNKVIKQIEKNYLDDFNMSDAEKDEFDMECRLQIQQYF KKFEFLENYEMERHNLSLKRFQSKSHRWSKILSNKNDNTKHVIHPQDIENGVYEFRLG VLRCLNLWIKYVSSKFTTIQQERLILENKMNFNSTPMPTLSNNADDFSADAIDISVSQ SAPVETVQDEVKHYEETISKLTQEQLQVLETEHSELLNQKNEQLKKVETINKTILDIV NIQNELSNHLTVQSQNINLMLNNQDDIELNIKKGNKELRKAKRAAGRTAKMTTYGAII MGVFILFLDYVG YOR076C MSLLEQLARKRIEKSKGLLSADQSHSTSKSASLLERLHKNRETK DNNAETKRKDLKTLLAKDKVKRSDFTPNQHSVSLSLKLSALKKSNSDLEKQGKSVTLD SKENELPTKRKSPDDKLNLEESWKAIKEMNHYCFLKNDPCINQTDDFAFTNFIIKDKK NSLSTSIPLSSQNSSFLSLKKHNNELLGIFVPCNLPKTTRKVAIENFNRPSPDDIIQS AQLNAFNEKLENLNIKSVPKAEKKEPINLQTPPTESIDIHSFIATHPLNLTCLFLGDT NAGKSTLLGHLLYDLNEISMSSMRELQKKSSNLDPSSSNSFKVILDNTKTERENGFSM FKKVIQVENDLLPPSSTLTLIDTPGSIKYFNKETLNSILTFDPEVYVLVIDCNYDSWE KSLDGPNNQIYEILKVISYLNKNSACKKHLIILLNKADLISWDKHRLEMIQSELNYVL KENFQWTDAEFQFIPCSGLLGSNLNKTENITKSKYKSEFDSINYVPEWYEGPTFFSQL YLLVEHNMNKIETTLEEPFVGTILQSSVLQPIAEINYVSLKVLINSGYIQSGQTIEIH TQYEDFHYYGIVSRMKNSKQILETNTKNNISVGLNPDILEVLVKIHNTEDFTKKQFHI RKGDIIIHSRKTNTLSPNLPNTLKLLALRLIKLSIQTHALSDPVDLGSELLLYHNLTH NAVKLVKILGTNDISINPNQSLIVEVEIIEPDFALNVIDSKYITNNIVLTSIDHKVIA VGRIACQ YOR077W MADYDSAKYWSKQGARRGLQKTRYYCQICQRQCKDANGFQSHNK SPSHLRKISQVTAEDARRYNIQFEKGFLQLLKQRHGEKWIDANKVYNEYVQDRDHVHM NATMHRSLTQFVRYLGRAGKVDVDMDIDDTSENVEGPLLIRIHPSSLSSPSEDGMLRS QQEEQEVIAAELLKRQLNRAKRQTEKVYQPEMKSEISGDSTLKRVQVTFHGNGRVNKK KKKVPPRKDGIKFR YOR078W MSNGHVKFDADESQASASAVTDRQDDVLVISKKDKEVHSSSDEE SDDDDAPQEEGLHSGKSEVESQITQREEAIRLEQSQLRSKRRKQNELYAKQKKSVNET EVTDEVIAELPEELLKNIDQKDEGSTQYSSSRHVTFDKLDESDENEEALAKAIKTKKR KTLKNLRKDSVKRGKFRVQLLSTTQDSKTLPPKKESSIIRSKDRWLNRKALNKG YOR079C MKFLGVILLASFLLIATFLIGLIPLYYIDKQKSSIVTNQEGADS ISDFTTNADTQTINDDVSSYRVKIAVLSQFGIGMLLGTSFMLVIPEGIKACVEHDGNV GVNLLIGFLGVYVLDRLVTLWVSRKQTVYTHDAVKFQSWKDIINHPRQIWMNLIQNNV VFALFIHGLSDGIALGTTTNNDSLLIVVLIAIVIHKIPAVLSLTSLMVSRQNLMKWEV ICNVFLFASSTPIGYIVLSLLNLSHSPTMDWISGNLLLMSGGSLLYASFTAFVGGDSH DHDLSVEQEVVLPHDESVYVLIGVCIPLVISYCISEE YOR080W MSSPGNSGVAIDSTVLKAIELGTRLFKSGEYLQAKRIFTNALRV CDSYSQEQIMRIRNAYQLDTARPDNKRLYHPRYIKILDNICACYEKLNDLKSCLDVSQ RLLKLEPGNIKCYIRCTRTLIKLKDWKRAYKTCSRGLQLCNNDSNHLRQQKQFIKNNM VQKQDGKRSYIDPLEETKIAKKKKNNNVLESLPKKKIKGSTKKTDLVGNLPIEILPII FQRFTTKELVTLSLVCNKWRDKILYHLDCFQEFNLAPINFKNFVKFMDFLQQNFTRTY RKYILSQVKVSSRITSEELRITQLLFSKMPKCINIERLILSMPTLTTTQIFKLMVRGG TDFFTRLLELSLMITYRPDKQHELEILQTCPLLKKIELIFVNSLVPIFDGNNSVGRDG SFNVMARHTNMQISTADNDEQGIVEEKVIYSELEKITLICDKKKIKNFPLCRALLRGQ FPLLQKLTITGVTFPMNNQDIMNFQWLLNFPDLKELWIEDNDNCELSKFLQLLKFSNV WKNLEKLTFRENKLYPIVNLDEDQPVTNDDEVPSMLFYKENLQNLEKLDLMGTSISGS ALTRLCEQEYLDGRKLRSLNIGNCPNIQFPNNHAHTARMILDVNAVLKRLSKLEEINL SHLSSLNDSTMKSFIINVPFLENLKRLDISHNFEITGISIYEFLKKFQMDHDNEAGGQ PLAYLNIDGCSQVSHITVNMIRAQNLVTQVDCVYERDVWRKFGINSYSYS YOR081C MSNTLPVTEFLLSKYYELSNTPATDSSSLFKWLYHKTLSRKQLL ISDLSSQKKHAISYDQWNDIASRLDDLTGLSEWKTIDESSLYNYKLLQDLTIRMRHLR TTHDYHRLLYLIRTKWVRNLGNMNNVNLYRHSHTGTKQIIHDYLEESQAVLTALIHQS NMNDHYLLGILQQTRRNIGRTALVLSGGSTFGLFHIGVLAALFESDLMPKVISGSSAG AIVASIFCVHTTQEIPSLLTNVLNMEFNIFNDDNSKSPNENLLIKISRFCQNGTWFNN QPLINTMLSFLGNLTFREAYNKTGKILNITVSPASIYEQPKLLNNLTAPNVLIWSAVC ASCSLPGVFPSTPLFEKDPHTGKIKEWGATNLHLSNMKFMDGSVDNDMPISRLSEMFN VDHIIACQVNIHVFPLLKFSNTCVGGEIEKEITARFRNQVTKIFKFFSDETIHFLDIL KELEFHPYLMTKLKHLFLQQYSGNVTILPDLSMVGQFHEVLKNPSQLFLLHQTTLGAR ATWPKISMIQNNCGQEFALDKAITFLKEKIIISSSIKNPLQFYQPRFSEQIKSLSIMD ADLPGVDLEESSSNSLSIIKSPNKTAAPGRFPLQPLPSPSSTFNKRKMDMLSPSPSPS TSPQRSKSSFTQQGTRQKANSLSFAIGASSLRLKKSPLKVPSRPQFKKRSSYYNQNMS AEMRKNRKKSGTISSYDVQTNSEDFPIPAIENGSFDNTLFNPSRFPMDAMSAATNDNF MNNSDIFQN YOR083W MSLRTPKRSRTSDEQEQEQEQEQVQNPDTHVNNEHQQRPGPTTL LSTPVRLKNGFGTPSPPSPPGITKSITKSRRRPSTTSLQGIFMSPVNKRRVGITAHGR VYDHNDDGHESESEDDENEEENENQKKYDGHVSMPLLPPTTPKSRRSEVFLSPSPRLR SPPTAARRSTGERPIREISHTLRTRLNYALVKLQNGWTDKTLPELETELAPAVQTPPR RYHNRFPDSADAGTSAHTAFLQALGGHPPREEATAVETLMLLSSPTKKQQHRPVPATS AGEPTDETEPESDTEVETS YOR084W MEQNRFKKETKTCSASWPRAPQSTLCATDRLELTYDVYTSAERQ RRSRTATRLNLVFLHGSGMSKVVWEYYLPRLVAADAEGNYAIDKVLLIDQVNHGDSAV RNRGRLGTNFNWIDGARDVLKIATCELGSIDSHPALNVVIGHSMGGFQALACDVLQPN LFHLLILIEPVVITRKAIGAGRPGLPPDSPQIPENLYNSLRLKTCDHFANESEYVKYM RNGSFFTNAHSQILQNIIDFERTKASGDDEDGGPVRTKMEQAQNLLCYMNMQTFAPFL ISNVKFVRKRTIHIVGARSNWCPPQNQLFLQKTLQNYHLDVIPGGSHLVNVEAPDLVI ERINHHIHEFVLTSPLQSSHIPQLTLEERAVMFDRAFDSFKNEALVKTTKQKL YOR085W MNWLFLVSLVFFCGVSTHPALAMSSNRLLKLANKSPKKIIPLKD SSFENILAPPHENAYIVALFTATAPEIGCSLCLELESEYDTIVASWFDDHPDAKSSNS DTSIFFTKVNLEDPSKTIPKAFQFFQLNNVPRLFIFKPNSPSILDHSVISISTDTGSE RMKQIIQAIKQFSQVNDFSLHLPMDWTPIITSTIITFITVLLFKKQSKLMFSIISSRI IWATLSTFFIICMISAYMFNQIRNTQLAGVGPKGEVMYFLPNEFQHQFAIETQVMVLI YGTLAALVVVLVKGIQFLRSHLYPETKKAYFIDAILASFCALFIYVFFAALTTVFTIK SPAYPFPLLRLSAPFK YOR086C MAKEDTGVTAPKKPETAQVANINGIDKLEPPKTKEETESSKSVS SEKAAHASDESFKRSIHEASYVGWKQIGGWEDKDELTLDDELMDMTRETFLDNIIPDS LYGDWYHSVAIFFIGGVASFALGHYKFSMGSAFFVIVITSLLYRTSAKKYRGSIRELV QKEFTVQKVENDYESLEWLNAFLDKYWPILEPSVSQLIVQQANEQMATNEAIPKFITQ LWIDELTLGVKPPRVDLVKTFQNTASDVVVMDWGISFTPHDLCDMSAKQVRNYVNELA VVKAKIFGITIPVSVSDIAFKAHARVKFKLMTPFPHVETVNIQLLKVPDFDFVATLFG RSIFNWEILAIPGLMTLIQKMAKKYMGPILLPPFSLQLNIPQLLSGSNLSIGILEITV KNAKGLKRTSSILNESIDPYLSFEFNDISIAKTRTVRDTLNPVWDETLYVLLNSFTDP LTISVYDKRAKLKDKVLGRIQYNLNTLHDKTTQRNLKAQFLRNSKPVGELTFDLRFFP TLEEKKLPDGSVEELPDLNTGIAKVVVEEGSRFAEEEQKVTAYVEVYLNAKLVLTTGK ATDTGTLKWNSDYEAVIADRRKTRYKFVVKDGKGEEIGSTIQTLNDLIDRSQVNKNLI PLKNQKGDIKITTYWRPVRLEIGSNSVAYTPPIGAIRVFIEKANDLRNLEKFGTIDPY CKVLVNGLSKGRTDFKSQTLNPVWNQVIYVAVTSPNQRITLQCMDVETVNKDRSLGEF NVNVQDLFKKDENDKYEETIDEKAKVGRLVMPKKKPKGTITYYTSFYPALPVLTLEEI QDLDKVNKKKKALELRKSAIDEKKISKEDKAKFDQEWNEVKELEDMYSNRQKLDLPEL LQYNQGVLAVTVLNGELPDSGLYVQAFFDDNGHPRFVSPRIPSRIVKNGWSGDVIIKE LDKSITTFRVAKNKNYNRVEKCVCEVELPTQELVKNCYYKPSILHLSGEGSAKLMLQI SWFPIDTKQLPANDLITNSGDLTIMSRSAENLIASDLNGYSDPYLKYYINNEEDCAYK TKVVKKTLNPKWNDEGTIQINNRLNDVLRIKVMDWDSTSADDTIGTAEIPLNKVKVEG TTELDVPVEGLENAGQDGGMLHLAFSFKPRYTISVSKREKKVGDIASKGLGTGLKAGT TVIGGGVGAIGKIKKGVFGGLGSLTNHKKNHEMGEEETKF YOR087W MVSANGDLHLPISNEQCMPENNGSLGFEAPTPRQILRVTLNLKY LIDKVVPIVYDPNDIVCDHSEILSPKVVKLAYEACGGNPKDKANKRKYQSVIIFSLLK VCEWYSILATMEVHNAKLYETRNLASQQLCKLLIEREETRDLQFLFMQLLLRRYVINE NDEDQEPLNALELATDMHCTTVIGSSGFQRCLKWIWRGWIVQNGLDPTTFIKDDSLAE VSLISHFNPVRLKAPVYQNYLQMIFSFLFLGLYTLVVNGKDSERVQSFDLLESIFYVF NTGFILDELTKLYYIGYAHLSFWNLFNDTTYLIITFAMGFRAMSVTPLNAKYSSEDWD KISYRVLSCAAPFVWSRLLLYLESQRFIGIMLVILKHMMKESIVFFFLLFLIMIGFTQ GFLGLDSADGKRDITGPILGNLTITVLGLGSFDVFEEFAPPYAAILYYGYYFIVSVIL LNILIALYSTAYQKVIDNADDEYMALMSQKTLRYIRAPDEDVYVSPLNLIEVFMTPIF RILPPKRAKDLSYTVMTIVYSPFLLLISVKETREARRIKYNRMKRLNDDANEYDTPWD LTDGYLDDDDGLFSDNRNSGMRATQLKNSRSLKLQRTAEQEDVHFKVPKKWYKNVKKC SPSFEQYDNDDTEDDAGEDKDEVKELTKKVENLTAVITDLLEKLDIKDKKE YOR089C MNTSVTSIKLVLLGEAAVGKSSIVLRFVSNDFAENKEPTIGAAF LTQRVTINEHTVKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPQSFIKARHWV KELHEQASKDIIIALVGNKIDMLQEGGERKVAREEGEKLAEEKGLLFFETSAKTGENV NDVFLGIGEKIPLKTAEEQNSASNERESNNQRVDLNAANDGTSANSACSC YOR090C MSPLTRTVAIKKTVKVLSKCQSGREYTQKFLQRAYSTSHANSTY YSRTKLFISSHSKALNIALLSGSLLLTYSYYSPKKILSLDTINGIKDYSTNTSGNINM PSPNPKGTETQKSQRSQNDQSVLILNDSKIEAKLHDREESHFVNRGTGIFRYDVAQLP SNHPIEDDHVEQIITIPIESEDGKSIEKDLYFFGIFDGHGGPFTSEKLSKDLVRYVAY QLGQVYDQNKTVFHSDPNQLIDSAISKGFLKLDNDLVIESFRKLFQDPNNTNIANTLP AISGSCALLSLYNSTNSILKVAVTGDSRALICGLDNEGNWTVKSLSTDQTGDNLDEVR RIRKEHPGEPNVIRNGRILGSLQPSRAFGDYRYKIKEVDGKPLSDLPEVAKLYFRREP RDFKTPPYVTAEPVITSAKIGENTKFMVMGSDGLFELLTNEEIASLVIRWMDKNMNLA PVKAEPGKLPKVIDVSEDKEAQRPAFRYKDNNSSSPSGSNPEYLIEDKNVATHLIRNA LSAGGRKEYVSALVSIPSPMSRRYRDDLTVTVAFFGDSGTPSIVSNATSIVMNPEATT KPKPRL YOR091W MPPKKGKQAQAAGKKKDNVDKTFGMKNKNRSTKVQKYIKQVQSQ SDPKKEEMRLKKLEEKKRREAEEAERRALFNPVADQRVRAGVDPKSMVCALFKLGNCN KGAKCKFSHDLNVGRRMEKKDLYQDTRSEKENDTMDNWDEEKLRKVILSKHGNPKTTT DKVCKYFIEAVENGKYGWFWICPNGGDKCMYRHSLPEGFVLKTNEQKRLERESLEKQP KITLEEFIETERGKLDKSKLTPITIANFAQWKKDHVIAKINAEKKLSSKRKPTGREII LKMSAENKSFETDNADMPDDVTQGSAWDLTEFTDALKKADHQDDGGIKDYGDGSNPTF DIKKANSATLA YOR092W MTHITLGQAIWASVRPIIKIYLIIGVGFGLCKMNILTVQATRSI SDIVLTILLPCLSFNKIVANIEDNDIKDVGIICLTSVILFATGLGFAFIVRSVLPVPK RWRGGILAGGMFPNISDLPIAYLQSMDQGFIFTEAEGEKGVANVIIFLAMFLICVFNL GGFRLIENDFHYKGDDDEENTLTNDDSAQQPTQPIEGNSSSSSNQDILKEPNESTVPN SSQASYISEKNKKEKTELSVPKPTHTAPPAIDDRSSNSSAVVSIDSITHSLRTNHVDA QSVSELNDPTYRTRSQPIAYTTESRTSHVHNNRRNSITGSLRSIDMRELPAEGMSDLI REYSNVDQYGRRRKSSISSQGAPSVLQADGTISPNLTRTSTLQRVKTSNLTRIITSDA TVSKKDIETSGSSLPKWLQKFPLTKFFVFFLKNCLRPCSMAVILALIIAFIPWVKALF VTTSNTPKIKQAPDNAPALTFIMDFTSYVGAASVPFGLILLGATLGRLKIGKLYPGFW KSAVVLVFLRQCIMPIFGVLWCDRLVKAGWLNWENDKMLLFVTAITWNLPTMTTLIYF TASYTPEDETEPVQMECTSFFLMLQYPLMVVSLPFLVSYFIKVQMKL YOR093C MDFSIPPTLPLDLQSRLNELIQDYKDENLTRKGYETKRKQLLDK FEISQMRPYTPLRSPNSRKSKHLHRRNTSLASSITSLPNSIDRRHSIYRVTTINSTSA NNTPRRRSKRYTASLQSSLPGSSDENGSVKDAVYNPMIPLLPRHTGAENTSSGDSAMT DSLPLILRGRFEHYDGQTAMISINSKGKETFITWDKLYLKAERVAHELNKSHLYKMDK ILLWYNKNDVIEFTIALLGCFISGMAAVPVSFETYSLREILEIIKVTNSKFVLISNAC HRQLDNLYSSSNHSKVKLVKNDVFQQIKFVKTDDLGTYTKAKKTSPTFDIPNISYIEF TRTPLGRLSGVVMKHNILINQFETMTKILNSRSMPHWKQKSQSIRKPFHKKIMATNSR FVILNSLDPTRSTGLIMGVLFNLFTGNLMISIDSSILQRPGGYENIIDKFRADILLND QLQLKQVVINYLENPESAFSKKHKIDFSCIKSCLTSCTTIDTDVSEMVVHKWLKNLGC IDAPFCYSPMLTLLDFGGIFISIRDQLGNLENFPIHNSKLRLQNELFINREKLKLNEV ECSITAMINSSSSFKDYLKLETFGFPIPDITLCVVNPDTNTLVQDLTVGEIWISSNHI TDEFYQMDKVNEFVFKAKLNYSEMFSWAKYEMPTNEKSQAVTEQLDTILNICPANTYF MRTKLMGFVHNGKIYVLSLIEDMFLQNRLIRLPNWAHTSNLLYAKKGNQSAQPKGNTG AESTKAIDISSLSGETSSGYKRVVESHYLQQITETVVRTVNTVFEVAAFELQHHKEEH FLVMVVESSLAKTEEESKNGETTDTTLMKFAETQRNKLETKMNDLTDQIFRILWIFHK IQPMCILVVPRDTLPRRYCSLELANSTVEKKFLNNDLSAQFVKFQFDNVILDFLPHSA YYNESILSEHLSKLRKMALQEEYAMIEPAYRNGGPVKPKLALQCSGVDYRDESVDTRS HTKLTDFKSILEILEWRISNYGNETAFSDGTNTNLVNSSASNDNNVHKKVSWASFGKI VAGFLKKIVGSKIPLKHGDPIIIMCENSVEYVAMIMACLYCNLLVIPLPSVKESVIEE DLKGLVNIIQSYKVKRVFVDAKLHSLLNDNNVVNKCFKKYKSLIPKITVFSKVKTKNA LTVSMFKNVLKQKFGAKPGTRIGMTPCVVWVNTEYDVTSNIHVTMTHSSLLNASKIVK ETLQLRNNSPLFSICSHTSGLGFMFSCLLGIYTGASTCLFSLTDVLTDPKEFLIGLQN LNVKDLYLKLETLYALLDRASSLIEGFKNKKENINSAKNNTSGSLREDVFKGVRNIMI PFPNRPRIYTIENILKRYSTISLSCTQISYVYQHHFNPLISLRSYLDIPPVDLYLDPF SLREGIIREVNPNDVSAGNYIKIQDSGVVPVCTDVSVVNPETLLPCVDGEFGEIWCCS EANAFDYFVCNSSKNKLYKDPFITEQFKSKMKSEVNNTLSYLRTGDLGFIKNVSCTNS QGEVVNLNLLFVLGSIHESIEILGLTHFVSDLERTVKDVHSDIGSCLIAKAGGLLVCL IRCKERHNPILGNLTTLIVSELLNKHGVILDLCTFVRTKGISPKNSSMIMEVWAKNRA SIMQAWFDQKIQIEAQFGINYGENISIYLLSDYEKDNI YOR094W MGNSISKVLGKLFGSKEMKILMLGLDKAGKTTILYKLKLNKIKT STPTVGFNVETVTYKNVKFNMWDVGGQQRLRPLWRHYFPATTALIFVIDSSARNRMEE AKEELYSIIGEKEMENVVLLVWANKQDLKDAMKPQEVSDFLELEKNLKNQPWCVIGSN ALSGQGLVEGLSWISNNTNVPKK YOR095C MAAGVPKIDALESLGNPLEDAKRAAAYRAVDENLKFDDHKIIGI GSGSTVVYVAERIGQYLHDPKFYEVASKFICIPTGFQSRNLILDNKLQLGSIEQYPRI DIAFDGADEVDENLQLIKGGGACLFQEKLVSTSAKTFIVVADSRKKSPKHLGKNWRQG VPIEIVPSSYVRVKNDLLEQLHAEKVDIRQGGSAKAGPVVTDNNNFIIDADFGEISDP RKLHREIKLLVGVVETGLFIDNASKAYFGNSDGSVEVTEK YOR096W MSAPQAKILSQAPTELELQVAQAFVELENSSPELKAELRPLQFK SIREIDVAGGKKALAIFVPVPSLAGFHKVQTKLTRELEKKFQDRHVIFLAERRILPKP SRTSRQVQKRPRSRTLTAVHDKILEDLVFPTEIVGKRVRYLVGGNKIQKVLLDSKDVQ QIDYKLESFQAVYNKLTGKQIVFEIPSETH YOR097C MDLKRDWLRWKITIGSGPGSIVLDFPSFLVGCVFTTMMGPILQK LIGKLLVGLITVCKFLVIIGSIVFVIGVASKKYTYDDFKVSIKRSGEPGESHDMRTEP KRTAKTATVPMEKDEGVGSYNYFEIPITKETSTIPYINCDGTSSLRKPPNGPSSVGLS NSNRYENFINMARHK YOR098C MSSNTSSVMSSPRVEKRSFSSTLKSFFTNPNKKRPSSKKVFSSN LSYANHLEESDVEDTLHVNKRKRVSGTSQHSDSLTQNNNNAPIIIYGTENTERPPLLP ILPIQRLRLLREKQRVRNMRELGLIQSTEFPSITSSVILGSQSKSDEGGSYLCTSSTP SPIKNGSCTRQLAGKSGEDTNVGLPILKSLKNRSNRKRFHSQSKGTVWSANFEYDLSE YDAIQKKDNKDKEGNAGGDQKTSENRNNIKSSISNGNLATGPNLTSEIEDLRADINSN RLSNPQKNLLLKGPASTVAKTAPIQESFVPNSERSGTPTLKKNIEPKKDKESIVLPTV GFDFIKDNETPSKKTSPKATSSAGAVFKSSVEMGKTDKSTKTAEAPTLSFNFSQKANK TKAVDNTVPSTTLFNFGGKSDTVTSASQPFKFGKTSEKSENHTESDAPPKSTAPIFSF GKQEENGDEGDDENEPKRKRRLPVSEDTNTKPLFDFGKTGDQKETKKGESEKDASGKP SFVFGASDKQAEGTPLFTFGKKADVTSNIDSSAQFTFGKAATAKETHTKPSETPATIV KKPTFTFGQSTSENKISEGSAKPTFSFSKSEEERKSSPISNEAAKPSFSFPGKPVDVQ APTDDKTLKPTFSFTEPAQKDSSVVSEPKKPSFTFASSKTSQPKPLFSFGKSDAAKEP PGSNTSFSFTKPPANETDKRPTPPSFTFGGSTTNNTTTTSTKPSFSFGAPESMKSTAS TAAANTEKLSNGFSFTKFNHNKEKSNSPTSFFDGSASSTPIPVLGKPTDATGNTTSKS AFSFGTANTNGTNASANSTSFSFNAPATGNGTTTTSNTSGTNIAGTFNVGKPDQSIAS GNTNGAGSAFGFSSSGTAATGAASNQSSFNFGNNGAGGLNPFTSATSSTNANAGLFNK PPSTNAQNVNVPSAFNFTGNNSTPGGGSVFNMNGNTNANTVFAGSNNQPHQSQTPSFN TNSSFTPSTVPNINFSGLNGGITNTATNALRPSDIFGANAASGSNSNVTNPSSIFGGA GGVPTTSFGQPQSAPNQMGMGTNNGMSMGGGVMANRKIARMRHSKR YOR099W MAKIMIPASKQPVYKKLGLLLVAVFTVYVFFHGAQYARGSAPSP KYSTVLSSGSGYKYSKVELPKYTGPREKATFVTLVRNRDLYSLAESIKSVEDRFNSKF NYDWVFLNDEEFTDEFKNVTSALVSGTTKYGVIPKEHWSFPEWIDEEKAAQVRKEMGE KRIIYGDSISYRHMCRFESGFFYRHPLMDDYDWYWRVEPDIKLHCDIDYDVFKFMKDN KKKYAFAISIKEYEATIPTLWETTRKFMEAHPELIHENNMLDFVSDDQGLSYNLCHFW SNFEIAALDLWRSPAYSAYFDYLDREGGFFYERWGDAPVHSIGAALFLDRSEIHHFGD IGYYHVPFHSCPIDTSIRLANKCDCDPSKDFTWHSYSCTTKFYNINKLPKPAGWQNHI G YOR100C MSSDTSLSESSLLKEESGSLTKSRPPIKSNPVRENIKSFVAGGV GGVCAVFTGHPFDLIKVRCQNGQANSTVHAITNIIKEAKTQVKGTLFTNSVKGFYKGV IPPLLGVTPIFAVSFWGYDVGKKLVTFNNKQGGSNELTMGQMAAAGFISAIPTTLVTA PTERVKVVLQTSSKGSFIQAAKTIVKEGGIASLFKGSLATLARDGPGSALYFASYEIS KNYLNSRQPRQDAGKDEPVNILNVCLAGGIAGMSMWLAVFPIDTIKTKLQASSTRQNM LSATKEIYLQRGGIKGFFPGLGPALLRSFPANAATFLGVEMTHSLFKKYGI YOR101W MQGNKSTIREYKIVVVGGGGVGKSALTIQFIQSYFVDEYDPTIE DSYRKQVVIDDKVSILDILDTAGQEEYSAMREQYMRTGEGFLLVYSVTSRNSFDELLS YYQQIQRVKDSDYIPVVVVGNKLDLENERQVSYEDGLRLAKQLNAPFLETSAKQAINV DEAFYSLIRLVRDDGGKYNSMNRQLDNTNEIRDSELTSSATADREKKNNGSYVLDNSL TNAGTGSSSKSAVNHNGETTKRTDEKNYVNQNNNNEGNTKYSSNGNGNRSDISRGNQN NALNSRSKQSAEPQKNSSANARKESSGGCCIIC YOR103C MAKAPKANTPKVTSTSSAVLTDFQETFKTSKRAYFAQIEKYPKL KLIDTFCFFLVLLGVIQCTFIILIRDNFPFNAFLAGFIICVGQFVLLMSLRLQLCNSF PGISKNRAFAEFIVASLILHFVCLHFIN YOR104W MNVCKLKEIVPLFPRSSFTDGVVSTGKSFRSWDTCMDNKACKII AIVGIVLACILVIWLIGGLLTCFRQGVTGIGQFICWCCRCSNDRNGNNTMPVNEGFSR VNMGVAPPSTVIYQPIQQPESAYYRNDAKNDTFYDEVKTPSNEVYELEEDFDLEKQKE KTRKKQQKERNKEGRSPSRVAPLVYEEENFEGSSPQPQYDARNSFIQNAANTGSNNAH VASQSPIFDISDYGENYYYDNNNINNNLQGNSYNTPSSNHRSPYPTENYQSYQGYKPN QSDRYY YOR105W MKKKISKVAALSRNMMLEIRLSKMRPILVATTLMLLHNLQFLTS AIMARTIITIIITSIITSRETVTILPPLIIEVHIQPKIINHIRDINQTKAIDITNEVN IYSPFF YOR106W MSFFDIEAQSSKGNSQQEPQFSTNQKTKELSNLIETFAEQSRVL EKECTKIGSKRDSKELRYKIETELIPNCTSVRDKIESNILIHQNGKLSADFKNLKTKY QSLQQSYNQRKSLFPLKTPISPGTSKERKDIHPRTEAVRQDPESSYISIKVNEQSPLL HNEGQHQLQLQEEQEQQQQGLSQEELDFQTIIHQERSQQIGRIHTAVQEVNAIFHQLG SLVKEQGEQVTTIDENISHLHDNMQNANKQLTRADQHQRDRNKCGKVTLIIIIVVCMV VLLAVLS YOR107W MASVPSLCDILIPLEKNSRSDGDAESSNTVLIQLRKGHHERMRS PYTIQKFYKFLKRAHCEENLEFFEKAHQFLQLKQNRSISEEKLLEVWNKSLYIKYIAV DSPKECNFSQDTREIFEKCFANNEVPADVDVLCAISHVMGLLMDGYHRFVSSVNEKKY SATYAHNDSATEQDLKNESTTSFSSLGVEDISEDRNSYLKKPDINGLSTIIQETSANT TNESQCSDRTSRPSESSSSLNTTSSTYKNTSTRNLQKPQNTGILNSGKGLLQKLNFVK KRKSFKQPSGVICSHYNSNVQNRLKGQNSHQQR YOR108W MVKHSFIALAEHASKLRRSIPPVKLTYKNMLRDPSVKYRAFAPP KMVKRIWPDKTIQKAPRWLSTDLRDGNQSLPDPMSVAQKKEYFHKLINIGFKEIEVSF PSASQTDFDFTRYAVENAPDDVGIQCLVQSREHLIKRTVEALTGAKRATIHTYLATSD MFREIVFNMSREEAISKAVEATKLVRKLTKDDPSQQATRWSYEFSPECFSDTPGEFAV EICEAVKKAWEPTEENPIIFNLPATVEVASPNVYADQIEYFSTHITEREKVCISTHCH NDRGCGVAATELGMLAGADRVEGCLFGNGERTGNVDLVTVAMNMYTQGVSPNLDFSDL TSISEIVHRCNKIPIPPRAPYGGELVVSAFSGSHQDAIKKGFAIQNKKQAQGETRWRI PYLPLDPKDIGRDYEAVIRVNSQSGKGGAAWVIMRSLGLDVPRPMQVDFSNTLQKNAD ALGRELKSEEITKLFKETYNYNNNEHIYVTLLNYEVKKLNPERRALVGQVEINDKVVN IEGYGNGPISSLVDALSNLLNVKLSVQNYSEHSLGSGSATQAASFINLSYIKDINNHA TSNMWGVGVSEDTGDASIKAVFATVNNIIHSGDVLLAE YOR109W MIIFVSEEPERRLAIVSNLYALVLKPVGKKPSDKPLCAIELLQK NDLKKYGFKRLTSHEIFGVIGLIEVNGLLFVGAITGKSKVAQPCPGETVNKIFAVDFF CLNDNSWDFIEIDSSGYPVLPETASTEYQDALPKHPCYELKKLLSNGSFYYSSDFDLT STLQHRGYGQHSLSTDTYEEEYMWNSFLMQEMITYRDHLDTNLKQILDDEGFLTTVIR GFAETFVSYVKKLKVALTIISKQSWKRAGTRFNARGVDDEANVANFVETEFIMYSSQY CYAFTQIRGSIPVFWEQGTSLINPRVQITRSFEATQPVFDKHIMKSVEKYGPVHVVNL LSTKSSEIELSKRYKEHLTHSKKLNFNKDIFLTEFDFHKETSQEGFSGVRKLIPLILD SLLSSGYYSYDVREKKNISEQHGIFRTNCLDCLDRTNLAQQIISLAAFRTFLEDFRLI SSNSFIDDDDFVSKHNTLWADHGDQISQIYTGTNALKSSFSRKGKMSLAGALSDATKS VSRIYINNFMDKEKQQNIDTLLGRLPYQKAVQLYDPVNEYVSTKLQSMSDKFTSTSNI NLLIGSFNVNGATKKVDLSKWLFPIGEKFKPDIVVLGLQEVIELSAGSILNADYSKSS FWENLVGDCLNQYDDKYLLLRVEQMTSLLILFFVKADKAKYVKQVEGATKKTGFRGMA GNKGAVSIRFEYGATSFCFVNSHLAAGATNVEERRSDYESIVRGITFTRTKMIPHHDS IFWLGDMNYRINLPNEDVRRELLNQEEGYIDKLLHFDQLTLGINSGSVFEGFKEPTLK FRPTYKYDPGTGTYDSSEKERTPSWTDRIIYKGENLLPLSYSDAPIMISDHRPVYAAY RAKITFVDDKERLSLKKRLFTEYKQEHPEEPGSLISDLLSLDLDNKSTDGFKSSSESS LLDIDPIMAQPTASSVASSSPVSSASASLQPVRTQNSSQSRTPIKKPVLRPPPPPAHK SVSAPAPSTSKEKSPTPQTSTASLSSVTKNIQENKPLAQNRRIPPPGFSQNILTPKST SNLASPMSSKVDLYNSASESTRSAQDARQQTPTAFAASRDVNGQPEALLGDENPIEPE EKAKLNHMTLDSWQPLTPK YOR110W MVVNTIYIARHGYRSNWLPEGPYPDPLTGIDSDVPLAEHGVQQA KELAHYLLSLDNQPEAAFASPFYRCLETVQPIAKLLEIPVYLERGIGEWYRPDRKPVI PVPAGYEILSKFFPGVISQEWDSTLTPNEKGETEQEMYMRFKKFWPLFIERVEKEYPN VECILLVTHAASKIALGMSLLGYDNPRMSLNENGDKIRSGSCSLDKYEILKKSYDTID ETDDQTSFTYIPFSDRKWVLTMNGNTEFLSSGEEMNWNFDCVAEAGSDADIKKRQMTK KTSSPIPEADDQTEVETVYISVDIPSGNYKERTEIAKSAILQYSGLETDAPLFRIGNR LYEGSWERLVGTELAFPNAAHVHKKTAGLLSPTEENETTNAGQSKGSSTANDPNIQIQ EEDVGLPDSTNTSRDHTGDKEEVQSEKIYRIKERIVLSNVRPM YOR111W MSGNSQLPPDVIGFICSKYDIILASTSPRRYEILHDIMGITDLK TMVSTFEENLDKMNYSTDPIGYVCDTSWHKAQNIIEILTDYEDENPNEIDKPKLIICA DTIIIDKSGRIYEKPKTKEVQKKFLMKFCYEDDEPVNVVTAVTLIKWYGRENFELVPF RDETKVYFDNKIPLRILEEYVESGDGLEVGGGFKIQGQGAILIEKIEGDYYNVVGLPL NKTFKGLYAEANSI YOR112W MNFSSIFKSISNFQFPYTIEETAITETALWQCFDGTRKADSLPV TVFKAKRSPENESLILNAVHKSKILKIPGLCTVLETFDSDPQSTFIVTERVVPFPWDN LGSLSQNKFGVELGISQLLATLGFLKNFVLGTLSKDSVFINIKGEWVLFGLELCSSKE GLSAFEFASRARSYYNIIGSQLPCEDPNTIDSMGLGLLIKSLMAPSCLPKDWIVNVNM ISDGKITIENFRKRLENTETWRSNPLINFYQELRELHIKDPQGKLVVMSNLENLYLES REIFRNLTPGMIENFIIPELCEIIKLLMTQSISSAASPIGMNFNASHKLVPFLAIVLD LTSETNTFPVGFNDLITQSFKLPDRQVRFLLLIYLPKLIGPLSKSEISSRIYPHFIQG LTDSDATLRLQTLKTIPCIVSCLTERQLNNELLRFLAKTQVDSDVEIRTWTVIIISKI STILSTSVGNRSNILATAFTKSLKDPQVKPRLAALYGLEKSIELFDVNTIANKILTVI APGLLDKSPIVRGRAKILFEEYLEKLEKEAQLIQTNDSTADSEDVKDIDFENYGCDEE DMNKEDNLLAAQFLNNLRLNSPSATTPSNITESEIDSAQDGSGWDDLSDTDGFITNGT TESFDETTNPVTTASTPKLFGKPIKINKSWNDELNDDGWIQDESGPSKVPQKHTRPQN STLAKSIAPSSRLSIKKKKTTILAPRNIASNSTVTTKSSLSNKTARSKPISSIRGSVT KKGNVDGWDDDGDSDSWDTNW YOR113W MPPPTAQFMGPTQAGQNESQNQSSGEAGEQNQEHGQGPTPILNQ SQPASSQPQHQQQRNESISYYTNFNQPRYSTDASINSFLNISDNVPVTSTGGPSSGGA YSNLPRLSTSSTHQPPDLSQIGRGFSIVNNLFPQQQQLQNQHRQQQQQQQQQSHQQPP FKTPSFSTGLTGSSSQYQFLPRNDNTSQPPSKRNSVYLGPNDGPDFEFFSMQQSQQPQ FQPSSRRESNSMRPPLLIPAATTKSQSNGTNNSGNMNTNADYESFFNTGTNNSNSNQN PYFLSSRNNSLKFNPEDFDFQFKRRNSFVRGTLDHSSQNAFIPESRLNSLSVNNKANG DPVADNVTNNMKGKSNEVDNDDGNDSSNNNNNNNNNNNNENNNDNNNDNNDNSINSAT STNIPNQEDHSLASTDTTSNSRKDLKEIEQRLRKHLNDEDNYSSAISRPLDKNDVIEG SEGLNKHIDESGMQPNIIKKRKKDDSTVYVKNEMPRTDPPMSKDNSTSAEGAAMANFS GKEPPIPDISSVSDDATNLIGATKVDQLMLIIQARKKGFTEKVNTTQDGDLLFNQTMD ILPPKSELVGGVEKPKGTQNTRAVKKHECPYCHRLFSQATHLEVHVRSHIGYKPFVCD YCGKRFTQGGNLRTHERLHTGEKPYSCDICDKKFSRKGNLAAHLVTHQKLKPFVCKLE NCNKTFTQLGNMKAHQNRFHKETLNALTAKLAEMNPSENIPLEERQLLEYFASIYKNS NRGIKGRGKGVGTKKSTISSPENHPASTILNPNTNANNAIANDSENNGNPEGNIDSSS NSNPGSHSMISPTQKDMGTLQSQFIQNNFNNSVNSSNPSNQPIINYNYTTLPHSRLGS SSSSNTNNNNSNFSVGAAPGVLMAPTTNNDFSFNLDQSNDNERSQQEQVRFKNINYKS YOR114W MKATLLLKAQLSPVSYTTKKSFQRQLNRTPYTAFQYFFQLEVQK LHNVSKYEDIINHVRGNSNFKRFARNEWDSMSLTKKRLYYASFCQSMDIDILNVSKIE LAKRLEIPIPAMSEYLLFRNKFKVKFDSHCSSLERKDRKSVPRPSITRKVATTEICSK SRSNTPVGKINPRKRLVALKRISRSENTAKNHSHEAQNYLYDYMKRFQQMCKECRYAW NEEVDYDQKLEIRKKLQVWRAKFEEMMDNEIQILQKNMDIMSKFGLRSESYLTAANHD TNTQPNNILPMTYLLKKK YOR115C MSSTHSNNVGHPQSSPQGPLTEQQRAQQQYQIFENSLPKVSQSV YQMLLNEMVPLAMGIERQISGDVISSDSNVTSENGNINNMIKRLKIEEHHTVDIIRSH NLIHELYKADEEEKEKVLARLRNIGFQIGLKLSELLIFSNNPNLKFKEMDLLLIMKFI CRDVWKQIFGKQIDNLKTNHRGTFYLLDYDYRPIQSFSLEEDAKNEELKMIEPFLEIP VGIIRGVLSSLGYSSEEVICLASFIDRPTDRPKTAFPKGVSFHVQVTMPQ YOR116C MKEVVVSETPKRIKGLEFSALSAADIVAQSEVEVSTRDLFDLEK DRAPKANGALDPKMGVSSSSLECATCHGNLASCHGHFGHLKLALPVFHIGYFKATIQI LQGICKNCSAILLSETDKRQFLHELRRPGVDNLRRMGILKKILDQCKKQRRCLHCGAL NGVVKKAAAGAGSAALKIIHDTFRWVGKKSAPEKDIWVGEWKEVLAHNPELERYVKRC MDDLNPLKTLNLFKQIKSADCELLGIDATVPSGRPETYIWRYLPAPPVCIRPSVMMQD SPASNEDDLTVKLTEIVWTSSLIKAGLDKGISINNMMEHWDYLQLTVAMYINSDSVNP AMLPGSSNGGGKVKPIRGFCQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLSIDEV AVPDRVAKVLTYPEKVTRYNRHKLQELIVNGPNVHPGANYLLKRNEDARRNLRYGDRM KLAKNLQIGDVVERHLEDGDVVLFNRQPSLHRLSILSHYAKIRPWRTFRLNECVCTPY NADFDGDEMNLHVPQTEEARAEAINLMGVKNNLLTPKSGEPIIAATQDFITGSYLISH KDSFYDRATLTQLLSMMSDGIEHFDIPPPAIMKPYYLWTGKQVFSLLIKPNHNSPVVI NLDAKNKVFVPPKSKSLPNEMSQNDGFVIIRGSQILSGVMDKSVLGDGKKHSVFYTIL RDYGPQEAANAMNRMAKLCARFLGNRGFSIGINDVTPADDLKQKKEELVEIAYHKCDE LITLFNKGELETQPGCNEEQTLEAKIGGLLSKVREEVGDVCINELDNWNAPLIMATCG SKGSTLNVSQMVAVVGQQIISGNRVPDGFQDRSLPHFPKNSKTPQSKGFVRNSFFSGL SPPEFLFHAISGREGLVDTAVKTAETGYMSRRLMKSLEDLSCQYDNTVRTSANGIVQF TYGGDGLDPLEMEGNAQPVNFNRSWDHAYNITFNNQDKGLLPYAIMETANEILGPLEE RLVRYDNSGCLVKREDLNKAEYVDQYDAERDFYHSLREYINGKATALANLRKSRGMLG LLEPPAKELQGIDPDETVPDNVKTSVSQLYRISEKSVRKFLEIALFKYRKARLEPGTA IGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINASKVISTPIINAVLV NDNDERAARVVKGRVEKTLLSDVAFYVQDVYKDNLSFIQVRIDLGTIDKLQLELTIED IAVAITRASKLKIQASDVNIIGKDRIAINVFPEGYKAKSISTSAKEPSENDVFYRMQQ LRRALPDVVVKGLPDISRAVINIRDDGKRELLVEGYGLRDVMCTDGVIGSRTTTNHVL EVFSVLGIEAARYSIIREINYTMSNHGMSVDPRHIQLLGDVMTYKGEVLGITRFGLSK MRDSVLQLASFEKTTDHLFDAAFYMKKDAVEGVSECIILGQTMSIGTGSFKVVKGTNI SEKDLVPKRCLFESLSNEAALKAN YOR117W MATLEELDAQTLPGDDELDQEILNLSTQELQTRAKLLDNEIRIF RSELQRLSHENNVMLEKIKDNKEKIKNNRQLPYLVANVVEVMDMNEIEDKENSESTTQ GGNVNLDNTAVGKAAVVKTSSRQTVFLPMVGLVDPDKLKPNDLVGVNKDSYLILDTLP SEFDSRVKAMEVDEKPTETYSDVGGLDKQIEELVEAIVLPMKRADKFKDMGIRAPKGA LMYGPPGTGKTLLARACAAQTNATFLKLAAPQLVQMYIGEGAKLVRDAFALAKEKAPT IIFIDELDAIGTKRFDSEKSGDREVQRTMLELLNQLDGFSSDDRVKVLAATNRVDVLD PALLRSGRLDRKIEFPLPSEDSRAQILQIHSRKMTTDDDINWQELARSTDEFNGAQLK AVTVEAGMIALRNGQSSVKHEDFVEGISEVQARKSKSVSFYA YOR118W MGQSSSISSSNEEGSSHSKKFTNSKDILAYFNNKAQQQVTIPEL VSFKGNLQIEDLNTPISHKALCNSLYFPQNHAMIVGIVTNMLRVLSNFPLMKSSYEPI TGYGLLKCILLLNRARCAKFLKTKSYDQLKLLFISLSLQKTDKEELSEESENDGNKEL TIKQIITGFDDVDTEMLCIPADFMLQFLTWLLILTVDCPTTNSKLDNTETHDQWGNFK VSALNLLRTMNPDVVGDIESHSITFQQFSTAIRTVMPNLLKPLENLMEHFFYLQHDLV DHDTNLSSIQDSKVMTPALLAQLSTGLPKELFIHKLQSLYIGRKSGFSMRSLQAKVFK WMAPSILVVSGMRITNSEEYAAEKNPRYRHFLEEFPKLKESDQMMDASHLNKRKTTFA VYIDDPWKVTNKDYFGDLNTRIIEISPRQDIYKVNQKGTIYFNTIGGGIGIGDKQPLI KPASKRYIPGNVSLTFDSTLEFAVFRNTGYGGSLDPGLLSMERKEENSPYELHFLIQD VEVWGCGGEKELEEQIKQLEWEEAESKRRQQINLRSLGEDRALLEMAGLVGQHQGGGS M YOR119C MSLEDKFDSLSVSQGASDHINNQLLEKYSHKIKTDELSFSRAKT SKDKANRATVENVLDPRTMRFLKSMVTRGVIADLNGCLSTGKEANVYHAFAGTGKAPV IDEETGQYEVLETDGSRAEYAIKIYKTSILVFKDRERYVDGEFRFRNSRSQHNPRKMI KIWAEKEFRNLKRIYQSGVIPAPKPIEVKNNVLVMEFLSRGNGFASPKLKDYPYKNRD EIFHYYHTMVAYMRLLYQVCRLVHADLSEYNTIVHDDKLYMIDVSQSVEPEHPMSLDF LRMDIKNVNLYFEKMGISIFPERVIFQFVISETLEKFKGDYNNISALVAYIASNLPIK STEQDEAEDEIFRSLHLVRSLGGLEERDFDRYTDGKFDLLKSLIAHDNERNFAASEQF EFDNADHECSSGTEEFSDDEEDGSSGSEEDDEEEGEYYDDDEPKVLKGKKHEDKDLKK LRKQEAKDAKREKRKTKVKKHIKKKLVKKTKSKK YOR120W MPATLHDSTKILSLNTGAQIPQIGLGTWQSKENDAYKAVLTALK DGYRHIDTAAIYRNEDQVGQAIKDSGVPREEIFVTTKLWCTQHHEPEVALDQSLKRLG LDYVDLYLMHWPARLDPAYIKNEDILSVPTKKDGSRAVDITNWNFIKTWELMQELPKT GKTKAVGVSNFSINNLKDLLASQGNKLTPAANQVEIHPLLPQDELINFCKSKGIVVEA YSPLGSTDAPLLKEPVILEIAKKNNVQPGHVVISWHVQRGYVVLPKSVNPDRIKTNRK IFTLSTEDFEAINNISKEKGEKRVVHPNWSPFEVFK YOR122C MSWQAYTDNLIGTGKVDKAVIYSRAGDAVWATSGGLSLQPNEIG EIVQGFDNPAGLQSNGLHIQGQKFMLLRADDRSIYGRHDAEGVVCVRTKQTVIIAHYP PTVQAGEATKIVEQLADYLIGVQY YOR123C MSSESPQDQPQKEQISNNVGVTTNSTSNEETSRSQDDNVKEVNG NDDTKEEEQEEDAELDDLFGDDNDDDDDDDVKKSETEKSDSDSDEDDEGENINHRSRH RESLGLDDDEAEEQAMYTRKFYGEDANNFSDQDETTHTFKEENVELVRHIIPSKANVN ETASHNEIFYARIPNFLTIDPIPFDPPSFEAKVNERASNSASREDQLDDRLIDENTVR WRYSRDKDQHVFKESNTQIVQWSDGTYSLKVGEECTDILVNDTSNTFLTVSHDQQELI QCYEGGEIKKTLMFIPTSTNSKIHQKLSKAVIRRNQRQSKGPGTYIVSMDPEVEKKEL ERKQSQILRDRRRRQLKEKEKQESPDAAFETGFRKQNSPTTYGASRRNEYEEDDFLVD DDEEEEAAFDDEEDDNEEEEEEEDADEENASRLRNLKREGAAMYREEEEEEKDRSETK RRRVAVIEDDEDED YOR124C MPNEDNELQKAIENHHNQLLNQDKENADRNGSVIEDLPLYGTSI NQQSTPGDVDDGKHLLYPDIATNLPLKTSDRLLDDILCDTIFLNSTDPKVMQKGLQSR GILKESMLSYSTFRSSIRPNCLGSLTDQVVFQTKSEYDSISCPKYNKIHVFQAVIFNP SLAEQQISTFDDIVKIPIYHLKVSVKVRQELERLKKHVGVTQFHSLDHLHEYDRVDLS TFDSSDPNLLDYGIYVSDDTNKLILIEIFKPEFNSPEEHESFTADAIKKRYNAMCVKN ESLDKSETPSQVDCFYTLFKIFKGPLTRKSKAEPTKTIDSGNLALNTHLNPEWLTSKY GFQASSEIDEETNEIFTEYVPPDMVDYVNDLETRKIRESFVRKCLQLIFWGQLSTSLL APNSPLKNTKSVKGMSSLQTSFSTLPWFHLLGESRARILLNSNEQTHSPLDAEPHFIN LSVSHYYTDRDIIRNYESLSSLDPENIGLYFDALTYIANRKGAYQLIAYCGKQDIIGQ EALENALLMFKINPKECNISELNEATLLSIYKYETSNKSQVTSNHLTNLKNALRLLAK YTKSDKLKFYVDHEPYRALSQAYDTLSIDESVDEDIIKTAYSVKINDSPGLKLDCDRA LYTIAISKRSLDLFNFLTEECPQFSNYYGPEKLDYQEALKLLQVNENASDETILKIFK QKWFDENVYEPDQFLILRAALTKISIERNSTLITNFLLTGTIDPNSLPPENWPTGINN IGNTCYLNSLLQYYFSIAPLRRYVLEYQKTVENFNDHLSNSGHIRRIGGREISRGEVE RSIQFIYQLRNLFYAMVHTRERCVTPSKELAYLAFAPSNVEVEFEVEGNKVVDQTGVL SDSKKETTDDAFTTKIKDTSLIDLEMEDGLNGDVGTDANRKKNESNDAEVSENEDTTG LTSPTRVAKISSDQLENALEMGRQQDVTECIGNVLFQIESGSEPIRYDEDNEQYDLVK QLFYGTTKQSIVPLSATNKVRTKVERFLSLLINIGDHPKDIYDAFDSYFKDEYLTMEE YGDVIRTVAVTTFPTILQVQIQRVYYDRERLMPFKSIEPLPFKEVIYMDRYADTENPL LLAKKKETEEMKQKLKVMKNRQRELLSRDDSGLTRKDAFLESIKLLESDTIKKTPLKI EAANDVIKTLRNNVQNIDNELMKLYNDINSLEEKISHQFDDFKEYGYSLFSVFIHRGE ASYGHYWIYIKDRNRNGIWRKYNDETISEVQEEEVFNFNEGNTATPYFLVYVKQGQEG DIEPLKRILK YOR125C MLSRVSVFKPASRGFSVLSSLKITEHTSAKHTEKPEHAPKCQNL SDAQAAFLDRVIRVDQAGELGADYIYAGQYFVLAHRYPHLKPVLKHIWDQEIHHHNTF NNLQLKRRVRPSLLTPLWKAGAFAMGAGTALISPEAAMACTEAVETVIGGHYNGQLRN LANQFNLERTDGTKGPSEEIKSLTSTIQQFRDDELEHLDTAIKHDSYMAVPYTVITEG IKTICRVAIWSAERI YOR126C MDYEKFLLFGDSITEFAFNTRPIEDGKDQYALGAALVNEYTRKM DILQRGFKGYTSRWALKILPEILKHESNIVMATIFLGANDACSAGPQSVPLPEFIDNI RQMVSLMKSYHIRPIIIGPGLVDREKWEKEKSEEIALGYFRTNENFAIYSDALAKLAN EEKVPFVALNKAFQQEGGDAWQQLLTDGLHFSGKGYKIFHDELLKVIETFYPQYHPKN MQYKLKDWRDVLDDGSNIMS YOR127W MASTAPNEQFPSCVRCKEFITTGHAYELGCDRWHTHCFACYKCE KPLSCESDFLVLGTGALICFDCSDSCKNCGKKIDDLAIILSSSNEAYCSDCFKCCKCG ENIADLRYAKTKRGLFCLSCHEKLLAKRKYYEEKKRRLKKNLPSLPTPVIDNGHTDEV SASAVLPEKTFSRPASLVNEIPSGSEPSKDIETNSSDIVPHFITGYNDSDDNSGSSKF GSNVSIDVIGPEENSTEHVNDDVKEEAEAPSANMSLNVATDPTLSCKEPPSHSRNLLN KTPLRNSSGQYLAKSPSSYRQGIIVNDSLEESDQIDPPNNSSRNASELLTSVLHSPVS VNMKNPKGSNTDIFNTGEISQMDPSLSRKVLNNIVEETNALQRPVVEVVKEDRSVPDL AGVQQEQAEKYSYSNNSGKGRKISRSLSRRSKDLMINLKSRATGKQDSNVKLSPASKV TSRRSQDLMRDNDSHTGLDTPNSNSTSLDILVNNQKSLNYKRFTDNGTLRVTSGKETA LEEQKNHSFKSPSPIDHLLQSPATPSNVSMYRTPPLDSSLTFDRRNGSSYSNQNYSIP SWQKTPKTQLENSDNFEEQKETLYENSESRNDPSLDKEIVTAEHYLKQLKINLKELES QREELMKEITEMKSMKEALRRHIESYNSEKNKLYLDSNELSNNPPMINEISLGESPPV KHVATASSVARSSVKPKFWKFFSSAKPQTEQSIQGVSTNNTNSIVKSAPVLLSAPSSG SNSGRLEISPPVLQNPNEFSDVRLVPIENDANMGQSKDGEEYLDGSNLYGSSLVARCN YENNEIPMILSVCIDFIESDEENMRSEGIYRKSGSQLVIEEIEKQFSAWKVQQNTETP NILTEQDLNVVTGVLKRYLRKLPNPIFTFQIYEPLMRLVKSKKMMENLPFVGGKLSLE AKNSDTYMSSKSALKNILEDLPREHYRVLRVLSEHIEKVTRYSHWNRMTLYNLALVFA PGLIRDFSGEKDIIDMKERNYIVAFIFGNYKDILT YOR128C MDSRTVGILGGGQLGRMIVEAANRLNIKTVILDAENSPAKQISN SNDHVNGSFSNPLDIEKLAEKCDVLTIEIEHVDVPTLKNLQVKHPKLKIYPSPETIRL IQDKYIQKEHLIKNGIAVTQSVPVEQASETSLLNVGRDLGFPFVLKSRTLAYDGRGNF VVKNKEMIPEALEVLKDRPLYAEKWAPFTKELAVMIVRSVNGLVFSYPIVETIHKDNI CDLCYAPARVPDSVQLKAKLLAENAIKSFPGCGIFGVEMFYLETGELLINEIAPRPHN SGHYTIDACVTSQFEAHLRSILDLPMPKNFTSFSTITTNAIMLNVLGDKHTKDKELET CERALATPGSSVYLYGKESRPNRKVGHINIIASSMAECEQRLNYITGRTDIPIKISVA QKLDLEAMVKPLVGIIMGSDSDLPVMSAACAVLKDFGVPFEVTIVSAHRTPHRMSAYA ISASKRGIKTIIAGAGGAAHLPGMVAAMTPLPVIGVPVKGSCLDGVDSLHSIVQMPRG VPVATVAINNSTNAALLAVRLLGAYDSSYTTKMEQFLLKQEEEVLVKAQKLETVGYEA YLENK YOR129C MLRRELNNSISNRSIENESFPFERPNVSYIISAEFDNKLGPILK HQYPKDIPGFNQFSHEQRNGNTSVSMNLASLMIPSSIERNPGKQDITVFTLYYNKFTQ NYQLFPVPKDPRFSFNLHHREQSDGSVTNSIYYDAENHQDAKNNRYTIVLEDDELECQ EVQNNQKAIDNEPLFFINVANTVLDTTNDRGAVIKSIAIGTPLKTFFAFKNIIVLVLD LYMKAPTQAAATDILLDCFNMLNSIDLTLINDIHSKSSIQEVLHSIHDESIITKVFLD PDSTLKKLFCINGFDTKDKYGNIVTFHDQLIQYHFTRFQPKTLPPFLLKIPLQFNMIR REPIYIENDYNELVLKFLDKFVPYLLKAGQKVNAWKLVINSTKLSKEDLCAFILSLAN ITATYASDPQSYFKGNAALIFPYMDISLVDGLRAYVASNSDFVGCFAIIGTANPIFRY QLDIWDYYYDVDEGVFYENNSPEKEKPDTVAEVKIGPNPLRKIFNRPHFSTNAVNESQ VNLGQKLFSLLIDEYHDSDTIMSVLRRLNVLQLENLLDALKRREIPPNIALKDEYIMF YKDFFIFPEFFDYFTLHSIELLSNLDNCLFSLGNTCQLFSTEQIYSQLSQILDIVKEL FRMVSVSRTNIEKFLNACLNYSPFKILPTAQLHGDNISRWSFESEVRQGFDNFNSYMG IEKDPHGVIVSAIDLFTQIYSFDILAFFLTFITKESGQDLPFTKSLSRRRTYLTRIAQ SSSLRQFLQLSTRPNIRILGGNGQGTGNSNYPEFTNASSVISPKLRASPLLERRASKI CYAITKLLYRLECHPIGMALLKKYLHNQLREAYLESKRHFISKKGDSTNTSSTIASSS FAGASVPLSSNESGMLNGLKQINEQQESTLETTQKED YOR130C MEDSKKKGLIEGAILDIINGSIAGACGKVIEFPFDTVKVRLQTQ ASNVFPTTWSCIKFTYQNEGIARGFFQGIASPLVGACLENATLFVSYNQCSKFLEKHT NVSPLGQILISGGVAGSCASLVLTPVELVKCKLQVANLQVASAKTKHTKVLPTIKAII TERGLAGLWQGQSGTFIRESFGGVAWFATYEIVKKSLKDRHSLDDPKRDESKIWELLI SGGSAGLAFNASIFPADTVKSVMQTEHISLTNAVKKIFGKFGLKGFYRGLGITLFRAV PANAAVFYIFETLSAL YOR131C MTKLQGLQGLKHIKAVVFDMDGTLCLPQPWMFPAMRNAIGLEDK SIDILHFIDTLPTEKEKKEAHDRIELVEAKAMKEMQPQPGLVDIMRYLTKNGISKNIC TRNVGAPVETFVKRFIPSELSRFDYIVTREFRPTKPQPDPLLHIASKLNIRPLEMIMV GDSFDDMKSGRSAGCFTVLLKNHVNGHLLLEHKELVDVSVEDLSEIIELIQNMNKESF YOR132W MTSAVPYDPYDDLDNNPFAEPQEEDSEPAATTTDGSSSMSEERV GTEQTAASVQDNGTANNIQNGLGEEGNATRSKTSNEHNENQQPSQPSERVILPERSDE KKKYTLLAKVTGLERFGSATGKKENPTIIFDCSTNLPTFRKQQYKNVKKSYEEFHQLF KYLNVAIQESFVPTLPSAYTTFGINSEEDRMKVTRNFQLWFNRLSQDPLIIRNEEVAF FIESDFNTYTPINKSKSLASGLKRKTLKQLAPPYDEITELAEFRPLVKSIYVVSQSLQ EKLLRVSRNRKMMVQEENAFGQDFVNLDEHNKLYRRYGKILTAVGDIDSIIATMDMAT LYDGLEWIVRDAYAVKEALTNRHFIMRNLVQAQQNSKAKQEQARRFRSRRDINPMKID EALRQLKAAAKNEQVLTLKLQRITSNMIIERKQWISWYEEWIRSSIKEFTLRKIEYER KKLTLLERVRSDIRKADENGGLSRLGRHAVSNNNSDTSQTLKGDSWTGESNRKSQIPI NKIAHTEFDDELFTEDDGYNSQDSDTTSLNARHAASLLGMSTK YOR133W MVAFTVDQMRSLMDKVTNVRNMSVIAHVDHGKSTLTDSLVQRAG IISAAKAGEARFTDTRKDEQERGITIKSTAISLYSEMSDEDVKEIKQKTDGNSFLINL IDSPGHVDFSSEVTAALRVTDGALVVVDTIEGVCVQTETVLRQALGERIKPVVVINKV DRALLELQVSKEDLYQTFARTVESVNVIVSTYADEVLGDVQVYPARGTVAFGSGLHGW AFTIRQFATRYAKKFGVDKAKMMDRLWGDSFFNPKTKKWTNKDTDAEGKPLERAFNMF ILDPIFRLFTAIMNFKKDEIPVLLEKLEIVLKGDEKDLEGKALLKVVMRKFLPAADAL LEMIVLHLPSPVTAQAYRAEQLYEGPADDANCIAIKNCDPKADLMLYVSKMVPTSDKG RFYAFGRVFAGTVKSGQKVRIQGPNYVPGKKDDLFIKAIQRVVLMMGRFVEPIDDCPA GNIIGLVGIDQFLLKTGTLTTSETAHNMKVMKFSVSPVVQVAVEVKNANDLPKLVEGL KRLSKSDPCVLTYMSESGEHIVAGTGELHLEICLQDLEHDHAGVPLKISPPVVAYRET VESESSQTALSKSPNKHNRIYLKAEPIDEEVSLAIENGIINPRDDFKARARIMADDYG WDVTDARKIWCFGPDGNGPNLVIDQTKAVQYLHEIKDSVVAAFQWATKEGPIFGEEMR SVRVNILDVTLHADAIHRGGGQIIPTMRRATYAGFLLADPKIQEPVFLVEIQCPEQAV GGIYSVLNKKRGQVVSEEQRPGTPLFTVKAYLPVNESFGFTGELRQATGGQAFPQMVF DHWSTLGSDPLDPTSKAGEIVLAARKRHGMKEEVPGWQEYYDKL YOR134W MFNMNLLSTPSSEEGSPQNRSSSMSSVEGKKDRDTFTNLQNEFD GKVFGVSLEESLKVAQEEVIIQKSTNEIGSIPVVIAKSGKYLKENALDTTGIFRIAGS NKRVRELQAVFSKPPDYGRKFEGWCDFNVHDIATLLKRYLNSLSEPLVPLALYDIFRN PILENPKINEHKEQIIKDYEDIYMLLPQQNRHLILYLAALLNLFARNEKKNLMSASNL AAIVQPSLLSHPKDEMCPKEYEASRTVIEFLILHASDIIPNTEKANKDTMPHAGTVAK FNNITVPEMAIDSDEEDFVHPSIDDHMLPRSRALSDSNNFTIHHHHHHHHALFPSPID FDNNGLSVPRSFKGRTLSAESLSPRLSKLLGNVGNSSNTGIKDPTERVPRGEHKTKHK QRQSWLRRLTSPSRTQP YOR136W MLRNTFFRNTSRRFLATVKQPSIGRYTGKPNPSTGKYTVSFIEG DGIGPEISKSVKKIFSAANVPIEWESCDVSPIFVNGLTTIPDPAVQSITKNLVALKGP LATPIGKGHRSLNLTLRKTFGLFANVRPAKSIEGFKTTYENVDLVLIRENTEGEYSGI EHIVCPGVVQSIKLITRDASERVIRYAFEYARAIGRPRVIVVHKSTIQRLADGLFVNV AKELSKEYPDLTLETELIDNSVLKVVTNPSAYTDAVSVCPNLYGDILSDLNSGLSAGS LGLTPSANIGHKISIFEAVHGSAPDIAGQDKANPTALLLSSVMMLNHMGLTNHADQIQ NAVLSTIASGPENRTGDLAGTATTSSFTEAVIKRL YOR137C MRLHYRRRFNFLRRILFILCITSLYLSRDSLKLHAKNVLMDHNV AEYHGGMIDDIQILRCYHWYRQCSSLYAPKLHPSNTAKKIKDKNSILWTRVSKNITVE TLYSLQSGPFYNSYLYVHLKDFQSNPKNTIKELAIARDSALIPLQVLRDINKLVKSSD SSVFHNHVYLREKPTSSWWKLLFGISVDTDNIAVFGEEWVYKGSGIWCKYILNDDDND APITNLEIYLGSSFIESRPSWKEVIHEFHRNNIPSLPISITRKLETKNHHHKFSNGLL GSLRTPSKDINIQVDADYKITSPHIQFSRGQRSFKILQITDFHFKCTDNSMTVINEIK TVNFIDRVLASENPDLVVITGDLLDSHNTIDYQTCIMKVVQPMISNKIPYAISLGVSD ESNLATSAQIRDFIRNLPYTFNNVASEEGHMAIEVSFKKKLTKNTLLERDIDTEDETN PSEALFFVFDSFAPVNNFLQDYNDLIGKIDFGLAFQYFPLSEYRPHGLFPIIGQYNER STLTVDTPRSRGQVSMTINGKHYKSFLDILSLWNIKGVSCGHEHNNDCCLQSKNEMWL CYGGSAGIGLPRIQGIYPTVRLFNLDDILDEITSWKRNSNLVDEVYDYQYIYKGKQ YOR138C MMDNQAVKSLLEMGIPHEVAVDALQRTGGNLEAAVNFIFSNELP EQAEMGEENDGSQPRISENKIVAGTKPCDVPNNGDQDIDMPDVSGVDVDYDDDEDITD ERSGSNSTSGCRVTAQNYDRYSISETSIPPPSYSIVQHNEFKSNVGDPTVVLPLPLNS LIESYFGLFALLTAVYFPHVFLKPDFKDLNYRADWFKGSSFTEPKYRLAYCEAEDGST TSEIVLASGPNEGLQPHLLWQLQKLISVVNTRKCERAFVSAKVFTSSLEPQLRSKLAD SEHLYEVLPAFIKSLAVDLEMCPGIRDRETRSLFISSALHTPNKNEPPMETFLSLFHF LPEEYDSNLYKMFNVLLYPEEEEEEEDVIRGGEQEEARYVEPENTLKEVAPVLTILFN ELETNTESVSLPNGVDIPLEFYPQLYTKQCKDQLIRHIISKRKQARTRSRCLLQEINE LKSYQGKNISTILESTLAYLQTIPDDANNEAAKQIASLKDTLNSARAAKMEEYKDLAS KLHGEWNLSHPETHIINTAKQLGLIENPYILTMAALSPYSYFIRSRNGAWSWIQSNTL GTEFKVKKCSSPSVVQEAIKHGTKYASETPLMFIYCEEGKIPTEEVVAEALKSNSGCL KFAEDDQNSLKTLRSQFFDGMGDPEQATNNINNGNDNDNDDDIDSDN YOR140W MSEEETVSAPAPASTPAPAGTDVGSGGAAAGIANAGAEGGDGAE DVKKHGSKMLVGPRPPQNAIFIHKLYQILEDESLHDLIWWTPSGLSFMIKPVERFSKA LATYFKHTNITSFVRQLNIYGFHKVSHDHSSNDANSGDDANTNDDSNTHDDNSGNKNS SGDENTGGGVQEKEKSNPTKIWEFKHSSGIFKKGDIEGLKHIKRRASSRNNSSINSRK NSSNQNYDIDSGARVRPSSIQDPSTSSNSFGNFVPQIPGANNSIPEYFNNSHVTYENA NHAPLESNNPEMQEQNRPPNFQDETLKHLKEINFDMVKIIESMQHFISLQHSFCSQSF TFKNVSKKKSENIVKDHQKQLQAFESDMLTFKQHVMSRAHRTIDSLCAVNAAATAASV APAPAPTSTSAYAPKSQYEMMVPPGNQYVPQKSSSTTNIPSRFNTASVPPSQLFVQYQ PQSQQHVTYAKQPAHVPNFINQPIPIQQLPPQYADTFSTPQMMHNPFASKNNNKPGNT KRTNSVLMDPLTPAASVGVQGPLNYPIMNINPSVRDYNKPVPQNMAPSPIYPINEPTT RLYSQPKMRSLGSTSSLPNDRRNSPLKLTPRSSLNEDSLYPKPRNSLKSSISGTSLSS SFTLVANNPAPIRYSQQGLLRSLNKAANCAPDSVTPLDSSVLTGPPPKNMDNLPAVSS NLINSPMNVEHSSSLSQAEPAPQIELPQPSLPTTSTTKNTGEADNSKRKGSGVYSLLN QEDSSTSSADPKTEDKAAPALKKVKM YOR141C MSQEEAESSIIYEEPIDIPLEDDDDEDELEEENSVPLSSQADQE NAENESDDSVDNVVGSETPRSVTGLSVDPRDVADEEDEDEEGEDEDEDEDDNDVDNED ENDNDNANENENELGSSRDKRAPPAVQTSKRYKKYPKLDPAKAPPGKKVPLHLLEKRR LGRIKAAEEFAKTLKKIGIEKVETTTLPATGLFQPLMLINQKNYSSDYLKKDDQIFAL RDRKFLRNNNTSQISSTNTPDVIDLKSLPHSEASAAPLNDEIDLNDPTATIVIHPGSN SIKIGFPKDDHPVVVPNCVAVPKKWLDLENSEHVENVCLQREQSEEFNNIKSEMEKNF RERMRYYKRKVPGNAHEQVVSFNENSKPEIISEKNDPSPIEWIFDDSKLYYGSDALRC VDEKFVIRKPFRGGSFNVKSPYYKSLAELISDVTKLLEHALNSETLNVKPTKFNQYKV VLVIPDIFKKSHVETFIRVLLTELQFQAVAIIQESLATCYGAGISTSTCVVNIGAAET RIACVDEGTVLEHSAITLDYGGDDITRLFALFLLQSDFPLQDWKIDSKHGWLLAERLK KNFTTFQDADVAVQLYNFMNRSPNQPTEKYEFKLFDEVMLAPLALFFPQIFKLIRTSS HKNSSLEFQLPESRDLFTNELNDWNSLSQFESKEGNLYCDLNDDLKILNRILDAHNII DQLQDKPENYGNTLKENFAPLEKAIVQSIANASITADVTRMNSFYSNILIVGGSSKIP ALDFILTDRINIWRPSLLSSASFPQFYKKLTKEIKDLEGHYVNAPDKTEDENKQILQA QIKEKIVEELEEQHQNIEHQNGNEHIFPVSIIPPPRDMNPALIIWKGASVLAQIKLVE ELFITNSDWDVHGSRILQYKCIFTY YOR142W MLRSTVSKASLKICRHFHRESIPYDKTIKNLLLPKDTKVIFQGF TGKQGTFHASISQEYGTNVVGGTNPKKAGQTHLGQPVFASVKDAIKETGATASAIFVP PPIAAAAIKESIEAEIPLAVCITEGIPQHDMLYIAEMLQTQDKTRLVGPNCPGIINPA TKVRIGIQPPKIFQAGKIGIISRSGTLTYEAVQQTTKTDLGQSLVIGMGGDAFPGTDF IDALKLFLEDETTEGIIMLGEIGGKAEIEAAQFLKEYNFSRSKPMPVASFIAGTVAGQ MKGVRMGHSGAIVEGSGTDAESKKQALRDVGVAVVESPGYLGQALLDQFAKFK YOR142W-B MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRPITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NSNKRIIAKLKMQYDTKIINLGESDDEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPNGRKLGAPGQPGLYINQQELELEEDDYKMKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSKQVLDMTYELIQFIWNTRDKQLIWHKSKPVKPTNKLVVISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELDKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YOR142W-A MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRPITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YOR143C MSEECIENPERIKIGTDLINIRNKMNLKELIHPNEDENSTLLIL NQKIDIPRPLFYKIWKLHDLKVCADGAANRLYDYLDDDETLRIKYLPNYIIGDLDSLS EKVYKYYRKNKVTIIKQTTQYSTDFTKCVNLISLHFNSPEFRSLISNKDNLQSNHGIE LEKGIHTLYNTMTESLVFSKVTPISLLALGGIGGRFDQTVHSITQLYTLSENASYFKL CYMTPTDLIFLIKKNGTLIEYDPQFRNTCIGNCGLLPIGEATLVKETRGLKWDVKNWP TSVVTGRVSSSNRFVGDNCCFIDTKDDIILNVEIFVDKLIDFL YOR144C MKRHVSLSDILTGNKRKVRRQDALQITIDDENDTESGTFDARTA KHDDSSVIFLNHSVVKPIEAVSTNHKSAKEFLMTKRTKEKCDDDDDDLIVISDKSPKS ETNCSKIALSQEHEDDISIISTSRIKSSLLNERASKIKNFLKHETTDTFKRLNSISKL NEIEPPLPLHQSIFPVGDKELSDRSVDIPLPFRTIPPLNHNFLPSDYESLKDKNSASC IPVRYQAPVLLGTNIKRNTTLTWPQLFKPVTLKQVLIEPKLKLRIKNWIETSFHTLEK PTLRNRLLNRINPNKQQGSGDELANFIVPDLEEDENLRPDFYRNGEANSSLSEFVPLM ILHGNSIGKKTLIQTIMREIAGDDNSYQIYEVNSNMNRSKKDLLDILLDFTTTHYVKD SSKRKSDYGLVLFNDVDVLFKEHDRGYWAMISKLCEFSRRPLVLTCKDLSLVPSELIA LASEQNSLFHTKKISTSTVYAFLTKYLKSLEIEVCDDWLRDVVKQNNADIRKCLMHLQ FWCVDTEADLISSKNRLPVLTSTLGSSVKDISQLTDLLSINDVIGQATLNRSMVRQEI DSTTMTPEKVNTFQDQNLDDEMKLKFDYVIDYKLHLNDPNRQPLLPFELNIYQHIQEQ LEARYSYVREANHRLDNEYLVNRFKKMTESTLNFLASRIPKYDHLQSARRTRNSKKIS DILNQFKGIYNDETLNENAEIDLLSATTQQIKAEINPFVFEIAKSDANVKNENKQIFE LHSENVSERRYKDLVYQLSQEGVLKNVWFNADPSIVVRKWEHLHSGFSKNK YOR145C MVAPTALKKATVTPVSGQDGGSSRIIGINNTESIDEDDDDDVLL DDSDNNTAKEEVEGEEGSRKTHESKTVVVDDQGKPRFTSASKTQGNKIKFESRKIMVP PHRMTPLRNSWTKIYPPLVEHLKLQVRMNLKTKSVELRTNPKFTTDPGALQKGADFIK AFTLGFDLDDSIALLRLDDLYIETFEVKDVKTLTGDHLSRAIGRIAGKDGKTKFAIEN ATRTRIVLADSKIHILGGFTHIRMARESVVSLILGSPPGKVYGNLRTVASRLKERY YOR147W MLITRLRVPTIKRPLLPITSHLVRHCIRTYVATNHGNVRPFITP YKSSLPVRCLIAQRHIRTFPSNDKFTTKASNIETILLRKNNEREFKQSLLADAKNFQE RFKINLKWILIKNNRPFSLNEISIIASWLILSQILWLILSTTTFISFYLFVINSVFSQ EYIHEKKIYERLLKWLLKDHKCSNQDLEITFSPEDKASMLVLSPDWESNSILIKRLNV RDEILDLDLKFHHINLNVSLKNWLLGRGLITNVSIYGIRGCLNLSNFINLVNSFQGDQ KTENFLKTLNNVEITDSEILLKQSLSAQETPSLKFSIYNLSLPRLRLNHFISDILSAK TFSGSINNSLFNLFKRQQKLTAVIENNNKNRMASSKFDFTDNNQENYRTVTHQDDPNY VTTLRLNFININDLKFNGDGKFNWLKDGQVEILADIMLTNSTSHLSSESKYAVVDLKV TCRDLKTTFPQEPPVLSTGDSIVSLDELKPIITFINSYEGMANPILKDFSENERLTNS IIWNSPNVSINRQRKSYPLTTKVTSNSTKEIIKFHNQPNTNANEIVLRCKMVKNLSDL QLININQILDQITMELYVDLTKIVEDWEFKNKNDWMKQWGTTFASQLLLFGFGAMV YOR148C MSKFSLKLGSKTLKKNISKKTKKKNSLQKANLFDWDDAETASLS HKPQSKIKIQSIDKFDLDEESSSKKKLVIKLSENADTKKNDAPLVEYVTEKEYNEVPV EEFGDALLRGMGWESDSEQDSKGDKTQSRNKDVSNVSQIHPDGLGIGAKLNKAINVEE ASFMPVVKIDKITGTKVDDDKKNKS YOR149C MMRYQWWLYLVYAIGLMLCLGPSYIHPDEHFQCIEILAMQFMKV KGTIPWEFKSKFAARSYGPLLLVYGPLFTILESFPEIQDNPALILYSMRLQNYVMYLL CYHFLIPKLIRDERKAVQFIKKSLLLTSYVTWTYQTHTFSNSIETLALISTLTVMEDM VNEKNIQRSNFKNSVILGLIFSFGVFNRVTFPAFIFLPCLILFWKFYRVHWKSFSLLL LSFSFSSCLFVLIDTNIYNNGKGFVITPLNNLKYNLNVQNLQVHGLHPRYTHLLVNLP QIVGPVLLLAIFSGYKLDKLSTYAIISGLLFLSFFQHQELRFLVPLVPLLVTNLNWTP LSSTLVNKKIFKGTWLLFNIIMAFIMGISHQAGIIQFLGDYFHFRTEQMGVHIWWKTY SPPTWMYMSNNLTVSSLINTQDGIESIDEVAFSVGNHHVIDLKGCDLPLLTETIRRLR LNGSITPLTLVTPNSMTSELKKLKRDGTINLIPKRNYLFHLDLDHLDFNDFTTFKPGL TVYSIELL YOR150W MSQKIGHSGLAFARLWHHVDVARDKRTLGRLASAIAITLIGRHK PVYHPSQDCGDYVVVTNCQKIRVTGKKFEQKTYWSHSGRPGQLKLQTMNKVVADKGFG EILKKAVSGMLPKNKLRKQRLDRLKVFDGSENPYKQNITAFAHEQSSIPEPLKESIFN QLK YOR151C MSDLANSEKYYDEDPYGFEDESAPITAEDSWAVISAFFREKGLV SQQLDSFNQFVDYTLQDIICEDSTLILEQLAQHTTESDNISRKYEISFGKIYVTKPMV NESDGVTHALYPQEARLRNLTYSSGLFVDVKKRTYEAIDVPGRELKYELIAEESEDDS ESGKVFIGRLPIMLRSKNCYLSEATESDLYKLKECPFDMGGYFIINGSEKVLIAQERS AGNIVQVFKKAAPSPISHVAEIRSALEKGSRFISTLQVKLYGREGSSARTIKATLPYI KQDIPIVIIFRALGIIPDGEILEHICYDVNDWQMLEMLKPCVEDGFVIQDRETALDFI GRRGTALGIKKEKRIQYAKDILQKEFLPHITQLEGFESRKAFFLGYMINRLLLCALDR KDQDDRDHFGKKRLDLAGPLLAQLFKTLFKKLTKDIFRYMQRTVEEAHDFNMKLAINA KTITSGLKYALATGNWGEQKKAMSSRAGVSQVLNRYTYSSTLSHLRRTNTPIGRDGKL AKPRQLHNTHWGLVCPAETPEGQACGLVKNLSLMSCISVGTDPMPIITFLSEWGMEPL EDYVPHQSPDATRVFVNGVWHGVHRNPARLMETLRTLRRKGDINPEVSMIRDIREKEL KIFTDAGRVYRPLFIVEDDESLGHKELKVRKGHIAKLMATEYQDIEGGFEDVEEYTWS SLLNEGLVEYIDAEEEESILIAMQPEDLEPAEANEENDLDVDPAKRIRVSHHATTFTH CEIHPSMILGVAASIIPFPDHNQSPRNTYQSAMGKQAMGVFLTNYNVRMDTMANILYY PQKPLGTTRAMEYLKFRELPAGQNAIVAIACYSGYNQEDSMIMNQSSIDRGLFRSLFF RSYMDQEKKYGMSITETFEKPQRTNTLRMKHGTYDKLDDDGLIAPGVRVSGEDVIIGK TTPISPDEEELGQRTAYHSKRDASTPLRSTENGIVDQVLVTTNQDGLKFVKVRVRTTK IPQIGDKFASRHGQKGTIGITYRREDMPFTAEGIVPDLIINPHAIPSRMTVAHLIECL LSKVAALSGNEGDASPFTDITVEGISKLLREHGYQSRGFEVMYNGHTGKKLMAQIFFG PTYYQRLRHMVDDKIHARARGPMQVLTRQPVEGRSRDGGLRFGEMERDCMIAHGAASF LKERLMEASDAFRVHICGICGLMTVIAKLNHNQFECKGCDNKIDIYQIHIPYAAKLLF QELMAMNITPRLYTDRSRDF YOR152C MFNLILWPLFLLTSVAIPLQLTLEVVYLTSSVDFSKASAAKTAT SLGQSPVVITIYKSLLKYWSLYEFIHFIYLYTPIDAFLNFLPFTSLLMSFGSICLTRE LVYDFIAFMESQNKLTGFLNKITEPNFNSYLLFSSIYNIWFADDTNDKFLFGKLTQIL ISVTKRYEFPRTFYLAKVSDFLQNLILTRLRPFVTEQPQGDKNRYQNGDRESTKNGAA YQKSSQQSSSFEQNFTSTEFPNDYDFMEDILDETTELD YOR153W MPEAKLNNNVNDVTSYSSASSSTENAADLHNYNGFDEHTEARIQ KLARTLTAQSMQNSTQSAPNKSDAQSIFSSGVEGVNPIFSDPEAPGYDPKLDPNSENF SSAAWVKNMAHLSAADPDFYKPYSLGCAWKNLSASGASADVAYQSTVVNIPYKILKSG LRKFQRSKETNTFQILKPMDGCLNPGELLVVLGRPGSGCTTLLKSISSNTHGFDLGAD TKISYSGYSGDDIKKHFRGEVVYNAEADVHLPHLTVFETLVTVARLKTPQNRIKGVDR ESYANHLAEVAMATYGLSHTRNTKVGNDIVRGVSGGERKRVSIAEVSICGSKFQCWDN ATRGLDSATALEFIRALKTQADISNTSATVAIYQCSQDAYDLFNKVCVLDDGYQIYYG PADKAKKYFEDMGYVCPSRQTTADFLTSVTSPSERTLNKDMLKKGIHIPQTPKEMNDY WVKSPNYKELMKEVDQRLLNDDEASREAIKEAHIAKQSKRARPSSPYTVSYMMQVKYL LIRNMWRLRNNIGFTLFMILGNCSMALILGSMFFKIMKKGDTSTFYFRGSAMFFAILF NAFSSLLEIFSLYEARPITEKHRTYSLYHPSADAFASVLSEIPSKLIIAVCFNIIFYF LVDFRRNGGVFFFYLLINIVAVFSMSHLFRCVGSLTKTLSEAMVPASMLLLALSMYTG FAIPKKKILRWSKWIWYINPLAYLFESLLINEFHGIKFPCAEYVPRGPAYANISSTES VCTVVGAVPGQDYVLGDDFIRGTYQYYHKDKWRGFGIGMAYVVFFFFVYLFLCEYNEG AKQKGEILVFPRSIVKRMKKRGVLTEKNANDPENVGERSDLSSDRKMLQESSEEESDT YGEIGLSKSEAIFHWRNLCYEVQIKAETRRILNNVDGWVKPGTLTALMGASGAGKTTL LDCLAERVTMGVITGDILVNGIPRDKSFPRSIGYCQQQDLHLKTATVRESLRFSAYLR QPAEVSIEEKNRYVEEVIKILEMEKYADAVVGVAGEGLNVEQRKRLTIGVELTAKPKL LVFLDEPTSGLDSQTAWSICQLMKKLANHGQAILCTIHQPSAILMQEFDRLLFMQRGG KTVYFGDLGEGCKTMIDYFESHGAHKCPADANPAEWMLEVVGAAPGSHANQDYYEVWR NSEEYRAVQSELDWMERELPKKGSITAAEDKHEFSQSIIYQTKLVSIRLFQQYWRSPD YLWSKFILTIFNQLFIGFTFFKAGTSLQGLQNQMLAVFMFTVIFNPILQQYLPSFVQQ RDLYEARERPSRTFSWISFIFAQIFVEVPWNILAGTIAYFIYYYPIGFYSNASAAGQL HERGALFWLFSCAFYVYVGSMGLLVISFNQVAESAANLASLLFTMSLSFCGVMTTPSA MPRFWIFMYRVSPLTYFIQALLAVGVANVDVKCADYELLEFTPPSGMTCGQYMEPYLQ LAKTGYLTDENATDTCSFCQISTTNDYLANVNSFYSERWRNYGIFICYIAFNYIAGVF FYWLARVPKKNGKLSKK YOR154W MANRLLIYGLILWVSIIGSFALDRNKTAQNAKIGLHDTTVITTG STTNVQKEHSSPLSTGSLRTHDFRQASKVDIRQADIRENGERKEQDALTQPATPRNPG DSSNSFLSFDEWKKVKSKEHSSGPERHLSRVREPVDPSCYKEKECIGEELEIDLGFLT NKNEWSEREENQKGFNEEKDIEKVYKKKFNYASLDCAATIVKSNPEAIGATSTLIESK DKYLLNPCSAPQQFIVIELCEDILVEEIEIANYEFFSSTFKRFRVSVSDRIPMVKNEW TILGEFEARNSRELQKFQIHNPQIWASYLKIEILSHYEDEFYCPISLIKVYGKSMMDE FKIDQLKAQEDKEQSIGTNNINNLNEQNIQDRCNNIETRLETPNTSNLSDLAGALSCT SKLIPLKFDEFFKVLNASFCPSKQMISSSSSSAVPVIPEESIFKNIMKRLSQLETNSS LTVSYIEEQSKLLSKSFEQLEMAHEAKFSHLVTIFNETMMSNLDLLNNFANQLKDQSL RILEEQKLENDKFTNRHLLHLERLEKEVSFQRRIVYASFFAFVGLISYLLITRELYFE DFEESKNGAIEKADIVQQAIR YOR155C MSSRYRVEYHLKSHRKDEFIDWVKGLLASPFVLHAVSHEGDYND DLATTQRVRSQYADIFKDIEGLIKDKIEFDSRNMSQDEIEDGASSQSLNILGQSRLNL LVPSIGTFFTELPLEQAFLWEDSQRAISARRMVAPSFNDIRHILNTAQIFHFKKQENL HNGKVLRLVTFDGDVTLYEDGGSLVYTNPVIPYILKLLRCGINVGIVTAAGYDEAGTY ENRLKGLIVALHDSTDIPVSQKQNLTIMGGESSYLFRYYEDPEEDNFGFRQIDKEEWL LPRMKAWSLEDVEKTLDFAERTLNRLRKRLNLPSEISIIRKVRAVGIVPGERYDEASK RQVPVKLDREQLEEIVLTLQNTLESFAPSRRIQFSCFDGGSDVWCDIGGKDLGVRSLQ QFYNPESPIQPSETLHVGDQFAPVGSANDFKARLAGCTLWIASPQETVNYLHRLLETD YOR156C MASVMSNNNNNNNNNNASYMFTNPLSNTGGGLINEIKDAINEME QLKVLELKQICKSLDLSITGKKAVLQDRIKQFLRKSCDIGHIDPWRPKAIKILIAKVR INSSLPKYSTLWETLKTGAFKHPVASGQLPVTALQSTALPPYSQQQALAYSFTSPFYK PIVQIPDANKKLKQSAGRGCTKMKFKVSKSNHDLLKSNKSYKLYLFSGFSIPFIYETV GHEAIDFPYPCELVFNGTKLEDNVKGLKKQNGTGNPANLTPYLKVPTEMNHLDLHYLN IDKEYSISCFIVEVFSPEALLGKILKRPKIIKQATTAYIKRTLNEQDDDDIITTSTVL SLQCPISCTRMKYPAKTDQCKHIQCFDALWFLHSQSQVPTWQCPICQHPIKFDQLKIS EFVDNIIQNCNEDVEQVEISVDGSWKPIHNSSAVITDTVNQNHSVKNENQGTVKQEQD YDSRNAFDTNLRNGSNHNEPEIISLDSSDDEAFIPASKSFPTHVNPRNDQLRADIFPS ESEGSSDYNPNHTSTPKGSPTMDQDNYQDAFQMRSFLNQGATTNINDTPTNNSSINSF VTATNGDSRIFYNRGPSTPLLPAVLQNLTNQTEAQRNPYGPNYNTTAQDRNLLGIEGD LPPIPPVDPNSEAETELPTRTTSAAHLPPYIHVSTSGHGDDGKIRKRRHSNVSIYIPK NPYATLMKRRPQANHAIMNKTLAQTNDFNTSAQDNSEVVDLTSD YOR157C MAGLSFDNYQRNNFLAENSHTQPKATSTGTTIVGVKFNNGVVIA ADTRSTQGPIVADKNCAKLHRISPKIWCAGAGTAADTEAVTQLIGSNIELHSLYTSRE PRVVSALQMLKQHLFKYQGHIGAYLIVAGVDPTGSHLFSIHAHGSTDVGYYLSLGSGS LAAMAVLESHWKQDLTKEEAIKLASDAIQAGIWNDLGSGSNVDVCVMEIGKDAEYLRN YLTPNVREEKQKSYKFPRGTTAVLKESIVNICDIQEEQVDITA YOR158W MGKGAAKYGFKSGVFPTTRSILKSPTTKQTDIINKVKSPKPKGV LGIGYAKGVKHPKGSHRLSPKVNFIDVDNLIAKTVAEPQSIKSSNGSAQKVRLQKAEL RRKFLIEAFRKEEARLLHKHEYLQKRTKELEKAKELELEKLNKEKSSDLTIMTLDKMM SQPLLRNRSPEESELLKLKRNYNRSLLNFQAHKKKLNELLNLYHVANEFIVTESQLLK KIDKVFNDETEEFTDAYDVTSNFTQFGNRKLLLSGNTTLQTQINNAIMGSLSNEKFFD ISLVDSYLNKDLKNISNKIDSKLNPTSNGAGNNGNNNNTTNL YOR159C MSNKVKTKAMVPPINCIFNFLQQQTPVTIWLFEQIGIRIKGKIV GFDEFMNVVIDEAVEIPVNSADGKEDVEKGTPLGKILLKGDNITLITSAD YOR160W MDNLQVSDIETALQCISSTASQDDKNKALQFLEQFQRSTVAWSI CNEILSKEDPTNALLELNIFAAQTLRNKVTYDLSQLENNLPQFKDSLLTLLLSHNQKL IITQLNVALARLAIQFLEWQNPIFEIISLLNSSPSILLNFLRILPEETLDIASTSLTE VEFNSRIHELIDPIAEDVLKFLVSCIDLLQNTDGNSSSSISLEQILRCLNSWSYEFPV EQLLTVQPLINLVFETISNGNESDMEAFDSAIDCLCVILRESRDTTNEQLISALFHQL MLLQEKLLPTLFTDHPLNDEYDDDLLEGMTRLFVEAGEAWSVVISKNPDFFKPMVLVL LMLTCKNEDLDVVSYTFPFWFNFKQSLVLPRYQESRKAYSDIFVKLINGIITHLQYPS GQFSSKEEEDKFKDFRYHMGDVLKDCTAVVGTSEALSQPLIRIKSAIENNNSWQIMEA PLFSLRTMAKEISLTENTILPEIIKIICNLPEQAKIRYASTLVLGRYTEWTAKHPELL EVQLQYIFNGFQLHEGSSDMQSIITASSHALMFFCSDCSKLLVGYIDQLINFFLNVQS SIDIESQFELCQGLSAVINNQPEAKVSVIFQKLVDDNLRQIEALIPQWKANPTLLAPQ IADKIDLLYALFEELKPRYNYPQQGSEPLLPRIEFIWKALRTLLVDAGAMTDSIIVER VAKLLRRIFERFHVFCEPILPSVAEFLIQGYLTTGFGSYLWCSGSLIVIFGDDESFPI SPSLKDAVWKFALSQCETFILNFNKFDKLQLNDYHEAIIDFFSLISDLIMFYPGAFLN STELLGPVLNVALECVNKLDNYDAYICILRCLDDIISWGFKTPPISTVSIEIVPDEWR KQVINEVVIAHGNQLILVLFIGLVTTFENTAHSDAISCIVKCLRILTEANNNDATICI DWIYKVVEQLGQVTLNERDNLAKAVVEGLNSKDYRKVREGIRAFVGWYSRKNINSRFE YOR161C MPLNEKYERPPQPPPAYDPNHRPPSSSENSAAANVNDGQTPYHF RQDQYYNLNSKTSGAPIGSFDEAFPTENDNKPRWNDWPFTIFFLCTVGGFIAIAAITL RAWSQTYSSTGSGIYDGVNTGTLNTNAAILLVFVCIIALVFSVLGLTLCRIFPKQFIY CGMVINLVASLGTAIMYMSLRYWSAGIVFLVFTFMTAWCYWGMRSRIPLSVAVLKVVV DAMKKCPQIFFVSFVGALVASAFGFLFSAVIVATYIKYDPNSSNGGCDVSGGSCSHSK LIGVLVVVFFCGYYISEVIRNVIHCVISGVFGSWYYMSKSDQGMPRWPAFGALKRAMT YSFGSICFGSLLVALIDLLRQILQMIRHDVTSSGGGQIAIQILFMVFDWIIGFLKWLA EYFNHYAYSFIALYGKPYLRAAKETWYMLREKGMDALINDNLINIALGLFSMFASYMT ALFTFLYLRFTSPQYNSNGAYNGALMAFSFVIALQICNIATEAIRSGTATFFVALGND PEVFHHSYPHRFDEIFRAYPDVLRKLSHQNV YOR161C-C MSYTRVDHPTGKMACHLRQILASPLFFANYVLHAAIHYPSSDIR GDIL YOR162C MKRRSDALLGSFQATNVTPPSDNSNSTAGGANGSNSGTPTSTSG KKRNKLIKSCGFCRRRKLRCDQQKPMCSTCISRNLTTCQYAEEFNKNIEKKATYGPYP NADLLKKVEELENKIRILEAEKNTNSSASSMYTSPNFPPLGTSVGRGSTETSSPLPDG VINPYADRYYLQSKHSGRSTLYGPTSMRTQIANSNWGFIEKYKQLWAKVKVERNKWKQ NNQKTMCRELGLLDESDWQPDPLIKQICRFLPSYNKALSILDDFFNDGACNEINVILD KAKVRRDFLDYFMPEKEVKAEGDRSIVYILSNPKKNYYKAAVILLILCLKYFHTDVPT PIEKFFTLLKGASTAKVFYIERAQMLILFYYHRETYSFGGDGSDLVNINECLVTTVTT IGLHLNIRETFKEHEVFMGSIESLENVWLMAIFIDYNISCNVGRPLLINKFYLDENQD HCILNSKSKTYEGKLKRYLKLTRPMLLTLYDRDKFPDLKAYSKRIINFVEEELGPLGH YTGENISEEVPLRESRILSMAVGLLLSFYALIHSVLKVRNIESKNNTFQLVLINFSII VNTTIRCYRIDKALYPEKFEASNPHLPPHMALSMSLTAGLFSKTLVFFCSLIYFKLTL FENGLCLSNDMEVGWSDLTKLTVPLDKDLSLGTAMSLYSSIFDRLFTVGNKELIRTMH RSSQFVIELAIERTYRTILGNVIEFRKLTEETWLAQIKQELDPQSDNPSSEAKIVSDR QRDLSLAVPTPTPSIIPMLPSPGETKNHAKSQSEIIQMLTDEFWANYNSGWEELINQS EFSTLFDDYKDN YOR163W MGKTADNHGPVRSETAREGRENQVYSPVTGARLVAGCICLTPDK KQVLMITSSAHKKRWIVPKGGVEKDEPNYETTAQRETWEEAGCIGKIVANLGTVEDMR PPKDWNKDIKQFENSRKDSEVAKHPPRTEFHFYELEIENLLDKFPECHKRHRKLYSYT EAKQNLIDAKRPELLEALNRSAIIKDDK YOR164C MVPAESNAVQAKLAKTLQRFENKIKAGDYYEAHQTLRTIANRYV RSKSYEHAIELISQGALSFLKAKQGGSGTDLIFYLLEVYDLAEVKVDDISVARLVRLI AELDPSEPNLKDVITGMNNWSIKFSEYKFGDPYLHNTIGSKLLEGDFVYEAERYFMLG THDSMIKYVDLLWDWLCQVDDIEDSTVAEFFSRLVFNYLFISNISFAHESKDIFLERF IEKFHPKYEKIDKNGYEIVFFEDYSDLNFLQLLLITCQTKDKSYFLNLKNHYLDFSQA YKSELEFLGQEYFNIVAPKQTNFLQDMMSGFLGGSK YOR165W MADRPAIQLIDEEKEFHQSALQYFQQCIGNRDVGLDYHVISVFG SQSSGKSTLLNVLFNTNFDTMDAQVKRQQTTKGIWLAHTKQVNTTIEIDNDRPDIFVL DVEGSDGSERGEDQDFERKAALFAIAVSEVLIVNMWEQQIGLYQGNNMALLKTVFEVN LSLFGKNDNDHKVLLLFVIRDHVGVTPLSSLSDSVTRELEKIWTELSKPAGCEGSSLY DYFDLKFVGLAHKLLQEDKFTQDVKKLGDSFVMKGTENYYFKPQYHHRLPLDGWTMYA ENCWDQIERNKDLDLPTQQILVARFKTEEISNEALEEFISKYDESIAPLKGNLGSLTS QLVKLKEECLTKYDEQASRYARNVYMEKREALNTKLNSHISGTINEFLESLMEKLWDD LKLEVSSRDKATTSFVESVAAGKSKIEKEFNESMETFKKLGLLISNEEITCKFSDDIE ERIKQLRDAELKAKIGRIKKNLVPELKDHVIHLLSHPSKKVWDDIMNDFESTIKDNIS AYQVEKDKYDFKIGLSESENAKIYKNIRILAWRTLDTTVHDYLKIDTIVSILRDRFED VFRYDAEGSPRLWKTEEEIDGAFRVAKEHALEVFEVLSLAVTSDNVEIIPDVPMAEEE SGEDNEIYRDNEGVFHSRRFAHILTELQKENVLDQFRRQINITVLDSKRSIITTRTHI PPWIYVLLAVLGWNEFVAVIRNPLFVTLTLILGATFFVIHKFGLWGPVVNVVQSAVGE TRTAIKDKLRQFVVEDHEVKESFEMKDFSKNEQKEK YOR166C MTDEKRAFPKGNNHIRSETFNGSVSHKISESIKDIASLRPHGKY TVQDIDNIIASTSSHENRGQSGDSNGCINHDEEGDIPMCDLNDESDVEMISEYLSSQR EMEAQSVANYMPKINDDLPLLNPPTLKTAFVVDTNFIISHLNTLEKLRSLSSTYHHLI IVPTTVIQELDGLKKSPDIARDNDDTTNQEHDRTIGTLARWGNDWIYKNLANLDSGLI GQKLKQSLNPGSLKDDSILDCCLYFKEILNCFVILLSNDKNLCTKALTEDILTVSFRK NMDAKIIAMRAYEENQLRFANLRDSTVNNFDQNVTSYAHIPGIETPPLQFDKVSQNVF EQVKETIFFAIDHTLRKEYGEDIGFIDYNPDKLTTIENASNYIYLFWVSVFSELFTCS KIKKNEWKSLPTVLKSKPTNLNDLRTFEQFWETVLHFLFSKFTNEEKQSLEKQIHEWK TSINAIST YOR167C MDNKTPVTLAKVIKVLGRTGSRGGVTQVRVEFLEDTSRTIVRNV KGPVRENDILVLMESEREARRLR YOR168W MSSVEELTQLFSQVGFEDKKVKEIVKNKKVSDSLYKLIKETPSD YQWNKSTRALVHNLASFVKGTDLPKSELIVNGIINGDLKTSLQVDAAFKYVKANGEAS TKMGMNENSGVGIEITEDQVRNYVMQYIQENKERILTERYKLVPGIFADVKNLKELKW ADPRSFKPIIDQEVLKLLGPKDERDLIKKKTKNNEKKKTNSAKKSSDNSASSGPKRTM FNEGFLGDLHKVGENPQAYPELMKEHLEVTGGKVRTRFPPEPNGYLHIGHSKAIMVNF GYAKYHNGTCYLRFDDTNPEKEAPEYFESIKRMVSWLGFKPWKITYSSDYFDELYRLA EVLIKNGKAYVCHCTAEEIKRGRGIKEDGTPGGERYACKHRDQSIEQNLQEFRDMRDG KYKPGEAILRMKQDLNSPSPQMWDLIAYRVLNAPHPRTGTKWRIYPTYDFTHCLVDSM ENITHSLCTTEFYLSRESYEWLCDQVHVFRPAQREYGRLNITGTVLSKRKIAQLVDEK FVRGWDDPRLFTLEAIRRRGVPPGAILSFINTLGVTTSTTNIQVVRFESAVRKYLEDT TPRLMFVLDPVEVVVDNLSDDYEELATIPYRPGTPEFGERTVPFTNKFYIERSDFSEN VDDKEFFRLTPNQPVGLIKVSHTVSFKSLEKDEAGKIIRIHVNYDNKVEEGSKPKKPK TYIQWVPISSKYNSPLRVTETRVYNQLFKSENPSSHPEGFLKDINPESEVVYKESVME HNFGDVVKNSPWVVDSVKNSEFYVEEDKDSKEVCRFQAMRVGYFTLDKESTTSKVILN RIVSLKDATSK YOR171C MVVQKKLRAILTDEGVLIKSQSHHMFNKHGQLRSGDSLSLLSCL SCLDDGTLSSDGGSFDEDDSLELLPLNTTIPFNRILNAKYVNVGQKGFNNGKISSNPF QTENLSSSSENDDVENHSLSNDKAPVSESQSFPKKDKWDTKTNTVKVSPDDSQDNSPS LGIKDNQQLIELTFAVPKGHDVIPQKLTLLIDHVSRKSRANTGEENISSGTVEEILEK SYENSKRNRSILVIINPHGGKGTAKNLFLTKARPILVESGCKIEIAYTKYARHAIDIA KDLDISKYDTIACASGDGIPYEVINGLYRRPDRVDAFNKLAVTQLPCGSGNAMSISCH WTNNPSYAALCLVKSIETRIDLMCCSQPSYMNEWPRLSFLSQTYGVIAESDINTEFIR WMGPVRFNLGVAFNIIQGKKYPCEVFVKYAAKSKKELKVHFLENKDKNKGCLTFEPNP SPNSSPDLLSKNNINNSTKDELSPNFLNEDNFKLKYPMTEPVPRDWEKMDSELTDNLT IFYTGKMPYIAKDTKFFPAALPADGTIDLVITDARIPVTRMTPILLSLDKGSHVLEPE VIHSKILAYKIIPKVESGLFSVDGEKFPLEPLQVEIMPMLCKTLLRNGRYIDTEFESM YOR172W MSKRGSLQDRASPSEETVKKAQKRRKPIKSCAFCRKRKLRCDQQ KPMCSTCKTRGRSGCLYTEKFTHKIETKELFGSTPNIELLKRIEDLEKRLDDKELTEK DVALSTSPFRNPYANFYYLQCKGSGRRIVYGPTSLRTHLSNDDNRFVNTYNQLWSKVK IERNRWKARHKWTMKPETQLLEGPPLEKTGSDILQQVCNVLPSFEQSSKIITDFFNTE LETNEVSEVLDKTKIINDFTSSFLPSDELLPNGERRIEKLLPSTKKNYYKIGVILMIL CIRHFYKNTPEEIEKFLIMLTGLSTAKVYFIERAQFLLLKYYHRELIWACGDDSHMIS LVDLLCSTAIMLGLHLNIREIYKNQENIVGSMESLENLWVWIILSDFNVSLNIGRCLA INSSYFQVDECENGERLPKNTNNYSSTVFFDQSNSCMGKLKRFLRLARPMLDQIYDRS AFPDLAENCKKLRNFVETEFHPISYYTDSELISKVPLCEIKVLAQVLNLLLTFYSLRY LIYKEKSVVLENNILQTILVSFSLVINTTILCFNLDEKHFPEFFDHNCVHLPPFMALS LVYTNFLFPRASTGFCAFLYHKLTLFEKGYYLSSNIKDQEVTDWDLSTLNIPLDKAMN LLTAFKIHSDIFAKWSNDNNKQLRIIMARSYTFVINIALESIYRAVLEKVIKYRTEVE NTWLQQLQDELNGSYSLTDNVNTPIDPSLSDLGVTSASPLAGNSPGLPPEEVRNNSEN ASHNNETGPIETELAQTISNEFWTAYNLGWEELMSQPDYKYLFDTQ YOR173W MGSQDLASLIGRFKYVRVLDSNPHTKVISLLGSIDGKDAVLTAE KTHFIFDETVRRPSQSGRSTPIFFHREIDEYSFLNGITDLKELTSNDIYYWGLSVLKQ HILHNPTAKVNLIWPASQFHIKGYDQQDLHVVRETPDMYRNIVVPFIQEMCTSERMKW VNNILYEGAEDDRVVYKEYSSRNKEDGFVILPDMKWDGINIDSLYLVAIVYRDDIKSL RDLNPNHRDWLIRLNKKIKTIIPQHYDYNVNPDELRVFIHYQPSYYHFHVHIVNIRHP GVGEERGSGMTILLEDVIEALGFLGPEGYMKKTLTYVIGENHDLWKKGFKEEVEKQLK HDGIATSPEKGSGFNTNLG YOR174W MSVQDTKAVEFSMGHIRSSSVSLVAEATSNTNSEDKLSKVQLYE DLCRYEDTLSKLVESVDRFKPNLDIAKDLIRTDEALFENVKLLAEYDNIYRNLQKIDK DSEELDSKTRKILEILNECHDELKALPMLEQVEFEKNTILQQRSKINSTELLDYATKL SKFTKIPPTFDKGAVGPNNFIWPAEDALRRGMLAMASLHSKELTRIPGEEVEETEVPT VPPSQSEEQKGQMAKKEGTPKTDSFIFDGTAKEVGDEADNTKDKEKEENNDDALDLDL DLFDPDDF YOR175C MYNPVDAVLTKIITNYGIDSFTLRYAICLLGSFPLNAILKRIPE KRIGLKCCFIISMSMFYLFGVLNLVSGFRTLFISTMFTYLISRFYRSKFMPHLNFMFV MGHLAINHIHAQFLNEQTQTTVDITSSQMVLAMKLTSFAWSYYDGSCTSESDFKDLTE HQKSRAVRGHPPLLKFLAYAFFYSTLLTGPSFDYADFDSWLNCEMFRDLPESKKPMRR HHPGERRQIPKNGKLALWKVVQGLAWMILSTLGMKHFPVKYVLDKDGFPTRSFIFRIH YLFLLGFIHRFKYYAAWTISEGSCILCGLGYNGYDSKTQKIRWDRVRNIDIWTVETAQ NTREMLEAWNMNTNKWLKYSVYLRVTKKGKKPGFRSTLFTFLTSAFWHGTRPGYYLTF ATGALYQTCGKIYRRNFRPIFLREDGVTPLPSKKIYDLVGIYAIKLAFGYMVQPFIIL DLKPSLMVWGSVYFYVHIIVAFSFFLFRGPYAKQVTEFFKSKQPKEIFIRKQKKLEKD ISASSPNLGGILKAKIEHEKGKTAEEEEMNLGIPPIELEKWDNAKEDWEDFCKDYKEW RNKNGLEIEEENLSKAFERFKQEFSNAASGSGERVRKMSFSGYSPKPISKKEE YOR176W MLSRTIRTQGSFLRRSQLTITRSFSVTFNMQNAQKRSPTGIVLM NMGGPSKVEETYDFLYQLFADNDLIPISAKYQKTIAKYIAKFRTPKIEKQYREIGGGS PIRKWSEYQATEVCKILDKTCPETAPHKPYVAFRYAKPLTAETYKQMLKDGVKKAVAF SQYPHFSYSTTGSSINELWRQIKALDSERSISWSVIDRWPTNEGLIKAFSENITKKLQ EFPQPVRDKVVLLFSAHSLPMDVVNTGDAYPAEVAATVYNIMQKLKFKNPYRLVWQSQ VGPKPWLGAQTAEIAEFLGPKVDGLMFIPIAFTSDHIETLHEIDLGVIGESEYKDKFK RCESLNGNQTFIEGMADLVKSHLQSNQLYSNQLPLDFALGKSNDPVKDLSLVFGNHES T YOR177C MPEDTSYSNSFEDYYNNSHAISPYKDSFYKEMTPSKPNVRFGDD DVNIFDQRKKVNEINKNNTVKRSIPSSISTTITPNKSSLKSPRGKRASKNSFDNETKL ESKNETLKEVNDAVNRCYALCNIPTKHVSINSISDLAQTFETLAVGITHETNRKAECE RSKNAIDSLYYHEQLEKKELNEKSLQMAIDHLLKVTKQNLRQADDGNKLKETEALKSF IEEIEEVDDNKISINSLQQQLLEEKTANNILRRDYYKLQERGRRLCHEFQELQDDYSK QMKQKEYEVQKLKNEIKVLLNMNDNLKAEKAHYSQKEKQYFQKYTYIEKYMNHVKEEY NRKEDECKKLNFIIDKSMKKIEHLERSLQTQFTAQNSFSTAMIQEEGPKDAHLKDRYH KVKEFMEQKLQTSKINDPSCSEAEALDNVLCLIESSMKTLDKNSKCYPIATKKCIKYV TDSPRLKENEHVTN YOR178C MVIQTATTLSPAKARPSFPHNDLIKSMSDSLISRPTHPPIRKLK SSLKISHPEPISRSKSEIFCTSPEKNVRFAIELTTVKRFDKNAEPSSISNENSPTLSP VDSNTAADDVQLFNNEDCWFNDSSLVTNLLKNEKKFRYMNSLNNMFKLDLYDSEDEDD IDEHINSQAEYGYTYNSLSTRGKTSENKSATSSLATQATNICDWKLHCTDLVPFKIAP PLFTKTLSASDLQGQLTKYLNGQNVKLHSLTQLGDDSSKITGLVYVKNLSFEKYLEIK FTFNSWRDIHYVTANFNRTINSNVDEFKFTIDLNSLKYILLIKRIITMEKNTSSCPLN IELCCRYDVNNETYYDNNNGKNYHLFMTTFKKGGETKEKIPVVVEPASQTDAAMSPKE MKARFVSSNPTLSRFLPQSRKFSEDTDYYNTSPLKHLYHNDTTSWVKPKRLNVVLDKL ENATPPPPSSALANDTARTGKITKDKNNVLAPPTASNSIDLPILGSQHQSLYSGSSSY SSSSSSISSSLSFASSNNSSTNSSSASCSFPLTELDNFDYANLYEPNDTFTTANLFNH SLNSLMPEISTPSFFGGFRNENTINNNDSKNLVTSLEDSYEDKQSVITDTTMDENNKT STINNSTDTLIKPSKENGTVKENKSSANSTSAPSSSQNRASTILNDHSNGKSDLKYVN YQSLLDSHCFYNHPSSPNLQSTSFSSAAPFSGISQASDIFDYENEDSDSNQIAGEIDN NSFPPHFYLDEDDKSACLSDDALIDHHRNTNPFINTFSSSPPILSQEVDRWRL YOR179C MDLPKDKSDRTHQRINLNNSGTDRTNDLYLHIVQTFGCIETTAT ENATKLLMLGDVEVEISASSVSIEWTQKSMISQTIADSIVIMIIGLCASDKNVLSESE LKERNHNVWKIQELQNLFREQFGDSFSIDEGIGKKENVKNGSVTIGKSKATIDFSTMK LIDCNSNPLKGRVESILSIGQKLTTPLC YOR180C MSSRVCYHINGPFFIIKLIDPKHLNSLTFEDFVYIALLLHKAND IDSVLFTVLQSSGKYFSSGGKFSAVNKLNDGDVTSEVEKVSKLVSAISSPNIFVANAF AIHKKVLVCCLNGPAIGLSASLVALCDIVYSQNDSVFLLFPFSNLGFVAEVGTSVTLT QKLGINSANEHMIFSTPVLFKELIGTIITKNYQLTNTETFNEKVLQDIKQNLEGLYPK SVLGMKELLHSEMKQKLIKAQAMETNGTLPFWASGEPFKRFKQLQEGNRRHKL YOR181W MGLLNSSDKEIIKRALPKASNKIIDVTVARLYIAYPDKNEWQYT GLSGALALVDDLVGNTFFLKLVDINGHRGVIWDQELYVNFEYYQDRTFFHTFEMEECF AGLLFVDINEASHFLKRVQKRERYANRKTLLNKNAVALTKKVREEQKSQVVHGPRGES LIDNQRKRYNYEDVDTIPTTKHKAPPPPPPTAETFDSDQTSSFSDINSTTASAPTTPA PALPPASPEVRKEETHPKHSLPPLPNQFAPLPDPPQHNSPPQNNAPSQPQSNPFPFPI PEIPSTQSATNPFPFPVPQQQFNQAPSMGIPQQNRPLPQLPNRNNRPVPPPPPMRTTT EGSGVRLPAPPPPPRRGPAPPPPPHRHVTSNTLNSAGGNSLLPQATGRRGPAPPPPPR ASRPTPNVTMQQNPQQYNNSNRPFGYQTNSNMSSPPPPPVTTFNTLTPQMTAATGQPA VPLPQNTQAPSQATNVPVAPPPPPASLGQSQIPQSAPSAPIPPTLPSTTSAAPPPPPA FLTQQPQSGGAPAPPPPPQMPATSTSGGGSFAETTGDAGRDALLASIRGAGGIGALRK VDKSQLDKPSVLLQEARGESASPPAAAGNGGTPGGPPASLADALAAALNKRKTKVGAH DDMDNGDDW YOR182C MAKVHGSLARAGKVKSQTPKVEKTEKPKKPKGRAYKRLLYTRRF VNVTLVNGKRRMNPGPSVQ YOR183W MRLLHHGEYGTKLIGGKCSIDGKLGHPCPLSRRRKKHLREKEMG PQYVRMYGPKRKAIIRTGNPDDGINLPDTGRGTLTAATIWSRAYHSNYSYLVRPKVVT LSKHRELMTTFLLYVLYVCIYISAFIK YOR184W MSLEREEPQHFGAGPAQMPTPVLQQAAKDLINFNDIGLGIGEIS HRSKDATKVIEDSKKHLIELLNIPDTHEVFYLQGGGTTGFSSVATNLAAAYVGKHGKI APAGYLVTGSWSQKSFEEAKRLHVPAEVIFNAKDYNNGKFGKIPDESLWEDKIKGKAF SYVYLCENETVHGVEWPELPKCLVNDPNIEIVADLSSDILSRKIDVSQYGVIMAGAQK NIGLAGLTLYIIKKSILKNISGASDETLHELGVPITPIAFDYPTVVKNNSAYNTIPIF TLHVMDLVFQHILKKGGVEAQQAENEEKAKILYEALDANSDFYNVPVDPKCRSKMNVV FTLKKDGLDDQFLKEAAARHLTGLKGHRSVGGFRASIYNALSVKAVQNLVDFIKEFAE KNA YOR185C MSAPAQNNAEVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIA TIGVEVHPLSFYTNFGEIKFDVWDTAGQEKFGGLRDGYYINAQCAIIMFDVTSRITYK NVPNWHRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNF EKPFLWLARKLAGNPQLEFVASPALAPPEVQVDEQLMHQYQQEMDQATALPLPDEDDA DL YOR186W MTLAFTTFAISKINNSSTNEDSKVMILCDEHHPFEKGYFKSAIR AFGNSIKLGLMGNSRPEDAASIFQDKNIPHDLTTEEFRLQLVCMAFSWFIFGLFIACL LLCITLVLTSRYPGENENKATEVVPSSNIDDEEKQLSLSDMI YOR187W MSALLPRLLTRTAFKASGKLLRLSSVISRTFSQTTTSYAAAFDR SKPHVNIGTIGHVDHGKTTLTAAITKTLAAKGGANFLDYAAIDKAPEERARGITISTA HVEYETAKRHYSHVDCPGHADYIKNMITGAAQMDGAIIVVAATDGQMPQTREHLLLAR QVGVQHIVVFVNKVDTIDDPEMLELVEMEMRELLNEYGFDGDNAPIIMGSALCALEGR QPEIGEQAIMKLLDAVDEYIPTPERDLNKPFLMPVEDIFSISGRGTVVTGRVERGNLK KGEELEIVGHNSTPLKTTVTGIEMFRKELDSAMAGDNAGVLLRGIRRDQLKRGMVLAK PGTVKAHTKILASLYILSKEEGGRHSGFGENYRPQMFIRTADVTVVMRFPKEVEDHSM QVMPGDNVEMECDLIHPTPLEVGQRFNIREGGRTVGTGLITRIIE YOR188W MNDMAKPLPTPPTAEIRKSRSNSPKKAQKTNLSPNKNQNNEKNV PRSNGRTKNEHNSMDDEEFEFFHQFSREKVKGVIHVITAELKEKGPDVEFLMIPFRPE QTNDKLLTLLNQLFPLGNGQPVNEKKQLRIVSKADVWTLFQCLKYIWCRLPNSEIIGW KSYLEFKFREEDKKFPRKSFLEIMPQCLASPNHASIVYDFFDLIISISSNSRVNKMSA RKISKMCAIWAFSKQIPNSDIQDYDFESAAMKSFAPNNSIQDGLDQWIPASDAMFHLL LAFLRSFVPQDLESAKLPRTLKSLLFNNQYPPRKSTAYTSETILTIPLVTLKTDVFSR KPWQLLERCNDLLDFSDHDAFEAREDYALLKSLFRKKNTVEGISRKMSQESRRLMKAM STKHSTFQPGWAPRECIENISHLKECIEVKRLDIDDYFIWTWLSSLSFEQTSEKKKIF GRSIILEFEFDGFKKWVVFQECDITLDYNKKGQLKKKTSAQSPTTEKELPPDDFELED PPLSKSPTLSQTYKKFQAEVPQQSTVRRDSAPDNQGIYHTVISKNALTKNKHNVNLHS FEHKISKWNPLNNLRKKSGSNSSSSSFEEKSKDAPIREEYHTNKNHKSKKEERVLSQF STLNPDEYQLPVIETGSSNFKIEIPELMYEHDDDDSDKLKNSQKRATDSAIEELNGMV EEMMINEPDDVKISITEAETFESLTKFDQYKPSNITDDDLQSSHSSAVHSLKLSTNTN DSCADSSKYTADRKLAEPRKISEESKVNDDSSSYYSPNINNLPASRMPSQPTYSNSDS KKAFTNESRLNVLQGAVSPSQQVTPKPYKNAPGDCVSPVQQKYYQNDRRNEMSPASAP VPPSAYSPARSPQFSTNSAGFKQNTINVPVGYNDPAHVLANQPHMTYRDQHNYPSHQQ KQRPFQNNIVPPELKSRNQRADASPIPQHMVPVKQGVPNLPSNVPLYQQMERMNPNHQ HPVNTYKVTQPPYHNNTTNAYGNSRAGNAHMLDGKWSNNPPQMVPKGVRPNQYPQQHV NRYSPQAQPVVPAEYYNGPPPMRAPPMMSHMVPAQEPIRYTAGANRRSFPQGMQQNAY SVPAQPMGAVNSEFYLPEAPQGNKLHGNINKRQERKKLYDNIRSGNFGI YOR189W MSQESSVLSESQEQLANNPKIEDTSPPSANSRDNSKPVLPWDYK NKAIEIKSFSGYKVNFTGWIRRDVREERQRGSEFTASDVKGSDDKATRKKEPADEDPE VKQLEKEGEDGLDS YOR190W MVSFRGLTTLTLLFTKLVNCNPVSTKNRDSIQFIYKEKDSIYSA INNQAINEKIHGVNLGGWLVLEPYITPSLFETFRTNPYNDDGIPVDEYHFCEKLGYEK AKERLYSHWSTFYKEEDFAKIASQGFNLVRIPIGYWAFTTLSHDPYVTAEQEYFLDRA IDWARKYGLKVWIDLHGAAGSQNGFDNSGLRDSYKFLEDENLSATMKALTYILSKYST DVYLDTVIGIELLNEPLGPVIDMERLKNLLLKPAYDYLRNKINSNQIIVIHDAFQPYH YWDGFLNDEKNEYGVIIDHHHYQVFSQVELTRKMNERIKIACQWGKDAVSEKHWSVAG EFSAALTDCTKWLNGVGLGARYDGSWTKDNEKSHYINTCANNENIALWPEERKQNTRK FIEAQLDAFEMTGGWIMWCYKTENSIEWDVEKLIQLNIFPQPINDRKYPNQCH YOR191W MAAVPTIDLTLADSDNEDIFHSFSSSTSVDKIDIRKENGKLRMA GLEVAQSNDDAARQAFHVFKTNISNNETFDTILSKSKTITDSTFNNEKSSNEVKQQQV LKEETMGSSNDEKKTQESSPSAEMIKLFYENDDVPLSDSFKQKEEGKRINQDEQVKEN ICGISSSYVSKDYDGVEDDFEPNTCQDSNLDFQEEKLNLNNKPSQQQFSDPETKDNSL KSENKDQIKGVTTTSYRDLPIESSAFQDSETQNNSKNTIPNIVNEKRTPALPSNLSSV ESSLKNETAKVEGKTTVRLPGLQNNVALLEQEQSELFKHFSEQPVDISDFGRKIKRKH SGDFADNKILKRPILPSKNMDHTTHNSHDSEQKNSSIIILSDEDESGAGINDIESPLK VSEPNTADALRSSVPEVISLLDLPNIDLNNSVIKEASGSNSIPTSETDAQSSSSSVLQ GTIMTEQATQSSQHECNSSLDTLKKNHQKLLKDLNSRESELRNALSCCKTNSEILRRK LSRREKEVSDAEKHWQLLLTSMARGGRTISSTQQILVDEAENQLNKLKEKRQLTKSKL DSINLKMYNYNEQWKSFVHSKNINLQKSLAALERSARDSKASATVNKRNECLAEKEKL DQMLKEGTLSFSTYKQLTGEIQQKLNDLKLGDQRTTDINSVLPIVRQPLAKRDLFIKS IDTAKDLLAKNTSRTEMTKRILYRHLDNLVSYKNFFEDGRSLIDINRRHVAHESAQIL FTNGVKMPIVFETLQDYGIKFSNPAIVNPDRRAQYFKSIEVARDLISKSTRSEDAKRK ITRFLNIIEEFRKDIDTGFPPTPLKREGVGKAVVGLRQQGLKMDRLYENLRRYKIPIT SEELLQQSYLFPVNADQRPPSNWNIVENTEDTSSTANDLSMQDEFHISNMHAAEDQEQ IRALLENVKQSESIIDGEALTPEDMTVNLLKHQRLGLHWLLQVENSAKKGGLLADDMG LGKTIQAIALMLANRSEESKCKTNLIVAPVSVLRVWKGELETKVKKRAKFTTFIFGGS GNGKVKHWRDLARYDAVLVSYQTLANEFKKHWPKKLDGEQNQLPAVPHIQALNRLKTS NEYYSPFFCNDSTFYRILLDEGQNIKNKNTRASKACCTINGMYRWVLSGTPIQNSMDE LYSLIRFLRIPPYHKEQRFKLDIGRFFQRNKQYQYDNEDRKNALRKVRVLLNAIMLRR SKADKIDGKPLLELPPKIVEVDESRLKGEELKFYTALESKNQALAKKLLNNSTRGSYS SVLTLLLRLRQACCHSELVVMGEKKAEGTKVANGKSFEDDWLRLYYKITHMSGEAQAQ VITSMNSMTCFWCMEQLEPEAMSVLTGCGHLICDTCIEPFIEESSMLPQAKKTKGGAF AIPCKDCQRLTNEKDIVSHKLYDQVINQGFTEEDLHAEYLSEMEKQKIQQKNVYVPNF ESLEPSTKIEQCIQVIQRVFDESATEKIIIFSQFTTFFEILEHFLKNKLNFPYLKYIG SMNAQRRSDVINEFYRDPEKRILLISMKAGNSGLTLTCANHVVIVDPFWNPYVEEQAQ DRCYRISQTKKVQVHKLFIKDSVEDRISELQKRKKEMVDSAMDPGKIKEVNSLGRREL GFLFGLNAL YOR192C MSFGTRISRALRFLEIPVKNRASVNFLRNPDLQPIKSVNQTWGF WSNFAYWGVLSFNVGMWIGGSSALTVGLSYSETIGAFIIADLLTILFALANSCPGYDW KVGFTLAQRFVFGIYGSALGIIIRILMSIVYYGSNAWLGGLCVNMILDSWSHHYLHLP NTLSSKVAMTTKELIGFIIFHILTAFCYFMKPYHMNYILIWSCVGTFFAMLGMVIYLT KSAHGVGDLFTSTHSTVTGSKKAWAWVYTISYWYGSVSPGCTNQSDFSRFGSSNCAIW TGTIVALLIPATLIPVFGIIGASACEKLYGQTFWMPMDIFDNWLTTNYSAGARAATFF CGFCFVMSQISYTISNCGFASGMDLAGLLPKYVDIKRGAIFAACVSWACLPWNFYNSS STFLTVMSSFGVVMTPIITVMICDNFLIRKRQYSVTNAFVLKGEYYFTKGVNWRAIVA WVCGMAPGLPGIAWEVNNDYFHNTGIINFFYGDSFFSFLISFFVYWGLCLLFPFKITV KHDDKDYYGAFTDEEARKKGMVPYSEISEEEIRAYTLGECFTSGHEYKPESSDDELPE LTKTSSENTKVFEIVHQKDNEKESSTSSEKQIA YOR192C-C MMIIIFIELCRIADSLSWIPKSLRRTSSTFYIPNIIALLKMESQ QLSQNSPTFQKHTPIGHINHDQYNSDSGSYYTLM YOR192C-B MESQQLSQNSPTFHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIGSQDQHSEVPQAKTK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYSDILTVLCKSVSKMQTNNQELKDWIALANLEYNGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLGQQQKESKPTRTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELANQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQNKQTKLDQFDYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSLNPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSESTTPIISIETKAACDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHQSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGEGDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETNKNIADVMTKPLPIKTFKLLTNKWIH YOR192C-A MESQQLSQNSPTFHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQQETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIGSQDQHSEVPQAKTK VRNNVLPPHTLTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYSDILTVLCKSVSKMQTNNQELKDWIALANLEYNGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YOR193W MTSDPVNTNISSPTLTDRNADESWELLKREFNTLFSNLKTDSKE EGNFTDNKGVIAKKPIVLQDNDDSDFTQNQGKVATATSTTSDRSFKRTLGSIEMKKRY VKKNCQAKFVFNTLEGKEVCSKILQHTLGLLSLLLLTRKIRLLNFSSKLRLVIQQLSL FRYYLRFGNFAINLYKIIKRFRWLREMKKLHYKDQSILFYFKNFRFFDIIEAFYNLTD ELILFHKLQSMFGKKNTSHANTNRLMTFVKEQHYILWEVLNILAINKNIEQWRQLIRD EIYLSIYNTSGNAIKEYELKYKLPTNDKVNLELRKNNITLDFYKIILNLLSNLINIKG KRDKYNSELAYEIISVGSGVTELLKLWNRAKVTSANEHTSAV YOR194C MSNAEASRVYEIIVESVVNEVREDFENAGIDEQTLQDLKNIWQK KLTETKVTTFSWDNQFNEGNINGVQNDLNFNLATPGVNSSEFNIKEENTGNEGLILPN INSNNNIPHSGETNINTNTVEATNNSGATLNTNTSGNTNADVTSQPKIEVKPEIELTI NNANITTVENIDDESEKKDDEEKEEDVEKTRKEKEQIEQVKLQAKKEKRSALLDTDEV GSELDDSDDDYLISEGEEDGPDENLMLCLYDKVTRTKARWKCSLKDGVVTINRNDYTF QKAQVEAEWV YOR195W MNEVPTTPVRLILGQAQQREQNSENCSQERNPRTFNSEPDSSFN SPGSSQFVIHPHEPLEKEKDEKQDLDRSIDYGRSSALNNKNNANPLENIDINKMFDDK KSDSGTNDDKGGASTSDKHVLALNYSPIRVEMNSSEKRSDKNVDVDENDKEGSHINKK LKLQLESVPDLKQSSTKDIINDKEEIMSSPMAIDMIETNISPNKFIINDGVERNDSFN INTDTLKLENDINEKQQEEDFIKSNSNNVVNIDNAYKEKEDEENDITNSHINRLTPLY ETSARESNSNEEGRNDYDDDNQLDIRHDNFQIVAKRNEELTDQIYHLNQMLNSLISKN ESLSFQYEKLNKNHQLLIDLTNEKLDKLNTERESDIAKVEKFKKRIKELNTEIKVLNS NQKILQEKFDASITEVNHIKGEHENTVNTLQQNEKILNDKNVELENMKAELKGNNDKL SEYETTLNDLNSRIVQLNDKIESTDIVLKSKENELDNLKLSLKETLSISKDFNDSDLI GQINELISTKNNLQQKMDDLNNLNDDNLKVVQDKLIKNEETLKLKEAEIDSLNSEMDE LKKQITSKDDEFKMWQSKYETVEDEAKIRNAEVTELNGDIEDLKESKLHLEETITELE NKVHKLENECELEKQKFEKTSLELESLQLKNSNIQAEHIKELENLHENLISLQNELKI SSDRITTLTKENEVLMEQNNNNNNSVTLSNDQKDRDDEKIKSLGKQVQDWKEKYEAKE KDTNKRLKLLAEDLYIQYSSKHEQKVKLLKKGYENKYQNKFDQLNLENKTLSEEIEQL NKQLSSEREEKQELLKLLENEKK YOR196C MYRRSVGVLFVGRNTRWISSTIRCGTSATRPIRSNALNTDSDNA SVRVPVGNSTEVENATSQLTGTSGKRRKGNRKRITEFKDALNLGPSFADFVSGKASKM ILDPLEKARQNTEEAKKLPRWLKVPIPKGTNYHKLKGDVKELGLSTVCEEARCPNIGE CWGGKDKSKATATIMLLGDTCTRGCRFCSVKTNRTPSKPDPMEPENTAEAIKRWGLGY VVLTTVDRDDLVDGGANHLAETVRKIKQKAPNTLVETLSGDFRGDLKMVDIMAQCGLD VYAHNLETVESLTPHVRDRRATYRQSLSVLERAKATVPSLITKTSIMLGLGETDEQIT QTLKDLRNIQCDVVTFGQYMRPTKRHMKVVEYVKPEKFDYWKERALEMGFLYCASGPL VRSSYKAGEAFIENVLKKRNMK YOR197W MYPGSGRYTYNNAGGNNGYQRPMAPPPNQQYGQQYGQQYEQQYG QQYGQQNDQQFSQQYAPPPGPPPMAYNRPVYPPPQFQQEQAKAQLSNGYNNPNVNASN MYGPPQNMSLPPPQTQTIQGTDQPYQYSQCTGRRKALIIGINYIGSKNQLRGCINDAH NIFNFLTNGYGYSSDDIVILTDDQNDLVRVPTRANMIRAMQWLVKDAQPNDSLFLHYS GHGGQTEDLDGDEEDGMDDVIYPVDFETQGPIIDDEMHDIMVKPLQQGVRLTALFDSC HSGTVLDLPYTYSTKGIIKEPNIWKDVGQDGLQAAISYATGNRAALIGSLGSIFKTVK GGMGNNVDRERVRQIKFSAADVVMLSGSKDNQTSADAVEDGQNTGAMSHAFIKVMTLQ PQQSYLSLLQNMRKELAGKYSQKPQLSSSHPIDVNLQFIM YOR198C MSSQQHKFKRPDVSVRDKKLDTLNVQLKKIDTEIGLIRKQIDQH QVNDTTQQERKKLQDKNKEIIKIQADLKTRRSNIHDSIKQLDAQIKRKNNQIEEKLGK KAKFSSTAEAKQRINEIEESIASGDLSLVQEKLLVKEMQSLNKLIKDLVNIEPIRKSV DADKAKINQLKEELNGLNPKDVSNQFEENQQKLNDIHSKTQGVYDKRQTLFNKRAALY KKRDELYSQIRQIRADFDNEFKSFRAKLDKERLKREEEQRLSKLLEQKDVDMGKLQEK LTHAKIPAFTYEIGAIENSLLVLDPTYVKPKKNILPDLSSNALETKPARKVVADDLVL VTPKKDDFVNVAPSKSKKYKKKNQQKNTENEQPASIFNKVDGKFTLEPTLIATLAELD VTVPINSDDVKITVEQLKKKHEELLSKQEEQTKQNIESVEKEIEKLNLDYSNKEQQVK KELEEKRLKEQEESEKDKEN YOR201C MTSLTNAVFKRYLAVTPSAHQALKTRIKKKSSSFDKFFPQQSNS RKKQWETLNEDKASWFKRKYAHVHAREQDRAADPYGKKKAHVEKLKEIKNQAKLNQKS HKSKFQNKDIALKLMNDNPIFEYVYGTNSVYAALLNPSRNCHSRLLYHGTIPSKFLQI VDELKVTTELVDKHRLNLLTNYGVHNNIALETKPLQPVEIAYLGDMDTSSAALSIHEL GFNNENIPHELPYGTKTDAKKFPLGLYLDEITDPHNIGAIIRSAYFLGVDFIVMSRRN CSPLTPVVSKTSSGALELLPIFYVDKPLEFFTKSQEMGGWTFITSHLANATSEKYTVG KTISMHDLNGLCNELPVVLVVGNESQGVRTNLKMRSDFFVEIPFGGIEKGNRAPEPIV DSLNVSVATALLIDNILTCK YOR202W MTEQKALVKRITNETKIQIAISLKGGPLAIEHSIFPEKEAEAVA EQATQSQVINVHTGIGFLDHMIHALAKHSGWSLIVECIGDLHIDDHHTTEDCGIALGQ AFKEALGAVRGVKRFGSGFAPLDEALSRAVVDLSNRPYAVVELGLQREKVGDLSCEMI PHFLESFAEASRITLHVDCLRGKNDHHRSESAFKALAVAIREATSPNGTNDVPSTKGV LM YOR204W MAELSEQVQNLSINDNNENGYVPPHLRGKPRSARNNSSNYNNNN GGYNGGRGGGSFFSNNRRGGYGNGGFFGGNNGGSRSNGRSGGRWIDGKHVPAPRNEKA EIAIFGVPEDPNFQSSGINFDNYDDIPVDASGKDVPEPITEFTSPPLDGLLLENIKLA RFTKPTPVQKYSVPIVANGRDLMACAQTGSGKTGGFLFPVLSESFKTGPSPQPESQGS FYQRKAYPTAVIMAPTRELATQIFDEAKKFTYRSWVKACVVYGGSPIGNQLREIERGC DLLVATPGRLNDLLERGKISLANVKYLVLDEADRMLDMGFEPQIRHIVEDCDMTPVGE RQTLMFSATFPADIQHLARDFLSDYIFLSVGRVGSTSENITQKVLYVENQDKKSALLD LLSASTDGLTLIFVETKRMADQLTDFLIMQNFRATAIHGDRTQSERERALAAFRSGAA TLLVATAVAARGLDIPNVTHVINYDLPSDVDDYVHRIGRTGRAGNTGLATAFFNSENS NIVKGLHEILTEANQEVPSFLKDAMMSAPGSRSNSRRGGFGRNNNRDYRKAGGASAGG WGSSRSRDNSFRGGSGWGSDSKSSGWGNSGGSNNSSWW YOR205C MLNLCHALRGVRQFSCSVIVKVKCASCSIKLQDQDPSKPGYYTK PKSLPDSKLNPDLQDLKYLLFSQDIQLSKQATQNDPDLKTKRDLLLRVICKRCSNALH HNNYNPEEFPESTLNDILNYVPRGSNVMHIVPFVEFPLHLDPNVLKRNDLDTTLVLTK SDQVFKDKNAVSKKVPIFMKQFLKNTLRIDSNKTFAISALKNWNISMFYNYFKNYTYL LGNPNVGKSTLINTLLQKYLGYKVKIDSTGKINSPSEEVMQEAFTNPKNFFKIQAAGV SHIPNLTRSVQAYQVGGKILFDLPGYSTSTSRLRLEELIDERWLQRLRKTDLFNRKHI KQKTYESMKGTSQGGCYTVGGIFYLVPPKGSINQIVKYIPGPSKTFKNIEKGIDVFNS CNSSSGTHPLSRYCGIKSVICEKSQYKRYAIPPFIGSIEIVLKDIGYILLRTTGRYEF KGLHEIWIPRGIQVGIREPLENLIESGYQRYIETNGKESSCPRDRPIISSLYEMAPDE ADTLNAVKKSYLEKTEKDLSARRFVDDDPYDLVQHLEKKKNPYWYYQW YOR206W MGKVSKSTKKFQSKHLKHTLDQRRKEKIQKKRIQGRRGNKTDQE KADAAGTREQQQLKKSAKEEVFKDMSVETFFEKGIEIPKENKKLKKKTTKEQSDEDSS SSEEEEDMGQSMAKLAEKDPEFYKYLEENDKDLLDFAGTNPLDGIDSQDEGEDAERNS NIEEKSEQMELEKEKIELSLKLVRKWKKQLHDSPSLKLLRNIISAFKVAVNLNKEENI EDYKYAITDEKAFHELMFMVLKDVPQAIQKMAPYKIVKGARTLPNGGNVSRVSSIVKS HAGSLLILLNDITNTETAALVLHSVNELMPYLLSYRRILKELIKSIVGVWSTTRELET QIASFAFLINTTKEFKKSMLETTLKTTYSTFIKSCRKTNMRSMPLINFQKNSAAELFG IDEVLGYQVGFEYIRQLAIHLRNTMNATTKKSSKINSAEAYKIVYNWQFCHSLDFWSR VLSFACQPEKENGSESPLRQLIYPLVQVTLGVIRLIPTPQFFPLRFYLIKSLIRLSQN SGVFIPIYPLLSEILTSTAFTKAPKKSPNLAAFDFEHNIKCTQAYLNTKIYQEGLSEQ FVDLLGDYFALYCKNIAFPELVTPVIISLRRYIKTSTNVKLNKRLSTVVEKLNQNSTF IQEKRSDVEFGPTNKSEVSRFLNDVAWNKTPLGSYVAVQREVKEEKARLMRESMEEQD KERETEEAKLLNSLESDDDNEDVEMSDA YOR207C MVAATKRRKTHIHKHVKDEAFDDLLKPVYKGKKLTDEINTAQDK WHLLPAFLKVKGLVKQHLDSFNYFVDTDLKKIIKANQLILSDVDPEFYLKYVDIRVGK KSSSSTKDYLTPPHECRLRDMTYSAPIYVDIEYTRGRNIIMHKDVEIGRMPIMLRSNK CILYDADESKMAKLNECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVEADEKKGIVQA SVTSSTHERKSKTYVITKNGKIYLKHNSIAEEIPIAIVLKACGILSDLEIMQLVCGND SSYQDIFAVNLEESSKLDIYTQQQALEYIGAKVKTMRRQKLTILQEGIEAIATTVIAH LTVEALDFREKALYIAMMTRRVVMAMYNPKMIDDRDYVGNKRLELAGQLISLLFEDLF KKFNNDFKLSIDKVLKKPNRAMEYDALLSINVHSNNITSGLNRAISTGNWSLKRFKME RAGVTHVLSRLSYISALGMMTRISSQFEKSRKVSGPRALQPSQFGMLCTADTPEGEAC GLVKNLALMTHITTDDEEEPIKKLCYVLGVEDITLIDSASLHLNYGVYLNGTLIGSIR FPTKFVTQFRHLRRTGKVSEFISIYSNSHQMAVHIATDGGRICRPLIIVSDGQSRVKD IHLRKLLDGELDFDDFLKLGLVEYLDVNEENDSYIALYEKDIVPSMTHLEIEPFTILG AVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQFKRIDTLLYLMTYPQQPMVKTKT IELIDYDKLPAGQNATVAVMSYSGYDIEDALVLNKSSIDRGFGRCETRRKTTTVLKRY ANHTQDIIGGMRVDENGDPIWQHQSLGPDGLGEVGMKVQSGQIYINKSVPTNSADAPN PNNVNVQTQYREAPVIYRGPEPSHIDQVMMSVSDNDQALIKVLLRQNRRPELGDKFSS RHGQKGVCGIIVKQEDMPFNDQGIVPDIIMNPHGFPSRMTVGKMIELISGKAGVLNGT LEYGTCFGGSKLEDMSKILVDQGFNYSGKDMLYSGITGECLQAYIFFGPIYYQKLKHM VLDKMHARARGPRAVLTRQPTEGRSRDGGLRLGEMERDCVIAYGASQLLLERLMISSD AFEVDVCDKCGLMGYSGWCTTCKSAENIIKMTIPYAAKLLFQELLSMNIAPRLRLEDI FQQ YOR208W MDRIAQQYRNGKRDNNGNRMASSAISEKGHIQVNQTRTPGQMPV YRGETINLSNLPQNQIKPCKDLDDVNIRRNNSNRHSKILLLDLCAGPNTNSFLGNTNA KDITVLSLPLPSTLVKRSNYPFENLLKNYLGSDEKYIEFTKIIKDYDIFIFSDSFSRI SSCLKTTFCLIEKFKKFICHFFPSPYLKFFLLEGSLNDSKAPSLGKNKKNCILPKLDL NLNVNLTSRSTLNLRINIPPPNDSNKIFLQSLKKDLIHYSPNSLQKFFQFNMPADLAP NDTILPNWLKFCSVKENEKVILKKLFNNFETLENFEMQRLEKCLKFKKKPLHQKQLSQ KQRGPQSTDDSKLYSLTSLQRQYKSSLKSNIQKNQKLKLIIPKNNTSSSPSPLSSDDT IMSPINDYELTEGIQSFTKNRYSNILPYEHSRVKLPHSPKPPAVSEASTTETKTDKSY PMCPVDAKNHSCKPNDYINANYLKLTQINPDFKYIATQAPLPSTMDDFWKVITLNKVK VIISLNSDDELNLRKWDIYWNNLSYSNHTIKLQNTWENICNINGCVLRVFQVKKTAPQ NDNISQDCDLPHNGDLTSITMAVSEPFIVYQLQYKNWLDSCGVDMNDIIKLHKVKNSL LFNPQSFITSLEKDVCKPDLIDDNNSELHLDTANSSPLLVHCSAGCGRTGVFVTLDFL LSILSPTTNHSNKIDVWNMTQDLIFIIVNELRKQRISMVQNLTQYIACYEALLNYFAL QKQIKNALPC YOR209C MSEPVIKSLLDTDMYKITMHAAVFTNFPDVTVTYKYTNRSSQLT FNKEAINWLKEQFSYLGNLRFTEEEIEYLKQEIPYLPSAYIKYISSSNYKLHPEEQIS FTSEEIEGKPTHYKLKILVSGSWKDTILYEIPLLSLISEAYFKFVDIDWDYENQLEQA EKKAETLFDNGIRFSEFGTRRRRSLKAQDLIMQGIMKAVNGNPDRNKSLLLGTSNILF AKKYGVKPIGTVAHEWVMGVASISEDYLHANKNAMDCWINTFGAKNAGLALTDTFGTD DFLKSFRPPYSDAYVGVRQDSGDPVEYTKKISHHYHDVLKLPKFSKIICYSDSLNVEK AITYSHAAKENGMLATFGIGTNFTNDFRKKSEPQVKSEPLNIVIKLLEVNGNHAIKIS DNLGKNMGDPATVKRVKEELGYTERSWSGDNEAHRWT YOR210W MIVPVRCFSCGKVVGDKWESYLNLLQEDELDEGTALSRLGLKRY CCRRMILTHVDLIEKFLRYNPLEKRD YOR211C MNASPVRLLILRRQLATHPAILYSSPYIKSPLVHLHSRMSNVHR SAHANALSFVITRRSISHFPKIISKIIRLPIYVGGGMAAAGSYIAYKMEEASSFTKDK LDRIKDLGESMKEKFNKMFSGDKSQDGGHGNDGTVPTATLIAATSLDDDESKRQGDPK DDDDEDDDDEDDENDSVDTTQDEMLNLTKQMIEIRTILNKVDSSSAHLTLPSIVVIGS QSSGKSSVLESIVGREFLPKGSNMVTRRPIELTLVNTPNSNNVTADFPSMRLYNIKDF KEVKRMLMELNMAVPTSEAVSEEPIQLTIKSSRVPDLSLVDLPGYIQVEAADQPIELK TKIRDLCEKYLTAPNIILAISAADVDLANSSALKASKAADPKGLRTIGVITKLDLVDP EKARSILNNKKYPLSMGYVGVITKTPSSINRKHLGLFGEAPSSSLSGIFSKGQHGQSS GEENTNGLKQIVSHQFEKAYFKENKKYFTNCQVSTKKLREKLIKILEISMSNALEPTS TLIQQELDDTSYLFKVEFNDRHLTPKSYLLNNIDVLKLGIKEFQEKFHRNELKSILRA ELDQKVLDVLATRYWKDDNLQDLSSSKLESDTDMLYWHKKLELASSGLTKMGIGRLST MLTTNAILKELDNILESTQLKNHELIKDLVSNTAINVLNSKYYSTADQVENCIKPFKY EIDLEERDWSLARQHSINLIKEELRQCNSRYQAIKNAVGSKKLANVMGYLENESNLQK ETLGMSKLLLERGSEAIFLDKRCKVLSFRLKMLKNKCHSTIEKDRCPEVFLSAVSDKL TSTAVLFLNVELLSDFFYNFPIELDRRLTLLGDEQVEMFAKEDPKISRHIELQKRKEL LELALEKIDSILVFKKSYKGVSKNL YOR212W MAAHQMDSITYSNNVTQQYIQPQSLQDISAVEDEIQNKIEAARQ ESKQLHAQINKAKHKIQDASLFQMANKVTSLTKNKINLKPNIVLKGHNNKISDFRWSR DSKRILSASQDGFMLIWDSASGLKQNAIPLDSQWVLSCAISPSSTLVASAGLNNNCTI YRVSKENRVAQNVASIFKGHTCYISDIEFTDNAHILTASGDMTCALWDIPKAKRVREY SDHLGDVLALAIPEEPNSENSSNTFASCGSDGYTYIWDSRSPSAVQSFYVNDSDINAL RFFKDGMSIVAGSDNGAINMYDLRSDCSIATFSLFRGYEERTPTPTYMAANMEYNTAQ SPQTLKSTSSSYLDNQGVVSLDFSASGRLMYSCYTDIGCVVWDVLKGEIVGKLEGHGG RVTGVRSSPDGLAVCTGSWDSTMKIWSPGYQ YOR213C MDHSIEVTFRVKTQQVIIPEQNIRGNELPLRRWQMELLMLDATG KEVEPTILSKCIYHLHSSFKQPKRRLNSLPFFIKETGWGEFNLKIECFFIGNAGKFSI EHDLTFEDDAYAVDYTVDVPHEFSHLNSELSKYFDLPWKVVSPEEEMSLRIADLPWIK SLALIDEDMMTDVVQMILNDPAVQRAIENHPRREQFFMFITQLPDDLLMKIQAFLKLP NKNSTKQERTNFGSDAIHKDEPVKAHNKLK YOR214C MLGLYLSSLFFAFFMAQVFATKYSITFTSDEYEEDETGQNEPGP LVFHLDKNSLPPALLNQMEFNPYLVLADLPEEPRAVDSQEHTDTVLASKSVIDFLLED PLTIVEHKKFSQIESILHEIMEDSIQKKVGADEVFEEIPKPKIYAYEDILVTNMSIIN NSEMPTSTATLTSTISYLSSTTSLALSTGVTSVEIFPTITPGNITTIGGYENSSSSLM PSMGILSFLFGLYLLLHP YOR215C MFRQSIRPLVSNRLTFIRYNSSPAYTAAVSLLKGDLKKAMIAKD EMKKTAIRNMLSAIKNKEIALKGKSADEYSLYDMYSKLISQRKDSINEFLANKRDDLV AKEQGEMDIIKKYMDQLPVSSELDIDQNVKKLLDALKTKAGEKKVQIKEIMGEIDWKS LPTEWKTSPTAIKNSIVKQFKEIFK YOR216C MGKNKKKTGKKAKSHPHVEDVDETVNKPEEIINSVNVTVPPKMS TDPEADGIVASPDDEGKDLSEGVDKQKVNDGLTVDTINPLEDKKAGDEMKELREEIER LKLELSHKKDQETPNEDFKNELANVIKERDEFKTQYDTLLSKISSMKSIFNKMKEAQK QLEEVQEQLTEYESQNLKLKKKLEATKTENSELQSTIVTLNTELENLEKEQESTEEVF LEYESRIEALEDEKHDIIEKHSKELNTYRKEKDQLNLQVQELMIILENNKQDISDLRT ERDELRQALESHEKEKAVLKNSLNDLELKIEEVDNKREEEARERDQEVKSLRSQLDTE IETHNNDTEALESMKKQLEAMKEDASMKEKYEEESKQHILQIGKLRHEAIILNEHLTK ALAMLKKSSDSESVDKELISNLLISFVSIPRADPRKFEVLELLSNFLNWDEDKKQQAG LISNNESKNSSAVSRTESFVSLWTNYLEKESEKD YOR217W MVNISDFFGKNKKSVRSSTSRPTRQVGSSKPEVIDLDTESDQES TNKTPKKMPVSNVIDVSETPEGEKKLPLPAKRKASSPTVKPASSKKTKPSSKSSDSAS NITAQDVLDKIPSLDLSNVHVKENAKFDFKSANSNADPDEIVSEIGSFPEGKPNCLLG LTIVFTGVLPTLERGASEALAKRYGARVTKSISSKTSVVVLGDEAGPKKLEKIKQLKI KAIDEEGFKQLIAGMPAEGGDGEAAEKARRKLEEQHNIATKEAELLVKKEEERSKKLA ATRVSGGHLERDNVVREEDKLWTVKYAPTNLQQVCGNKGSVMKLKNWLANWENSKKNS FKHAGKDGSGVFRAAMLYGPPGIGKTTAAHLVAQELGYDILEQNASDVRSKTLLNAGV KNALDNMSVVGYFKHNEEAQNLNGKHFVIIMDEVDGMSGGDRGGVGQLAQFCRKTSTP LILICNERNLPKMRPFDRVCLDIQFRRPDANSIKSRLMTIAIREKFKLDPNVIDRLIQ TTRGDIRQVINLLSTISTTTKTINHENINEISKAWEKNIALKPFDIAHKMLDGQIYSD IGSRNFTLNDKIALYFDDFDFTPLMIQENYLSTRPSVLKPGQSHLEAVAEAANCISLG DIVEKKIRSSEQLWSLLPLHAVLSSVYPASKVAGHMAGRINFTAWLGQNSKSAKYYRL LQEIHYHTRLGTSTDKIGLRLDYLPTFRKRLLDPFLKQGADAISSVIEVMDDYYLTKE DWDSIMEFFVGPDVTTAIIKKIPATVKSGFTRKYNSMTHPVAIYRTGSTIGGGGVGTS TSTPDFEDVVDADDNPVPADDEETQDSSTDLKKDKLIKQKAKPTKRKTATSKPGGSKK RKTKA YOR219C MSASTHSHKRKNSHLFPQRKSSNSSMDKPFFPNNDSVANTDPQS NENGHTINEIRPTEATIDVTDVPQTPFLQEQYSMRPRRESFQFNDIENQHHTHSFFSV NKFNRRWGEWSLPEKRSYVLVFTLIALSVLVLLVILIPSKLLPTKITRPKTSAGDSSL GKRSFSIENVLNGDFAIPEDTFHFIDPPQRLLGQDSDPGLYFTTKEIDGHTNFIAKQL FDETFEVNLGGNRFLYEGVEFTVSTVQINYKLDKLIFGTNLESEFRHSSKGFYWIKDL NTGNIEPILPPEKSDDNYELGLSKLSYAHFSPAYNYIYFVYENNLFLQQVNSGVAKKV TEDGSKDIFNAKPDWIYEEEVLASDQAIWWAPDDSKAVFARFNDTSVDDIRLNRYTNM NEAYLSDTKIKYPKPGFQNPQFDLFLVNLQNGIIYSINTGGQKDSILYNGKWISPDTF RFEITDRNSKILDVKVYDIPSSQMLTVRNTNSNLFNGWIEKTKDILSIPPKPELKRMD YGYIDIHADSRGFSHLFYYPTVFAKEPIQLTKGNWEVTGNGIVGYEYETDTIFFTANE IGVMSQHLYSISLTDSTTQNTFQSLQNPSDKYDFYDFELSSSARYAISKKLGPDTPIK VAGPLTRVLNVAEIHDDSILQLTKDEKFKEKIKNYDLPITSYKTMVLDDGVEINYIEI KPANLNPKKKYPILVNIYGGPGSQTFTTKSSLAFEQAVVSGLDVIVLQIEPRGTGGKG WSFRSWAREKLGYWEPRDITEVTKKFIQRNSQHIDESKIAIWGWSYGGFTSLKTVELD NGDTFKYAMAVAPVTNWTLYDSVYTERYMNQPSENHEGYFEVSTIQNFKSFESLKRLF IVHGTFDDNVHIQNTFRLVDQLNLLGLTNYDMHIFPDSDHSIRYHNAQRIVFQKLYYW LRDAFAERFDNTEVLHL YOR220W MANQKQMRTQILITDIPSGKFTSKWPTQLEKTLFKEQFPNLQSH LQYYTPLPFLNRIIIIFDNEDDTLQVFKFLQELLAKENSGPMKLFVTESLLNNQHPRS RSTDDAVSLQDNNLALLEDHRNKPLLSINTDPGVTGVDSSSLNKGGSSLSPDKSSLES PTMLKLSTDSKPFSYQEPLPKLSRSSSSTSNLSLNRSSQTSLPSQLENKDKSASGTKC LFASKPLGLTIDTSTRSNAASCTENDVNATASNPPKSPSITVNEFFH YOR221C MKLLTFPGQGTSISISILKAIIRNKSREFQTILSQNGKESNDLL QYIFQNPSSPGSIAVCSNLFYQLYQILSNPSDPQDQAPKNMTKIDSPDKKDNEQCYLL GHSLGELTCLSVNSLFSLKDLFDIANFRNKLMVTSTEKYLVAHNINRSNKFEMWALSS PRATDLPQEVQKLLNSPNLLSSSQNTISVANANSVKQCVVTGLVDDLESLRTELNLRF PRLRITELTNPYNIPFHNSTVLRPVQEPLYDYIWDILKKNGTHTLMELNHPIIANLDG NISYYIHHALDRFVKCSSRTVQFTMCYDTINSGTPVEIDKSICFGPGNVIYNLIRRNC PQVDTIEYTSLATIDAYHKAAEENKD YOR222W MSSDSNAKPLPFIYQFISGAVAGISELTVMYPLDVVKTRFQLEV TTPTAAAVGKQVERYNGVIDCLKKIVKKEGFSRLYRGISSPMLMEAPKRATKFACNDQ YQKIFKNLFNTNETTQKISIAAGASAGMTEAAVIVPFELIKIRMQDVKSSYLGPMDCL KKTIKNEGIMGLYKGIESTMWRNALWNGGYFGVIYQVRNSMPVAKTKGQKTRNDLIAG AIGGTVGTMLNTPFDVVKSRIQSVDAVSSAVKKYNWCLPSLLVIYREEGFRALYKGFV PKVCRLAPGGSLMLVVFTGMMNFFRDLKYGH YOR223W MSAEPLLPTHNGSQGGEVRSPDQKFIVIRFSDVSVRDLQLNISN VPFSNINTHWLRRMCRELRPQQTQKRRLKFIRNGSILNTHSKIAEELTHYFDTANNSN VATGTSVAPEQNNYYIHCIIGTEELTQAELANEDLKDDATPSNDSMTTQAIGFDRLRS VGFTEQEIELLRQQFRATYGDLEEEEERLAQNGNRDDEGHDIRQLEEQWMESGSGTAQ GNGAGGGNEDRFNSVPIANIKHNKDLLLGICVGFFFGVFGILLMKFDGLFNRRQKMAI FAGVIVNVMFCLVRGF YOR224C MSNTLFDDIFQVSEVDPGRYNKVCRIEAASTTQDQCKLTLDINV ELFPVAAQDSLTVTIASSLNLEDTPANDSSATRSWRPPQAGDRSLADDYDYVMYGTAY KFEEVSKDLIAVYYSFGGLLMRLEGNYRNLNNLKQENAYLLIRR YOR226C MFARLANPAHFKPLTGSHITRAAKRLYHPKVIDHYTNPRNVGSM DKSLANVGTGIVGAPACGDVIKLQIQVNDKSGIIENVKFKTFGCGSAIASSSYMTELV RGMSLDEAVKIKNTEIAKELSLPPVKLHCSMLAEDAIKAAIKDYKTKRNPSVLH YOR227W MSSKLKYTDIDVPLDWLYKGKRRNRTKSAASTRTSEATTTSVKK TATLPSTAAVPTKTIASPQRPLSGQNVNNELSNSKPAVSAEKVSQQGQVPTRRTRSHS VSYGLLQKKNNNDDTTDSPKISRIRTAQDQPVKETKSSTLAEPIVSKKGRSRSSSIST SLNERSKKSLFGSLFGRRPSTTPSHVVERPLSSQNDHKKSTELPPIDTRQSKISTPTS TPTTASSKPSSSGGNRHSDGSLTSKLLSIPHNILETSSTNFNAHHHIQSHHSSGREQD SPHSESSDLPPILEKETTQKQLQKVSKVNLKRVTIAVQEFNSDPPQQLPSRKPKRGNV LIPEDMISAPPLISLGITNSSDQSSFQSNISPSYSKDSKEYKLALENFKKAAKEAEKH QKDAYYVAERMAQEVANYKARQLKTSPLTGATNSAADSATDQESSSLDARASKLHIDK PINVGAHPFETHQDDNIKYSSHLEQTLDVAYTRCCHLREILPIPSTLRQVKGKTAPLQ TLKFLNPKPTLVDILSFCDFIAITPIHNIIFDNVSLTHDMFKIVICSLVTSPVVEKLG LRNVVINEQSWKLLCKFLLQNKTLIKLDISQTKARTDLNDSNYRDQMDWELFCEVLRN REGRPLEELLLNGLRFDKMSFSHFKNILLTFAQMNPKNPIRLGMANVEFSTECFDFLF NWMSEYNVQGVDLAYNNLESLAKRMIKKLARLPYKHLEYFTLNSTNITSVDDMSYILK YLSRLPSIKFLDLSNLPQLFPGILTSGYKYFPQFPQLKRIHFDFDDLSIKETTMLVSI LAKCETLSHVSLIGQSPMPDASKISDSTDEPDKSKDEKKEQIVFMRNTLWASLYAFVR DSHNLVSLDVDYDQVPDEIQSRIALCLMHNMKRIMDSSFKLDELTVQDDLIFDGSLIT ETAEEVLKRLNDKSLLQNDVGKKYLLKKYFEKMEKVHHNVQNTIDSMFEKRKSGELPL QEKENLLRLLLLEKNLSNILDIFASMPNIADVVPFSKADNSFPNIGDSTVSANYNDGI RPSLKHLDSDRLINDVSIPENDSSIRPHLMATDSGRIIDVTTGKALLFKSSSNTSLAG KRQEEEEGELHKWGVFVQHQSSRHNSGLPSSANSSRISGSLTPDSSVAGGKKGESSRT SGTRPKILPKIPTGAELRDAIIKAKGIDSVDDLIKNVTSEKVGLESLYGDELNSRSPS NDSLQESQQKAPLQRPLVEDETVTKKYDKLLNDLSNVRHSKT YOR228C MIKLHEVPPEPVDPASLPHDVNAHSPEGDGNPDKRKKIFGIPYP FSRSSCRRFLWNCQKISVLPMALYFPLHAANTLITPAVSPDSAPDDVLMMVREILPSI TTKLLVAGITLHVSAGVLLRIVNNWNKPRRNRHRHLKISAEQDLSQDSIGLTGGISGY LFGLYKTFRIPPQVISGYILVPVLIYHLLIMKWVPNSISTEVDFASIKQLLSSKNRWW KWLGGLVPLAILLESGVYHIGSGLCRYFGVRKMTSRKKWSTAINLLTLVGFVSLIRLM KEDSTKLGPNQFESIFKKIRLLLHVN YOR229W MAKSKSSQGASGARRKPAPSLYQHISSFKPQFSTRVDDVLHFSK TLTWRSEIIPDKSKGTLTTSLLYSQGSDIYEIDTTLPLKTFYDDDDDDDNDDDDEEGN GKTKSAATPNPEYGDAFQDVEGKPLRPKWIYQGETVAKMQYLESSDDSTAIAMSKNGS LAWFRDEIKVPVHIVQEMMGPATRYSSIHSLTRPGSLAVSDFDVSTNMDTVVKSQSNG YEEDSILKIIDNSDRPGDILRTVHVPGTNVAHSVRFFNNHLFASCSDDNILRFWDTRT ADKPLWTLSEPKNGRLTSFDSSQVTENLFVTGFSTGVIKLWDARAVQLATTDLTHRQN GEEPIQNEIAKLFHSGGDSVVDILFSQTSATEFVTVGGTGNVYHWDMEYSFSRNDDDN EDEVRVAAPEELQGQCLKFFHTGGTRRSSNQFGKRNTVALHPVINDFVGTVDSDSLVT AYKPFLASDFIGRGYDD YOR230W MPKKVWKSSTPSTYEHISSLRPKFVSRVDNVLHQRKSLTFSNVV VPDKKNNTLTSSVIYSQGSDIYEIDFAVPLQEAASEPVKDYGDAFEGIENTSLSPKFV YQGETVSKMAYLDKTGETTLLSMSKNGSLAWFKEGIKVPIHIVQELMGPATSYASIHS LTRPGDLPEKDFSLAISDFGISNDTETIVKSQSNGDEEDSILKIIDNAGKPGEILRTV HVPGTTVTHTVRFFDNHIFASCSDDNILRFWDTRTSDKPIWVLGEPKNGKLTSFDCSQ VSNNLFVTGFSTGIIKLWDARAAEAATTDLTYRQNGEDPIQNEIANFYHAGGDSVVDV QFSATSSSEFFTVGGTGNIYHWNTDYSLSKYNPDDTIAPPQDATEESQTKSLRFLHKG GSRRSPKQIGRRNTAAWHPVIENLVGTVDDDSLVSIYKPYTEESE YOR231W MASLFRPPESAKCNPNSPRLKLPLLRNNQVDENNIYLTSNGSST TAYSSHTPEPLTSSTSTLFSQTRLHPSDSSMTLNTMKKRPAPPSLPSLSINSQSKCKT LPELVPIADVSDGKHDLGLKQRVIAENELSGNSDLTPSSMASPFSHTNTSSPYLRNDL SNSVGSDFSNLISAYEQSSSPIKSSSQPKSSSESYIDLNSVRDVDQLDENGWKYANLK DRIETLGILGEGAGGSVSKCKLKNGSKIFALKVINTLNTDPEYQKQIFRELQFNRSFQ SEYIVRYYGMFTDDENSSIYIAMEYMGGRSLDAIYKNLLERGGRISEKVLGKIAEAVL RGLSYLHEKKVIHRDIKPQNILLNENGQVKLCDFGVSGEAVNSLATTFTGTSFYMAPE RIQGQPYSVTSDVWSLGLTILEVANGKFPCSSEKMAANIAPFELLMWILTFTPELKDE PESNIIWSPSFKSFIDYCLKKDSRERPSPRQMINHPWIKGQMKKNVNMEKFVRKCWKD YOR232W MRAFSAATVRATTRKSFIPMAPRTPFVTPSFTKNVGSMRRMRFY SDEAKSEESKENNEDLTEEQSEIKKLESQLSAKTKEASELKDRLLRSVADFRNLQQVT KKDIQKAKDFALQKFAKDLLESVDNFGHALNAFKEEDLQKSKEISDLYTGVRMTRDVF ENTLRKHGIEKLDPLGEPFDPNKHEATFELPQPDKEPGTVFHVQQLGFTLNDRVIRPA KVGIVKGEEN YOR233W MASVPKRHTYGGNVVTDRDRHSLQRNNEILHPIHKNQRKHATFG PYIIGSTLGEGEFGKVKLGWTKASSSNEVPKQVAIKLIRRDTIKKDADKEIKIYREIN ALKHLTHPNIIYLEEVLQNSKYIGIVLEFVSGGEFYKYIQRKRRLKESSACRLFAQLI SGVNYMHYKGLVHRDLKLENLLLDKHENLVITDFGFVNEFFEDNELMKTSCGSPCYAA PELVVSTKAYEARKADVWSCGVILYAMLAGYLPWDDDHENPTGDDIARLYKYITQTPL KFPEYITPIPRDLLRRILVPNPRRRINLQTIKRHVWLKPHEAFLSIQPNYWDEHLQKE RPKPPNKGDVGRHSTYSSSASSYSKSRDRNSLIIESTLEQHRMSPQLATSRPASPTFS TGSKVVLNDTKNDMKESNINGERTSASCRYTRDSKGNGQTQIEQVSARHSSRGNKHTS VAGLVTIPGSPTTARTRNAPSSKLTEHVKDSSQTSFTQEEFHRIGNYHVPRSRPRPTS YYPGLSRNTADNSLADIPVNKLGSNGRLTDAKDPVPLNAIHDTNKATISNNSIMLLSE GPAAKTSPVDYHYAIGDLNHGDKPITEVIDKINKDLTHKAAENGFPRESIDPESTSTI LVTKEPTNSTDEDHVESQLENVGHSSNKSDASSDKDSKKIYEKKRFSFMSLYSSLNGS RSTVESRTSKGNAPPVSSRNPSGQSNRSNIKITQQQPRNLSDRVPNPDKKINDNRIRD NAPSYAESENPGRSVRASVMVSTLREENRSELSNEGNNVEAQTSTARKVLNFFKRRSM RV YOR234C MAESHRLYVKGKHLSYQRSKRVNNPNVSLIKIEGVATPQEAQFY LGKRIAYVYRASKEVRGSKIRVMWGKVTRTHGNSGVVRATFRNNLPAKTFGASVRIFL YPSNI YOR235W MGLYRPSKFFHPPIPHIPFTINPDFFSFHIQRLKAKANPENFLI CFPPPDIYKGFVFCCQLDLVHLFSYVFFLFLLKICVDVLQYVIYPKHFTHKKPGFENY SI YOR236W MAGGKIPIVGIVACLQPEMGIGFRGGLPWRLPSEMKYFRQVTSL TKDPNKKNALIMGRKTWESIPPKFRPLPNRMNVIISRSFKDDFVHDKERSIVQSNSLA NAIMNLESNFKEHLERIYVIGGGEVYSQIFSITDHWLITKINPLDKNATPAMDTFLDA KKLEEVFSEQDPAQLKEFLPPKVELPETDCDQRYSLEEKGYCFEFTLYNRK YOR237W MSQHASSSSWTSFLKSISSFNGDLSSLSAPPFILSPTSLTEFSQ YWAEHPALFLEPSLIDGENYKDHCPFDPNVESKEVAQMLAVVRWFISTLRSQYCSRSE SMGSEKKPLNPFLGEVFVGKWKNDEHPEFGETVLLSEQVSHHPPMTAFSIFNEKNDVS VQGYNQIKTGFTKTLTLTVKPYGHVILKIKDETYLITTPPLHIEGILVASPFVELGGR SFIQSSNGMLCVIEFSGRGYFTGKKNSFKARIYRSPQEHSHKENALYLISGQWSGVST IIKKDSQVSHQFYDSSETPTEHLLVKPIEEQHPLESRRAWKDVAEAIRQGNISMIKKT KEELENKQRALREQERVKGVEWQRRWFKQVDYMNENTSNDVEKASEDDAFRKLASKLQ LSVKNVPSGTLIGGKDDKKDVSTALHWRFDKNLWMRENEITI YOR238W MDEKVELILVPCHSIWKSSSHPSDNSVNLGQLPEYWHLAPFQYE GNDHLAFIKHGLTAIKLLLQRFDTATVIFSGSQTKKEAGAISEAQSYYFLFEKLIRYV MSNDNIDVPNFDNELRLLLKEVKNLLSSQNVNVDELFYGGSITTEEFSLDSFDNLIYS IYRFEEVNKKFPQKITIIGFAFKMPRFISCHAKAIDYPQSNITYIGIDPKPANYNQTQ LSKYYDDLVQMEDKNALSLFSSDWYATKDRLLTKKRSRNPFNRTAPYAQNIFCKENGK RIEGIEDDEEYFETKIKCKMPWSSPRQ YOR239W MGVADLIKKFESISKEEGDATVDTNSSSKPLKSNDETKELHQQE STAVPQEVDVNEEFENEPETINSSRTAEKPLETNLPKPETNEEDEEEGSMSENKIYSK GENADINVNDFQEYKEMENTGAEVLASSVEESDAIQEGVAEETEGIATPKQKENEKND ESEEESANNASEPAEEYSQSEEDADIEQSNGKETENAENASQQANDGSTSTTTSKNKK KKNKKKNKKKRNGNVNTNANVDDSTKTGENDDTTGDTTSSTTSAIQEVNDLEVVDDSC LGIDQQHNREHLKALTQDVKEETLENIAHEGRGDNTGDQNAVEKSDFEKSDTEGSRIG RDLPFEFGKRNLTEESDVWDHNAWDNVEWGEEQVQQAEEKIKEQFKHPVPEFDKKLYN ENPARYWDIFYKNNKENFFKDRKWLQIEFPILYASTRKDAEPVTIFEIGCGAGNTFFP ILKDNENENLRIIAADFAPRAVELVKNSEQFNPKYGHATVWDLANPDGNLPDGVEPHS VDIAVMIFVFSALAPNQWDQAMDNLHKILKPGGKIIFRDYGAYDLTQVRFKKNRILEE NFYVRGDGTRVYFFSEEKLREIFTKKYFLENKIGTDRRLLVNRKRQLKMYRCWVQAVF DVPQ YOR241W MHKGKKNYPNLITSFRMNLKKIILNHDRFSHPERWKTNALLRFT FVYIKFLFDLMIIKNPLRMVGKTYRDAVTALNSLQSNYANIMAIRQTGDRKNTMTLLE MHEWSRRIGYSASDFNKLNIVHITGTKGKGSTAAFTSSILGQYKEQLPRIGLYTSPHL KSVRERIRINGEPISEEKFAKYFFEVWDRLDSTTSSLDKFPHMIPGSKPGYFKFLTLL SFHTFIQEDCKSCVYEVGVGGELDSTNIIEKPIVCGVTLLGIDHTFMLGDTIEEIAWN KGGIFKSGAPAFTVEKQPPQGLTILKERAEERKTTLTEVPPFKQLENVKLGIAGEFQK SNASLAVMLASEILHTSNILEEKIKCSSNASIPEKFIIGLQNTKWEGRCQVLEKGKNV WYIDGAHTKDSMVAASTWFRDMVRLSKRKKILLFNQQSRDANALVNNLYSSVSPEITF DDVIFTTNVTWKSGSYSADLVSMNTSQEDVEKLKVQESLVKNWNKIDDNRAKTHVTAS IEEANELIETLYDEPADIFVTGSLHLVGGLLVVFDRIDVK YOR242C MYKNYYSNTEVYKKHKDSGSLRKKALRSRRSSFFSFFNDSSSSN GNEFIGFRRFAKAYLFGREIGSCGTDSYTPVGANVNKRRLKKEDKNDQQLWKRQHHSQ GCFFPIDDDSNKQTEAAVNKFYENGEYVNQDLIFKGKVYSEESEVVDEKTAGSQNPAL LKTRSISLNDIPRGTGISSVLSQVRGGSLERIIVYRYDTPERSLHKVDLFFLNYEGAQ SFMRYAKTNIFKVNGVQLKPEWIFLESTYENIMKEQSVNRIIEEEKFISRCLIVKKSS TTAMPNKSNLNKGQTLENIDIQELEKDFQNFGEVLEITPIVSRKLCVSIFFYDISSAM RAMEEYEQKGSYLYNKYFKTWTIWYGKDITDQPCIDL YOR243C MSDSSEATVKRPLDAHVGPSENAAKKLKIEQRTQADGIHEADVG ITLFLSPELPGFRGQIKQRYTDFLVNEIDQEGKVIHLTDKGFKMPKKPQRSKEEVNAE KESEAARRQEFNVDPELRNQLVEIFGEEDVLKIESVYRTANKMETAKNFEDKSVRTKI HQLLREAFKNELESVTTDTNTFKIARSNRNSRTNKQEKINQTRDANGVENWGYGPSKD FIHFTLHKENKDTMEAVNVITKLLRVPSRVIRYAGTKDRRAVTCQRVSISKIGLDRLN ALNRTLKGMIIGNYNFSDASLNLGDLKGNEFVVVIRDVTTGNSEVSLEEIVSNGCKSL SENGFINYFGMQRFGTFSISTHTIGRELLLSNWKKAAELILSDQDNVLPKSKEARKIW AETKDAALALKQMPRQCLAENALLYSLSNQRKEEDGTYSENAYYTAIMKIPRNLRTMY VHAYQSYVWNSIASKRIELHGLKLVVGDLVIDTSEKSPLISGIDDEDFDEDVREAQFI RAKAVTQEDIDSVKYTMEDVVLPSPGFDVLYPSNEELKQLYVDILKADNMDPFNMRRK VRDFSLAGSYRTVIQKPKSLEYRIIHYDDPSQQLVNTDLDILNNTRAKESGQKYMKAK LDRYMPDKGGEKTAVVLKFQLGTSAYATMALRELMKLETSRRGDMCDVKENI YOR244W MSHDGKEEPGIAKKINSVDDIIIKCQCWVQKNDEERLAEILSIN TRKAPPKFYVHYVNYNKRLDEWITTDRINLDKEVLYPKLKATDEDNKKQKKKKATNTS ETPQDSLQDGVDGFSRENTDVMDLDNLNVQGIKDENISHEDEIKKLRTSGSMTQNPHE VARVRNLNRIIMGKYEIEPWYFSPYPIELTDEDFIYIDDFTLQYFGSKKQYERYRKKC TLRHPPGNEIYRDDYVSFFEIDGRKQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCM TRRDELGHHLVGYFSKEKESADGYNVACILTLPQYQRMGYGKLLIEFSYELSKKENKV GSPEKPLSDLGLLSYRAYWSDTLITLLVEHQKEITIDEISSMTSMTTTDILHTAKTLN ILRYYKGQHIIFLNEDILDRYNRLKAKKRRTIDPNRLIWKPPVFTASQLRFAW YOR245C MSGTFNDIRRRKKEEGSPTAGITERHENKSLSSIDKREQTLKPQ LESCCPLATPFERRLQTLAVAWHTSSFVLFSIFTLFAISTPALWVLAIPYMIYFFFDR SPATGEVVNRYSLRFRSLPIWKWYCDYFPISLIKTVNLKPTFTLSKNKRVNEKNYKIR LWPTKYSINLKSNSTIDYRNQECTGPTYLFGYHPHGIGALGAFGAFATEGCNYSKIFP GIPISLMTLVTQFHIPLYRDYLLALGISSVSRKNALRTLSKNQSICIVVGGARESLLS STNGTQLILNKRKGFIKLAIQTGNINLVPVFAFGEVDCYNVLSTKKDSVLGKMQLWFK ENFGFTIPIFYARGLFNYDFGLLPFRAPINVVVGRPIYVEKKITNPPDDVVNHFHDLY IAELKRLYYENREKYGVPDAELKIVG YOR246C MLDPRILPYYDPAVERKIAVVTGGNTGIGWYTVLHLYLHGFVVY ICGRNSHKISKAIQEILAEAKKRCHEDDDGSSPGAGPGPSIQRLGSLHYIHLDLTDLK CVERAALKILKLEDHIDVLVNNAGIMAVPLEMTKDGFEVQLQTNYISHFIFTMRLLPL LRHCRGRIISLSSIGHHLEFMYWKLSKTWDYKPNMLFTWFRYAMSKTALIQCTKMLAI KYPDVLCLSVHPGLVMNTNLFSYWTRLPIVGIFFWLLFQVVGFFFGVSNEQGSLASLK CALDPNLSVEKDNGKYFTTGGKESKSSYVSNNVDEAASTWIWTVHQLRDRGFDI YOR247W MLQSVVFFALLTFASSVSAIYSNNTVSTTTTLAPSYSLVPQETT ISYADDTTTFFVTSTVYSTSWFTSTSATITNAASSSLSTSSASGSVTPESTHEITSTS TITSTLLLTLHDSTTLSPSSTAASVSDEDSNNKDAKVKSFEQASTSNGCVPITKFVTV TNEPVTQYVTVTPNTTTQYVTVTGAPSVTTTSPGNVQWYNTTSITNSTSW YOR249C MSKYGPLGITNFITPYDLCILILIHAHCSQDNGISVPTAVFLRL ISPTRPSLEWNPLLKDNSNLRSSSIVPPPVLPILDNIIRILLDDKDGNKIALTLMGYL EAINGLDSINRLMMDLEKNCLVNNYRSMKMRTTSTRRQMTRASFLGTFLSTCIRKYQI GDFEMRETIWINLQNFKTVFKHTPLWLRFKDNVHIQKVKNCLLANDEISVEDQQMVEF FQHFNNGNDADSKTMNEENYGTLISIQHLQSIVNRQIVNWLDNTEFNLMGQEETSSTY EEQSGLVFDLLDTLSLNDATKFPLIFILKYLEAIKENSYQTALDSLHNYFDYKSTGNS QNYFHISLLSLATFHSSFNECDAAINSFEEATRIARENKDMETLNLIMIWIINFIEVH PEYANRFYITVEQIIKYLKNSSDVEDANIFSNAYKFETLLSMVKESKTAEVSSSLLKF MAITLQNVPSQNFDLFQSLVSYEVKFWKELGYESISDVYEKFLSKTSSSSLRNYDSSI INQDIKVAFKALEEDDFLKVKQYLLKSESLELDYDQKINLKYLRVKYLVKIGDYDLSM RLINQYVKECCEEVADSNWRFKFEIESINVLLLSDVGIRSLPKIIKLIDEYKEIGNPL RCVILLLKLCEVLIQVGKSMEAECLISCNLSTILEFPFVRKKTDELLESLSVEEDRDV QMT YOR250C MASLPGIDEHTTSEELITGDNEWHKLVIPKGSDWQIDLKAEGKL IVKVNSGIVEIFGTELAVDDEYTFQNWKFPIYAVEETELLWKCPDLTTNTITVKPNHT MKYIYNLHFMLEKIRMSNFEGPRVVIVGGSQTGKTSLSRTLCSYALKFNAYQPLYINL DPQQPIFTVPGCISATPISDILDAQLPTWGQSLTSGATLLHNKQPMVKNFGLERINEN KDLYLECISQLGQVVGQRLHLDPQVRRSGCIVDTPSISQLDENLAELHHIIEKLNVNI MLVLCSETDPLWEKVKKTFGPELGNNNIFFIPKLDGVSAVDDVYKRSLQRTSIREYFY GSLDTALSPYAIGVDYEDLTIWKPSNVFDNEVGRVELFPVTITPSNLQHAIIAITFAE RRADQATVIKSPILGFALITEVNEKRRKLRVLLPVPGRLPSKAMILTSYRYLE YOR251C MPLFDLISPKAFVKLVASEKVHRIVPVDATWYLPSWKLDNKVDF LTKPRIPNSIFFDIDAISDKKSPYPHMFPTKKVFDDAMSNLGVQKDDILVVYDRVGNF SSPRCAWTLGVMGHPKVYLLNNFNQYREFKYPLDSSKVAAFSPYPKSHYESSESFQDK EIVDYEEMFQLVKSGELAKKFNAFDARSLGRFEGTEPEPRSDIPSGHIPGTQPLPYGS LLDPETKTYPEAGEAIHATLEKALKDFHCTLDPSKPTICSCGTGVSGVIIKTALELAG VPNVRLYDGSWTEWVLKSGPEWIAENRD YOR252W MPVTKSLSKLQKNLSKKGKNITVHPKGRKYEKLVRATMREDKIA AKKKLHQDKRVHELARVKFMQDVVNSDTFKGQPIFDHAHTREFIQSFIERDDTELDEL KKKRRSNRPPSNRQVLLQQRRDQELKEFKAGFLCPDLSDAKNMEFLRNWNGTFGLLNT LRLIRINDKGEQVVGGNE YOR253W MGRDICTLDNVYANNLGMLTKLAHVTVPNLYQDAFFSALFAEDS LVAKNKKPSSKKDVHFTQMAYYSEIPVGGLVAKLVPKKQNELSLKGIQIEFLGVLPNY RHKSIGSKLLKFAEDKCSECHQHNVFVYLPAVDDLTKQWFIAHGFEQVGETVNNFIKG VNGDEQDAILLKKHIS YOR254C MPTNYEYDEASETWPSFILTGLLMVVGPMTLLQIYQIFFGANAE DGNSGKSKEFNEEVFKNLNEEYTSDEIKQFRRKFDKNSNKKSKIWSRRNIIIIVGWIL VAILLQRINSNDAIKDAATKLFDPYEILGISTSASDRDIKSAYRKLSVKFHPDKLAKG LTPDEKSVMEETYVQITKAYESLTDELVRQNYLKYGHPDGPQSTSHGIALPRFLVDGS ASPLLVVCYVALLGLILPYFVSRWWARTQSYTKKGIHNVTASNFVSNLVNYKPSEIVT TDLILHWLSFAHEFKQFFPDLQPTDFEKLLQDHINRRDSGKLNNAKFRIVAKCHSLLH GLLDIACGFRNLDIALGAINTFKCIVQAVPLTPNCQILQLPNVDKEHFITKTGDIHTL GKLFTLEDAKIGEVLGIKDQAKLNETLRVASHIPNLKIIKADFLVPGENQVTPSSTPY ISLKVLVRSAKQPLIPTSLIPEENLTEPQDFESQRDPFAMMSKQPLVPYSFAPFFPTK RRGSWCCLVSSQKDGKILQTPIIIEKLSYKNLNDDKDFFDKRIKMDLTKHEKFDINDW EIGTIKIPLGQPAPETVGDFFFRVIVKSTDYFTTDLDITMNMKVRDSPAVEQVEVYSE EDDEYSTDDDETESDDESDASDYTDIDTDTEAEDDESPE YOR255W MRAPPSPRKSKSGHFFYLYFRLCQLFSGRKLKRRWHVHKLHIHQ YNTRWNLSPLSEIHIEDMINEPSGLCPGSSKKKPLLIARFPKGCQESPRVYVLQRNNL SRLKLSKRKYALRFYHNEIFGNNLKRKDGSIHKVEHQQCAETVRKIKKVTANHADVKI IFHDKNTIRSDKLGGRSNKMQTRPSVLEEDVEEEVSSVYIRFCDDHSLRVKDYHSLHR HSKKSSKEKRNNQEIGKSKLLGKLFEEETSRQNKGVEKKLDTIVIQKFQNYPIVSFSR VI YOR256C MRSSYQPVSTTNFEHENAIPTASSSHNLLMSQRFDDSPPSSNDN SIETNITPPPEPPSYEFDIEDPHDDLHKRTHLQRVSIGFQEKILEPLMENIIHPLLQI SKFVPDKADYYLSKIGNPFILRRFFYIIFMSFIAYYVLSSGYLFNEKASGSKGMFSQH DILFEYAKKSVDLAKFERDLEYISSMPHGSGTKGDAAIYRYIQESFDNNGLKLVKEMG YSVYSNYPGNVSISYYDNKNEKHDLELSKENFNPLSSNGKLSKVSLIYGGKGTTYDLQ HLKDSKTIEDGKDYVLLLQYDKLVSQQVLIAEKFGAKAVIFISEPYGENIDVVQSKPV GLPQYSTGDASGLNWDGSPVEEKDHKFWRQTHIPTIPISTRQGKELLSRLSSGGVTVD DGNSDRSNSGKMGDVLIDVDLQTNVREKHFIPNIVGKIEGREQSDKAIIIAASRNSIN FGTTYPNFGTAALLSIVQLFQEVKYKFGWKPLRNIYFISFGGTEFNYAGSSELVEQRL TPLKDEIYSLIDISQLGIPFAEKYENGKTRGELSIETHPLLKKFFNRNAHGNFDISVD NVQHYGDWTPFLANGIPVSVISSDSTRNRDTPTETSEDKFERVEKILEDEQNQQSVKD LLVYLLHISMELIDDPLLHFDIISYVEDIDERLQRLEQAYPEKLNFTSIIKGLLFWKK IGSEWASWTQGWENIVWSHGDGIEPSLLSINRWTWNKKLTNIGRRTCSPAGLPNRSFY KNVLFGPTLIQEDKSKNGGNVDFWTFPGVMDAIYDDDWKRAQEQIDLIGKVLHQSAAL FVEETNDIGYK YOR257W MSKNRSSLQSGPLNSELLEEQKQEIYEAFSLFDMNNDGFLDYHE LKVAMKALGFELPKREILDLIDEYDSEGRHLMKYDDFYIVMGEKILKRDPLDEIKRAF QLFDDDHTGKISIKNLRRVAKELGETLTDEELRAMIEEFDLDGDGEINENEFIAICTD S YOR258W MSWRYALKNYVTSPETVNDDTVTYFDDKVSIIRDSFPKSECHLL ILPRTMQLSRSHPTKVIDAKFKNEFESYVNSAIDHIFRHFQEKFRIKKSDDDKDPCWD DILKDKNKFVRNFVQVGIHSVPSMANLHIHVISKDFHSVRLKNKKHYNSFNTGFFISW DDLPLNGKNLGTDKEIETTYLKEHDLLCCYCQRNFSNKFSLLKKHLELEFNSHFELK YOR259C MSEEQDPLLAGLGETSGDNHTQQSHEQQPEQPQETEEHHEEEPS RVDPEQEAHNKALNQFKRKLLEHRRYDDQLKQRRQNIRDLEKLYDKTENDIKALQSIG QLIGEVMKELSEEKYIVKASSGPRYIVGVRNSVDRSKLKKGVRVTLDITTLTIMRILP RETDPLVYNMTSFEQGEITFDGIGGLTEQIRELREVIELPLKNPEIFQRVGIKPPKGV LLYGPPGTGKTLLAKAVAATIGANFIFSPASGIVDKYIGESARIIREMFAYAKEHEPC IIFMDEVDAIGGRRFSEGTSADREIQRTLMELLTQMDGFDNLGQTKIIMATNRPDTLD PALLRPGRLDRKVEIPLPNEAGRLEIFKIHTAKVKKTGEFDFEAAVKMSDGFNGADIR NCATEAGFFAIRDDRDHINPDDLMKAVRKVAEVKKLEGTIEYQKL YOR260W MSIQAFVFCGKGSNLAPFTQPDFPFQTQNKDSTAATSGDKLNEL VNSALDSTVINEFMQHSTRLPKALLPIGNRPMIEYVLDWCDQADFKEISVVAPVDEIE LIESGLTSFLSLRKQQFELIYKALSNSNHSHHLQDPKKINFIPSKANSTGESLQKELL PRINGDFVILPCDFVTDIPPQVLVDQFRNRDDNNLAMTIYYKNSLDSSIDKKQQQKQK QQQFFTVYSENEDSERQPILLDVYSQRDVTKTKYLQIRSHLLWNYPNLTVSTKLLNSF IYFCSFELCQLLKLGPQSMSRQASFKDPFTGNQQQQNPPTTDDDEDRNHDDDDDYKPS ATSIQPTYFKKKNDLILDPINCNKSLSKVFRDLSRRSWQHSKPREPIGIFILPNETLF IRANNLNAYMDANRFVLKIKSQTMFTKNIQIQSAAIGADAIVDPKCQISAHSNVKMSV LGTQANIGSRCRVAGSLLFPGVHLGDEVILENCIIGPMAKIGSKCKLSNCYIEGHYVV EPKNNFKGETLANVYLDEDEEDELIYDDSVIAGESEIAEETDSDDRSDEDSDDSEYTD EYEYEDDGLFER YOR261C MSLQHEKVTIAPLVLLSALDHYERTQTKENKRCVGVILGDANSS TIRVTNSFALPFEEDEKNSDVWFLDHNYIENMNEMCKKINAKEKLIGWYHSGPKLRAS DLKINELFKKYTQNNPLLLIVDVKQQGVGLPTDAYVAIEQVKDDGTSTEKTFLHLPCT IEAEEAEEIGVEHLLRDVRDQAAGGLSIRLTNQLKSLKGLQSKLKDVVEYLDKVINKE LPINHTILGKLQDVFNLLPNLGTPDDDEIDVENHDRINISNNLQKALTVKTNDELMVI YISNLVRSIIAFDDLIENKIQNKKIQEQRVKDKQSKVSDDSESESGDKEATAPLIQRK NKKN YOR262W MPFAQIVIGPPGSGKSTYCNGCSQFFNAIGRHSQVVNMDPANDA LPYPCAVDIRDFITLEEIMQEQQLGPNGGLMYAVESLDNSIDLFILQIKSLVEEEKAY LVFDCPGQVELFTHHSSLFNIFKKMEKELDIRFCVVNLIDCFYMTSPSQYISILLLAL RSMLMMDLPHINVFSKIDMLKSYGELPFRLDYYTEVQDLDYLEPYIEKEGSSVLGKKY SKLTETIKELVSDFNLVSFEVLSVDDKESMINLQGVIDKANGYIFGASEVGGDTVWAE ASREGALIANYDIQDRWIDNKEKYDKEEEEKRTALLKEQELQNKAVDVNEEDEWENAL KEWEEKQGMDFVR YOR264W MPRKFLGNKIEKNVDAVRPSSLTLTADDLKYIPPIPQDFEDEDD KVLRTSNGGNRLSKRFGGTLKLKKRLESVPELFLHDFKKRPRSQLEVIREKKFTDMQV PKGPVCPQSTILPLRERKKVKSLPIQRKSLRRPTLSKPAVVQSLGHKTHSDHIIDKVF VSRPAPIVMPVKALTPINPVSLMQTQTQDCCRKNKYGKSGSEILFDEILSAYENVSTS DSTALNSEIDRIIDICASKQIAKKNEAFQVPYVVCPDDTETLFSSTTPKLKPVNSNTL NDVISSPEYTTSGCSTYSDQSNSDEELSEVESIVWNTNKRTMRSSIVSESTSEEGYCT AAETLPSTVSVEDLDIHNKLPKVAQTSSCNTLLNKLSIRKLKKVILDPPKIMHVMTFD DDSDDGDDNDDEDRALNILQKKIDCIEIASCSSSIYSE YOR265W MAPTQLDIKVKALKRLTKEEGYYQQELKDQEAHVAKLKEDKSVD PYDLKKQEEVLDDTKRLLPTLYEKIREFKEDLEQFLKTYQGTEDVSDARSAITSAQEL LDSK YOR266W MDSRVALVRKYIAPSVIKSDSIQLHGLVKAPLFKALNSRYKLGS LQIVQDVDWNAKTTPSDSPEPLAATLNSNRSLPMTKFPKQEILEQVKLDTKVGKWRKF MTGWFRIGLYLLKSYKTGIQNTLKVFWDTRNEEQKFSIKNGALANLVREIEMHEINTR LSSSSLPTSSSAKAPLRPLSINRKTLVELIRRDQIWKLPVFFTLVFIFEEVSVLIFTF FPRVCPYNCLTPGGYKKLSNSYIKGTTSTQGNYGLGPLEFTKQGTIKYEPPYAVPIEN LYNFLTSFPQSMISNWKLYIYKKLKLQKLLCNEIEKIYQYLFIDDWLLLQSILNTDVE KTKIALSDRELVNCILERKLYHMGDDLNEMVNDTLGKEILLKRLFLYWTLRYNDTISL NGKHTFSEKWGVNNISLLKYNSELVATKDIQ YOR267C MPNLLSRNPFHGHHNDHHHDRENSSNNPPQLIRSSKSFLNFIGR KQSNDSLRSEKSTDSMKSTTTTTNYTTTNLNNNTHSHSNATSISTNNYNNNYETNHHH NISHGLHDYTSPASPKQTHSMAELKRFFRPSVNKKLSMSQLRSKKHSTHSPPPSKSTS TVNLNNHYRAQHPHGFTDHYAHTQSAIPPSTDSILSLSNNINIYHDDCILAQKYGKLG KLLGSGAGGSVKVLVRPTDGATFAVKEFRPRKPNESVKEYAKKCTAEFCIGSTLHHPN VIETVDVFSDSKQNKYYEVMEYCPIDFFAVVMTGKMSRGEINCCLKQLTEGVKYLHSM GLAHRDLKLDNCVMTSQGILKLIDFGSAVVFRYPFEDGVTMAHGIVGSDPYLAPEVIT STKSYDPQCVDIWSIGIIYCCMVLKRFPWKAPRDSDDNFRLYCMPDDIEHDYVESARH HEELLKERKEKRQRFLNHSDCSAINQQQPAHESNLKTVQNQVPNTPASIQGKSDNKPD IVEEETEENKEDDSNNDKESTPDNDKESTIDIKISKNENKSTVVSANPKKVDADADAD CDANGDSNGRVDCKANSDCNDKTDCNANNDCSNESDCNAKVDTNVNTAANANPDMVPQ NNPQQQQQQQQQQQQQQQQQQQQHHHHQHQNQDKAHSIASDNKSSQQHRGPHHKKIIH GPYRLLRLLPHASRPIMSRILQVDPKKRATLDDIFNDEWFAAIAACTMDSKNKVIRAP GHHHTLVREENAHLETYKV YOR268C MVFVFPFPFFSYGFSSFLEAGKKASYKMYYAEPELKTTRTGRAV ACDAGSPRIIRVTLKDKIGLSERFTGRVFCYLAVACAWLSQYYHHTCAFFILYVHVCV CFLFFRWCLFATVSLIHESQTQAGSAYYTK YOR269W MTNWQQQLPLTDTQKNELDKSVLRYLNWNYKQTVRHEHAQDYES VRHAIVTLSGFLLQESVDRQEFISNNDTSNESMVDIDELLLPKKWNSIVRLQKKIIEL EQNTETLVSQIKDLNTQVSELAQFKPTTSNGTSAHNVLKWIPRNLPSCLINVESSVTS VKLHPNLPIVFVATDHGKLYAFDLFNYTIPLASLQSHTKAITSMDVLFTNYTNSSKKN YLVIVTASKDLQIHVFKWVSEECKFQQIRSLLGHEHIVSAVKIWQKNNDVHIASCSRD QTVKIWDFHNGWSLKTFQPHSQWVRSIDVLGDYIISGSHDTTLRLTHWPSGNGLSVGT GHEFPIEKVKFIHFIEDSPEIRFRTPSTDRYKNWGMQYCVSASRDRTIKIWEIPLPTL MAHRAPIPNPTDSNFRCVLTLKGHLSWVRDISIRGQYLFSCADDKSVRCWDLNTGQCL HVWEKLHTGFVNCLDLDVDFDSNVTPRQMMVTGGLDCKSNVFMR YOR270C MAEKEEAIFRSAEMALVQFYIPQEISRDSAYTLGQLGLVQFRDL NSKVRAFQRTFVNEIRRLDNVERQYRYFYSLLKKHDIKLYEGDTDKYLDGSGELYVPP SGSVIDDYVRNASYLEERLIQMEDATDQIEVQKNDLEQYRFILQSGDEFFLKGDNTDS TSYMDEDMIDANGENIAAAIGASVNYVTGVIARDKVATLEQILWRVLRGNLFFKTVEI EQPVYDVKTREYKHKNAFIVFSHGDLIIKRIRKIAESLDANLYDVDSSNEGRSQQLAK VNKNLSDLYTVLKTTSTTLESELYAIAKELDSWFQDVTREKAIFEILNKSNYDTNRKI LIAEGWIPRDELATLQARLGEMIARLGIDVPSIIQVLDTNHTPPTFHRTNKFTAGFQS ICDCYGIAQYREINAGLPTIVTFPFMFAIMFGDMGHGFLMTLAALSLVLNEKKINKMK RGEIFDMAFTGRYIILLMGVFSMYTGFLYNDIFSKTMTIFKSGWKWPDHWKKGESITA TSVGTYPIGLDWAWHGTENALLFSNSYKMKLSILMGFIHMTYSYFFSLANHLYFNSMI DIIGNFIPGLLFMQGIFGYLSVCIVYKWAVDWVKDGKPAPGLLNMLINMFLSPGTIDD ELYPHQAKVQVFLLLMALVCIPWLLLVKPLHFKFTHKKKSHEPLPSTEADASSEDLEA QQLISAMDADDAEEEEVGSGSHGEDFGDIMIHQVIHTIEFCLNCVSHTASYLRLWALS LAHAQLSSVLWTMTIQIAFGFRGFVGVFMTVALFAMWFALTCAVLVLMEGTSAMLHSL RLHWVESMSKFFVGEGLPYEPFAFEYKDMEVAVASASSSASS YOR271C MASSVPGPIDLPESRYDLSTYWGRIRHCAEISDPTMLLTTEKDL AHAREIISAYRHGELKETTPEFWRAKKQLDSTVHPDTGKTVLLPFRMSSNVLSNLVVT VGMLTPGLGTAGTVFWQWANQSLNVAVNSANANKSHPMSTSQLLTNYAAAVTASCGVA LGLNNLVPRLKNISPHSKLILGRLVPFAAVVSAGIVNVFLMRGNEIRKGISVFDSNGD EVGKSKKAAFMAVGETALSRVINATPTMVIPPLILVRLQRGVLKGKSLGVQTLANLGL ISVTMFSALPFALGIFPQRQAIHLNKLEPELHGKKDKDGKPIEKVYFNRGI YOR272W MTEDKSQVKIRFFTREKDELLHVQDTPMYAPISLKRYGLSEIVN HLLGSEKPVPFDFLIEGELLRTSLHDYLTKKGLSSEASLNVEYTRAILPPSYLNSFSN EDWVSSLDVGDGSKHIISGSYDGIVRTWDLSGNVQKQYSGHSGPIRAVKYISNTRLVS AGNDRTLRLWKTKNDDLKLTSQQQAQEDDDDEVNIEDGKTLAILEGHKAPVVSIDVSD NSRILSASYDNSIGFWSTIYKEMTVVDPLEDINNPNNKISTAARKRRKLTMKDGTIRR RAPLSLLESHTAPVEQVIFDSTDNTVGYSVSQDHTIKTWDLVTARCIDTRTTSYSLLS IAQLSTLNLLACGSSARHITLHDPRVGASSKVTQQQLIGHKNFVSSLDTCPENEYILC SGSHDGTVKVWDVRSTSPMYTITREDKSVQKGVNDKVFAVKWAEKVGIISAGQDKKIQ INKGDNIFKN YOR273C MPSSLTKTESNSDPRTNIQQVPKALDKNVTNSGNLDSTSSSTGS ITEDEKRSEPNADSNNMTGGEPIDPRDLDWDGPDDPDNPHNWSSLKKWYTTMTSAFLC LVVTMGSSLYVSSVPELVERYHVSQTLALAGLTFYLLGLSTVIGAPLSEVFGRKPVYL FSLPVSMLFTMGVGLSNGHMRIILPLRFLSGVFASPALSVGSGTILDIFDVDQVSVAM TYFVLSPFLGPVLSPIMAGFATEAKGWRWSEWIQLIAGGLILPFIALMPETHKGIILR KRAKKRNIALKKFSREAQKEFLKTTVTITILRPLKMLVVEPIVFVFSVYVAFIFAILF GFFEAYAVIYRGVYHMSMGISGLPFIGIGVGLWIGAFFYLYIDRKYLFPKPPAGTQPL TEKERTSKRTTPYRGARDAETGELLPVVPEKFLIACKFGSVALPIGLFWQAWTARSDV HWMAPVAAGVPFGFGLILIFFSVLMYFSTCYPPLTVASCLAANNLLRYVMSSVFPLFT IQMYTKMKIKWASTLFALVCVVMIPIPWVFEKWGSKLRHKSQFGYAAMEKEAETEGGI DDVNAVDGELNLTRMTTLRTMETDPSTREKPGERLSLRRTHTQPVPASFDREDGQHAQ NRNEPISNSLYSAIKDNEDGYSYTEMATDASARMV YOR274W MLKGPLKGCLNMSKKVIVIAGTTGVGKSQLSIQLAQKFNGEVIN SDSMQVYKDIPIITNKHPLQEREGIPHHVMNHVDWSEEYYSHRFETECMNAIEDIHRR GKIPIVVGGTHYYLQTLFNKRVDTKSSERKLTRKQLDILESTDPDVIYNTLVKCDPDI ATKYHPNDYRRVQRMLEIYYKTGKKPSETFNEQKITLKFDTLFLWLYSKPEPLFQRLD DRVDDMLERGALQEIKQLYEYYSQNKFTPEQCENGVWQVIGFKEFLPWLTGKTDDNTV KLEDCIERMKTRTRQYAKRQVKWIKKMLIPDIKGDIYLLDATDLSQWDTNASQRAIAI SNDFISNRPIKQERAPKALEELLSKGETTMKKLDDWTHYTCNVCRNADGKNVVAIGEK YWKIHLGSRRHKSNLKRNTRQADFEKWKINKKETVE YOR275C MSELLAIPLKRTLEVDFATELSKLIDTTSFQTASFFQSDILKVV DARNNAIAPDISIDGLSALKEYYVILLQLEKKFPNNQIEFTWFQTLSQKSRGTSQYSL QWEKLTIIYNIGCMYSLLALNSNNDAAESLKTSCLYFQNAAGCFKHVLDHQKNLETIP VVDDATLNALTSLMLAQAQECFWFKAVQDKHKDSLIAKLSQQIVDFYCEAINDAQRGK LIRSDWINHLKAKKAYFSAVTYYRIALSFNEKKQFGNVVKALQMGLQFINESTLSSQA KFKTVVESSLKEAQRDNEFIYLQEVPSELPSIKPALMVKPSSSATLLPSIKKDETLFK DLIPIEVMEYCTAYNERQDEYVEQRVTNPLASLNKLLKESLTTFQIPQGLTKVSEAEL SHYQASLNNLLINNKNVQVQLDNIEQILNEEAFTDNQLRLKHGTLNWTLPESSTTNTA YYEKLKKLRGYLDEGSAIDKQTNELFQSIDKNLIGSEIRLPESNDPLTNKIKMIIQER NDYIDRTRRKSSEYRILPKIITSYKKNGTVDFEPIFIGHLKYFDEDLRYVNSTKEENI KLIEEVNLSKKNNPGRSGIEPKKMVRIDPRELYIEDLRYSFKLLDEVKENLSAGTAFY ENLITSTSNLYNEVQEYDTARRAEKARLDKSLTFEDQ YOR276W MIKYTIDELFQLKPSLTLEVNFDAVEFRAIIEKVKQLQHLKEEE FNSHHVGHFGRRRSSHHHGRPKIKHNKPKVTTDSDGWCTFEAKKKGSGEDDEEETETT PTSTVPVATIAQETLKVKPNNKNISSNRPADTRDIVADKPILGFNAFAALESEDEDDE A YOR278W MSSRKKVRVLLLKNKTVPIDKYELECRSKAFEPIFVPLIKHTHV IQDFRNVLNTIPNYLNTINYIIITSQRTVESLNEAIIPTLTSEQKAALLSKTVYTVGP ATANFIRRSGFINVKGGEDAGNGSILADIIIDDLSTDIKACPPSELLFLVGEIRRDII PKKLHSKGIKVREVVTYKTEELSDGFKRFIHAMKECDEDEVFSDWVVVFSPQGTKEIT QYLGDSNRLPGSHLRVASIGPTTKKYLDDNDVTSDVVSPKPDPKSLLDAIELYQRHK YOR279C MSTNTEIIKNSDLQSLINDKRRLINEIKDFNKSIKPLEFESYQD YFLIKTFKKGISASGHVDIDSLRNKEYGIYYKKIKRNSTQEVGEPIPRNTSSSSSSTR SNSSADISDTEYSGENTPTTTGAASRRRRTRSRAIQRENSLPASLPSISEANANNDDV TISEINGSELPFPIPISEVENIDIASDITERDGIRRRSSRISERDKRRSQSRLGSEED EEGDGHDGDEGETKIQDLYESLVPKILESRRRSDWILPPKARYTPEKQMRTKPSFKSI KINELVGNKRIRSILSRFEGGVAGIRKRDWDSTQ YOR280C MSEKKKVLMLHGFVQSDKIFSAKTGGLRKNLKKLGYDLYYPCAP HSIDKKALFQSESEKGRDAAKEFNTSATSDEVYGWFFRNPESFNSFQIDQKVFNYLRN YVLENGPFDGVIGFSQGAGLGGYLVTDFNRILNLTDEQQPALKFFISFSGFKLEDQSY QKEYHRIIQVPSLHVRGELDEVVAESRIMALYESWPDNKRTLLVHPGAHFVPNSKPFV SQVCNWIQGITSKEGQEHNAQPEVDRKQFDKPQLEDDLLDMIDSLGKL YOR281C MQNEPMFQVQVDESEDSEWNDILRAKGVIPERAPSPTAKLEEAL EEAIAKQHENRLEDKDLSDLEELEDDEDEDFLEAYKIKRLNEIRKLQERSKFGEVFHI NKPEYNKEVTLASQGKKYEGAQTNDNGEEDDGGVYVFVHLSLQSKLQSRILSHLFQSA ACKFREIKFVEIPANRAIENYPESNCPTLIVYYRGEVIKNMITLLELGGNNSKMEDFE DFMVKVGAVAEGDNRLIMNRDDEESREERKLHYGEKKSIRSGIRGKFNVGIGGNDDGN INDDDDGFFD YOR283W MTKEVPYYCDNDDNNIIRLFIIRHGQTEHNVKKILQGHKDTSIN PTGEEQATKLGHYLRSRGIHFDKVVSSDLKRCRQTTALVLKHSKQENVPTSYTSGLRE RYMGVIEGMQITEAEKYADKHGEGSFRNFGEKSDDFVARLTGCVEEEVAEASNEGVKN LALVSHGGAIRMILQWLKYENHQAHKIIVFNTSVTIVDYVKDSKQFIVRRVGNTQHLG DGEFVVSDLRLR YOR284W MQQTKFGKMYLDHDSVVEYSEDEIVEADRITLGYKKRLSMIENQ MRHLLEDFSLDVQQIEPILADLQKYYDAFLQLLQKRNKSLQCKRSTHQPVPSPMNSQT STNAKVNLSGKLMKFQLNSVQKFDEENILRILQNKIEFEHYFQIDKGKKQKVLLLAVY QCLNGPTRLHKVLNIEGIIHNNSIRTILGKQVSSSKWTVFLYDVKLVLLAHRQDVPNL ETSKMIVRYGDLFPCALYFKDHTAY YOR285W MWKAVMNAWNGTESQSKNVSNIQSYSFEDMKRIVGKHDPNVVLV DVREPSEYSIVHIPASINVPYRSHPDAFALDPLEFEKQIGIPKPDSAKELIFYCASGK RGGEAQKVASSHGYSNTSLYPGSMNDWVSHGGDKLDL YOR286W MFKHSTGILSRTVSARSPTLVLRTFTTKAPKIYTFDQVRNLVEH PNDKKLLVDVREPKEVKDYKMPTTINIPVNSAPGALGLPEKEFHKVFQFAKPPHDKEL IFLCAKGVRAKTAEELARSYGYENTGIYPGSITEWLAKGGADVKPKK YOR287C MSYYFKNLKPDLNSDVEEDDGNLLESIMANKSKREIDEQESSDD ELKTLSFGSLKKAETVIDEEDFKDTKPVHKKPITTTYREESFDEDEDSEDQSDEDAGF FEEDSEDETHHGQKVPKKKSKHAPVEQSSKKRVPRVRNIPGLEIPRNKRSNLYQDIRF DKSTGKALDSSIIRKRYQFLDEYREKEIDELQKLLQERKFLSKIDQGEREEMEQRLKS MKSRLQSMKNKDLEREILKEYENDMNKNNNTRYHLKKSEKRKVVQKWKFDHMKAKQRE KVMERKRKKRLGKEFKQFEFHNRR YOR288C MLFLNIIKLLLGLFIMNEVKAQNFYDSDPHISELTPKSFDKAIH NTNYTSLVEFYAPWCGHCKKLSSTFRKAAKRLDGVVQVAAVNCDLNKNKALCAKYDVN GFPTLMVFRPPKIDLSKPIDNAKKSFSAHANEVYSGARTLAPIVDFSLSRIRSYVKKF VRIDTLGSLLRKSPKLSVVLFSKQDKISPVYKSIALDWLGKFDFYSISNKKLKQLTDM NPTYEKTPEIFKYLQKVIPEQRQSDKSKLVVFDADKDKFWEYEGNSINKNDISKFLRD TFSITPNEGPFSRRSEYIAYLKTGKKPIKKNHSSSGNKHDEL YOR289W MALRLFRKSSFFAKISMEGPKGSSPFAFYAFYQLYSHLNPGKSS SLSLEDIRRRLYPDFKIDYNEKTSLFITWKKKSNKHHTIDTNEENYILRGCIGTFAKM PIAHGIEKYSLIAALEDRRFSPIQKRELVDLKCSCNILGNFKTIFRGGGNPNGDIFDW ELGKHGIELYFKHPKTGTTCSATFLPDVMPEQHWNKEDTFANLIEKAGYWGNISEVMD NFETYFIEVIRYEGKKSSITYEEFNKQLKDIEA YOR290C MNIPQRQFSNEEVNRCYLRWQHLRNEHGMNAPSVPEFIYLTKVL QFAAKQRQELQMQRQQQGISGSQQNIVPNSSDQAELPNNASSHISASASPHLAPNMQL NGNETFSTSAHQSPIMQTQMPLNSNGGNNMLPQRQSSVGSLNATNFSPTPANNGENAA EKPDNSNHNNLNLNNSELQPQNRSLQEHNIQDSNVMPGSQINSPMPQQAQMQQAQFQA QQAQQAQQAQQAQQAQARLQQGRRLPMTMFTAEQSELLKAQITSLKCLVNRKPIPFEF QAVIQKSINHPPDFKRMLLSLSEFARRRQPTDQNNQSNLNGGNNTQQPGTNSHYNNTN TDNVSGLTRNAPLDSKDENFASVSPAGPSSVHNAKNGTLDKNSQTVSGTPITQTESKK EENETISNVAKTAPNSNKTHTEQNNPPKPQKPVPLNVLQDQYKEGIKVVDIDDPDMMV DSFTMPNISHSNIDYQTLLANSDHAKFTIEPGVLPVGIDTHTATDIYQTLIALNLDTT VNDCLDKLLNDECTESTRENALYDYYALQLLPLQKAVRGHVLQFEWHQNSLLTNTHPN FLSKIRNINVQDALLTNQLYKNHELLKLERKKTEAVARLKSMNKSAINQYNRRQDKKN KRLKFGHRLIATHTNLERDEQKRAEKKAKERLQALKANDEEAYIKLLDQTKDTRITHL LRQTNAFLDSLTRAVKDQQKYTKEMIDSHIKEASEEVDDLSMVPKMKDEEYDDDDDNS NVDYYNVAHRIKEDIKKQPSILVGGTLKDYQIKGLQWMVSLFNNHLNGILADEMGLGK TIQTISLLTYLYEMKNIRGPYLVIVPLSTLSNWSSEFAKWAPTLRTISFKGSPNERKA KQAKIRAGEFDVVLTTFEYIIKERALLSKVKWVHMIIDEGHRMKNAQSKLSLTLNTHY HADYRLILTGTPLQNNLPELWALLNFVLPKIFNSVKSFDEWFNTPFANTGGQDKIELS EEETLLVIRRLHKVLRPFLLRRLKKDVEKELPDKVEKVVKCKMSALQQIMYQQMLKYR RLFIGDQNNKKMVGLRGFNNQIMQLKKICNHPFVFEEVEDQINPTRETNDDIWRVAGK FELLDRILPKLKATGHRVLIFFQMTQIMDIMEDFLRYINIKYLRLDGHTKSDERSELL RLFNAPDSEYLCFILSTRAGGLGLNLQTADTVIIFDTDWNPHQDLQAQDRAHRIGQKN EVRILRLITTNSVEEVILERAYKKLDIDGKVIQAGKFDNKSTSEEQEALLRSLLDAEE ERRKKRESGVEEEEELKDSEINEILARNDEEMAVLTRMDEDRSKKEEELGVKSRLLEK SELPDIYSRDIGAELKREESESAAVYNGRGARERKTATYNDNMSEEQWLRQFEVSDDE KNDKQARKQRTKKEDKSEAIDGNGEIKGENIDADNDGPRINNISAEDRADTDLAMNDD DFLSKKRKAGRPRGRPKKVKLEGSENSEPPALESSPVTGDNSPSEDFMDIPKPRTAGK TSVKSARTSTRGRGRGRGRGRGRGRGRGRPPKARNGLDYVRTPAAATSPIDIREKVAK QALDLYHFALNYENEAGRKLSDIFLSKPSKALYPDYYMIIKYPVAFDNINTHIETLAY NSLKETLQDFHLIFSNARIYNTEGSVVYEDSLELEKVVTKKYCEIMGDNSQLDFTEFD EQYGTRPLVLPPVVTSSVAESFTDEADSSMTEASV YOR291W MDIPSSNQIQHGQRSERNRRMPRASFSSTATTSTAATLTSAMVL DQNNSEPYAGATFEAVPSSIVSFHHPHSFQSSNLPSPHSSGNLEQRGRRLTESEPLVL SSAEQSRSSSRNPSHFRFFTQEQISNAEGASTLENTDYDMAWDATPAYEQDRIYGTGL SSRRSSIRSFSRASSLSNAKSYGSFSKRGRSGSRAPQRLGENSDTGFVYHSATHSSSS LSRYTTRERIPIELESQTDEILEDESSTHSLESSDSRRSASENNRGSFSGHDDVHNQH SEYLKPDYHEKFYPQYAPNLHYQRFYIAEEDLVIGIAAYQTSKFWYIIYNLCCFLTFG LVYLLTRWLPHLKVKLYGVKVPLAKAEWVVIENEFGEFVIQPIDRQWYNRPLSTVLPF ENYPNPSYEPNDINLSHHHANEINPNVPILITFEYRYIKFIYSPLDDLFKTNNNWIDP DWVDLSTVSNGLTKGVQEDRELAFGKNQINLRMKTTSEILFNEVLHPFYVFQVFSIIL WGIDEYYYYAACIFLISVLSIFDSLNEQKKVSRNLAEMSHFHCDVRVLRDKFWTTISS SELVPGDIYEVSDPNITILPCDSILLSSDCIVNESMLTGESVPVSKFPATEETMYQLC DDFQSTQISSFVSKSFLYNGTNIIRARIAPGQTAALAMVVRTGFSTTKGSLVRSMVFP KPTGFKFYRDSFKYIGFMSLIAIFGFCVSCVQFIKLGLDKKTMILRALDIITIVVPPA LPATLTIGTNFALSRLKEKGIFCISPTRLNISGKIDVMCFDKTGTLTEDGLDVLGVQI SEPNGVRGQKFGELLSDIRQVFPKFSLNDCSSPLDFKSRNFFMSLLTCHSLRSVDGNL LGDPLDFKMFQFTGWSFEEDFQKRAFHSLYEGRHEDDVFPENSEIIPAVVHPDSNNRE NTFTDNDPHNFLGVVRSFEFLSELRRMSVIVKTNNDDVYWSFTKGAPEVISEICNKST LPADFEEVLRCYTHNGYRVIACAGKTLPKRTWLYSQKVSREEVESNLEFLGFIIFQNK LKKETSETLKSLQDANIRTIMCTGDNILTAISVGREAGLIQCSRVYVPSINDTPLHGE PVIVWRDVNEPDKILDTKTLKPVKLGNNSVESLRECNYTLAVSGDVFRLLFRDENEIP EEYLNEILLNSSIYARMSPDEKHELMIQLQKLDYTVGFCGDGANDCGALKAADVGISL SEAEASVAAPFTSKIFNISCVLDVIREGRAALVTSFACFQYMSLYSAIQFITITILYS RGSNLGDFQFLYIDLLLIVPIAICMSWSKSYEKIDKKRPSANLVSPKILVPLLISVFL VFLFQFIPWIIVQKMSWYIKPIVGGDDAVQSSDNTVLFFVSNFQYILTAIVLSVGPPY REPMSKNFEFIVDITVSIGASLLLMTLDTESYLGKMLQLTPISNSFTMFIIVWVILNY YAQLYIPPSIKGWLKKKKSSKKYKLLIQEEMKLKEV YOR292C MPLQLFGRDQIVVHYDNGNMSNDDQNHQSVLGSWTRRAAAALRT LMNKRIQRITLTHWLLLVIWVTSLWKFTSHYRQLYANSAVFATLCTNILLFGISDILA QSIACFYSYHVDPIPQILNDTFHHVQNNRDVENGGGYESDELSIFNDFTSEHSSYTDN DDYPELDRPLATFKTDTFDFFRWGCFMFWGFFISFFQAPWYKFLNFFYTEDPTVVQVF ERVLSDQLLYSPISLYCFFMFSNYVMEGGDKDTLGKKIQRLYISTLGCNYLVWPMVQF INFLIMPRDFQAPFSSSVGVVWNCFLSMRNASK YOR293W MLMPKEDRNKIHQYLFQEGVVVAKKDFNQAKHEEIDTKNLYVIK ALQSLTSKGYVKTQFSWQYYYYTLTEEGVEYLREYLNLPEHIVPGTYIQERNPTQRPQ RRY YOR293C-A MKLLFLNIIVVRRHLHCKSYRLSPWYIYIYGDYLLYTTEIPYKP FTRQP YOR294W MSAEDYKNLPVTVEKPIPVVYDLGNLAAFDSNVLDKNDLDSSNA RREEKIKSLTRDNVQLLINQLLSLPMKTTTESVGGTGGQSSVMTLLQLPDPTTDLPRE KPLPKAKAMTKWEKFAAKKGIKPKERAGKMIYDEASGEWVPKWGYKGANKKLDDQWLV EVDDKVKGTDNELIDPRTLNRAERKRLVKKNEKQQRRNMKNAL YOR295W MAELNDYSTMIDILLSDMDLETVTTKKVRMALKEVYAIDVESQG KAINKLIRKHLDLVKERPRFERSLEDLLKENATLAIELTKEITVSKRSSGEEKNDSET KGTHVEKKKGTVSKSPISTRKVTLSKSLASLLGEHELTRTEVVRRLWAYIKAHNLQNP NNKKEILCDEKLELILGKSTNMFEMHKILASHMTEPKKISDCPPLIQEVRRKEKPIVS DSEQSDTKGI YOR296W MNRTVSTLSSTVSDVSVEIPSICNVINTELPTSDVYLYTLKLIL LDYINEPRFKEAALLSNRTGTSRVLSDKTNHQQTQHGKKLVVDKQDDMSERDIVQATL RILKGKLAQISGNKNLAPNEMHWKSIVKMYYSMLDSSSADTFSKMGQMEEVVGYFTNI ASNELKKMTIKNSRDELFSEVAYFIDLVIDVLPDSCANIIKRLLDYKINLKKGETTVK KKRAASPATVPQYRSISGSTISNKQPSFKVQDISHMKYFMQLFETDETKLHQDVMAVK DDCTNPIFCGELRYLRKKIKKDNGTLTASDFSSDREYNLWKNYELLEIANLMDRFEIG EKVTSHGNRLIPKDAKSVFVRLIGLVLKKECSNAVNAINLSQEALFFFHKSARYWRIE YPSTISSLVYSAANLSVLGDEELNIPITENLFSVIRNKYLCSEDNLDPSAWNAQDRYL WAANLFHTTDQSMRTINNLLTAIFSGTKPKFSPVLSFYYSNIVGDPVMEFYETQSVAV KKYWIKLFKKTLFKASEDYFVSLLQDMLKANAIEIQNVQNLVETIIEAIKAIQKRYNK PLLDEISLPRQCAVFLCEVYGSDSLNLIKTAEKSTMKMTGQKLGPIDALDMYDVLKEL RQIYLQVKPKGKFFFNLENYFIKYLTRLCDDVSRNVQKVIKSSLESENWQPVNDQDHF SRSVLDIFKMINESTSMLEKFGWQNEFQLAQMITVILKAFSDGMLSYSAQLMELIQRD LQEGDEPSYSLESSDTRSSLSLNNANVNHEKSRSSRLFEDLKNVVKSTPKMVAPAPYQ FKKRTCVLLNDLDKTLFLLESFEEKADPSKISSVIAQYHSSHNLEDNGKSFDDQNMKQ VYTLRIIGAENIKGFSKTGLSNTYVSMRNITLQREIGTTKIVARSITPKWDEEFVFES PFGKSNDIMFTIWHHPHSRLKNLAEDDLCGKANMKFTPRKLKDDGFPIDFSLTLNPQG TLYCQISLESEKIDAVSSMGRIYRSFSRSRDRAINLIVNKFSDFIAFAFSRTTLKTVC GHHGSALASDEAVYDAILPLFDYLNANLNILASELSQRLLFMVMLRAWNLVLENADLL LLPALNSAKVNILRSAKKSLWENTLSTTKTVSGYGRPLTQAEIEAVFKWLDALCVDFF HNKGEGPPLAELKNEYYQNILLIPAFYDKSVSELKDEVQRLIPLYEEYLRWFYLKKTP ITFTNKSAGTISRKKSLVANIVKEPKEQLERDAEVMNIILRILIAKGQHDYVHRILHD RKELVNTMKNRRAVSRAVTPTGKKGRN YOR297C MLLFPGLKPVLNASTVIVNPVRAVFPGLVLSTKRSFYSINRLNA ENKINDIANTSKEASSSVQMFKPPEFSQFKDSYQKDYERIAKYTLIPLTMVPFYASFT GGVINPLLDASLSSIFLIYLQYGFTSCIIDYIPKEKYPRWHKLALYCLYGGSMLSLYG IYELETKNNGFVDLVKKLWNENDDHLYIFGRN YOR298W MGFVDFFETYMVGSRVQFKQLDISDWLSLTPRLLILFGYFYLHS FFTAINQFLQFINTNSFCLRLHLLYDRFWSHVPIIGEYKIRLLSRALTYSKLKIIPTL DKVLEAIEIWFQLHLVEMTFEKKKNVQIFITEGSDDLNFFKDSKFQTTLMICNHRSVN DYTLINYLFLKSCPTKFYTKWEFLQKLRKGEDLAEWPQLKFLGWGKMFNFPRLDLLKN IFFKDETLALSSNELRDILERQNNQAITIFPEVNIMSLELSIIQRKLHQDFPFVINFY NLLYPRFKNFTTLMAAFSSIKNIKRKKNRNNIIKEARYLFHRELDKLVHKSMKMESSK VSDKTTPPMIVDNSYLLTKKEEISSGKPKVVRINPYIYDVTIIYYRVKYTDSGHDHTN GDLRLHKGYQLEQISPTIFEMIQPEMESENNIKDKDPIVVMVNVKKHQIQPLLAYNDE SLEKWLENRWIEKDRLIESLQKNIKIETK YOR298C-A MSDWDTNTIIGSRARAGGSGPRANVARSQGQINAARRQGLVVSV DKKYGSTNTRGDNEGQRLTKVDRETDIVKPKKLDPNVGRAISRARTDKKMSQKDLATK INEKPTVVNDYEAARAIPNQQVLSKLERALGVKLRGNNIGSPLGAPKKK YOR299W MITQNSIPEVKEDFIGYALHERRIRLPQFQDLGPADLVTLTKYL PTSSNTNAINSTSRNGAAIIQSPAAVVADDSAASMATNGDASDTAVTTNYTNASIYSS SRNANDGAPMVAELHPLDKLKDEVGTFFYSMGVDTSGPTSIAIFLKEISEVISEKPQV WFGRKKTFNVARISFSTWNAFRRCDINVVVHIPGSIQNFIVDCNGESQNIEMCADYDL IWAETFVSGVVRSIMLMKENAEEGELQNLVETLILNPFTAGQIDDVPEMFIDLFPIVY HKGPLLGAPYYITNVTNTNNYLVETLVEIVKLTRNVSRAEIMLKNLATDNPEAIIILI KIFLVCDQELDAIKLTYDMLSQDKIINNTNNRMDYKSELLCLQAQFLIDKRQDYSLAQ NIAQEAVNCSPSEFRPWYLLSKVYVKLNDIENALLILNSCPMSPLKEKYVLKRVAPLP SNNSLHLPLPIDVVLDEVTSLNPQDVQNEHRSADPMLVNLAASNLKSTFQLAYRLLTE IVQITGWENLLKYRSNIFVMEEEYQKSSSSLPKDVNKQEEQPLRAKRLCERWLDNLFM LLYEDLKMYTLWQTEQLYMDAQNNNHNKLTFEWELFGLCARRLGHFPEAAKAFQNGLS QRFSSRCARKLLEYCINERQRVKNFINSPNSHDMVPEIVSSRIRELDNSIIDLCVKIC CWNHRWYTEFSISLLDCLSVVIQDMSLTKVSNEISSRYPETVLNLVQENLLNFFTTCT IGCYDA YOR301W MKEELSKVSSMQNFEMIQRERLPTLYEVLIQRTSQPVDLWTFYT FLSQFPYAINYLDFWVDLMTHTRLCKNYIELVRKSLINFPQEQQQNGSTSTATFDLLN ALIEEGHLDPEAPDKLLENSGPDVPFSPKLNELLGDWKHQSGIGQEALRNEDVALIVD EIMKRRSQQDGKPQITTKQLLHSAVGLCNTYLVSPEQSERYLSNIPMETRNRIIESVQ IERKYDIEIFDDLKNLTYQFLEMDCFPKFLSRVALHNIHDEISDWRFHSVGVTNEKSN RSRGQTHISRSPFSNHTSISRIGFGLLWLGIGFWIGYVLIFLAYSRAIRVVTVVPFTL GCYCIVCGMYQVDIVYSWFGVTQRLLHRHKNAGNDEGDASSDTDHVPMILAVFGGRRR LTRIEHPFTRQLLRKRGLWCLLLVVGATAAFTVIFSCVPGRRV YOR302W MFSLSNSQYTCQDYISDHIWKTSSH YOR303W MSSAATKATFCIQNGPSFEGISFGANKSVAGETVFTTSLVGYPE SMTDPSYRGQILVFTQPLIGNYGVPSGEARDEYNLLKYFESPHIHVVGIVVAEYAYQY SHWTAVESLAQWCQREGVAAITGVDTRELVQYLREQGSSLGRITLADHDPVPYVNPMK TNLVAQVTTKKPFHVSALPGKAKANVALIDCGVKENIIRCLVKRGANVTVFPYDYRIQ DVASEFDGIFLSNGPGNPELCQATISNVRELLNNPVYDCIPIFGICLGHQLLALASGA STHKLKYGNRAHNIPAMDLTTGQCHITSQNHGYAVDPETLPKDQWKPYFVNLNDKSNE GMIHLQRPIFSTQFHPEAKGGPLDTAILFDKFFDNIEKYQLQSQAKSSISLKVTYSTD KSRLQSINVTKLAKERVLF YOR304W MTTQQEEQRSDTKNSKSESPSEVLVDTLDSKSNGSSDDDNIGQS EELSDKEIYTVEDRPPEYWAQRKKKFVLDVDPKYAKQKDKSDTYKRFKYLLGVTDLFR HFIGIKAKHDKNIQKLLKQLDSDANKLSKSHSTVSSSSRHHRKTEKEEDAELMADEEE EIVDTYQEDIFVSESPSFVKSGKLRDYQVQGLNWLISLHENKLSGILADEMGLGKTLQ TISFLGYLRYVKQIEGPFLIIVPKSTLDNWRREFLKWTPNVNVLVLHGDKDTRADIVR NIILEARFDVLITSYEMVIREKNALKRLAWQYIVIDEAHRIKNEQSALSQIIRLFYSK NRLLITGTPLQNNLHELWALLNFLLPDIFGDSELFDEWFEQNNSEQDQEIVIQQLHSV LNPFLLRRVKADVEKSLLPKIETNVYVGMTDMQIQWYKSLLEKDIDAVNGAVGKREGK TRLLNIVMQLRKCCNHPYLFEGAEPGPPYTTDEHLIFNSGKMIILDKLLKRLKEKGSR VLIFSQMSRLLDILEDYCYFRDFEYCRIDGSTSHEERIEAIDEYNKPNSEKFVFLLTT RAGGLGINLVTADTVILFDSDWNPQADLQAMDRAHRIGQKKQVHVYRFVTENAIEEKV IERAAQKLRLDQLVIQQGTGKKTASLGNSKDDLLDMIQFGAKNMFEKKASKVTVDADI DDILKKGEQKTQELNAKYQSLGLDDLQKFNGIENQSAYEWNGKSFQKKSNDKVVEWIN PSRRERRREQTTYSVDDYYKEIIGGGSKSASKQTPQPKAPRAPKVIHGQDFQFFPKEL DALQEKEQLYFKKKVNYKVTSYDITGDIRNEGSDAEEEEGEYKNAANTEGHKGHEELK RRIEEEQEKINSAPDFTQEDELRKQELISKAFTNWNKRDFMAFINACAKYGRDDMENI KKSIDSKTPEEVEVYAKIFWERLKEINGWEKYLHNVELGEKKNEKLKFQETLLRQKIE QCKHPLHELIIQYPPNNARRTYNTLEDKFLLLAVNKYGLRADKLYEKLKQEIMMSDLF TFDWFIKTRTVHELSKRVHTLLTLIVREYEQPDANKKKRSRTSATREDTPLSQNESTR ASTVPNLPTTMVTNQKDTNDHVDKRTKIDQEA YOR304C-A MSTEKLEASEEPQAPLANTSETNSIKGDTENIVTVFDLANEIEK SLKDVQRQMKENDDEFSRSIQAIEDKLNKMSR YOR305W MIKNYLGRRWLNNPAIQAYVKQNAAVAHSTVFQGNLYEYTVMRE LSEKLRMTKLRKTGGAHDGGVDIKGSWPVDDIYWKISSLMPNLEMASNIKRTNSQNGF VLKPLKYRIIDHTFEPLKVLVQCKAFTKSKLSPREFRELVGTFTSLVSHSQRNKTVCI MCSPHMLTKDTLNLINNITLPLIYLRVEMLKEKTDGHFDLINSGKLINYYENSYASTL MQDCKISEWLKLKLYKNSDFNSEK YOR306C MSSDSLTPKDTIVPEEQTNQLRQPDLDEDSIHYDPEADDLESLE TTASYASTSVSAKVYTKKEVNKGTDIESQPHWGENTSSTHDSDKEEDSNEEIESFPEG GFKAWVVTFGCFLGLIACFGLLNSTGVIESHLQDNQLSSESVSTIGWLFSLFLFVCSA SCIISGTYFDRNGFRTIMIVGTVFHVAGLFATANSTKYWHFILSFAIVCGFGNGIVLS PLVSVPAHYFFKRRGTALAMATIGGSVGGVVFPIMLRSFFSMKSDTDPTYGFVWGIRT LGFLDLALLTLSIILVKERLPHVIENSKDGESRWRYILRVYILQCFDAKAFLDMKYLF CVLGTVFSELSINSALTYYGSYATSHGISANDAYTLIMIINVCGIPGRWVPGYLSDKF GRFNVAIATLLTLFIVMFVGWLPFGTNLTNMYVISALYGFCSGSVFSLLPVCCGQISK TEEFGKRYSTMYFVVGFGTLVGIPITGAIISIKTTADYQHYIIFCGLATFVSAVCYII SRAYCVGFKWVRF YOR307C MIQTQSTAIKRRNSVHKNLFDPSLYQIPEPPRGGFQHQKKEYSK ETFSNQVFGYDITSLKKRFTQLFPSNIQGYLPEVDLRITIICSIWYVTSSISSNLSKA ILRTFNHPIALTELQFLVSAVLCVGFASIVNLFRLPRLKHTKFSKALNSFPDGILPEY LDGNFRSSILHKFLVPSKLVLMTTFPMGIFQFIGHITSHKAVSMIPVSLVHSVKALSP IITVGYYKFFEHRYYNSMTYYTLLLLIFGVMTTCWSTHGSKRASDNKSGSSLIGLLFA FISMIIFVAQNIFAKNILTIRRKVGILPSSSTDDVTSKEGQPSLDKTRFSPLQVDKIT ILFYCSCIGFSLTLLPFLTGELMHGGSVINDLTLETVALVAIHGIAHFFQAMLAFQLI GLLSSINYSVANIMKRIVVISVALFWETKLNFFQVFGVILTIAGLYGYDKWGLSKKDG RQA YOR308C MNKTENLSIEETNEIREKLGMKPIPVFQEKNTDHKESLSIEETN ELRASLGLKLIPPQQNFNSSPPNVHNTSKIDELREKITKFQKKANAPLRMAHLLEETN VNDDSSWLENMDAIPSSHESKRSSTLPRKGATKEDENIDLHNVQVSYNIEALSPKKDT ILTLKESSIFDDTDSTEVLENVKAAEENADREKLRLRQMNKDRRQKKKILNVSSLDIE EEEEGEKHSITTTHLIIGAEQGVMKAPNTISAKPPTGKVKVNFDSANNMSDEDGGDFK PLKIKKRKIKDPRSTKARKSKITDKMEIVKLVDEDESLSWMEEEQPVTIINPRTSSNN ELKGPEDLAREIEKARDEEKRRTESILKMREISNSIVVDEKVTFLNTLDTSLSERSAT ENKVKVHGEGEKNIGDVTNGHTKEGSGNNTLTEAVNNEPNYEGDAENAPNFFSGLAST LGYLRKKSVFTTGDVDLKPGKDVNNSESLRRDVRNKEHTGTGTYTKDKLHGLEQFTSS DSSNANTHSKRQDHYDPDIKLVYRDEKGNRLTTKEAYKKLSQKFHGTKSNKKKRAKMK SRIEARKNTPENGSLFEFDDN YOR310C MAYVLTETSAGYALLKASDKKIYKSSSLIQDLDSSDKVLKEFKI AAFSKFNSAANALEEANSIIEGKVSSQLEKLLEEIKKDKKSTLIVSETKLANAINKLG LNFNVVSDAVTLDIYRAIKEYLPELLPGMSDNDLSKMSLGLAHSIGRHKLKFSADKVD VMIIQAIALLDDLDKELNTYAMRCKEWYGWHFPELAKIVTDSVAYARIILTMGIRSKA SETDLSEILPEEIEERVKTAAEVSMGTEITQTDLDNINALAEQIVEFAAYREQLSNYL SARMKAIAPNLTQLVGELVGARLIAHSGSLISLAKSPASTIQILGAEKALFRALKTKH DTPKYGLLYHASLVGQATGKNKGKIARVLAAKAAVSLRYDALAEDRDDSGDIGLESRA KVENRLSQLEGRDLRTTPKVVREAKKVEMTEARAYNADADTAKAASDSESDSDDEEEE KKEKKEKKRKRDDDEDSKDSKKAKKEKKDKKEKKEKKEKKEKKEKKEKKEKKSKKEKK EKK YOR311C MGTEDAIALPNSTLEPRTEAKQRLSSKSHQVSAKVTIPAKEEIS SSDDDAHVPVTEIHLKSHEWFGDFITKHEIPRKVFHSSIGFITLYLYTQGINYKNVLW PLIYAFIILFILDLIRLNWPFFNMLYCRTVGALMRKKEIHTYNGVLWYILGLIFSFNF FSKDVTLISLFLLSWSDTAAATIGRKYGHLTPKVARNKSLAGSIAAFTVGVITCWVFY GYFVPAYSYVNKPGEIQWSPETSRLSLNMLSLLGGVVAALSEGIDLFNWDDNFTIPVL SSLFMNAVIKTFKK YOR312C MAHFKEYQVIGRRLPTESVPEPKLFRMRIFASNEVIAKSRYWYF LQKLHKVKKASGEIVSINQINEAHPTKVKNFGVWVRYDSRSGTHNMYKEIRDVSRVAA VETLYQDMAARHRARFRSIHILKVAEIEKTADVKRQYVKQFLTKDLKFPLPHRVQKST KTFSYKRPSTFY YOR313C MPSNLNIVKVTKPQEENKNFLHKNTNEPNEMEQSQTQEAVTENF TENSNLSANEHAARRGRLNLKTVDHIETYPIVQETEEIAKKIALTRIILAQTKPRIDK VVVSRPVQAVAPVVNFFDKMANSTLSTVERVVPSLKTKTYKRLGEEIALPYTLSKKYG KQLRDTTARNGDNYVYQPVHGRLMKFRKYYNEKFIDTKGKPLIRGQLDPVLLPVNNTF EKVTVKYLPKGKKVPNDSFSCEFNRGLALEYNFMTRAVSAVSHQVVGIAKLPIAYGYH TNSVYNKNLDKQADLKMKNVLRGTWDTITDLEREIWASVTDRSLFRFFGNKSEGGDLP HLVQ YOR314W MTMIRFCGARQSAIISNASDAAAGTNKKRILNPLESLCLNDRID EHRCKEVQLSSLRSLLYAMILNRTIGSETGVFSFLLFSFRYFGEERDLFYCFFSVFLL NITYLLD YOR315W MDEMHSSDTLLLRTPKSKKKIGLVIPSTPSKKCKYSSGFIAEDT TPSKRFRLYQAKFKTSSKNVKAQTLSVSIKKNQGEITNPFMTEGYNDYRNIVSPGLSF DNDCFSEHELVSPLSDISSINSTSPDVEKIDSLDPFGVDSFVWNCKPLVNKEALELHR MIHSSFPMSPLESNSDVPLLLPKLKKRLSPVNRSTFKPTRYEPSHRLLKPKKSILTVP AKSLNLIVSSSRGSLNDATIFATEINSTLSNEENKLPAISSIWEKLTIPVNSSIKEKY KKLKDQIYGQASNFGEDEDNEEDNEDDLPDAAVIRGYEFQSGRRDELTQCNELQSTKD YKKVQWAKVLEQ YOR316C MKLGSKQVKIISLLLLDTVFFGIEITTGYLSHSLALIADSFHML NDIISLVVALWAVNVAKNRNPDSTYTYGWKRAEILGALINAVFLIALCVSILIEALQR IIAPPVIENPKFVLYVGVAGLISNTVGLFLFHDNDQEHGHGHGHSHGGIFADHEMHMP SSHTHTHAHVDGIENTTPMDSTDNISEIMPNAIVDSFMNENTRLLTPENASKTPSYST SSHTIASGGNYTEHNKRKRSLNMHGVFLHVLGDALGNIGVMLSAFFIWKTDYSWKYYT DPLVSLIITGIIFSSALPLSCKASKILLQATPSTLSGDQVEGDLLKIPGIIAIHDFHI WNLTESIFIASLHIQLDISPEQFTDLAKIVRSKLHRYGIHSATLQPEFITREVTSTER AGDSQGDHLQNDPLSLRPKTYGTGISGSTCLIDDAANCNTADCLEDH YOR316C-A MLVPMHNSPTAANGRLSLTVASSGLRKGKKNRVYTIHSYIRSPV SSSEFSFSVRRQYKLTIRIKQKTHL YOR317W MVAQYTVPVGKAANEHETAPRRNYQCREKPLVRPPNTKCSTVYE FVLECFQKNKNSNAMGWRDVKEIHEESKSVMKKVDGKETSVEKKWMYYELSHYHYNSF DQLTDIMHEIGRGLVKIGLKPNDDDKLHLYAATSHKWMKMFLGAQSQGIPVVTAYDTL GEKGLIHSLVQTGSKAIFTDNSLLPSLIKPVQAAQDVKYIIHFDSISSEDRRQSGKIY QSAHDAINRIKEVRPDIKTFSFDDILKLGKESCNEIDVHPPGKDDLCCIMYTSGSTGE PKGVVLKHSNVVAGVGGASLNVLKFVGNTDRVICFLPLAHIFELVFELLSFYWGACIG YATVKTLTSSSVRNCQGDLQEFKPTIMVGVAAVWETVRKGILNQIDNLPFLTKKIFWT AYNTKLNMQRLHIPGGGALGNLVFKKIRTATGGQLRYLLNGGSPISRDAQEFITNLIC PMLIGYGLTETCASTTILDPANFELGVAGDLTGCVTVKLVDVEELGYFAKNNQGEVWI TGANVTPEYYKNEEETSQALTSDGWFKTGDIGEWEANGHLKIIDRKKNLVKTMNGEYI ALEKLESVYRSNEYVANICVYADQSKTKPVGIIVPNHAPLTKLAKKLGIMEQKDSSIN IENYLEDAKLIKAVYSDLLKTGKDQGLVGIELLAGIVFFDGEWTPQNGFVTSAQKLKR KDILNAVKDKVDAVYSSS YOR319W MNYSADSGNTVYVGNIDPRITKEQLYELFIQINPVLRIKYPKDK VLQAYQGYAFIEFYNQGDAQYAIKIMNNTVRLYDRLIKVRQVTNSTGTTNLPSNISKD MILPIAKLFIKNLADSIDSDQLVKIFNKFGKLIREPEIFYLSNGKLKCAYVYFEDFEK ADLAIKSLNNQLVANNRITVDYAFKENGKGNAKYGDDVDRLLNKEALKHNMLK YOR320C MRLISKRRIRFIVFILFGVLTVFVVSRLVVHFQYNQEIKFYKKY FQQRKDGLHEIYNPLEIKQIPKETIDDLYTARLDKELKNGEVIEWSKFAYVNYVTNAD YLCNTLIIFNDLKQEFETKAKLVLLISKDLLDPNTSSNVAYISSLLNKIQAIDEDQVV IKLIDNIVKPKDTTPWNESLTKLLVFNQTEFDRVIYLDNDAILRSSLDELFFLPNYIK FAAPLTYWFLSNSDLEKSYHETRHREKQPINLQSYTKVLTKRIGKGQMIYNHLPSLPH SLYLNSNNIAQDIISSTSSLSPLFDFQSSKKVGKLKFASNLMVINPSKEAFDEIVNVM LPKILNKKEKYDMDLINEEMYNLKKIIYKQFIFFRKVRKLFKPEVLVLPFARYGLLTG SLRNPRHYSIIYNDVLGYKTLDNDGNDIPVGLNDSVAYSKYIHFSDYPLAKPWNYPSM KEFECIVKEEDAEDSKLEHQACDLWNSVYASYIQSREICLV YOR321W MPYRVATGYSEKSTDDDLIWRTPIVKEELEDADNFLKDDAELYD KVKNESAVSHLDTIVMPIIFTVLGMFTRMYKIGRNNHVVWDEAHFGKFGSYYLRHEFY HDVHPPLGKMLVGLSGYLAGYNGSWDFPSGEVYPDYIDYVKMRLFQAMFSSLCVPLAY FTGRAIGFSRLSVWLFTILVIFENSYATLGKFILLDSMLLFFTVSSYFCLAKFHTMRK SPFSARWWLWLCLTGLNLGCAISVKMVGLFIISVVGIYTISELWNLLSDRSVSWKVYV NHWLARIFGLIIIPVCVFLLCFKIHFDLLSNSGPGDSTMPSLFQASLNGTKVGKGPRD VALGSSIISIKNQALGGALLHSHVQPFPEGSEQQQVTVYGYSDANNEWFFQRIRGVEP WTDAENKTIEFVKGGEMYRLMHRLTGKNLHTHEVPAPISKSEYEVSAYGDVDLGDYKD NWIIEIVEQVGEEDPTLLHPLSTSFRIKNSILGCYLAQSGKHLPEWGFRQGEVVCLKH ASKRDKRTWWNIETHENERLPQGEDFVYPKTSFFRNFMQLNSAMMATNNALVPNPEKF DGIASSAWQWPTLNVGVRLCEWSEKSIKYFLLGSPASVWPSSIAVCALIIHVIFLTLK WQRQCVILSDPVERDVFVMAAFYPLLAWLLHYMPFVVMSRVVYAHHYLPTLYFALMIL SYYFDMITKRWATRNTGKFLRLGAYIVYGSIVIAGFFYFSPFSFGMDGPVDDYAYLAW LPTWQIVEDIRNT YOR322C MAFSRLTSTHQSNHNGYSNSNKKGQSLPLTLSIDVESPPCVLYG SAMESSGAVLSGLFTVTVVDPYSSAEDKSLKNTESNVSTTSKSLKRKSTFGSALSSRL SSLSASTSNISPSTSSTSISHSPTPANLRIMAGYTKITITSVTLSLVQKIHFHKPFVP NISSMQTCMNCKTKITNMKSWEIQSNTQDLSVGSHSYPFSYLIPGSVPCSSSLGATAE TQVKYELIAVVTYIDPHRNSFSSGHSTPRKEGSSSKKRLLQLAMPIAVTRSIPRGPDK NSLRVFPPTELTAAAVLPNVVYPKSTFPLEMKLDGVSSGDRRWRMRKLSWRIEETTRV KAHACPVHKHELRQLEEQVKIKESEKSKKPRSHIKRYGELGPQIRVAVNSLENMPSQR LPGEPGREQAPNSSGPASTGNVGLDDENPVNEDEEDQPGSEFIHPSDDALRQELLMQQ QRARQQQLQQELKNNSSLFTEEVRIISKGEMKSGWKTDFDNNGKIELVTEIDCMGLNS GVSNPVMHASTLQTPSTGNKKPSINVACDIQDPNLGLYVSHILAVEIVVAEETLQYAN GQPIRKPNSKNKKETNNNTMNVHNPDQRLAELSPIFANRNTPKVRRMGPEDITPVNSN KSNHSTNKEKASNGASNSNIVSVPTGAARVLRMQFRLTVTERSGLGISWDEEVPPIYQ DVELLSPPCYELSINNGIKNKLYSTMSTPVRSEDDFVGGSDEDIGNYESQGLEPGPNV QEVTITQNKLTIPPTAHHYQPASSSQRSLTTVQSPPLESVVSVQGSVPFRGHVLTPHS TRDIRIQNFSDFLDSNRITQ YOR323C MSSSQQIAKNARKAGNILKTISNEGRSDILYKIHDALKANAHAI EEANKIDLAVAKETGLADSLLKRLDLFKGDKFEVMLQGIKDVAELEDPVGKVKMAREL DDGLTLYQVTAPVGVLLVIFESRPEVIANITALSIKSGNAAILKGGKESVNTFREMAK IVNDTIAQFQSETGVPVGSVQLIETRQDVSDLLDQDEYIDLVVPRGSNALVRKIKDTT KIPVLGHADGICSIYLDEDADLIKAKRISLDAKTNYPAGCNAMETLLINPKFSKWWEV LENLTLEGGVTIHATKDLKTAYFDKLNELGKLTEAIQCKTVDADEEQDFDKEFLSLDL AAKFVTSTESAIQHINTHSSRHTDAIVTENKANAEKFMKGVDSSGVYWNASTRFADGF RYGFGAEVGISTSKIHARGPVGLDGLVSYQYQIRGDGQVASDYLGAGGNKAFVHKDLD IKTVTL YOR324C MNLLIDRMENPGSRNCTLLPPSFPRGFCKGRRASSGDAVKIKES GLQPQPQPEPLQAKTNVAHFSKSSSRLPVIAVNDNPVVPRPSTEVNLGSLLQKEREKE KEEQPALHDRRHLYVTKNRAHGVRQRSLEMTSLPVLGSTKTGKFSDFLFEDDIDNRVG RHSRSYSGASSLDDPFRVSPKTDFNSNRARLSCLSKGRRGSMSVFQSCHTGLAFNQIQ GSSSSQRRSSAGSFDYERKRLVNQFLQPSLGNSDPFDTLRESVVFEPSSTAGGIKLGN MHSQSQISVNSSPSTSLFYHDLDGSAVNDSSSFLYSRSNVPAFLSSSAFSSTSSTSSD SEDVDRRSLNGVYPSLGYLTNQRKPRNSSGSSTAPGTDTLGFKYLLNRQKSADSSTRF KSVLKVNNNNGSAATPDSSSNSISKSNSNLNDNIDELNYYQNHISTLLVKIENEMRRN LNDTIIKNENNVQKTIQKYDLLSGELTLLLDEMTTLRTTVINQFLVKLKSDFDEDDNK AFINELKISVEESVAQLQGLERRMEVCQERLNKQKSSLREMDSLIELKNVLNKSKNNT KSIYLYRYFIIDIIAFLLMGGFIVYVKNLLTRFFTR YOR326W MSFEVGTRCWYPHKELGWIGAEVIKNEFNDGKYHLELQLEDDEI VSVDTKDLNNDKDQSLPLLRNPPILEATEDLTSLSYLNEPAVLHAIKQRYSQLNIYTY SGIVLIATNPFDRVDQLYTQDMIQAYAGKRRGELEPHLFAIAEEAYRLMKNDKQNQTI VVSGESGAGKTVSAKYIMRYFASVEEENSATVQHQVEMSETEQKILATNPIMEAFGNA KTTRNDNSSRFGKYLEILFDKDTSIIGARIRTYLLERSRLVYQPPIERNYHIFYQLMA GLPAQTKEELHLTDASDYFYMNQGGDTKINGIDDAKEYKITVDALTLVGITKETQHQI FKILAALLHIGNIEIKKTRNDASLSADEPNLKLACELLGIDAYNFAKWVTKKQIITRS EKIVSNLNYSQALVAKDSVAKFIYSALFDWLVENINTVLCNPAVNDQISSFIGVLDIY GFEHFEKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVKEEIEWSFIEFNDNQPCID LIENKLGILSLLDEESRLPAGSDESWTQKLYQTLDKSPTNKVFSKPRFGQTKFIVSHY ALDVAYDVEGFIEKNRDTVSDGHLEVLKASTNETLINILEGLEKAAKKLEEAKKLELE QAGSKKPGPIRTVNRKPTLGSMFKQSLIELMNTINSTNVHYIRCIKPNADKEAWQFDN LMVLSQLRACGVLETIRISCAGFPSRWTFEEFVLRYYILIPHEQWDLIFKKKETTEED IISVVKMILDATVKDKSKYQIGNTKIFFKAGMLAYLEKLRSNKMHNSIVMIQKKIRAK YYRKQYLQISQAIKYLQNNIKGFIIRQRVNDEMKVNCATLLQAAYRGHSIRANVFSVL RTITNLQKKIRKELKQRQLKQEHEYNAAVTIQSKVRTFEPRSRFLRTKKDTVVVQSLI RRRAAQRKLKQLKADAKSVNHLKEVSYKLENKVIELTQNLASKVKENKEMTERIKELQ VQVEESAKLQETLENMKKEHLIDIDNQKSKDMELQKTIENNLQSTEQTLKDAQLELED MVKQHDELKEESKKQLEELEQTKKTLVEYQTLNGDLQNEVKSLKEEIARLQTAMSLGT VTTSVLPQTPLKDVMGGGASNFNNMMLENSDLSPNDLNLKSRSTPSSGNNHIDSLSVD RENGVNATQINEELYRLLEDTEILNQEITEGLLKGFEVPDAGVAIQLSKRDVVYPARI LIIVLSEMWRFGLTKQSESFLAQVLTTIQKVVTQLKGNDLIPSGVFWLANVRELYSFV VFALNSILTEETFKNGMTDEEYKEYVSLVTELKDDFEALSYNIYNIWLKKLQKQLQKK AINAVVISESLPGFSAGETSGFLNKIFANTEEYTMDDILTFFNSIYWCMKSFHIENEV FHAVVTTLLNYVDAICFNELIMKRNFLSWKRGLQLNYNVTRLEEWCKTHGLTDGTECL QHLIQTAKLLQVRKYTIEDIDILRGICYSLTPAQLQKLISQYQVADYESPIPQEILRY VADIVKKEAALSSSGNDSKGHEHSSSIFITPETGPFTDPFSLIKTRKFDQVEAYIPAW LSLPSTKRIVDLVAQQVVQDGH YOR327C MSSSVPYDPYVPPEESNSGANPNSQNKTAALRQEIDDTVGIMRD NINKVAERGERLTSIEDKADNLAISAQGFKRGANRVRKQMWWKDLKMRMCLFLVVIIL LVVIIVPIVVHFS YOR328W MLQAPSSSNSGLNQGNAAPDGPPNETQPYEGLDAAAQEEIKELA RTLTSQSSLLSQEKRITGTGDPNTLTAASSSSLSRSIFASDIKGVNPILLDVNDPDYD ETLDPRSENFSSVRWVRNMAQICENDSDFYKPFSLGCAWKDLSASGDSADITYQGTFG NMPIKYLKMSWRCISRRLFHRTHGKSEDNDSGFQILKPMDGCINPGELLVVLGRPGAG CTTLLKSISVNTHGFKISPDTIITYNGFSNKEIKNHYRGEVVYNAESDIHIPHLTVFQ TLYTVARLKTPRNRIKGVDRDTFAKHMTEVAMATYGLSHTADTKVGNDFVRGVSGGER KRVSIAEVSICGSKFQCWDNATRGLDSATALEFIKALKTQATITKSAATVAIYQCSKD AYDLFDKVCVLYDGYQIFFGPSKQAKKYFQRMGYVCPERQTTADYLTSITSPSERIKD KDMVKHGIMIPQTAYEMNQYWIQSEEYKQLQVQVNKHLDTDSSQQREQIKNAHIAKQS KRARPSSPYTVSFFLQVKYILIRDIWRIKNDPSIQLFTVLSHAAMALILGSMFYEVML STTTTTFYYRGAAIFFAILFNAFSSLLEIFSLYETRPITEKHKTYSLYRPSADAFAST FSDVPTKLATAVTFNIPYYFLINLKRDAGAFFFYFLINIITVFAMSHLFRCIGSVSKT LPQAMVPASVLLLAFAMYTGFAIPRVQMLGWSKWISYINPLSYLFESLMINEFHGRNF PCAQYIPSGPNYVNATGDEVTCSALGSIPGNNYVSGDDFIQTNYGYRHKNKWRSVGIG LAYIIFFLFLYLFFCEYNEGAKQNGEMLVFPHSVVKKMKKKGIVSEKKKKNQPTLSTS DAEKDVEMNNNSSATDSRFLRDSDAAIMGNDKTVAKEHYSSPSSSASQSNSFSKSDDI ELSKSQAIFHWKNLCYDIPIKNGKRRILDNVDGWVKPGTLTALIGASGAGKTTLLDCL AERTTMGLITGDVFVDGRPRDQSFPRSIGYCQQQDLHLKTATVRESLRFSAYLRQADD VSIEEKDKYVEEVIEVLEMKLYADAIVGVPGEGLNVEQRKRLTIGVELAAKPKLLVFL DEPTSGLDSQTAWSTCQLMKKLASRGQAILCTIHQPSALLMQEFDRLLFLQEGGQTVY FGELGKGCKTMINYFEAHGAHKCPPDANPAEWMLEIVGAAPGTHASQDYFAIWRDSEE YREMQKELDWMERELPKRTEGSSNEEQKEFATSTLYQIKLVSYRLFHQYWRTPFYLWS KFFSTIVSELFIGFTFFKANTSLQGLQNQMLAIFMFTVVFNPILQQYLPLFVQQRELY EARERPSRTFSWKAFIVSQILVEIPWNLLAGTIAFFVYYYPVGFYRNASYANQLHERG ALFWLFACAFYVYISSMGVLVISCIEIAENAANLASLFFIMSLSFCGVLATPNILPRF WIFMYRVSPLTYLIDALLSVGLANASVVCSSNELLKIVPPSGMTCSEYMEPYMQSTGT GYLLDGSSETECHFCQFSSTNDYLATVSSSYSRRWMNYGIFSAYIVFDYCAAIFLYWL VRVPKKSKKLKK YOR329C MSFDWLNVPGLDLSSGDQAEKRPSNGLGPPSVSFDFGINTAAPH DSSFWDQGSRSHSDTTLSYRNNHSNTAADNATNVSSPQKDNPPNGEVRTLSGGDVYAE SPEDMQVPLSLSQNQLTHEEIRTYLRWYHYICLRTHGKLVRLNDVFRFLTNFNLSQKV KDRIVEIFRSCKNALNIGQFFAVLRLVSRAIIYGILPLRRMILEKAPVPKPRPILSSE NHEEVYEEVEDDDSSAKTGDQKVDFDSFASLLLTGKTTRKRVRRRIKNLNFKSKKVRF SEHITFQDPPNLNQESSNNSEARKQDPDAEDEDQDSNNDSPLDFTLPMDQLLKRLYKR RKNSGLVSSLPSEQQETEEEKKVLEDMKDSLSHFKQIQTVDSASLPISSVFLQNGNTL PTSNVNNTTVPQQLPLEPLKPTATGSANHLVREEYNQGLHPSNGAIQTGLQPLKPTAT GSANYLMRSHMEQPQSIKPSSTPETVTNSGGLQPLKPTATGSANYLMKQHISPSVNNP VSSMFQAQFTNQSSSPQSTGPAFLNSPNITLPQSNQQQPYQEVNPTQAKIEPSNISPQ HTYSNNVRINNGNIVSMPKVEITGAFPPQNTLPQHQQSHLLSPQNTIPQHQRSQLISP QNTFTQNQPILSPQHTYSNNQATMISPQNTYTNNQQQPQHLPPPPPPRAQQQQQGAIV PPQHMYSNVQKQNNLVPTQPSYTNSPSIQSPNFLSPQNAANSYFQSLLSSSPSPNPTP SNASTVNGNNASNGISSFQNTSAAMNNTQSHQTYIQQQQQQQTQQRIYGGQLSQMQQH PGQLHLNNSDIHSQPNKPNYGMLGQQVHQQQQQQQQQFPFTADVNRSNSSDILGNLQS LQQQVDALQIQYNRRP YOR330C MTKLMVRSECMLRMVRRRPLRVQFCARWFSTKKNTAEAPRINPV GIQYLGESLQRQVFGSCGGKDEVEQSDKLMELSKKSLKDHGLWGKKTLITDPISFPLP PLQGRSLDEHFQKIGRFNSEPYKSFCEDKFTEMVARPAEWLRKPGWVKYVPGMAPVEV AYPDEELVVFDVETLYNVSDYPTLATALSSTAWYLWCSPFICGGDDPAALIPLNTLNK EQVIIGHNVAYDRARVLEEYNFRDSKAFFLDTQSLHIASFGLCSRQRPMFMKNNKKKE AEVESEVHPEISIEDYDDPWLNVSALNSLKDVAKFHCKIDLDKTDRDFFASTDKSTII ENFQKLVNYCATDVTATSQVFDEIFPVFLKKCPHPVSFAGLKSLSKCILPTKLNDWND YLNSSESLYQQSKVQIESKIVQIIKDIVLLKDKPDFYLKDPWLSQLDWTTKPLRLTKK GVPAKCQKLPGFPEWYRQLFPSKDTVEPKITIKSRIIPILFKLSWENSPVIWSKESGW CFNVPHEQVETYKAKNYVLADSVSQEEEEIRTHNLGLQCTGVLFKVPHPNGPTFNCTN LLTKSYNHFFEKGVLKSESELAHQALQINSSGSYWMSARERIQSQFVVPSCKFPNEFQ SLSAKSSLNNEKTNDLAIIIPKIVPMGTITRRAVENAWLTASNAKANRIGSELKTQVK APPGYCFVGADVDSEELWIASLVGDSIFNVHGGTAIGWMCLEGTKNEGTDLHTKTAQI LGCSRNEAKIFNYGRIYGAGAKFASQLLKRFNPSLTDEETKKIANKLYENTKGKTKRS KLFKKFWYGGSESILFNKLESIAEQETPKTPVLGCGITYSLMKKNLRANSFLPSRINW AIQSSGVDYLHLLCCSMEYIIKKYNLEARLCISIHDEIRFLVSEKDKYRAAMALQISN IWTRAMFCQQMGINELPQNCAFFSQVDIDSVIRKEVNMDCITPSNKTAIPHGEALDIN QLLDKSNSKLGKPNLDIDSKVSQYAYNYREPVFEEYNKSYTPEFLKYFLAMQVQSDKR DVNRLEDEYLRECTSKEYARDGNTAEYSLLDYIKDVEKGKRTKVRIMGSNFLDGTKNA KADQRIRLPVNMPDYPTLHKIANDSAIPEKQLLENRRKKENRIDDENKKKLTRKKNTT PMERKYKRVYGGRKAFEAFYECANKPLDYTLETEKQFFNIPIDGVIDDVLNDKSNYKK KPSQARTASSSPIRKTAKAVHSKKLPARKSSTTNRNLVELERDITISREY YOR332W MSSAITALTPNQVNDELNKMQAFIRKEAEEKAKEIQLKADQEYE IEKTNIVRNETNNIDGNFKSKLKKAMLSQQITKSTIANKMRLKVLSAREQSLDGIFEE TKEKLSGIANNRDEYKPILQSLIVEALLKLLEPKAIVKALERDVDLIESMKDDIMREY GEKAQRAPLEEIVISNDYLNKDLVSGGVVVSNASDKIEINNTLEERLKLLSEEALPAI RLELYGPSKTRKFFD YOR334W MNRRLLVRSISCFQPLSRITFGRPNTPFLRKYADTSTAANTNST ILRKQLLSLKPISASDSLFISCTVFNSKGNIISMSEKFPKWSFLTEHSLFPRDLRKID NSSIDIIPTIMCKPNCIVINLLHIKALIERDKVYVFDTTNPSAAAKLSVLMYDLESKL SSTKNNSQFYEHRALESIFINVMSALETDFKLHSQICIQILNDLENEVNRLKLRHLLI KSKDLTLFYQKTLLIRDLLDELLENDDDLANMYLTVKKSPKDNFSDLEMLIETYYTQC DEYVQQSESLIQDIKSTEEIVNIILDANRNSLMLLELKVTIYTLGFTVASVLPAFYGM NLKNFIEESEWGFTSVAVFSIVSALYITKKNFNSLRSVTKMTMYPNSPANSSVYPKTS ASIALTNKLKRRRKWWKSTKQRLGVLLYGSSYTNKANLSNNKINKGFSKVKKFNMEND IKNKQNRDMIWKWLIEDKKN YOR335C MTIGDKQKWTATNVRNTFLDYFKSKEHKFVKSSPVVPFDDPTLL FANAGMNQYKPIFLGTVDPASDFYTLKRAYNSQKCIRAGGKHNDLEDVGKDSYHHTFF EMLGNWSFGDYFKKEAITYSWTLLTEVYGIPKDRLYVTYFEGDEKLGLEPDTEARELW KNVGVPDDHILPGNAKDNFWEMGDQGPCGPCSEIHYDRIGGRNAASLVNMDDPDVLEV WNLVFIQFNREQDGSLKPLPAKHIDTGMGFERLVSVLQDVRSNYDTDVFTPLFERIQE ITSVRPYSGNFGENDKDGIDTAYRVLADHVRTLTFALADGGVPNNEGRGYVLRRILRR GARYARKYMNYPIGNFFSTLAPTLISQVQDIFPELAKDPAFLFEILDEEEASFAKTLD RGERLFEKYASAASKTESKTLDGKQVWRLYDTYGFPVDLTELMAEEQGLKIDGPGFEK AKQESYEASKRGGKKDQSDLIKLNVHELSELNDAKVPKTNDEFKYGSANVEGTILKLH DGTNFVDEITEPGKKYGIILDKTCFYAEQGGQEYDTGKIVIDDAAEFNVENVQLYNGF VFHTGSLEEGKLSVGDKIIASFDELRRFPIKNNHTGTHILNFALKETLGNDVDQKGSL VAPEKLRFDFSHKKAVSNEELKKVEDICNEQIKENLQVFYKEIPLDLAKSIDGVRAVF GETYPDPVRVVSVGKPIEELLANPANEEWTKYSIEFCGGTHVNKTGDIKYFVILEESG IAKGIRRIVAVTGTEAFEAQRLAEQFAADLDAADKLPFSPIKEKKLKELGVKLGQLSI SVITKNELKQKFNKIEKAVKDEVKSRAKKENKQTLDEVKTFFETNENAPYLVKFIDIS PNAKAITEAINYMKSNDSVKDKSIYLLAGNDPEGRVAHGCYISNAALAKGIDGSALAK KVSSIIGGKAGGKGNVFQGMGDKPAAIKDAVDDLESLFKEKLSI YOR336W MRLLALVLLLLCAPLRAWTYSLRYGIPESAQVWSILVHLLGDVD NQLLTNLYPLVTGLDDEIDIQENLVALTSNVLRERYDKEDVADLLELYASLYPMGMIQ HDISSNAEQDDANSSYFVLNGNRYEKPDDVFYLKSKDLTIQQKVPDVDVIQPYDVVIG TNSEAPILILYGCPTVIDSDFEEFNRNLFMEAMNGEGKFRFIWRSTCSLDGKSVEYPL THPLEITLQNGSRMSSIPQLKKILYTVPKEILVGADNDDQLHDLEPEELRELDLRVTS LISEFYQYKKDITATLNFTKSIVNNFPLISKQLIKVSSVNKDIITSNEELNSKGFDYN MLGLYINGQNWKITSLTPYNLLTALKTEYQSLLKITNLLQELEPSKCILDSKFLLNKF SQFSLGKLQNLQPIKMDLHTIPGFSESVIYFNDIESDPQYDELVNSVQAFFDKSKFGE LPEIKQNWSEIIFVIDFARLEDSEVKEALGGLVRAVNVVSQGYPQRVGLLPFSSDSDK SVVNKIYELKNSTDNLTELKSFLETMLLADGLSANAKHSKHIPVPDVFHLLDELQIDE TSIIINGEIYPFRKNAWNYLIAKVIKKDTEFIRKELSNSSPKNKQISVRDLLHYKSAN LRHNKYTPNYFADSVYSSVNNTALESVCSERIGYYTKNEEYNLLHTITLVDDFGSIHA LKRLRNLLHTSFVGVRIRIIHVGDISDIWYQLRGSLSQKDPIGSINTFIDALKLKKVK SHTYKKSGLNQLGLHKWLPDIPLFELQKGSFIALNGRFIHLDQNEVPETEHFEAIIKR EALRTIDSVFALDLLFPGFSQEIINPDLIEMISSILTRLFYQGTHIYNNGIDYTTESS LPRMDLSEFFRPNNLTMFEDGKSASIDLLLILDPLEERTQMILSLVEQFRPLKFVNIQ VILMPTLELNIVPIRRIYVDDADIVKSITSEDSRSDPEVDIEMDVPNSFIVDNNYRIK KLLIELHSFSSKTVLSTGNIDGMGGVCLALVDSAGNIIDKTTTMKTFGYGQFHTDKFL KGCYIKSCDSRYTVQSFSTDGHPDFIPSDSLDILSYNPQKIAVKISEEPTHEEEYEEG RNNDTIINIFTILESGPDEEERYMQMILSILSKCPETQKVNFFILDQPFISDTLRKSC EYINSSDEMRGNVIFLNYEWPQWLRPQRFSSRRRDVSRFLFLDVLLPQNISKVLYMSP TEVPLDPFDIFQFQGLKRAPLGLFRMSGDGYWKEGYWEKMLRENNLEFYSTEPAFLVN LERFRELDAGDKYRIHYQRISTDAMSLVNIGQDLVNNLQLEVPIRFLKGSYKKKLVIN DECVSEWKKKINKFASSPGDEDVPGESVSSKYQDSDNAAPLHDEL YOR337W MTAPLWPNKNEKNHTVKRALSTDMTSNILSSTNASSNEENSRSS SAANVRSGTGANTLTNGGSTRKRLACTNCRNRRKKCDLGFPCGNCSRLELVCNVNDED LRKKRYTNKYVKSLESHIAQLETNLKNLVQKIYPDDEQILNRMMVGDVLSALPDSSQV SINYTDQTPSLPIPATRGTFIIENDKVSQPLSSFNQQTEPSTLNSGIFNTQKQNFEES LDDQLLLRRSLTPQGEKKKKPLVKGSLYPEGPVSYKRKHPVKSDSLLPVSSLTAATDP STFSDGITAGNSVLVNGELKKRISDLKTTVIVRGLNDDNPNSINNDPRILKSLSNFYK WLYPGYFIFVHRESFLYGFFNHSKNNYEDSSYCSVELIYAMCAVGSRLTPDLQEYSEV YYQRSKKTLLQLVFDEQSTARITTVQALFCLAFYELGKGNNQLGWYFSGLAIRVGYDM GFQLDPKVWYVDDNNLQLTQSELEIRSRIYWGCYIADHFICLMLGRTSTLSVSNSTMP ESDELPEVNGTEEFRFIGRHVLQISLPLKNLIILSRLVQIFTSKIFIESEDIARKLKY LNTFNSQVYNWRQSLPEFLQWSKTLIENDDVSTDPTISYFWYCYYIVRLTFNKPFIED SQESETVVIEIIDDLKTLLDNFGKKFGNYTKGNLYQLYSCLLAINCLKKLKEIRSSEQ DSWNAQLDFFNHIFYTQLYPAYDLPKKLQEDTELETEQENQMLNQVGNINYTHDFSLS HEIDDLIRELFGVGTPQKL YOR338W MLDNMQFHSPAPEHPQLNGGINKIPASHKIGYKLNQQVQRLAVV RNNIEERLNSMESSHGQISDSSVVRAIDASIDDFLIPSPPLSPKLRQCPIISQPQLVN VESDHRELIMLTPVWEAGLNSQKYNHNTRNFLSQYSFFRDMKTTKRIPNKENRKLKVV KSVVNSEALPKRRRYDRKIKRRSRELYEDDGNRSENYDEESAQEVPVRSVTPIRQVKR SLHTISSPLASQGVVNNVPKYIPSMSWEKLPDYSPPLHTLPNSNNKVLKVEWKGSPMD LNHDPLKQRLHPAELVLAQILRLPCDLYLDSKRRFFLEKVHRFKKGLPFRRTDAQKAC RIDVNKASRLFAAFEKVGWLQDKHFEKYL YOR339C MAVEEGGCVTKRLQNELLQLLSSTTESISAFPVDDNDLTYWVGY ITGPKDTPYSGLKFKVSLKFPQNYPFHPPMIKFLSPMWHPNVDKSGNICLDILKEKWS AVYNVETILLSLQSLLGEPNNRSPLNAVAAELWDADMEEYRKKVLACYEEIDDY YOR340C MSQVKRANENRETARFIKKHKKQVTNPIDEKNGTSNCIVRVPIA LYVSLAPMYLENPLQGVMKQHLNPLVMKYNNKVGGVVLGYEGLKILDADPLSKEDTSE KLIKITPDTPFGFTWCHVNLYVWQPQVGDVLEGYIFIQSASHIGLLIHDAFNASIKKN NIPVDWTFVHNDVEEDADVINTDENNGNNNNEDNKDSNGGSNSLGKFSFGNRSLGHWV DSNGEPIDGKLRFTVRNVHTTGRVVSVDGTLISDADEEGNGYNSSRSQAESLPIVSNK KIVFDDEVSIENKESHKELDLPEVKEDNGSEIVYEENTSESNDGESSDSD YOR341W MDISKPVGSEITSVDFGILTAKEIRNLSAKQITNPTVLDNLGHP VSGGLYDLALGAFLRNLCSTCGLDEKFCPGHQGHIELPVPCYNPLFFNQLYIYLRASC LFCHHFRLKSVEVHRYACKLRLLQYGLIDESYKLDEITLGSLNSSMYTDDEAIEDNED EMDGEGSKQSKDISSTLLNELKSKRSEYVDMAIAKALSDGRTTERGSFTATVNDERKK LVHEFHKKLLSRGKCDNCGMFSPKFRKDGFTKIFETALNEKQITNNRVKGFIRQDMIK KQKQAKKLDGSNEASANDEESFDVGRNPTTRPKTGSTYILSTEVKNILDTVFRKEQCV LQYVFHSRPNLSRKLVKADSFFMDVLVVPPTRFRLPSKLGEEVHENSQNQLLSKVLTT SLLIRDLNDDLSKLQKDKVSLEDRRVIFSRLMNAFVTIQNDVNAFIDSTKAQGRTSGK VPIPGVKQALEKKEGLFRKHMMGKRVNYAARSVISPDPNIETNEIGVPPVFAVKLTYP EPVTAYNIAELRQAVINGPDKWPGATQIQNEDGSLVSLIGMSVEQRKALANQLLTPSS NVSTHTLNKKVYRHIKNRDVVLMNRQPTLHKASMMGHKVRVLPNEKTLRLHYANTGAY NADFDGDEMNMHFPQNENARAEALNLANTDSQYLTPTSGSPVRGLIQDHISAGVWLTS KDSFFTREQYQQYIYGCIRPEDGHTTRSKIVTLPPTIFKPYPLWTGKQIITTVLLNVT PPDMPGINLISKNKIKNEYWGKGSLENEVLFKDGALLCGILDKSQYGASKYGIVHSLH EVYGPEVAAKVLSVLGRLFTNYITATAFTCGMDDLRLTAEGNKWRTDILKTSVDTGRE AAAEVTNLDKDTPADDPELLKRLQEILRDNNKSGILDAVTSSKVNAITSQVVSKCVPD GTMKKFPCNSMQAMALSGAKGSNVNVSQIMCLLGQQALEGRRVPVMVSGKTLPSFKPY ETDAMAGGYVKGRFYSGIKPQEYYFHCMAGREGLIDTAVKTSRSGYLQRCLTKQLEGV HVSYDNSIRDADGTLVQFMYGGDAIDITKESHMTQFEFCLDNYYALLKKYNPSALIEH LDVESALKYSKKTLKYRKKHSKEPHYKQSVKYDPVLAKYNPAKYLGSVSENFQDKLES FLDKNSKLFKSSDGVNEKKFRALMQLKYMRSLINPGEAVGIIASQSVGEPSTQMTLNT FHFAGHGAANVTLGIPRLREIVMTASAAIKTPQMTLPIWNDVSDEQADTFCKSISKVL LSEVIDKVIVTETTGTSNTAGGNAARSYVIHMRFFDNNEYSEEYDVSKEELQNVISNQ FIHLLEAAIVKEIKKQKRTTGPDIGVAVPRLQTDVANSSSNSKRLEEDNDEEQSHKKT KQAVSYDEPDEDEIETMREAEKSSDEEGIDSDKESDSDSEDEDVDMNEQINKSIVEAN NNMNKVQRDRQSAIISHHRFITKYNFDDESGKWCEFKLELAADTEKLLMVNIVEEICR KSIIRQIPHIDRCVHPEPENGKRVLVTEGVNFQAMWDQEAFIDVDGITSNDVAAVLKT YGVEAARNTIVNEINNVFSRYAISVSFRHLDLIADMMTRQGTYLAFNRQGMETSTSSF MKMSYETTCQFLTKAVLDNEREQLDSPSARIVVGKLNNVGTGSFDVLAKVPNAA YOR342C MTILEELNDSSIPQRLDNHIFFGSVHSLTHTDFLVENNIRFFIN VDLSTELISHIYHEVRSKFAHEIVIVNIDNDSQIPIESDLVRSFHWHNTSLLQQLIHH LDFLSGINNHGEPLTPPPESHYRNAYVQFDHPSDSVSILDKLLYGNKSEYSRTNIFQV TNEAKFQVFNDLITIFKYSIAQGGNTNSNILVLSENGSTDENLISLLMSTVLKENPTF NVYQALQFVKSIAVIPDTVRDEKILWVTGFINYQELIKKNEMYWGLGSQKGRKLTSFA SPISKVERKQRRRDDQNIMRSKLPQQRQNPFCSTERPKRARCD YOR343C MEHTAHIFPIIIKGSPPVMSSNPRRQYRLNLRSIKCLKEPRVRV WQAQWPLEPALSAAKMPRAAHAHAPHAFEIQASVPAGLQGSGYFAPSVRSDLRLPRSF LFLNKK YOR343W-B MESQQLHQNPHCPHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQEETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQDQHSEVPQAKTK VRNNVLPPHPHTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYSDILTVLCKSVSKMQTNNQELKDWIALANLEYNGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLSQQQKESKPTRTIDSNDEL PDHLLIDSGASQTLVRSAHYLHHATPNSEINIVDAQKQDIPINAIGNLHFNFQNGTKT SIKALHTPNIAYDLLSLSELTNQNITACFTRNTLERSDGTVLAPIVKHGDFYWLSKKY LIPSHISKLTINNVNKSKSVNKYPYPLIHRMLGHANFRSIQKSLKKNAVTYLKESDIE WSNASTYQCPDCLIGKSTKHRHVKGSRLKYQESYEPFQYLHTDIFGPVHHLPKSAPSY FISFTDEKTRFQWVYPLHDRREESILNVFTSILAFIKNQFNARVLVIQMDRGSEYTNK TLHKFFTNRGITACYTTTADSRAHGVAERLNRTLLNDCRTLLHCSGLPNHLWFSAVEF STIIRNSLVSPKNDKSARQHAGLAGLDITTILPFGQPVIVNNHNPDSKIHPRGIPGYA LHPSRNSYGYIIYLPSLKKTVDTTNYVILQNNQTKLDQFDYDTLTFDDDLNRLTAHNQ SFIEQNETEQSYDQNTESDHDYQSEIEINSDPLVNDFSSQSLNPLQLDKEPVQKVRAP KEVDADISEYNILPSTIRSRTPHIINKESTEMGGTIESDTTSPRHSSTFTARNQKRPG SPNDMIDLTSQDRVNYGLENIKTTRLGGTEEPYIQRNSDTNIKYRTTNSTPSIDDRSS NSDSTTPIISIETKAACDNTPSIDTDPPEYRSSDHATPNIMPDKSSKNVTADSILDDL PLPDLTHKSPTDTSDVSKDIPHIHSRQTNSSLGGMDDSNVLTTTKSKKRSLEDNETEI EVSRDTWNNKNMRSLEPPRSKKRINLIAAIKGVKSIKPVRTTLRYDEAITYNKDNKEK DRYVEAYHKEISQLLKMNTWDTNKYYDRNDIDPKKVINSMFIFNKKRDGTHKARFVAR GDIQHPDTYDSDMQSNTVHHYALMTSLSIALDNDYYITQLDISSAYLYADIKEELYIR PPPHLGLNDKLLRLRKSLYGLKQSGANWYETIKSYLINCCDMQEVRGWSCVFKNSQVT ICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGEGDNEIQYDILGLEIKYQRSK YMKLGMEKSLTEKLPKLNVPLNPKGKKLRAPGQPGHYIDQDELEIDEDEYKEKVHEMQ KLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHK NKPTKPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEI HAVSEAIPLLNNLSHLVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAM RLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH YOR343W-A MESQQLHQNPHCPHGSAYASVTSKEVPSNQDPLAVSASNLPEFD RDSTKVNSQEETTPGTSAVPENHHHVSPQPASVPPPQNGQYQQHGMMTPNKAMASNWA HYQQPSMMTCSHYQTSPAYYQPDPHYPLPQYIPPLSTSSPDPIDSQDQHSEVPQAKTK VRNNVLPPHPHTSEENFSTWVKFYIRFLKNSNLGDIIPNDQGEIKRQMTYEEHAYIYN TFQAFAPFHLLPTWVKQILEINYSDILTVLCKSVSKMQTNNQELKDWIALANLEYNGS TSADTFEITVSTIIQRLKENNINVSDRLACQLILKGLSGDFKYLRNQYRTKTNMKLSQ LFAEIQLIYDENKIMNLNKPSQYKQHSEYKNVSRTSPNTTNTKVTTRNYHRTNSSKPR AAKAHNIATSSKFSRVNNDHINESTVSSQYLSDDNELSLRPATERI YOR344C MNSILDRNVRSSETTLIKPESEFDNWLSDENDGASHINVNKDSS SVLSASSSTWFEPLENIISSASSSSIGSPIEDQFISSNNEESALFPTDQFFSNPSSYS HSPEVSSSIKREEDDNALSLADFEPASLQLMPNMINTDNNDDSTPLKNEIELNDSFIK TNLDAKETKKRAPRKRLTPFQKQAHNKIEKRYRININTKIARLQQIIPWVASEQTAFE VGDSVKKQDEDGAETAATTPLPSAAATSTKLNKSMILEKAVDYILYLQNNERLYEMEV QRLKSEIDTLKQDQK YOR346W MGEHGGLVDLLDSDLEYSINRETPDKNNCLSQQSVNDSHLTAKT GGLNARSFLSTLSDDSLIEYVNQLSQTNKNNSNPTAGTLRFTTKNISCDELHADLGGG EDSPIARSVIEIQESDSNGDDVKKNTVYTREAYFHEKAHGQTLQDQILKDQYKDQISS QSSKIFKNCVIYINGYTKPGRLQLHEMIVLHGGKFLHYLSSKKTVTHIVASNLPLKKR IEFANYKVVSPDWIVDSVKEARLLPWQNYSLTSKLDEQQKKLDNCKTVNSIPLPSETS LHKGSKCVGSALLPVEQQSPVNLNNLEAKRIVACDDPDFLTSYFAHSRLHHLSAWKAN LKDKFLNENIHKYTKITDKDTYIIFHIDFDCFFATVAYLCRSSSFSACDFKRDPIVVC HGTKNSDIASCNYVARSYGIKNGMWVSQAEKMLPNGIKLISLPYTFEQFQLKSEAFYS TLKRLNIFNLILPISIDEAVCVRIIPDNIHNTNTLNARLCEEIRQEIFQGTNGCTVSI GCSDSLVLARLALKMAKPNGYNITFKSNLSEEFWSSFKLDDLPGVGHSTLSRLESTFD SPHSLNDLRKRYTLDALKASVGSKLGMKIHLALQGQDDEESLKILYDPKEVLQRKSLS IDINWGIRFKNITQVDLFIERGCQYLLEKLNEINKTTSQITLKLMRRCKDAPIEPPKY MGMGRCDSFSRSSRLGIPTNEFGIIATEMKSLYRTLGCPPMELRGLALQFNKLVDVGP DNNQLKLRLPFKTIVTNRAFEALPEDVKNDINNEFEKRNYKRKESGLTSNSLSSKKKG FAISRLEVNDLPSTMEEQFMNELPTQIRAEVRHDLRIQKKIQQTKLGNLQEKIKRREE SLQNEKNHFMGQNSIFQPIKFQNLTRFKKICQLVKQWVAETLGDGGPHEKDVKLFVKY LIKLCDSNRVHLVLHLSNLISRELNLCAFLNQDHSGFQTWERILLNDIIPLLNRNKHT YQTVRKLDMDFEV YOR347C MPESRLQRLANLKIGTPQQLRRTSIIGTIGPKTNSCEAITALRK AGLNIIRLNFSHGSYEFHQSVIENAVKSEQQFPGRPLAIALDTKGPEIRTGRTLNDQD LYIPVDHQMIFTTDASFANTSNDKIMYIDYANLTKVIVPGRFIYVDDGILSFKVLQII DESNLRVQAVNSGYIASHKGVNLPNTDVDLPPLSAKDMKDLQFGVRNGIHIVFASFIR TSEDVLSIRKALGSEGQDIKIISKIENQQGLDNFDEILEVTDGVMIARGDLGIEILAP EVLAIQKKLIAKCNLAGKPVICATQMLDSMTHNPRPTRAEVSDVGNAVLDGADCVMLS GETAKGDYPVNAVNIMAATALIAESTIAHLALYDDLRDATPKPTSTTETVAAAATAAI LEQDGKAIVVLSTTGNTARLLSKYRPSCPIILVTRHARTARIAHLYRGVFPFLYEPKR LDDWGEDVHRRLKFGVEMARSFGMVDNGDTVVSIQGFKGGVGHSNTLRISTVGQEF YOR348C MVNILPFHKNNRHSAGVVTCADDVSGDGSGGDTKKEEDVVQVTE SPSSGSRNNHRSDNEKDDAIRMEKISKNQSASSNGTIREDLIMDVDLEKSPSVDGDSE PHKLKQGLQSRHVQLIALGGAIGTGLLVGTSSTLHTCGPAGLFISYIIISAVIYPIMC ALGEMVCFLPGDGSDSAGSTANLVTRYVDPSLGFATGWNYFYCYVILVAAECTAASGV VEYWTTAVPKGVWITIFLCVVVILNFSAVKVYGESEFWFASIKILCIVGLIILSFILF WGGGPNHDRLGFRYWQHPGAFAHHLTGGSLGNFTDIYTGIIKGAFAFILGPELVCMTS AECADQRRNIAKASRRFVWRLIFFYVLGTLAISVIVPYNDPTLVNALAQGKPGAGSSP FVIGIQNAGIKVLPHIINGCILTSAWSAANAFMFASTRSLLTMAQTGQAPKCLGRINK WGVPYVAVGVSFLCSCLAYLNVSSSTADVFNWFSNISTISGFLGWMCGCIAYLRFRKA IFYNGLYDRLPFKTWGQPYTVWFSLIVIGIITITNGYAIFIPKYWRVADFIAAYITLP IFLVLWFGHKLYTRTWRQWWLPVSEIDVTTGLVEIEEKSREIEEMRLPPTGFKDKFLD ALL YOR349W MNNIRALLDSIQSGVQTVSPEKHQQTIAAINKFQDDPALLDTIL PRCVPLLTKSFFCMSQRDQKLVAELFYNLDKISHSKVLKSLDTSIFRLNEILNYLQDR ASPSSFSDVLCVYLNLSWLSVILLSPYAFKDKFNKTLQVSSRFENYPICIPPINKIKA VLYFKNFTDAFDQLPEREQANVPFLNQFLKLFIQSSEKANYYFSNENLRHLQQVALSN DGIKLLPKLFQISFNHGSHDILDAIVEFFHDHLSSNSTDTRFQLAHSFAKIAKFLHQA DPASFIELIDYTIENTVSLLQAPCDSIDSNELHTSLLIIAEVALAKILPIDLVDRVLT LIIPKTCHFQKSHFQIIKGHHIRDSTNFIIWSVIRSNRSNSLSPQVLQSLLSHLLINA FFDPELIIRYSSFAALQELLGRSNKSLALNQNDIALILQANWKDLPRSFEENSGLIRR LFNPENTSKSAVCVWKVFRDWSFNWNLLENLHLTTMKLNIDYNLVPLIKSKLSSPALL QEVLNKAGSSVTQNCQILYLYLKLFENDVNCPKISEICIDIYQKKIKFQLTTQAKRQF NDNSPELFQIFVILKYWQLTGQNDFNQELFWKFVDIVSPQKKLNLYNEFIPIIQQIIS QCVSLNYTRIVQLIKSDNELTCRSICHMPDQEKMCSLFFSQFPLLSPQSRSLLIGELD HHWDVRISLLPSNSYRKFRNIIINCLDDYTITQQGDVGRLVRIQALKLMQSHPDFLSG DCDSINPKLTRLLAEPVPEIRKLSYQLLASATSQITVLSDSSILNFRHKQGLSEEFWK GYAVSAGAIHFTDSQLTSSIDSFIVYYRSLSPSQQLELCHDLIRIIPSAKQIAESRIR DRNKDPLTGGMRFDTIKFTIHCVKFWTRIMESGLVVLHPNFNFQGVFAKFYNLHLLDC TTLRVSVIKFFPFLAISCYHTMRENADQKNLSNIILKRLLVLVKREYAATKSKFMTDQ NVALQGMFQIFLELGVTRQLQALQVACQKHELANILESDITL YOR350C MKLLFKRYSSSHIGKLIKDSLITPEILPQLGRQPSSHKRLPNNK RTNSITDKWLKDALTRKDKLNEDKLQNVNLRLNVVLTTLQKLRTSDNPALYFALLNRI GTGHIKWLNKSGRQIDAFPPDRLPLEFYHELSNMLYKLSLRSANDKIALAKFSLQLLD RYYFLKTKSLTIEGKFRANIKFLRNCTLLIVKSQSNYYLRAIQRLFAENSEGQLLANL SQLAFYVETSQWTSMLDILSSCVPDSGLRGSKERERAIQLLELFSPCLVKSLKVMIAQ NMENEACQILRSLSEWNFHFDQHDSSNLIQLSQNHSCLKVIETMNGLSSTTAVTRQFG LEKLPTDVSLKQSIHILSKDNFEPLKQDSFLQFLSFKLSDLPLNLEVWKKHIKEVDDQ MQAESNLHSLRAFFIDMLLCHLSVRKDFDFMLSLVEHIVYEKNLWQPLLLTDNIVGNK ENSTFHCLFHGASQDISTKLTLLALYNQLNEIGYQFTSHDFLSMLKVCKNYSDSDFFY FAFYNLLVTQSHKFFLFDKFSDKFSWRLPIQIGDAISEWLSSLEIDIQENTDRVLQIT DDVGEWYVENKPFKSEKGTIQPINIMELRKIFGERKTLFHMDSEIFQKSKAKRDKEMR NEALFTANDAEYNFAADVSYAKRVENLFSYIRSKQMQQK YOR351C MRPLYSCNLATKDDIEMAGGVAPAHLEVNVGGYNTEQTIPIVKH QLVKVGRNDKECQLVLTNPSISSVHCVFWCVFFDEDSIPMFYVKDCSLNGTYLNGLLL KRDKTYLLKHCDVIELSQGSEENDIKKTRLVFMINDDLQSSLDPKLLDQMGFLREVDQ WEITNRIVGNGTFGHVLITHNSKERDEDVCYHPENYAVKIIKLKPNKFDKEARILLRL DHPNIIKVYHTFCDRNNHLYIFQDLIPGGDLFSYLAKGDCLTSMSETESLLIVFQILQ ALNYLHDQDIVHRDLKLDNILLCTPEPCTRIVLADFGIAKDLNSNKERMHTVVGTPEY CAPEVGFRANRKAYQSFSRAATLEQRGYDSKCDLWSLGVITHIMLTGISPFYGDGSER SIIQNAKIGKLNFKLKQWDIVSDNAKSFVKDLLQTDVVKRLNSKQGLKHIWIAKHLSQ LERLYYKKILCNNEGPKLESINSDWKRKLPKSVIISQAIPKKKKVLE YOR352W MSEPNTPLHAQPNEQLDLNNLNDLDEKDIDDLNLDPNSDVEISA DSGDVVNSNIDNVIWQRNCNKKRRYHTPEFNDVYNETNNTINDVTMLDDVDDFQPRIN VSSPFSSATKLSELLPNDHNGTSHPRRLSMSQQSKFISYVDDQLLQIQRKFVQSRGLN IKNGYASLTPLLQDLKTLVDFVWYSIAHVPNSDYLLQSEEKRHCPDSRNPKDTCGYSS YFGQGSYLIKIADDLIDYVEKFTFKNMEDSEINDTLSKLFKLFFILDRIFVILTDDND NCKEVPKTSSASKNIAGLNGTDIVRLKGIAERTRVRLPIFLESQGIHGYHYELSKIYE GFLDHANSF YOR353C MVATSSKRTLDPKEEHLPADKTSTNSSNTIISELATQEKSSSSG TTLKLIALNIKSISDEDVGYIQNVERLSLRKNHLTSLPASFKRLSRLQYLDLHNNNFK EIPYILTQCPQLEILDLSSNEIEALPDEISSFWQDNIRVLSLKDNNVTSIRNLKSITK LNKLSILDLEDNKIPKEELDQVQSYTPFHTGIPKEEYWAIAISRYLKDHPNLPTPEPK ISRAAKRMGFINTNLSNGAMNENNIISLAPSANTTISASTAMVSSNQTSATSFSGTVN AESEQSGAVNGTELYNHTKYNDYFKRLSILPEESMSNGHQKISHAELVVSCRKLLFSF TECQQAIRKIASFCKEKAVAVNVVSLLYSVRSHTDNLVEVLQQTENEDESHDQALIKL CLTIITNFKQIITLLRKNFEIFFKEDDLCFIRMFYMTLMCAYMEMYNAWSFIKEDDQV SGSASKAPKKHSFSRHETSSSSITSGGGPAASTTSTHCSGNIKLLPKTRSTRTPSASA LLSNSNILTGDTTAVPLLSPNLNGAHTHGPILGHQNAISNGSSQTNMNEVKTTSDTIP RQQLLQHNKSISDSKKESQAHEPKQHPVMTSSIINASNSNNVSNVNITPPPMNGGGAA NSSANVVETNIDIQLYQTLSTVVKMVSVVYNQLTSEISKIAIASTMGKQILTDSLAPK IRDLTETCRQAMDLSKQLNERLNVLIPNDSNSEKYLTSLEKLKTWEIMNSFLKVIISI LANTKIVMSDVPNLNELRPNLANLAKITKDVTVILDLSSYKAVSVSANSPE YOR354C MLSHNALRAFDCSKVIISRRCLTSSTSIYQQSSVHLQETDDGHS GNREKHVSPFERVQNLAADLKNELKAPDSDINEVFNDFKDKIESLKQKLRNPSPMERS HLLANFSSDLLQELSYRSKNMTLDPYQVLNTLCQYKLARSQHFTIVLKYLLYNQSPQD VIALWVKYLETISENPVILLQNSSSRAHMQNIAITTIAYLSLPENTVDINILYKILQI DRKMGQVLPFNMIRRMLSTEFSSLERRDVIIKNLNTLYYQYTVQDSDHFLSQIENAPR WIDLRDLYGQYNKLEGEKNVEIISKFMDKFIDLDKPDQVVTIYNQYSKVFPNSTSLKD CLLRAVSHLRAKSSKEKLDRILAVWNSVIKPGDNIKNTSYATLVNALTDSGNFNHLKE FWEEELPKKFKKDPIVKEAFLLALCQTSPLKYDQVKGELAETVKTKKLFNKVLLLMLD DEKVSEEQFNTFYYNHYPSDGVLPPTLDTLSIKMYANYKFQAEDTRPQFDLLQSVSIN PTDYEKVEKITKAFISVCPTVEPIRQLYKQLGTHLNARNYADFISAEFNKPDGTVAEA KNLFSDFLSYQKTRKRNVDNTPLNALLLGFCDKLYKSKHSEYVPYIEKYYNLAKDSSI RVSNLAVSKILFNLATFARNTQQLSDKEVAFINQFMRDLGTNEGFRPNPKDIQILKEC DGITVPEKLT YOR355W MALANSRPLQIPTLENEILHNSNSPVFQLNSMGFTTRADTISNP GTDLIGNQPGMALDDNNLAGSSFSSSQEIKATKPKKDFGAPKKDNPLLEISKLIPVTG ERPKPENRDSPLDDDVLHAVFLILWEMDPNQQGMTVKQLCDLLLQKHPDMSNLSTKLS NLISAKLNAYVKKIEKGEKTLTYALSREWSNSSPRRMLYIYRGILSPDYKEHAQAVTM QLKQQLETSGDTSDFNSNGKKKRESSSNQLVNNDSYSSSMTDMKNMSSNSSFSKNLNV GNLAFSLSPEFNIPYSTSPVSLNLSPSMSNNQQQLLTPNSASKSKNNNKKRNYMDEDT NESMTEPKKTKTTKPGKQTKSQSLSVLSTPKKGSSASLSTFASSKNISPDSSLSHNAS SNTYVTAAAAAPRLSKLLPKNGFKKNSRSSSELAAIHKVISTQTPIESSSESSQYNSS SSSPVNSAAASSAESLSDINSSQDNGRESNPSSQESRNEVTNWMKIVRNGFLTHDIES PESITLDDLENIFN YOR356W MIKFTNENLIRGIRMTISAKSRHLALGTDMTRKFSLSCRFLNKA NLTEEEKELLNEPRARDYVDVCIVGGGPAGLATAIKLKQLDNSSGTGQLRVVVLEKSS VLGGQTVSGAILEPGVWKELFPDEKSDIGIPLPKELATLVTKEHLKFLKGKWAISVPE PSQMINKGRNYIVSLNQVVGYLGEKAEEVGVEVYPGIAVSDLIYDENNAVKGVITKDA GISKSGKPKETFERGMEFWARQTVLAEGCHGSLTKQALAKYDLRKGRQHQTYGLGIKE VWEVKPENFNKGFAAHTMGYPLTNDVYGGGFQYHFGDGLVTVGLVVGLDYKNPYVSPY KEFQKMKHHPYYSKVLEGGKCIAYAARALNEGGLQSVPKLNFPGGVLVGASAGFMNVP KIKGTHTAMKSGLLAAESIFESIKGLPVLEEVEDEDAKMAMFDKEATINLESYESAFK ESSIYKELYEVRNIRPSFSGKLGGYGGMIYSGIDSLILKGKVPWTLKFDEKNDGEILE PASKYKPIEYPKPDGVISFDILTSVSRTGTYHDDDEPCHLRVPGQDMVKYAERSFPVW KGVESRFCPAGVYEFVKDEKSPVGTRLQINSQNCIHCKTCDIKAPRQDITWKVPEGGD GPKYTLT YOR357C MPREFKSFGSTEKSLLSKGHGEPSYSEIYAEPENFLEIEVHNPK THIPNGMDSKGMFTDYEIICRTNLPSFHKRVSKVRRRYSDFEFFRKCLIKEISMLNHP KVMVPHLPGKILLSNRFSNEVIEERRQGLNTWMQSVAGHPLLQSGSKVLVRFIEAEKF VG YOR358W MTDRNFSPQQGQGPQESLPEGPQPSTMIQREEMNMPRQYSEQQQ LQENEGEGENTRLPVSEEEFRMVQELQAIQAGHDQANLPPSGRGSLEGEDNGNSDGAD GEMDEDDEEYDVFRNVGQGLVGHYKEIMIRYWQELINEIESTNEPGSEHQDDFKSHSL PFARIRKVMKTDEDVKMISAEAPIIFAKACEIFITELTMRAWCVAERNKRRTLQKADI AEALQKSDMFDFLIDVVPRRPLPQ YOR359W MKHPYEEFPTGSKSPYNMSRGAHPGAVLLSPQSSAINKNNPGSN SGNNQGNSSVTANVLSPQSHSMSLNDMLDQQSFMLDTAGTRAQPLQQQQQQQQQQQQA SLPSLNIQTVSSTAAGSAIVSPMMQSPKALQSTLSSTSMYLDSFQRSPNNILGIPSQS GSIPLPQSRQSQQQSQSQKNDPNMGTNFSQDINQLCSWISMLNSSQQNTVMDNILSIL NDDVLKYTKLKIETLTNTPFISPPLPAIASPIPNRDDTQILNIDSVFSSSPITNDPEN TDNLLYQNWSPQPHSIPISQPIYDNITDASQRSKSAEPHVNSSPNLIPVQKQFNNGNS TKYKKLPSENPNYLSHSLSSSHSFFQPKKRSNMGNEYNSHHHHSLHHPLHNTTSYFSN TSRPSGTDLNKSNQNVFNNTITHPNAGPTSATSTSTSSNGNTPLSSNSSMNPKSLTDP KLLKNIPMWLKSLRLHKYSDALSGTPWIELIYLDDETLEKKGVLALGARRKLLKAFGI VIDYKERDLIDRSAY YOR360C MSTLFLIGIHEIEKSQTIVQNEHYFDRVIELQDLDSLMVALYKD RVSPFPNVHNFETGVSIVLYDPSKFQLSVRQLDVLFKRFFPSFNISAIDHTREENLQR LECVERENSICRNRITRINHWMYHHHDDTPDGINKNSYGTVNGNSVPTQACEANIYTL LLHLNDSKAQHLRKASVPRLIRNIEFMSFLSDPIEKISQEGSHYWNILSTWDFCALSL STQELIWCGFTLIKKLSKDAKVLIADNKLLLLLFTLESSYHQVNKFHNFRHAIDVMQA TWRLCTYLLKDNPVQTLLLCMAAIGHDVGHPGTNNQLLCNCESEVAQNFKNVSILENF HRELFQQLLSEHWPQLLSISKKKFDFISEAILATDMALHSQYEDRLMHENPMKQITLI SLIIKAADISNVTRTLSISARWAYLITLEFNDCALLETFHKAHRPEQDCFGDSYKNVD SPKEDLESIQNILVNVTDPDDIIKDHPHIPNGQIFFINTFAEVFFNALSQKFSGLKFL SDNVKINKEYWMKHKKPQ YOR361C MKNFLPRTLKNIYELYFNNISVHSIVSRNTQLKRSKIIQMTTET FEDIKLEDIPVDDIDFSDLEEQYKVTEEFNFDQYIVVNGAPVIPSAKVPVLKKALTSL FSKAGKVVNMEFPIDEATGKTKGFLFVECGSMNDAKKIIKSFHGKRLDLKHRLFLYTM KDVERYNSDDFDTEFREPDMPTFVPSSSLKSWLMDDKVRDQFVLQDDVKTSVFWNSMF NEEDSLVESRENWSTNYVRFSPKGTYLFSYHQQGVTAWGGPNFDRLRRFYHPDVRNSS VSPNEKYLVTFSTEPIIVEEDNEFSPFTKKNEGHQLCIWDIASGLLMATFPVIKSPYL KWPLVRWSYNDKYCARMVGDSLIVHDATKNFMPLEAKALKPSGIRDFSFAPEGVKLQP FRNGDEPSVLLAYWTPETNNSACTATIAEVPRGRVLKTVNLVQVSNVTLHWQNQAEFL CFNVERHTKSGKTQFSNLQICRLTERDIPVEKVELKDSVFEFGWEPHGNRFVTISVHE VADMNYAIPANTIRFYAPETKEKTDVIKRWSLVKEIPKTFANTVSWSPAGRFVVVGAL VGPNMRRSDLQFYDMDYPGEKNINDNNDVSASLKDVAHPTYSAATNITWDPSGRYVTA WSSSLKHKVEHGYKIFNIAGNLVKEDIIAGFKNFAWRPRPASILSNAERKKVRKNLRE WSAQFEEQDAMEADTAMRDLILHQRELLKQWTEYREKIGQEMEKSMNFKIFDVQPEDA SDDFTTIEEIVEEVLEETKEKVE YOR362C MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGTTSIGIKCND GVVFAVEKLITSKLLVPQKNVKIQVVDRHIGCVYSGLIPDGRHLVNRGREEAASFKKL YKTPIPIPAFADRLGQYVQAHTLYNSVRPFGVSTIFGGVDKNGAHLYMLEPSGSYWGY KGAATGKGRQSAKAELEKLVDHHPEGLSAREAVKQAAKIIYLAHEDNKEKDFELEISW CSLSETNGLHKFVKGDLLQEAIDFAQKEINGDDDEDEDDSDNVMSSDDENAPVATNAN ATTDQEGDIHLE YOR363C MYFTDESSPAMNRVGKKRNRLSFVCQACRKAKTKCDQEKPRCGR CTKQNLFCIYDVARQAAPRNPNKDATIARLKKEIRYWRNKTVDLTQEKKDFYTALKRP TEELAARRTCKSLQENSFPISLYKTHPRLIMTKVMKREINPLSEKYLIFQDTFLKTLI ASVLLSCSRNSMIPALNADISRSRTQPCVKNNVVKMREVLLKNSKYESQRKSINEFTD RLLQRKNPEEQIAVNKVISLLYSNRESSYLEDTCPTENDYSDLLKGYINEIEKTLPPK AIIEQYLSHFFEHIFHLIPFASKEMLEESIHTTVQYNELGEVRLSMGTTLIRNKMENL CILLLILRIAYISLTFIEDKIEDYSPYITKEMLEQYPIQSEVIFLAQQILASENWCAC ANENTISCLLYIWCAFVFSPTEGDFLLEQPSDVIINLVILIGTSIGLHRDPSDFPALN HPEASDKRLLNLRRIQWLSIISMATLESSLKGRLLVSPLSMIDLFIDVRDPNCVEIYK KRVKKDLTGSESDEQLLEIHEIFFHRAQLALFLSDLNNITISYSGSVPMDTLETLRVK ANELLKNKFQLRSVDINIYDEEKTFQKLTFNSILNSISLSGQILGKLMMLRASIALML YFETLAMERSECLSFFYKYFFQCCADTISLIRFFFLYFNGSYEKVLSSLVCFITTKVI QLAVPTTMFTLLVIIMRVELAKNMLLVKCNECNARGDISDLPEIKEKIKSLDTIKENF ERLLLEVYLLASQNLRFKYFYIFKMLTLFDVFIQRLRKGQLFSGLFVKVDKDLTTKKI ATMLELTLGINLDKSDHLIDRLKGKNLTVNFTLDQLYQIIKEFDRIKNIGVADPQNSL NPSKPNMKDNTPTIELLLNSSVENESVPPYSSSNDPTNVGNASTYSLAHNISNQNNEE NMPPSIGSSESNRAAPNLNFMPINNNYNNSGSNINNNDNVKLPSNFKNYYDPPMSSLD ISMDVPDIFGSLDFFDYDLLFQND YOR365C MLPIKVFSLSRIFVLLAIFRQIHAISINSSTFQVSNSFTLLNNA STLFPVPFDAYTKEYLRTSALLTCAKDSQFSASYFEAAFFPRNNTLFFNVEAQTIMSE NITIKAELIAYGLNVYTKVFDLCGIQDNLLCPLKPGNIELMGSYYVEAAVASQIPSIT YNIPDLDAYIVVTAYSTIDKEFTKPLACVQVMLSNGRTVQTEYLSWNLVILTISGIMF SVVYSLQGYTVTSTRLASYSISLLLYFQNLAILAMISVSFLPPIVAAWTQNFQWSMGI IRINFMQRLFDWYVVATSGSPTVVYRNKEVLSISVQKRSLNSKIISASSNLNGIESSQ KNDLLYTSNLRNSNDYLSKILVLRGIKRVSYKAGIEISNFFLTGFSFFIIFICMIILA FIIFKTFLKVLQKFKVKTIRYLHFHTHWSALLQGTLYRAVFIIYSEISLLALWEFTQT DSAATLVEAIVVFILITVLLSSASVRIWRKMIKSKKIFGQPSYLLFSSSKFLNKFGFL SSQFKSTKVWWLMATSAHMLIRSILVGSLQAHGKSQSIGIFLNEAIYLILLCWMQPYM DKTTNFFNISIHSLNLVNAFFFLFFSNLFQQPIAVSSFMGLIFFFLNAAFSLYLFIFI LICFTMAIYYKHPDTRYKPIDDQRRSFLKGEMDDDAVYESVPELHEMKKAVLECNGIQ KPQINKIDLCKQNSNCEYLYM YOR367W MSYDKKADVTSLDEDLRQLRESKFSPEAIQNIKIWVYKSVLKEI APPGDLLECLKDGTVLCKLANILYEADTGEANHISWKSSKMPFVQMDQISQFLSFSRK YGVPEDELFQTIDLFEKKDPAIVFQTLKSLSRYANKKHTDRFPVLGPQLSTKKPRPPV KSKPKHLQDGTGWSTFEYGYMKGASQATEGVVLGQRRDIV YOR368W MRINSELANKFSASTVHLEHITTALSCLTPFGSKDDVLIFIDAD GLSFVRENNHVIKIQLLLSRELFMSYSYRNETEDHMKLCVKINHILDSVSVMNRNSDD IVECTLSYDGHGSPFVLIFEDSFISERVEYSTYLIKDFDTNGLELDRERISFEAIIKG EALHSALKDLKEIGCKECYVYAKTEANDENVFALISKSQLGFSKIKLPSNRSILEKLQ VFDGDSTTVIDGFAVIGFFDFTSFDKIRKSTKIASKVLFRMDVHGVLSVNILSQTDDV IITDTTRPSNNRPGSIRQLQLPKDYPGIVIEVCMLEKESIDEAAQTEIELLMETNELG NRNSFKKSTIRKRYGTDKGNETSNDNLLQLNGKKIKLPSEEENNKNRESEDEENHCKY PTKDIPIFF YOR369C MSDVEEVVEVQEETVVEQTAEVTIEDALKVVLRTALVHDGLARG LRESTKALTRGEALLVVLVSSVTEANIIKLVEGLANDPENKVPLIKVADAKQLGEWAG LGKIDREGNARKVVGASVVVVKNWGAETDELSMIMEHFSQQ YOR370C MLSPERRPSMAERRPSFFSFTQNPSPLVVPHLAGIEDPLPATTP DKVDVLIAGTGMVESVLAAALAWQGSNVLHIDKNDYYGDTSATLTVDQIKRWVNEVNE GSVSCYKNAKLYVSTLIGSGKYSSRDFGIDLSPKILFAKSDLLSILIKSRVHQYLEFQ SLSNFHTYENDCFEKLTNTKQEIFTDQNLPLMTKRNLMKFIKFVLNWEAQTEIWQPYA ERTMSDFLGEKFKLEKPQVFELIFSIGLCYDLNVKVPEALQRIRRYLTSFDVYGPFPA LCSKYGGPGELSQGFCRSAAVGGATYKLNEKLVSFNPTTKVATFQDGSKVEVSEKVII SPTQAPKDSKHVPQQQYQVHRLTCIVENPCTEWFNEGESAAMVVFPPGSLKSGNKEVV QAFILGAGSEICPEGTIVWYLSTTEQGPRAEMDIDAALEAMEMALLRESSSGLENDEE IVQLTGNGHTIVNSVKLGQSFKEYVPRERLQFLFKLYYTQYTSTPPFGVVNSSFFDVN QDLEKKYIPGASDNGVIYTTMPSAEISYDEVVTAAKVLYEKIVGSDDDFFDLDFEDED EIQASGVANAEQFENAIDDDDDVNMEGSGEFVGEMEI YOR371C MPQASTFGSHSLEAHPLHIQPAVHIKLSKEERSHYREQYDSLKY ISNYVSVFDQALSDNIDSRIRKENEALLKKYYESRKPFTFTSFRQGSVISSSDSSTGF TERTKTYCFLNDFVSNCVNEVDPYTLKMTVRNRNTALNMENLDDERKSKDDIYDFEDN TDDECNAKCHGAFHYSSERLEILRSRSTISYFKYYKKLLTVDLRDSDVLKRHNLWMPM ITRRFRFLLVSSSKPEDVRLTTPIPTFSESDLDIFKNKTCPLFINGTDCVPRSYDTFS GSSVIASIFSEYKLPSLSYHCSVELNDQLFIVGGLMACHRYDEEAPDLKDFYVDGIKN LPPPLIPELINNPSMIPNPHLYCFSLTSSRLTRPDISGYIPPPLVCTQGCKLTERHIF LYGGFEIKSETQVDDKGRYFIRKRAFLNNTGYILDTVTFNFSKIELVAPPYQFAIYNN FSPRFGHMQASISNSNNNVSNENTTTSAKGRRSISPYRQGNGDHKIDDLVGSPGSTDY LEDDAIPPVTNPRSTDSLSSKHCSTATHICSSVNTILIFGGYSQTGDDKYEAMNDMWK INIPVVSRGKRNYYKFADTVTATKIPIIDDPELWPSRRAFSACCVPDYFTKDVEPIET RLLRNLKNDFSIDLEIRPGNKPSQPLFPNIPHSRKEKKSGRDSMHISNSNNSTSEDTS SKSTRNTTSSPPTSPKHTPPLNPSKKCASIGRTIAFHGGSDGYDVCSDMWWFDFDSET WTKIDLYAKTQEESDGLVPINLCMVGHSMTTVGHKVVLIGGLRQGDVDRIYRDETLPE EVISGVPLGSGVINVVDLNTQCLQGCKLIRNDGDTKESVIMDPHVGTPHQVLAVAGTI ELVKGTMTLIGGVVAGREDISSLYLRGAVLQFILPSMNLAN YOR372C MDRDISYQQNYTSTGATATSSRQPSTDNNADTNFLKVMSEFKYN FNSPLPTTTQFPTPYSSNQYQQTQDHFANTDAHNSSSNESSLVENSILPHHQQIQQQQ QQQQQQQQQQQALGSLVPPAVTRTDTSETLDDINVQPSSVLQFGNSLPSEFLVASPEQ FKEFLLDSPSTNFNFFHKTPAKTPLRFVTDSNGAQQSTTENPGQQQNVFSNVDLNNLL KSNGKTPSSSCTGAFSRTPLSKIDMNLMFNQPLPTSPSKRFSSLSLTPYGRKILNDVG TPYAKALISSNSALVDFQKARKDITTNATSIGLENANNILQRTPLRSNNKKLFIKTPQ DTINSTSTLTKDNENKQDIYGSSPTTIQLNSSITKSISKLDNSRIPLLASRSDNILDS NVDDQLFDLGLTRLPLSPTPNCNSLHSTTTGTSALQIPELPKMGSFRSDTGINPISSS NTVSFKSKSGNNNSKGRIKKNGKKPSKFQIIVANIDQFNQDTSSSSLSSSLNASSSAG NSNSNVTKKRASKLKRSQSLLSDSGSKSQARKSCNSKSNGNLFNSQ YOR373W MDMDTQEAELSSQLENLTINSPRKLRSNAHSNSGKVFKEYESNH DFQDSNFTSQVVEPAISDSVKKPPTMTVLNNYSTVHQKVPSGFSGTTATSHQEAQWKQ YFPGIGSGGGTNFGGAVGTANKVPESDLIVSDLVKDLSGVLETNTFKRHLDMKNKTTT MQTHENHDTISISHSKDFFNAEKVSSSFSDDSDSGPAAEAHDVFDGILQKQKSNYLVG SYPSNSNNKNNNNNNNNNNNNSININNKDNARTKEEDEEDTSNSFEFSSSSSMSSSQT QSGRKSKVLKKPPLNTISPGQLGYQFNHTHGAWDPPLNQGLDVSSSHSLDNTSSNQSQ FATMVPTGDNHTNGKAPSILDKKAYELTSTKPGDVGYRQKKIQEEENLANSDDTPLDT PKFNDLFTKNGTRAKVKGQMRTSRSISNSNLLEAHKKLKTFPAERVEDITSISEVNTS FNETEKQLISILTSKLSGSPSYDSDWEKILKVDLSRGKLKNMFGMQRLLPNVLVLNLS DNEMNTLEGIPSNVVQLFCSNNKITSAHCSLAGFHDLECLDLSYNLLNTSLKFLSLCH HLQEVNLSYNSIQSLEGIGSSRMKKLNLSNNEINGIIDFEQLILTNNSVVGGWLTVEV LDLSNNNIIGVRNINCLPRLKVLNLNGNPLVSIVESSKMENGTLRALSIKNTGGALSK LQNYKLDDQFTFPYQNLKILKLDGFAQLSKWQKWPATLQILEINGGLASSLPRFSSLK STNLYSLTIANVRDFTHLPVDLSKELPFLQELHLPGNNLQNAHKLTKTLPRQSVKFLD LRNNPITTPRHDRASTSLHYRQLLQLAGLCQQQCPALATLWLDDTPAPTATNL YOR374W MFSRSTLCLKTSASSIGRLQLRYFSHLPMTVPIKLPNGLEYEQP TGLFINNKFVPSKQNKTFEVINPSTEEEICHIYEGREDDVEEAVQAADRAFSNGSWNG IDPIDRGKALYRLAELIEQDKDVIASIETLDNGKAISSSRGDVDLVINYLKSSAGFAD KIDGRMIDTGRTHFSYTKRQPLGVCGQIIPWNFPLLMWAWKIAPALVTGNTVVLKTAE STPLSALYVSKYIPQAGIPPGVINIVSGFGKIVGEAITNHPKIKKVAFTGSTATGRHI YQSAAAGLKKVTLELGGKSPNIVFADAELKKAVQNIILGIYYNSGEVCCAGSRVYVEE SIYDKFIEEFKAASESIKVGDPFDESTFQGAQTSQMQLNKILKYVDIGKNEGATLITG GERLGSKGYFIKPTVFGDVKEDMRIVKEEIFGPVVTVTKFKSADEVINMANDSEYGLA AGIHTSNINTALKVADRVNAGTVWINTYNDFHHAVPFGGFNASGLGREMSVDALQNYL QVKAVRAKLDE YOR375C MSEPEFQQAYEEVVSSLEDSTLFEQHPEYRKVLPIVSVPERIIQ FRVTWENDKGEQEVAQGYRVQYNSAKGPYKGGLRFHPSVNLSILKFLGFEQIFKNSLT GLDMGGGKGGLCVDLKGRSNNEIRRICYAFMRELSRHIGQDTDVPAGDIGVGGREIGY LFGAYRSYKNSWEGVLTGKGLNWGGSLIRPEATGYGLVYYTQAMIDYATNGKESFEGK RVTISGSGNVAQYAALKVIELGGTVVSLSDSKGCIISETGITSEQVADISSAKVNFKS LEQIVNEYSTFSENKVQYIAGARPWTHVQKVDIALPCATQNEVSGEEAKALVAQGVKF IAEGSNMGSTPEAIAVFETARSTATGPSEAVWYGPPKAANLGGVAVSGLEMAQNSQRI TWTSERVDQELKRIMINCFNECIDYAKKYTKDGKVLPSLVKGANIASFIKVSDAMFDQ GDVF YOR376W MKNRKFSNLLLLRLRILCFNKKPAFAATSYAFFFRNFSVLIFIM VPDEKENGAAADNSFSLLIGRGVVLFLFYCPTALKMHGPVPAHWFCDKNIEAIQSDGQ IRLLRSGPFPWSHGTCIRGA YOR376W-A MTFHLGWTILWYNQAYLEVWATVFQDEMHKYSLHPQSRDAKTKF CCCIPFK YOR377W MNEIDEKNQAPVQQECLKEMIQNGHARRMGSVEDLYVALNRQNL YRNFCTYGELSDYCTRDQLTLALREICLKNPTLLHIVLPTRWPNHENYYRSSEYYSRP HPVHDYISVLQELKLSGVVLNEQPEYSAVMKQILEEFKNSKGSYTAKIFKLTTTLTIP YFGPTGPSWRLICLPEEHTEKWKKFIFVSNHCMSDGRSSIHFFHDLRDELNNIKTPPK KLDYIFKYEEDYQLLRKLPEPIEKVIDFRPPYLFIPKSLLSGFIYNHLRFSSKGVCMR MDDVEKTDDVVTEIINISPTEFQAIKANIKSNIQGKCTITPFLHVCWFVSLHKWGKFF KPLNFEWLTDIFIPADCRSQLPDDDEMRQMYRYGANVGFIDFTPWISEFDMNDNKENF WPLIEHYHEVISEALRNKKHLHGLGFNIQGFVQKYVNIDKVMCDRAIGKRRGGTLLSN VGLFNQLEEPDAKYSICDLAFGQFQGSWHQAFSLGVCSTNVKGMNIVVASTKNVVGSQ ESLEELCSIYKALLLGP YOR378W MSTSSSVTQKNLDTNAEALKKEDKVLSEFDIQDERPKSLLWESA FVGVLCSAQLMTQAGLGQSLAPLHIIGNSFGTTNAGQLSWFASAYSLTVGTFILIAGR LGDIFGHKKFFVLGFFWYALWSLLAGFSVYSNQIFFDCCRAFQGMGPAFLLPNAIAIL GRTYKPGRRKNMVFSLFGASAPGGFFLGAVFSSMLGQLAWWPWAYWIMGIACFVLAVA GYFVIPHTPMPSRDASSFKLLERIDFAGSVTGVVGLILFNFAWNQGPVVGWQTPYTYA LLIVGTFFLVIFAYIESRAAFPLLPFAALSSDTAFVLSCIAAGWASFGIWIFYTWQFM EDSRGQTPLLSSAQFSPVAISGFCAAVTTGFLLSHTPPSTVMLFAMTAFTVGTILIAT APVHQTYWAQTFVSIIVMPWGMDMSFPAATIMLSDSMPHEHQGLAASLVNTVVNYSIS IGLGIAGTIESRVNDGGAKPLKGYRCSWYMGIGLSGLGIFVAATYAWSTFMKSKKRIS EKQHFIE YOR380W MASPGSTALPHKRQRVRKACVPCRERKRKCNGKSPCEMCVAYGY VCHYIDGRVPSASPQVQQVGETSPDTESRPFVLPGIHRNEQPQPINTQNVTSQNIVDP TKSRYTIQHSAVAFPRCLGLELRSTNPPRLHSFAWHCGIRPEENPNSHVLLSDLVTKE EYYRISKVYFSVVHPIFDVVNPEQLAKNVEKYWDGDVKTLEYGAVIAGVIALGSFFMG SLGHPREMDIVQYAKGILDDPTFSRIPTVEQVSAWVLRTIYLRATSRPHVAWLASCVT IHLSEAIGLHHEIDREDIAISNNVPPKRTTVVSEHTRRLFWCAWSINTILSYDYGRSS VTLNRITCKPVKETDGNFTAHLVALAHLIPQDSVNANAAQLLQALAAVHESPNAHPFL SLTKGDICLSLYRRLRLLNHILDKNVVLQIIDIGNTALSAAYALVKLDQAWWNVLSTS FQYVCVLLAIDTPESLSHVATAMKTLDNITQILGTRIAFEAQKTAKLLLEDSMKKKRQ EIQQLEQATHQRSNLETTHLLDIDWDALLDPSDTLNFM YOR381W MYWVLLCGSILLCCLSGASASPAKTKMYGKLPLVLTDACMGVLG EVTWEYSSDDLYSSPACTYEPALQSMLYCIYESLNEKGYSNRTFEKTFAAIKEDCAYY TDNLQNMTNADFYNMLNNGTTYIIQYSEGSANLTYPIEMDAQVRENYYYSYHGFYANY DIGHTYGGIICAYFVGVMILASILHYLSYTPFKTALFKQRLVRYVRRYLTIPTIWGKH ASSFSYLKIFTGFLPTRSEGVIILGYLVLHTVFLAYGYQYDPYNLIFDSRREQIARYV ADRSGVLAFAHFPLIALFAGRNNFLEFISGVKYTSFIMFHKWLGRMMFLDAVIHGAAY TSYSVFYKDWAASKEETYWQFGVAALCIVGVMVFFSLAMFRKFFYEAFLFLHIVLGAL FFYTCWEHVVELSGIEWIYAAIAIWTIDRLIRIVRVSYFGFPKASLQLVGDDIIRVTV KRPVRLWKAKPGQYVFVSFLHHLYFWQSHPFTVLDSIIKDGELTIILKEKKGVTKLVK KYVCCNGGKASMRLAIEGPYGSSSPVNNYDNVLLLTGGTGLPGPIAHAIKLGKTSAAT GKQFIKLVIAVRGFNVLEAYKPELMCLEDLNVQLHIYNTMEVPALTPNDSLEISQQDE KADGKGVVMATTLEQSPNPVEFDGTVFHHGRPNVEKLLHEVGDLNGSLAVVCCGPPVF VDEVRDQTANLVLEKPAKAIEYFEEYQSW YOR381W-A MFNVALPSQDKKSEFYKQKRLSLRTNILDEFLRVAKTQDNRQNN FVSQYRNDEIY YOR382W MKFSTIFGATTVMTAVSAAAVSSVMTTKTITATNGNNVYTKVVT DTADPIISYSTTRTVVVSNSDATYTKVVTEGPDTTSEKSTTKTLTLTNGSGSSTNLYT KTVTQAVESSTSSSSSSSSSSSSASSSGAAPAAFQGASVGALALGLISYLL YOR383C MKFSSALVLSAVAATALAESITTTITATKNGHVYTKTVTQDATF VWGGEDSYASSTSAAESSAAETSAAETSAAATTSAAATTSAAETSSAAETSSADEGSG SSITTTITATKNGHVYTKTVTQDATFVWTGEGSSNTWSPSSTSTSSEAATSSASTTAT TTAETSSSATSSSTAELSSYTGAADAITAGTGLMGAALAAVMLL YOR384W MLFARLVLLLVYLAPGSLAKPASTKKRTQWDQIAIDACAKELES HKFDTDVKGRHATLCTYEPALGSWLHCAKDVLDSRKKSKKIFEKTFSKINQYCHDYHK DEVVSNEEYYRIFANASLFIRPLDEVKENIRYPVTPNKASLDRWVWAYFGPLDNIDKG NVYGVTICLYWIGVLFIAAVYHFLNFSRLKQTVFKNKVSAFLRGHYVLPALVHNHAMS VGRWFFIGLVPTRLETLVLFGYVLLHGFLLSSYNFDHNELLSDRRSQVLIFLSDRAGI LAFAHFPLIVLFGGKNSTMTWLTGIRYTAFITYHKWLGRFMLVDCTIHAIGYTYHAYI ENYWKYVKYSDLWTSGRHAMIIVGILVFFSFFFFRRHYYELFVITHIILAIGFFHACW KHCYKLGWGEWIMACALFWIADRILRLIKIAIFGMPWAKLKLCGESMIEVRISKSSKW WKAEPGQYIYLYFLRPKIFWQSHPFTVMDSLVEDGELVVVITVKNGLTKKLQEYLLES EGYTEMRVLAEGPYGQSTRTHLFESLLFIAGGAGVPGPLSMAIKAGRQVKSNDSHQMI KFVWSVRNLDLLEVYRKEIMVLKELNIDTKIYFTGERKDESNTEEGAIANMSTEGRLL TTSKSAEMITDFGRPNIDEIIEEAVSGAKSLLVTCCGSEGFVDKTRELTAKRVLEHGD KWIEYVEEFQNW YOR385W MRGFSGQPLSDDDNYRIEKTQRNTIPERLHFSRERNMPIASIFG TRGYFVFSSEQSYDKFKQTNFNISTLDADGVGVPLFHIVQSYNVIGKITRSSPDFYIY KYVLQGVQDPPLYSDCKVICQDKVFRLCKILYCEIYAHQGFFETKYDFFYPSKTQPVK KYQIIKQSNMRDLYSTLDGMRFRWHVKFYSDHFRLMFLDEDRLNYSNSNQKERQKPDQ GKSKAPDFVIGHYTRTFSDILPRSTSKCSNLIIGEHSKPDSLGITTVPDLTQEFACQG ALIHYLLHIERERK YOR386W MKRTVISSSNAYASKRSRLDIEHDFEQYHSLNKKYYPRPITRTG ANQFNNKSRAKPMEIVEKLQKKQKTSFENVSTVMHWFRNDLRLYDNVGLYKSVALFQQ LRQKNAKAKLYAVYVINEDDWRAHMDSGWKLMFIMGALKNLQQSLAELHIPLLLWEFH TPKSTLSNSKEFVEFFKEKCMNVSSGTGTIITANIEYQTDELYRDIRLLENEDHRLQL KYYHDSCIVAPGLITTDRGTNYSVFTPWYKKWVLYVNNYKKSTSEICHLHIIEPLKYN ETFELKPFQYSLPDEFLQYIPKSKWCLPDVSEEAALSRLKDFLGTKSSKYNNEKDMLY LGGTSGLSVYITTGRISTRLIVNQAFQSCNGQIMSKALKDNSSTQNFIKEVAWRDFYR HCMCNWPYTSMGMPYRLDTLDIKWENNPVAFEKWCTGNTGIPIVDAIMRKLLYTGYIN NRSRMITASFLSKNLLIDWRWGERWFMKHLIDGDSSSNVGGWGFCSSTGIDAQPYFRV FNMDIQAKKYDPQMIFVKQWVPELISSENKRPENYPKPLVDLKHSRERALKVYKDAM YOR387C MSFLNIFTFFSVLVSVATAVRFDLTNVTCNNLHGPHCGTYVMEV VGQNGTFLGQSTFAGADVLTESAGDAWARYLGQETRFLPKLTTIASNDTKNFSPLIFT TNIYTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASFITGLANQLFNSTQYGVQV ASCYPNFASVILSTPTVNIFAANETLPDYCTAIQLKAVCPPDAGFA YOR388C MSKGKVLLVLYEGGKHAEEQEKLLGCIENELGIRNFIEEQGYEL VTTIDKDPEPTSTVDRELKDAEIVITTPFFPAYISRNRIAEAPNLKLCVTAGVGSDHV DLEAANERKITVTEVTGSNVVSVAEHVMATILVLIRNYNGGHQQAINGEWDIAGVAKN EYDLEDKIISTVGAGRIGYRVLERLVAFNPKKLLYYDYQELPAEAINRLNEASKLFNG RGDIVQRVEKLEDMVAQSDVVTINCPLHKDSRGLFNKKLISHMKDGAYLVNTARGAIC VAEDVAEAVKSGKLAGYGGDVWDKQPAPKDHPWRTMDNKDHVGNAMTVHISGTSLDAQ KRYAQGVKNILNSYFSKKFDYRPQDIIVQNGSYATRAYGQKK YOR389W MRFHRQGTAATVGVLLIVLLGFCWKLSESYGIVSTALPHKQPAT KITDTPSIRWDNYHEFVRDIDFDNSTAIFNSIRAALRQSPSDIHPVGVSYFPAVIPKG TLMYHAGSKVPTTFEWLAMDHEFSYSFGLRSPSYGRKSLERRHGRFGNGTHGDHPKGP PPPPPPDEKDRGSQKMLTYRAARDLNKFLYLDGASAAKTDSGEMDTQLMLSNVIKEKL NLTDDGENERMAERLYAARICKWGKPFGLDGIIRVEVGFEVVLCDFSADNVELVSMLE MVQPNQYLGLPAPTVISKEEGWPLDENGNLVEDQLTDDQKAILEREDGWEKTFSNFNA VKSFNQLRAGTAHDNGEHRIHIDYRYLVSGINRTYIAPDPNNRRLLDEGMTWEKQLDM VDDLEKALEVGFDATQSMDWQLAFDELVLKFAPLLKSVSNILNSNGDINESIAINATA LTLNFCLRFEPASNNSDEFGSGKDFAVYQYVSPYQALKTDADFLIWSSAVSVVGEIVD AIYKVNDLLIPEVYSFMTDNTTSSDLIKNVETARSTIDGLIESLGWIELNYRCERQCN WDEVCYTPSWGPSPMGMTEPGSHNEGFGTHFDESRQRLVINSKLQCININDLMVNRNH YOR390W MIFNPVISNHKLSHYIHVFCTFTTFCILGTETRQAITALSTYTP AFVTAPTVLWSNCSSCMLMGIMQSLNAYTWMKDHQVLFLGVTTGYCGALSSFSSMLLE MFEHSTNLTNGNIANHTKLPNRAYGIMEFLSVLLVHLMVSMGSLIFGRQLGKEVIVAY GSSSFSKPYTPPSDTVKENAGDVDTQEMEKNILEFKFKTPAPFFKKFFDIVDKLAYAL AFPLIILFVVLCAYYENYSRGKWTLPCLFGIFAGFLRYWLAEMFNKTNKKFPLGTFLA NVFATLLIGIFTMVQRGKKHFSTDVPIVNSLNSCHIVSALISGFCGTLSTISTFINEG YKLSFINMLIYYTVSIAISYCLLVITLGSYAWTRGLTNPIC YOR391C MTPKRALISLTSYHGPFYKDGAKTGVFVVEILRSFDTFEKHGFE VDFVSETGGFGWDEHYLPKSFIGGEDKMNFETKNSAFNKALARIKTANEVNASDYKVF FASAGHGALFDYPKAKNLQDIASKIYANGGVIAAICHGPLLFDGLIDIKTTRPLIEGK AITGFPLEGEIALGVDDILRSRKLTTVERVANKNGAKYLAPIHPWDDYSITDGKLVTG VNANSSYSTTIRAINALYS YOR393W MSITKVHARTVYDSRGNPTVEVEITTENGLFRAIVPSGASTGIH EAVELRDGNKSEWMGKGVTKAVSNVNSIIGPALIKSELCVTNQKGIDELMISLDGTSN KSRLGANAILGVSLCVARAAAAQKGITLYKYIAELADARQDPFVIPVPFFNVLNGGAH AGGSLAMQEFKIAPVGAQSFAEAMRMGSEVYHHLKILAKEQYGPSAGNVGDEGGVAPD IDTAEDALDMIVEAINICGYEGRVKVGIDSAPSVFYKDGKYDLNFKEPNSDPSHWLSP AQLAEYYHSLLKKYPIISLEDPYAEDDWSSWSAFLKTVNVQIIADDLTCTNKTRIARA IEEKCANTLLLKLNQIGTLTESIEAANQAFDAGWGVMISHRSGETEDPFIADLVVGLR CGQIKSGALSRSERLAKYNELLRIEEELGDDCIYAGHRFHDGNKL YOR394W MTNEGIGINRDTSTICLREYVFIHFFPVKLISALTNKTNTMVKL TSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVSDIRAHLAQYYLFQAAHPT ETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYSTRLRPAISSALSKDGIYT AIPK YOR394C-A MIVNNTHILTLPPHAVSTLTCILIWHRHTDATVYIISSYPTLTF HSMAHLSLHQY YOR396W MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVR SFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVISVMVGKNVQKFLTFVEDEPDFQGGPI PSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIA SARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQ ASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDILHIILRACALNF GAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALLVS SCACTARDLDIFDDTNGVAMWKWIKILYHEVAQETTLKDSYRITLVPSSDGISVCGKL FNREYVRGFYFACKAQFDNLWGELNNCFYMPTVVDIASLILRNREVLFREPKRGIDEY LENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMVHFAVGY PYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTSDYVFYF VTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFLNTAKGC LVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMAN HSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAED VVAGEAASSDHDQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEI YMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLSR CGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKL GYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTG LAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEAL KLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFV TDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKN SWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIE RMDRLAEKQATASMSIIALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANASTNATT NSSTNATTTASTNVRTSATTTASINVRTSAITTESTNSSTNATTTASTNVRTSATTTA SINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNSSTNATT TASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLER KKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCKGCQKMF ELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSVKR GEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLR ESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVK LQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKL EYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDII LLCRDSSREVGE YPL283C MEIENEQICTCIAQILHLLNSLIITFLDDDKTETGQSFVYIDGF LVKKHNNQHTIVNFETYKNKMKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFES IPTEVRSFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPD FQGGPIPSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLE RCYEIASARPNDSSTMRTFTDFVSGTPIVRGLQKSTIRKYGYNLAPYMFLLLHVDELS IFSAYQASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDILHIILR ACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAV KALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETALKDSYRITLVPSSDGV SVCGKLFNREYVRGFYFACKAQFDNLWEELNDCFYMPTVVDIASLILRNREVLFREPK RGIDEYLENDSFLQMIPVKYREIVLPKLRRDTNKMTAALKNKVTVAIDELTVPLMWMI HFAVGYPYRYPELQLLAFAGPQRNVYVDDTTRRIQLYTDYNKNGSSEPRLKTLDGLTS DYVFYFVTVLRQMQICALGNSYDAFNHDPWMDVVGFEDPDQVTNRDISRIVLYSYMFL NTAKGCLVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESAT SELMANHSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSS TRNAEDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQL RLCHEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANC MIRLSRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFR TNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQ RIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVES QPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVS RTKEFVTDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCY LLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSAD TVELIERMDRLAEKQATASMSIVALPSSFQESNSSDRCRKYCSSDEDSDTCIHGSANA STNATTNSSTNATTTASTNVRTSATTTASINVRTSATTTESTNSSTNATTTASTNVRT SATTTASINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTDSNTSATTTASTNS STNATTTASTNSSTNATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQ MVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCK GCQKMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLK YFSVKRGEIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYK VWSNLRESKTEVLQYFLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQ GPQYVKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFCNK TFRQKLEYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPD GRFDILLCRDSSREVGE YPL282C MTNEGIGINRDTSTICLREYVFIHFFPVKLISALTNKTNTMVKL TSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVSDIRAHLAQYYLFQAAHPT ETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYSTRLRPAISSALSKDGIYT AIPK YPL281C MSITKVHARTVYDSRGNPTVEVEITTENGLFRAIVPSGASTGIH EAVELRDGNKSEWMGKGVTKAVSNVNSIIGPALIKSELCVTNQKGIDELMISLDGTSN KSRLGANAILGVSLCVARAAAAQKGITLYKYIAELADARQDPFVIPVPFFNVLNGGAH AGGSLAMQEFKIAPVGAQSFAEAMRMGSEVYHHLKILAKEQYGPSAGNVGDEGGVAPD IDTAEDALDMIVEAINICGYEGRVKVGIDSAPSVFYKDGKYDLNFKEPNSDPSHWLSP AQLAEYYHSLLKKYPIISLEDPYAEDDWSSWSAFLKTVNVQIIADDLTCTNKTRIARA IEEKCANTLLLKLNQIGTLTESIEAANQAFDAGWGVMISHRSGETEDPFIADLVVGLR CGQIKSGALSRSERLAKYNELLRIEEELGDDCIYAGHRFHDGNKL YPL280W MTPKRALISLTSYHGPFYKDGAKTGVFVVEILRSFDTFEKHGFE VDFVSETGGFGWDEHYLPKSFIGGEDKMNFETKNSAFNKALARIKTANEVNASDYKIF FASAGHGALFDYPKAKNLQDIASKIYANGGVIAAICHGPLLFDGLIDIKTTRPLIEGK AITGFPLEGEIALGVDDILRSRKLTTVERVANKNGAKYLAPIHPWDDYSITDGKLVTG VNANSSYSTTIRAINALYS YPL279C MIFNPVISNHKLSHYIHVFCTFTTFCILGTETRQAITALSTYTP AFVTAPTVLWSNCSSCMLMGIMQSLNAYTWMKDHQVLFLGVTTGYCGALSSFSSMLLE MFEHSTNLTNGNIANHTKLPNRAYGIMEFLSVLLVHLMVSMGSLIFGRQLGKEVIVAY GSSSFSKPYTPPSDTVKENAGDVDTQEMEKNILEFKFKTPAPFFKKFFDVVDKLAYAL AFPLIILFVVLCAYYENYSRGKWTLPCLFGIFAGFLRYWLAEMFNKTNKKFPLGTFLA NVFATLLIGIFTMVQRGKKHFSTDIPIVNSLNSCHIVSALISGFCGTLSTISTFINEG YKLSFINMLIYYTVSIGISYCLLVITLGSYAWTRGLTNPIC YPL278C MTDNTTSSDLIKNVETARSTIDGLIESLGWIELNYRCERQCNWD EVCYTPSWGPSPMGMTEPGSHNEGFGTHFDESRQRLVINSKLQCININDLMVNRNH YPL277C MRFHRQGISAIIGVLLIVLLGFCWKLSGSYGIVSTALPHNQSAI KSTDLPSIRWDNYHEFVRDIDFDNSTAIFNSIRAALRQSPSDIHPVGVSYFPAVIPKG TLMYHAGSKVPTTFEWLAMDHEFSYSFGLRSPSYGRKSLERRHGRFGNGTNGDHPKGP PPPPPPPDEKGRGSQKMLTYRAARDLNKFLYLDGASAAKTDSGEMDTQLMLSNVIKEK LNLTDDGENERMAERLYAARICKWGKPFGLDGIIRVEVGFEVVLCDFSADNVELVSML EMVQPNQYLGLPAPTVISKEEGWPLDENGSLVEDQLTDDQKAILEREDGWEKAFSNFN AVKSFNQLRAGAAHDNGEHRIHIDYRYLVSGINRTYIAPDPNNRRLLDEGMTWEKQLD MVDDLEKALEVGFDATQSMDWQLAFDELVLKFAPLLKSVSNILNSDGDINESIAINAT ALTLNFCLPICEPIPGLKNGCRLFDLVICCQRCRRNC YPL274W MDILKRGNESDKFTKIETESTTIPNDSDRSGSLIRRMKDSFKQS NLHVIPEDLENSEQTEQEKIQWKLASQPYQKVLSQRHLTMIAIGGTLGTGLFIGLGYS LASGPAALLIGFLLVGTSMFCVVQSAAELSCQFPVSGSYATHVSRFIDESVGFTVATN YALAWLISFPSELIGCALTISYWNQTVNPAVWVAIFYVFIMVLNLFGVRGFAETEFAL SIIKVIAIFIFIIIGIVLIAGGGPNSTGYIGAKYWHDPGAFAKPVFKNLCNTFVSAAF SFGGSELVLLTSTESKNISAISRAAKGTFWRIAIFYITTVVIIGCLVPYNDPRLLSGS NSEDVSASPFVIALSNTGSMGAKVSNFMNVVILVAVVSVCNSCVYASSRLIQALGASG QLPSVCSYMDRKGRPLVGIGISGAFGLLGFLVASKKEDEVFTWLFALCSISSFFTWFC ICMSQIRFRMALKAQGRSNDEIAYKSILGVYGGILGCVLNALLIAGEIYVSAAPVGSP SSAEAFFEYCLSIPIMIVVYFAHRFYRRDWKHFYIKRSEIDLDTGCSVENLELFKAQK EAEEQLIASKPFYYKIYRFWC YPL273W MARLPLKQFLADNPKKVLVLDGGQGTELENRGIKVANPVWSTIP FISESFWSDESSANRKIVKEMFNDFLNAGAEILMTTTYQTSYKSVSENTPIRTLSEYN NLLNRIVDFSRNCIGEDKYLIGCIGPWGAHICREFTGDYGAEPENIDFYQYFKPQLEN FNKNDKLDLIGFETIPNIHELKAILSWDESILSRPFYIGLSVHEHGVLRDGTTMEEIA QVIKDLGDKINPNFSFLGINCVSFNQSPDILESLHQALPNMALLAYPNSGEVYDTEKK IWLPNSDKLNSWDTVVKQYISSGARIIGGCCRTSPKDIQEISAAVKKYT YPL272C MTTFRPLSSFEKKILTQSLNDQRNGTIFSSTYSKSLSRENDADW HSDEVTLGTNSSKDDSRLTLPLIATTLKRLIKSQPALFATVNEEWEFEPLKQLKTSDI VNVIEFETIKDKEVNCHWGVPPPYLLRHAFNKTRFVPGSNKPLWTLYVIDEALLVFHG HDVLFDIFSAANFHKLFLKELNEISTVTHSEDRILFDVNDINLSELKFPKSIYDSAKL HLPAMTPQIFHKQTQSFFKSIYYNTLKRPFGYLTNQTSLSSSVSATQLKKYNDILNAH TSLCGTTVFGIVNNQRFNYLKSIVNQEHICLRSFICGIAMICLKPLVKDFSGTIVFTI PINLRNHLGLGGSLGLFFKELRVECPLSLIDDELSANEFLTNSNDNEDNDDEFNERLM EYQFNKVTKHVSGFIMAKLRSWEKNGFNDDDIRRMKYDNDDDFHIQNSRTKLIQINDV SDISLSMNGDDKSFKIVSTGFTSSINRPTLMSLSYTYCEEMGLNICIHYPDSYNLESF VECFESFIE YPL271W MSAWRKAGISYAAYLNVAAQAIRSSLKTELQTASVLNRSQTDAF YTQYKNGTAASEPTPITK YPL270W MLNGRLPLLRLGICRNMLSRPRLAKLPSIRFRSLVTPSSSQLIP LSRLCLRSPAVGKSLILQSFRCNSSKTVPETSLPSASPISKGSARSAHAKEQSKTDDY KDIIRLFMLAKRDWKLLLTAILLLTISCSIGMSIPKVIGIVLDTLKTSSGSDFFDLKI PIFSLPLYEFLSFFTVALLIGCAANFGRFILLRILSERVVARLRANVIKKTLHQDAEF FDNHKVGDLISRLGSDAYVVSRSMTQKVSDGVKALICGVVGVGMMCSLSPQLSILLLF FTPPVLFSASVFGKQIRNTSKDLQEATGQLTRVAEEQLSGIKTVQSFVAEGNELSRYN VAIRDIFQVGKTAAFTNAKFFTTTSLLGDLSFLTVLAYGSYLVLQSQLSIGDLTAFML YTEYTGNAVFGLSTFYSEIMQGAGAASRLFELTDRKPSISPTVGHKYKPDRGVIEFKD VSFSYPTRPSVQIFKNLNFKIAPGSSVCIVGPSGRGKSTIALLLLRYYNPTTGTITID NQDISKLNCKSLRRHIGIVQQEPVLMSGTIRDNITYGLTYTPTKEEIRSVAKQCFCHN FITKFPNTYDTVIGPHGTLLSGGQKQRIAIARALIKKPTILILDEATSALDVESEGAI NYTFGQLMKSKSMTIVSIAHRLSTIRRSENVIVLGHDGSVVEMGKFKELYANPTSALS QLLNEKAAPGPSDQQLQIEKVIEKEDLNESKEHDDQKKDDNDDNDNNHDNDSNNQSPE TKDNNSDDIEKSVEHLLKDAAKEANPIKITPQP YPL269W MDNDGPRSMTIGDDFQENFCERLERIHNTLHSINDCNSLNESTT SISETLLVQFYDDLENVASVIPDLVNKKRLGKDDILLFMDWLLLKKYMLYQFISDVHN IEEGFAHLLDLLEDEFSKDDQDSDKYNRFSPMFDVIEESTQIKTQLEPWLTNLKELLD TSLEFNEISKDHMDTLHKIINSNISYCLEIQEERFASPIRHTPSFTLEQLVKLLGTHT ETTEPKVPKFSPAEDILSRKFLNLKKNIPPIEKSLTDILPQRIVQFGHRNITNITTLQ TILQKKYELIMKDYRFMNSEFRELKVELIDKRWNILFINLNHELLYILDEIERLQSKL LTTKYTKDITIRLERQLERKSKTVSKTFNIIYRALEFSLLDAGVASKTNELAQRWLNI KPTADKILIKSSASNKIATSKKKIPKPKSLGFGRPNSVIGTITQDFQERVAINEGDSN KTPENSTTVALKGKKLGKALLQKMNIKPATSPNSSNAINPFFDPESPNKGKLILSSVP PLPYDETDETTLRVSRGENEKSPDSFITSRHENKVQITETPLMAKNKSVLDIEKDKWN HYRSLPSRIPIYKDKVVKVTVENTPIAKVFQTPPTKITTPNSQVWVPSTRRRTRLRPP TPLSQLLSPREGRLDKTPTY YPL268W MTESAIDDQRFNLTKELQRHSCRDQGKITQKDDALDFISYSSFQ SSFNTDQKSANNGSTVRRSIRSIFRRAAELPRVHMGPLTYSHGINELVNKKLRKDCDL STLCRVLQRGIRMIRMTRRRRKFYEFKLINNNGQIIWKDGSKYLELDSVKDIRIGDTA STYQEEVDPKRLRSDSKLWIAIIYKVSNKLKALHVVALNELDFNTFLSCICGLVKLRR ELMESILLPDNSQFARIHWQITVSEKEEDEKKDTLSFADVKKLCDKFHIYVSTGQLLE FFQLADINHNGLLNYFEFEKFIKILKNRKEVNMIWSKFTKPPHSHLSFENFFQFLITE QHEQVDRQTAWSYFIKYREPTQLTMGQDGFTKFLKEQPYLVEVKEELYSKPLNHYFIA SSHNTYLLGKQIAETPSVEGYIQVLQQGCRCVEIDIWDGENGPVVCHGFLTSAIPLKT VIRVIKKYAFITSPYPLIISLEINCNKDNQKLASLIMREVLAEQLYFVGTRTDKLPSP RELKHKILLKSKKTSEATRGLSVNEPFPSSFSSSYESANEQELRMKDDSTNSSSATNS SSMQRIKRIGLKKHADIINDVSNISGIHGIKFRNFSLPESKTIAHCFSLNERKVEYMI KDKHLKLSLDKHNRRYLMRVYPHVLRYKSSNFNPIPFWKAGVQMVATNWQTNDIGQQL NLAMFQILDHQPDGSFKSGYVLKPKKLLPVVTKAKMIPLIYEHFENGSDPVTVKIRIL STQLLPRLNDTSPSRNNTNSFVKVEFHTDDEPTMPISIDKGTRISATEASTKSSQGNG FNPIWDAEVSITLKDTDLTFIKFMVISEETQIASVCLKLNYLRMGYRHIPLFNMEGEQ YIFCTLFIHTQIL YPL267W MISPSKKRTILSSKNINQKPRAVVKGNELRSPSKRRSQIDTDYA LRRSPIKTIQISKAAQFMLYEETAEERNIAVHRHNEIYNNNNSVSNENNPSQVKENLS PAKICPYERAFLREGGRIALKDLSVDEFKGYIQDPLTDETIPLTLPLGDKKISLPSFI TPPRNSKISIFFTSKHQGQNPETKISRSTDDVSEKKVVRKLSFHVYEDE YPL266W MGKAAKKKYSGATSSKQVSAEKHLSSVFKFNTDLGQHILKNPLV AQGIVDKAQIRPSDVVLEVGPGTGNLTVRILEQAKNVVAVEMDPRMAAELTKRVRGTP VEKKLEIMLGDFMKTELPYFDICISNTPYQISSPLVFKLINQPRPPRVSILMFQREFA LRLLARPGDSLYCRLSANVQMWANVTHIMKVGKNNFRPPPQVESSVVRLEIKNPRPQV DYNEWDGLLRIVFVRKNRTISAGFKSTTVMDILEKNYKTFLAMNNEMVDDTKGSMHDV VKEKIDTVLKETDLGDKRAGKCDQNDFLRLLYAFHQVGIHFS YPL265W MKMPLKKMFTSTSPRNSSSLDSDHDAYYSKQNPDNFPVKEQEIY NIDLEENNVSSRSSTSTSPSARDDSFAVPDGKDENTRLRKDLKARHISMIAIGGSLGT GLLIGTGTALLTGGPVAMLIAYAFVGLLVFYTMACLGEMASYIPLDGFTSYASRYVDP ALGFAIGYTYLFKYFILPPNQLTAAALVIQYWISRDRVNPGVWITIFLVVIVAINVVG VKFFGEFEFWLSSFKVMVMLGLILLLFIIMLGGGPNHDRLGFRYWRDPGAFKEYSTAI TGGKGKFVSFVAVFVYSLFSYTGIELTGIVCSEAENPRKSVPKAIKLTVYRIIVFYLC TVFLLGMCVAYNDPRLLSTKGKSMSAAASPFVVAIQNSGIEVLPHIFNACVLVFVFSA CNSDLYVSSRNLYALAIDGKAPKIFAKTSRWGVPYNALILSVLFCGLAYMNVSSGSAK IFNYFVNVVSMFGILSWITILIVYIYFDKACRAQGIDKSKFAYVAPGQRYGAYFALFF CILIALIKNFTVFLGHKFDYKTFITGYIGLPVYIISWAGYKLIYKTKVIKSTDVDLYT FKEIYDREEEEGRMKDQEKEERLKSNGKNMEWFYEKFLGNIF YPL264C MTLQRISKDYLKPNYGLILLIVSYFFNSSMVVSTKVLENDPLET SQSRINPLQILLVRMSITYCCTLVYMHWNKQSVPDIPWGPAPCRKWLILRGIMGFFGV FGMYFSLMYLSISDAVLITFMSPTLTIFLSFLLLGEPFSKLEALGSLISFSGVVLIIR PTFLFGEQTQGQQSPQDDIVETQNPKLRLIAIGVSLLGVCGLSSVYIIIRYIGNKAHA IMSVSYFSLVTTVVAALGVLLIPSMSLQLPHSWKQWGLFLNLGISGFIHQILLTMGIQ RERAGRGSLMTYTQVIYAVFWDVVLFHHWPNIWTWCGMAVIVSSTIWVINMRASKQNV VATAELLSTSDFELDDLED YPL263C MAKKNKKDKEAKKARAELKNQKNQKKQEKKFQKNKNKSLNGEED DESDQDLDEILSSFSKKQIELEHVDITSVEKPSCRTHPLMFANPQHNKHELFIFGGEF TDPETKLTHFYNDLYSYSIKNNSWKKYVSQNAPLPRSSAAVAVHPSGIALLHGGEFSS PKQSKFYHYSDTWLFDCVERKFTKLEFGGRDSSPSARSGHRIIAWKNYFILFGGFRDL GNGQTSYLNDLWCFDISTYKWTKLETNSKPDARSGHCFIPTDNSAILMGGYCKIIAKN NKNLMKGKILNDAWKLNLTPDPKKWQWEKLKNFKNQPSPRVGYSFNLWKQNKSVAFGG VYDLQETEESLESVFYNDLYMFHLELNKWSKLRIKPQRQTNSKNSPATSKRKSNKDQE KELQDLLNSILAKSNLNDDDDDNDDNSTTGPNSIDDDEDNEDDSDLDNQEDITISNQL PHPRFNAATCVVGDSLFIYSGVWELGEKDYPINSFYSIDLNKLDGVKVYWEDLSAIEE AKRLGDRDSDEDEFEYEDDEEDEDDGEEEQDAGPLEGDEDEESESDDDKQAQMEIPDE RSWLPHPKPFETLRAFYLREGANFLTWSISNNRNLKGKQLKTKSFELCEDRWWERRDQ VTLEEERLEDTGGIIERDTTTKPSKRR YPL262W MLRFTNCSCKTFVKSSYKLNIRRMNSSFRTETDAFGEIHVPADK YWGAQTQRSFQNFKIGGARERMPLPLVHAFGVLKKSAAIVNESLGGLDPKISKAIQQA ADEVASGKLDDHFPLVVFQTGSGTQSNMNANEVISNRAIEILGGKIGSKQVHPNNHCN QSQSSNDTFPTVMHIAASLQIQNELIPELTNLKNALEAKSKEFDHIVKIGRTHLQDAT PLTLGQEFSGYVQQVENGIQRVAHSLKTLSFLAQGGTAVGTGLNTKPGFDVKIAEQIS KETGLKFQTAPNKFEALAAHDAIVECSGALNTLACSLFKIAQDIRYLGSGPRCGYHEL MLPENEPGSSIMPGKVNPTQNEALTQVCVQVMGNNAAITFAGSQGQFELNVFKPVMIA NLLNSIRLITDAAYSFRVHCVEGIKANEPRIHELLTKSLMLVTALNPKIGYDAASKVA KNAHKKGITLKESALELGVLTEKEFDEWVVPEHMLGPK YPL261C MLFLDSYSLLIQFQRFKNWESPRRFSSSFPLLLFVFKPIFAAKL LKEICSSGVLSYSLSFLKIPLSVMRVILGPLPDDKKLKNDAKYSFMNYFIITCIGIIM YPL260W MFASAGQQHPQIVPKEEESILNYLLEVRSSLAKLKQNRTQYLNS KDVQTTYQHVLTKVRELDDIRKNSHETPAKSAATLIHSTELHNRVDSVLDDVFQLLSL CFLTVGLKNSAPATYASLSTVESLLEHLNESNVFTHHDLSPIKERLEEISKIVEQKNS SPAYDEDGNDDRLREIDNERKKNKIEEDLLLRAKLKHCKDEYDILEGKLEEIDPSLST VMEKLFRIRRGLLSLVASAKKTMSKSDINTNSLLQEQNDLQTNNESLTDDKHLVSQEY VHEKLSVLKNELSELESNRDDSGKFKSLESHQVAEKGQSVLNGLLDDCHDLVNDLSHQ KNGGLTLDPYLQPIYEQLIDIKTTLENLMITRRWTLRETDLFSYQKKLNEIDNKRING KFPTKSQDSKGQSILLYLLRRCYAIIYKLLESSEPVSEALQPIHNQLSTVRRCLLELK RMGGVNNERELYPYQMKLASLDNLRTEGIFYDSDGNIPEGQGILNALLAECFDILHEL KVEAEEKAQNSTSSDGSDDDDNGESGIDSNSNDSEPESEYQQE YPL259C MASAVYFCDHNGKPLLSRRYRDDIPLSAIDKFPILLSDLEEQSN LIPPCLNHNGLEYLFIQHNDLYVVAIVTSLSANAAAIFTFLHKLVEVLSDYLKTVEEE SIRDNFVIIYELLDEVMDYGIPQITETKMLKQYITQKSFKLVKSAKKKRNATRPPVAL TNSVSWRPEGITHKKNEAFLDIVESINMLMTQKGQVLRSEIIGDVKVNSKLSGMPDLK LGINDKGIFSKYLDDDTNIPSASATTSDNNTETDKKPSITSSSATNKKKVNIELEDLK FHQCVRLSKFENEKIITFIPPDGKFDLMNYRLSTTIKPLIWCDVNVQVHSNSRIEIHC KAKAQIKRKSTATNVEILIPVPDDADTPTFKYSHGSLKYVPEKSAILWKIRSFPGGKE YSMSAELGLPSISNNEDGNRTMPKSNAEILKGPVQIKFQIPYFTTSGIQVRYLKINEP KLQYKSYPWVRYITQSGDDYTIRLT YPL258C MTYSTVNINTPPPYLALASNEKLPTVLSIAGTDPSGGAGVEADV KTITAHRCYAMTCITALNAQTPVKVYSINNTPKEVVSQILDANLQDMKCDVIKTGMLT TAAIEVLHEKLLQLGENRPKLVVDPVLVATSGSSLAGKDIASLITEKIAPFADILTPN IPECFKLLGEDREISKLRDIFEVAKDLAKITKCSNILVKGGHIPWNDEEGKYITDVLY LGAEQRFITFKGNFVNTTHTHGTGCTLASAIASNLARGYSLPQSVYGGIEYVQNAVAI GCDVTKETVKDNGPINHVYAIEIPLEKMLSDECFTASDAVHKKPVKSSLNKIPGGSFY KYLINHPKVKPHWDSYVNHDFVRKVADGSLEPKKFQFFIEQDYLYLVNYARISCIAGS KSPCLEDLEKELVIVECVRNGLCQHERRLREEFGIKDPDYLQKIQRGPALRAYCRYFN DVSRRGNWQELVIALNPCLMGYVHALTKIKDEVTAAEGSVYREWCETYSSSWCHEAML EGEKLLNHILETYPPEKLDTLVTIYAEVCELEANFWTAALEYE YPL257W-B MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPNS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSY LIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YPL257W-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YPL257W MTAHANERISDVSPSARGSSSESKYDKLCRVLFFIAITKSSFTP EHILYKHSIFTDKPILADIVTFMYAAFVSIGWFLIWGERAYRTQEMGQPPMYSNINYH LLSFKKRHPKKFTCALWLVFFLAYTVLTVLIWLVQLIFRKGNVFQMLLQLIILDIAIA LVNVAIAFTFEIYLSQKAAIEIRDEGLNNLDTA YPL256C MASAEPRPRMGLVINAKPDYYPIELSNAELLSHFEMLQEYHQEI STNVIAQSCKFKPNPKLIDQQPEMNPVETRSNIITFLFELSVVTRVTNGIFFHSVRLY DRYCSKRIVLRDQAKLVVATCLWLAAKTWGGCNHIINNVVIPTGGRFYGPNPRARIPR LSELVHYCGDGQVFDESMFLQMERHILDTLNWNIYEPMINDYVLNVDENCLMQYELYE NQVTYDKQCSEKRQSQLSQDSDATVDERPYQNEEEEEEDLKLKIKLINLKKFLIDVSA WQYDLLRYELFEVSHGIFSIINQFTNQDHGPFLMTPMTSESKNGEILSTLMNGIVSIP NSLMEVYKTVNGVLPFINQVKEYHLDLQRKLQIASNLNISRKLTISTPSCSFENSNST SIPSPASSSQSHTPMRNMSSLSDNSVFSRNMEQSSPITPSMYQFGQQQSNSICGSTVS VNSLVNTNNKQRIYEQITGPNSNNATNDYIDLLNLNESNKENQNPATAHYLNGGPPKT SFINHGMFPSPTGTINSGKSSSASSLISFGMGNTQVI YPL255W MNQEDNTGGGGIFGLFKWTKDALFGTDISPSMKYKDQEERRDRS RYAQDDTNFSMKFGNDSNRRSTNLSRSNSWSGLDSTLHRKYELLPEYNENGFNSIVNG DHHSKERIRSLRSPAPIVPREPLRNEPTDTFGHRLHTKRRTINELSNSQIPFIPPQED DPLLSKLFNKDGVNEVRRSPYKLSVKDIPGKFPSPLTKRDEIDNYYVRDEDACHKNRE YKKAYFDLFAQMDLNSRDLEDLCEDVREQREQFHRNEQTYKQAYEEMRAELVNELKKS KTLFENYYSLGQKYKSLKKVLDQTISHEAELATSRERLYQEEDLKNFEIQTLKQRLSD LELKYTNLQIEKDMQRDNYESEIHDLLLQLSLRNNERKDTSAGSNIFSTGQ YPL254W MSAIQSPAPKPLQPTYPAASPASTNAYMKPGLIGSPAVSNHTEP NNGNNETAEPQGPNQRIDLGAMIEELTSLLGKESWTKYAQIISLFILGKLSRKELSNE LELVFSPSAASLEKSNTNHHHSLVRLHNQLLLGIFANSLRENPLGRNGNESSWGFGNG SNNPNNKLKRINKHNSQIEVYKKIVMSLPLNDRNRLKMITKEAGKRGFIFCSVFQARL NNIPKIPIVTNPESLKRVKSNNLKTPLEWSQDIMNGFNVPLASESHSLPDTDSFYLRM VGIAREHGLVGTVDARCVELISLALDQYLKNIIEFTIDTVRYRRKKYSDYYDLNESGL YKSVSEMAADKRDAKIKQLDDDKNEDECADEAKSINNGNNSSKDDIGDISMSSITKAG EAVNEELHENRTISLTNEDIYDSLSIFPNLVEPSGSYYALTNLGLVNDDELVDMKSNI DDLPDFLNEKPTFTPLDERNVGTRHELNWLIKGILTED YPL253C MASQQNKHAFLSKNRIFHNPDNVSSSKSRNLMDITNTTNTMNGS RPSSMKSSLALPPVKDSFPSVSRSASLNINMSKIKDLKDRQDKIRFQRHTLRTQLIEC EREIKTIKFRDLNKSRFELYKKKSKQAKYLKQVRDLTQNLNSKDGERADLIKKNKSAL ATLQAELDQNLILKRQESQELYNNKLIFWENELQIMENVEPDHEITEEISQLKKTLQE LNINWANLQKQNLERQVNHESQLRKDFIAFKEAKLKSMENLTNKHRELLDQIATLQSE SEKLHKEIMDIDRQAEYSEQNISEINENIKQLELANNPLISKSLQNSQDLEHLQNQME NLKEMASKQEKFYNDTYNTVEKELLRSRRLENSIIEQKGTMRCYAYVMEQNLPENLLF DYENGVITQGLSEHVYKFNRVIPHLKVSEDKFFTQEYSVYHDMCLNQKKNFNLISLST TPHGSLRESLIKFLAEKDTIYQKQYVITLQFVFLSDDEFSQDMLLDYSHNDKDSIKLK FEKHSISLDSKLVIIENGLEDLPLNFSCDEHPNLPHSGMGIIKVQFFPRDSKSDGNND PVPVDFYFIELNNLKSIEQFDKSIFKKESCETPIALVLKKLISDTKSFFLLNLNDSKN VNKLLTISEEVQTQLCKRKKKLT YPL252C MLKIVTRAGHTARISNIAAHLLRTSPSLLTRTTTTTRFLPFSTS SFLNHGHLKKPKPGEELKITFILKDGSQKTYEVCEGETILDIAQGHNLDMEGACGGSC ACSTCHVIVDPDYYDALPEPEDDENDMLDLAYGLTETSRLGCQIKMSKDIDGIRVALP QMTRNVNNNDFS YPL250C MSSVESSPISRYEDEVFPLSFSNVAFEPPMLSHSPDRSTYADDF SQSYQQELLTFPLSYPIVDESECTHTKDKTDSNIITSTEDDCMFDMEFNGNAASAVAA ASKESNSASGFAFASNDAFANVAQQNYRLWLSSV YPL249C-A MAVKTGIAIGLNKGKKVTQMTPAPKISYKKGAASNRTKFVRSLV REIAGLSPYERRLIDLIRNSGEKRARKVAKKRLGSFTRAKAKVEEMNNIIAASRRH YPL249C MSSDKSIEKNTDTIASEVHEGDNHSNNLGSMEEEIKSTPSDQYE EIAIIPTEPLHSDKELNDKQQSLGHEAPTNVSREEPIGISGDEDTQITEQNVNEQRQE TREPSSEIDLNEPLDVEKDVTTDVQAPNGLNIEKEYDAVKENEKVYADTKEVVSSPEN REVTGKNSGGEKSSSSKFLDDESGTTTAANANDISISSEVTPERSSENDNNQIHITNE VAAGINLNENKEQKAAIEDGPVTAENLSSETARKVPPIPTQIINEKGDNSSENEVSAI PTTSSPPLPPRQNVATSTSPKLPPRGKQREQPPKTKNAVPPPLEEEMKSEKFRKNFEE TKRNSYHHVPLTGSKTAQLESTAEINLIASRYRKTSHHLNKEGEETRESLQEGQSFLK STFTSFLENLSEYNEVENVNEEDREMFKIDWSFWTQVVNDYATVASNEPENLEAHVTN GIPPQIRGIIWQLMANSKSREMEDIYETLLDTECLHEATIRRDLRRTKFVAEDKMESL YKVIKVYSVYDPDVGYTQGMGFIAAPLLINCENEAESFGLLVGLMKNYGLRELFLPGM PGLMLMLYQFDRLLEEHSPSLYNRLIREGISSTMYATQWFLTFFAYKFPLEFVLRIFD IVFVEGIEVLLKFAVNLMLKNEETLVKLRFDELLDFLKDELFNYYLMGNQDDASVVQM GLSNGNSFKGNDDGTFSYNVDLFVHDAMTGVYITPLTLRRYRGEYVEIHEKEQKKEDH YESLRIQNHQLQREAQKLEHDYSILNKENISAANELIQNRLNMEMLLDEKNDLINTIT DIKSQIEEEIRKQNLPNPDASLPKADLREDLERTISRNNEVMRENGQLEERITELQAE IDELININKEQVSTASLLERDSKAKGRKGWTGFKKVFK YPL248C MKLLSSIEQACDICRLKKLKCSKEKPKCAKCLKNNWECRYSPKT KRSPLTRAHLTEVESRLERLEQLFLLIFPREDLDMILKMDSLQDIKALLTGLFVQDNV NKDAVTDRLASVETDMPLTLRQHRISATSSSEESSNKGQRQLTVSIDSAAHHDNSTIP LDFMPRDALHGFDWSEEDDMSDGLPFLKTDPNNNGFFGDGSLLCILRSIGFKPENYTN SNVNRLPTMITDRYTLASRSTTSRLLQSYLNNFHPYCPIVHSPTLMMLYNNQIEIASK DQWQILFNCILAIGAWCIEGESTDIDVFYYQNAKSHLTSKVFESGSIILVTALHLLSR YTQWRQKTNTSYNFHSFSIRMAISLGLNRDLPSSFSDSSILEQRRRIWWSVYSWEIQL SLLYGRSIQLSQNTISFPSSVDDVQRTTTGPTIYHGIIETARLLQVFTKIYELDKTVT AEKSPICAKKCLMICNEIEEVSRQAPKFLQMDISTTALTNLLKEHPWLSFTRFELKWK QLSLIIYVLRDFFTNFTQKKSQLEQDQNDHQSYEVKRCSIMLSDAAQRTVMSVSSYMD NHNVTPYFAWNCSYYLFNAVLVPIKTLLSNSKSNAENNETAQLLQQINTVLMLLKKLA TFKIQTCEKYIQVLEEVCAPFLLSQCAIPLPHISYNNSNGSAIKNIVGSATIAQYPTL PEENVNNISVKYVSPGSVGPSPVPLKSGASFSDLVKLLSNRPPSRNSPVTIPRSTPSH RSVTPFLGQQQQLQSLVPLTPSALFGGANFNQSGNIADSSLSFTFTNSSNGPNLITTQ TNSQALSQPIASSNVHDNFMNNEITASKIDDGNNSKPLSPGWTDQTAYNAFGITTGMF NTTTMDDVYNYLFDDEDTPPNPKKE YPL247C MDPFHNGNKRSSISFGSSQRQPYNKNNYLSGTNGPSSAAQDQGR GPSPFGMSGNTTNGGNSKRNSGCDLSATYYASRSPMYSPLDFSPPVFSPNHSQLQQAR GYAANIPVVSNLMNPSMASVCEYQSHYPLFGLDWSADDYVCLGSYKEDSRNKLQVLHS NDLLSWESVVDADVVYPVSKIQWVPSQLYPRKLATCSDSLRIWSVSPEERQFQEQINL SLCKYNRQHPANPAAADDMKVIGTFPPITSFDWNTVDTNLIISSSIDTTCIVWDLQSS HYVKTQLIAHDSEVFDVRFLTKSTQLFASCGGDGSVRVFDLRSLAHSTIIYEPPSSSV SGATAGTITPSSKGSDALLRLEPSPYDPNVLATFAADSNKIIILDMRNPESPILNLQG HGSSVNGIKWHPTKRNVLLSCGDDCQVLYWDLNSSFMEINAAGSKSPSIHGTSLEDPD GDTEMTDGGAGSGLNEDPLSLNNNSKQVCKTLETPNMMYANKTQEINNIAWRPQRGDW FGCVSGKKFQNVRVL YPL246C MNWKSYVFPGGHPPAALTTGLVVFLTAIYLLSFIFALREDLSLA PESLFKLQMSRLSLYPLIHLSLPHLLFNVLAIWAPLNLFEETHGTVYTGVFLNLSALF AGILYCLLGKLLYPEALVAGASGWCFTLFAYYSFKESQIRPRTRIFRTDYSIPTLYTP LVLLVAIAVVIPGSSFWGHFFGLCVGYAIGYKESWFNKITPPGWIITKIEKSLDGLIR LIPWGIKYYRDEDIDRTKDYEPLMSTETPLPLHNDNSGTVLGTA YPL245W MHGPTSKAISRNVRSVKRPRRAPRPVVSTQAMNKLSNVTLSAEQ EKLRERVLSFMRSNLSQYKSDWKHPAMFVIQGDAGTGKSVILNSLFNEIQKLSQFSPS SEDILHGTHNYLVVNHPEMLKLYIRISDSFKYISKSSLERPTSLINNLQKRKVMADVV IVDEAHLLATSKDAFKRFYGENHLKDLMSLCKVLVLVYDDKQALRMGSYWDEGSNNGA TLKDFYNEIPPKSRDWYTLKQQFRVAAPQNVLNWIDQISVAGKIPPIESVLSKGNADC ADDKIKNFDFKIWDDCGAMYEAIKEKDRQYGQCRMLSTYDFPYRLDGKDYYVECGDNF KVRWDRYTPREVTPWSERCDTIDEVGSVYTIQGFDLNYAGVILGRSIGYDAANDCIKL RPELYDDRAGFTKKKNIHNAEDVKQKIIMNSINVLLTRGVRGLYVYAYDPELRERLLR PSKK YPL244C MAGSTSSLVICAIGIYATFLTWALVQEPLATRTWPNSMGKFQFP NVISLIQASVAMMMGYLYLNWKKVEYPPRKMIKDHWKQLMLISFTQSSSGPLATTSLK HVDYLTYMLAKSCKMIPVLLVHLLLYRTPIASQKKVVALLVSLGVTIFTIGGNDGKKL KRSFNESGNDNKLQGFGLLFSSLFLDGLTNATQDKLLKANKAKEKGKQTLITGAHLMF TLNLFVILWNILYFIVIDCKQWDNAVSVLTMDPQVWGYLMLYSFCGAMGQCFIFYTLE QFGSLVLIMITVTRKMVSMILSIIVFGKSVRFQQWVGMFIVFGGITWEALNKKKANIP KAKSA YPL243W MVAYSPIIATYGNRAEQFLETDSDFAKYHAKLNKKLQHLRSRCH LVTKDTKKYSSKNKYGEINSEDYDNKTKLIGVLILLHAERDLALAETLKLRARQRGKL KKSEEKVLSTRLKKACKTADKLVNVTQNEQQWITRAQYLAFAKLVHSEYLINGKRFKR KDNAKISNNLALVFAALEHLKNLSLLAEEVVDNIVNKYQYSLKQYAGNLITTPEINNF IVERVQSDENKDDELVKLLLDNGFNMKKITTSTEDQKVTTNINWRSFNAKIIDAEVAQ FLEQGLSIHPTQITQYTQRLSKLEKALDRHEFFIANHDDQDDIDEMVENSSENNQIIL AYIKYNILLTSISRERDLFTHLWNQWLKLNTSLPSKLTKYKEMERIVKNLTKYLSDIM ELPGVYSDDELLSQLDLCKLYFQLFLNTGCLSVLYQSKGRYMEALALYVDAYRRLENK LSEIESLDEILLPANLLSLNSVRSLQKRIENGGNSVITLAEYEKRNHGGSLGKYDLTV IEKLDSKKILPTDIQLKNLFPLKPKMLPIPSKPTLFDLAFNYITYDKQEPSASQVKDS VTETESISQTPISNEQTEGEPKKKRGFLGLFGR YPL242C MTAYSGSPSKPGNNNSYLNRYVENLGTNVTPPLRPQSSSKINSS LNIASPSHLKTKTSASNSSATILSKKVESSVSKLKPSLPNKLVGKYTVDLSNYSKIEL RYYEFLCRVSEVKIWIEAVIEEALPSEIELCVGDSLRNGVFLAKLTQRINPDLTTVIF PAGDKLQFKHTQNINAFFGLVEHVGVPDSFRFELQDLYNKKNIPQVFETLHILISMIN KKWPGKTPALTNVSGQISFTKEEIAACKKAWPRIRDFKSLGTNINTAPASPEEPKEKR SGLIKDFNKFERPNIPVEEILITPRKNITDANCSDFSNTPSPYNEAPKMSNLDVVVEK RKFTPIEPSLLGPTPSLEYSPIKNKSLSYYSPTISKYLTYDTEFYTRRSRAREEDLNY YQTFKYSPSHYSPMRRERMTEEQFLEKVVQLQNICRGVNTRFNLYIQKRLLNLFEQDI LRFQACLRGNKFRVLSSMYLPIRRAKIDVPHVEAIQSRIKGSRIRYKYDKLKFTLSRF SCTVELLQAYCRSKLLKTTVNTKLNDIEISHYPLTKLQSYMRASYVRKKVMSLNTKLN DERESIMKFSAIIRGNVVRCSEDAILSAVHDVHKENISKLQSLIRGIFTRSCLASIIY SLGKENCNIIQLSACIRGNAVRHKVQSLFAPENNLSETVHDLQGLVRGILVRYTLDLV DDIVEYNNLALFQAFSRGALVRESLDQKSSFYKRNVRSVIMIQSWIRKSLQRSAYLEL LDCPNPSLWAVKKFVHLLNGTATIEEVQNQLESCQASLDSENMKKERLLKSIRQQLNI NGVLDKFGLLKDKDHELGISDSTIPKSKYQKYEKLFYMLQVDPSYWKLLYLKEPEFVA KNVYMTFGTVNQRMNDRERSYFTRFVCEMLQNAINEAPSIESFLDNRSQFWQTILQDF LRRESPEFFSIIVPVLDYLSDPVVDFESDPYKIYQEIHGFSSPQHCSPVDDASTKNKF IDNLRCLWHAIEMVAEIYTRKVHTIPVEIRYLCTKIFCYAADKNIEEIDSLRAISSIL VNVFVSEYLVNREYYGYKDSNVQKNNQKIDILMKSLATVFEIKNFDGFLDPLNQYANE IKPHIKDVLYNVLVDPEYEQEGDRLIYLDMVSPSPKLELLTEKVLEISGKFEEYLNEF PEADILHDILEKNLDNSSFPRSGRVTLELDASAYRFLVSDDKMRKIYDQVKRAFVYMM QIEDVDTNLYDLSISTILPQDEPNFANFLEQNPKIRDDPMIQKLKPLKYFTLKNVTLK KIHELESTGTFCSSDNKLQNFLNDIANTIKNPNYAIDYVTQEIYITKETLTKISEMNH SLDIELSRLKKHVDHTIKDFQKAKDFSPVHKSKFGNFKNAVKKVQGRERSELQGMKFK WNTKQLYERGVLKTIRGEKLAELTVKVFGSSGPKFPDIIFKISTSDGSRFGIQMIDKR KGPDKRYSDDVDSFSFKDLIKTQVEPKIETWKLFHSNVVVNNSQLLHLIVSFFYKRNA L YPL241C MDFTAKIKELERELSETSDYKTLQKKTISLRSELNTLSHSLTSY EKEHFSNDIENVLKSINAKLSESKGKKRLFSFKQKNSSSAVHKNVERTELANAPAYTT TLKKHYVLEKGDSAFENLEFCTVTSTTDYSGNSALSGSLCFRNITKCVINLQRIFFQT GSIFITDCTDSIIFLRSPSDKDFQIRLRDLKNCKILIEKLSPSIDCKQVVIIENCHKC IFNASTRDHLIIQDFSNPFQSEETEDNSAFAFEDFDICNKDTMQLFRAYL YPL240C MASETFEFQAEITQLMSLIINTVYSNKEIFLRELISNASDALDK IRYKSLSDPKQLETEPDLFIRITPKPEQKVLEIRDSGIGMTKAELINNLGTIAKSGTK AFMEALSAGADVSMIGQFGVGFYSLFLVADRVQVISKSNDDEQYIWESNAGGSFTVTL DEVNERIGRGTILRLFLKDDQLEYLEEKRIKEVIKRHSEFVAYPIQLVVTKEVEKEVP IPEEEKKDEEKKDEEKKDEDDKKPKLEEVDEEEEKKPKTKKVKEEVQEIEELNKTKPL WTRNPSDITQEEYNAFYKSISNDWEDPLYVKHFSVEGQLEFRAILFIPKRAPFDLFES KKKKNNIKLYVRRVFITDEAEDLIPEWLSFVKGVVDSEDLPLNLSREMLQQNKIMKVI RKNIVKKLIEAFNEIAEDSEQFEKFYSAFSKNIKLGVHEDTQNRAALAKLLRYNSTKS VDELTSLTDYVTRMPEHQKNIYYITGESLKAVEKSPFLDALKAKNFEVLFLTDPIDEY AFTQLKEFEGKTLVDITKDFELEETDEEKAEREKEIKEYEPLTKALKEILGDQVEKVV VSYKLLDAPAAIRTGQFGWSANMERIMKAQALRDSSMSSYMSSKKTFEISPKSPIIKE LKKRVDEGGAQDKTVKDLTKLLYETALLTSGFSLDEPTSFASRINRLISLGLNIDEDE ETETAPEASTAAPVEEVPADTEMEEVD YPL239W MGLHSEPLDQEDQDTIILDARAGDLDSLKDIFTTLVSPELLSTC KESESDSTALHMAAANGHIETVRYILETVSRANSAEDLKAFVNEVNKTGNTALHWASL NGKLDVVKLLCDEYEADPFIRNKFGHDAIFEAENSGKEEVETYFLKKYDVEPEDDEED TQTEGKNSVQITKGTEIEQVTKEATEALREETEKLNINKD YPL237W MSSDLAAELGFDPALKKKKKTKKVIPDDFDAAVNGKENGSGDDL FAGLKKKKKKSKSVSADAEAEKEPTDDIAEALGELSLKKKKKKTKDSSVDAFEKELAK AGLDNVDAESKEGTPSANSSIQQEVGLPYSELLSRFFNILRTNNPELAGDRSGPKFRI PPPVCLRDGKKTIFSNIQDIAEKLHRSPEHLIQYLFAELGTSGSVDGQKRLVIKGKFQ SKQMENVLRRYILEYVTCKTCKSINTELKREQSNRLFFMVCKSCGSTRSVSSIKTGFQ ATVGKRRRM YPL236C MISIVLELFQNLCCCRGFSDATIRVNDKRYRIQRLLGEGGMSFV YLVQLSKNSLIIDNGIATPELYALKKIICPSVESISNGMREIENYKRFQSPYVIKSID SQVMQEKDGSKTIYIVLPYYSLGSLQDSINRRLLEGTFVSEAECVRIMLGVTRGLLCL HDPASRQDNATSRVNVDAVSMTYSDETAMLLEDTPLEMDMLSSNSAGSIAYAHRDITP SNILFSSDGLPVIGDLGSCSQADITIENRHQLSELQEWVNDNCTLPYTPPELLNLKLN QVLSSKVDIWSLGCTFYTLMFGISPFEREEQIHGASLTYAINTGKYSFPRNSRFSEGL LSVIKKCIQVDPIQRPTTSQLLNLLQDLDT YPL235W MSIQTSDPNETSDLKSLSLIAAHSHITGLGLDENLQPRPTSEGM VGQLQARRAAGVILKMVQNGTIAGRAVLVAGPPSTGKTALAMGVSQSLGKDVPFTAIA GSEIFSLELSKTEALTQAFRKSIGIKIKEETELIEGEVVEIQIDRSITGGHKQGKLTI KTTDMETIYELGNKMIDGLTKEKVLAGDVISIDKASGKITKLGRSFARSRDYDAMGAD TRFVQCPEGELQKRKTVVHTVSLHEIDVINSRTQGFLALFTGDTGEIRSEVRDQINTK VAEWKEEGKAEIVPGVLFIDEVHMLDIECFSFINRALEDEFAPIVMMATNRGVSKTRG TNYKSPHGLPLDLLDRSIIITTKSYNEQEIKTILSIRAQEEEVELSSDALDLLTKTGV ETSLRYSSNLISVAQQIAMKRKNNTVEVEDVKRAYLLFLDSARSVKYVQENESQYIDD QGNVQISIAKSADPDAMDTTE YPL234C MSTQLASNIYAPLYAPFFGFAGCAAAMVLSCLGAAIGTAKSGIG IAGIGTFKPELIMKSLIPVVMSGILAIYGLVVAVLIAGNLSPTEDYTLFNGFMHLSCG LCVGFACLSSGYAIGMVGDVGVRKYMHQPRLFVGIVLILIFSEVLGLYGMIVALILNT RGSE YPL233W MSQGQSKKLDVTVEQLRSIYHQFHDILEEKTDLHLPKKEYDDDA VRREVQIQLQEFLLSAMTMASKSLEVVNADTVGKTVKQLIMESQEKYMEPFDLDLNEQ VRKMYQEWEDETVKVAQLRQTGPAKINEVYNNSKDEYLAQLDGRIGVLQARMMQQQSA DHDDSTDDADDHINWEHIKQDYVASLNELYQTQQDLPKVRYNVEKVKRLMDFLEED YPL232W MSYNNPYQLETPFEESYELDEGSSAIGAEGHDFVGFMNKISQIN RDLDKYDHTINQVDSLHKRLLTEVNEEQASHLRHSLDNFVAQATDLQFKLKNEIKSAQ RDGIHDTNKQAQAENSRQRFLKLIQDYRIVDSNYKEENKEQAKRQYMIIQPEATEDEV EAAISDVGGQQIFSQALLNANRRGEAKTALAEVQARHQELLKLEKSMAELTQLFNDME ELVIEQQENVDVIDKNVEDAQLDVEQGVGHTDKAVKSARKARKNKIRCWLIVFAIIVV VVVVVVVPAVVKTR YPL231W MKPEVEQELAHILLTELLAYQFASPVRWIETQDVFLKDFNTERV VEIGPSPTLAGMAQRTLKNKYESYDAALSLHREILCYSKDAKEIYYTPDPSELAAKEE PAKEEAPAPTPAASAPAPAAAAPAPVAAAAPAAAAAEIADEPVKASLLLHVLVAHKLK KSLDSIPMSKTIKDLVGGKSTVQNEILGDLGKEFGTTPEKPEETPLEELAETFQDTFS GALGKQSSSLLSRLISSKMPGGFTITVARKYLQTRWGLPSGRQDGVLLVALSNEPAAR LGSEADAKAFLDSMAQKYASIVGVDLSSAASASGAAGAGAAAGAAMIDAGALEEITKD HKVLARQQLQVLARYLKMDLDNGERKFLKEKDTVAELQAQLDYLNAELGEFFVNGVAT SFSRKKARTFDSSWNWAKQSLLSLYFEIIHGVLKNVDREVVSEAINIMNRSNDALIKF MEYHISNTDETKGENYQLVKTLGEQLIENCKQVLDVDPVYKDVAKPTGPKTAIDKNGN ITYSEEPREKVRKLSQYVQEMALGGPITKESQPTIEEDLTRVYKAISAQADKQDISSS TRVEFEKLYSDLMKFLESSKEIDPSQTTQLAGMDVEDALDKDSTKEVASLPNKSTISK TVSSTIPRETIPFLHLRKKTPAGDWKYDRQLSSLFLDGLEKAAFNGVTFKDKYVLITG AGKGSIGAEVLQGLLQGGAKVVVTTSRFSKQVTDYYQSIYAKYGAKGSTLIVVPFNQG SKQDVEALIEFIYDTEKNGGLGWDLDAIIPFAAIPEQGIELEHIDSKSEFAHRIMLTN ILRMMGCVKKQKSARGIETRPAQVILPMSPNHGTFGGDGMYSESKLSLETLFNRWHSE SWANQLTVCGAIIGWTRGTGLMSANNIIAEGIEKMGVRTFSQKEMAFNLLGLLTPEVV ELCQKSPVMADLNGGLQFVPELKEFTAKLRKELVETSEVRKAVSIETALEHKVVNGNS ADAAYAQVEIQPRANIQLDFPELKPYKQVKQIAPAELEGLLDLERVIVVTGFAEVGPW GSARTRWEMEAFGEFSLEGCVEMAWIMGFISYHNGNLKGRPYTGWVDSKTKEPVDDKD VKAKYETSILEHSGIRLIEPELFNGYNPEKKEMIQEVIVEEDLEPFEASKETAEQFKH QHGDKVDIFEIPETGEYSVKLLKGATLYIPKALRFDRLVAGQIPTGWNAKTYGISDDI ISQVDPITLFVLVSVVEAFIASGITDPYEMYKYVHVSEVGNCSGSGMGGVSALRGMFK DRFKDEPVQNDILQESFINTMSAWVNMLLISSSGPIKTPVGACATSVESVDIGVETIL SGKARICIVGGYDDFQEEGSFEFGNMKATSNTLEEFEHGRTPAEMSRPATTTRNGFME AQGAGIQIIMQADLALKMGVPIYGIVAMAATATDKIGRSVPAPGKGILTTAREHHSSV KYASPNLNMKYRKRQLVTREAQIKDWVENELEALKLEAEEIPSEDQNEFLLERTREIH NEAESQLRAAQQQWGNDFYKRDPRIAPLRGALATYGLTIDDLGVASFHGTSTKANDKN ESATINEMMKHLGRSEGNPVIGVFQKFLTGHPKGAAGAWMMNGALQILNSGIIPGNRN ADNVDKILEQFEYVLYPSKTLKTDGVRAVSITSFGFGQKGGQAIVVHPDYLYGAITED RYNEYVAKVSAREKSAYKFFHNGMIYNKLFVSKEHAPYTDELEEDVYLDPLARVSKDK KSGSLTFNSKNIQSKDSYINANTIETAKMIENMTKEKVSNGGVGVDVELITSINVEND TFIERNFTPQEIEYCSAQPSVQSSFAGTWSAKEAVFKSLGVKSLGGGAALKDIEIVRV NKNAPAVELHGNAKKAAEEAGVTDVKVSISHDDLQAVAVAVSTKK YPL230W MENTTNRNTAGVLTSSNGNFATNSVAASTPKRSKSARRKTFKCT GYDGCTMSFTRAEHLARHIRKHTGEKPFQCPACLKFFSRVDNLKQHRESVHAHKNHHS TSSHQRKPSSSSLSSSSSASSSSSASSSTSYSDPYRKTNINSGNMPMMAENEKAPQII HSSPEFITSTRSIPPISPRSIYNTQRQQQHQQQQHQQAPYYFPSHPITDSYYQYPLPS NNNTINYLPSVDVQYPLNVSPSSTSHPASEVIISSFPPRSMPSTSFKYKDSADFQART TMNKYNIRPSNINVNTSNINNHLDSFSPPFSPSTTVAEAKPIILPQYQQAFSQPPNGN KNNNMSSSKNGGKGGENFKNTDDRNDNNNKKRSETLSESDISVNTNKKRLSVDYILT YPL229W MMPYNTPPNIQEPMNFASSNPFGIIPDALSFQNFKYDRLQQQQQ QQQQQQQNRTASSLQQPQQQQPISPPLFLVGAGTSENSNLNKNANTSTIPPLLFSRSS QHYVVPDIDHSSIIYKNNICKSFKDDLFFCPRSLLSLEEQQACEKMDRLTAEQMSLYH QNTQSSSNPGSMSSSPPNSASSIFNSRPKFNPYTSQSFNPLESVQE YPL228W MSYTDNPPQTKRALSLDDLVNHDENEKVKLQKLSEAANGSRPFA ENLESDINQTETGQAAPIDNYKESTGHGSHSQKPKSRKSSNDDEETDTDDEMGASGEI NFDSEMDFDYDKQHRNLLSNGSPPMNDGSDANAKLEKPSDDSIHQNSKSDEEQRIPKQ GNEGNIASNYITQVPLQKQKQTEKKIAGNAVGSVVKKEEEANAAVDNIFEEKATLQSK KNNIKRDLEVLNEISASSKPSKYRNVPIWAQKWKPTIKALQSINVKDLKIDPSFLNII PDDDLTKSVQDWVYATIYSIAPELRSFIELEMKFGVIIDAKGPDRVNPPVSSQCVFTE LDAHLTPNIDASLFKELSKYIRGISEVTENTGKFSIIESQTRDSVYRVGLSTQRPRFL RMSTDIKTGRVGQFIEKRHVAQLLLYSPKDSYDVKISLNLELPVPDNDPPEKYKSQSP ISERTKDRVSYIHNDSCTRIDITKVENHNQNSKSRQSETTHEVELEINTPALLNAFDN ITNDSKEYASLIRTFLNNGTIIRRKLSSLSYEIFEGSKKVM YPL227C MRALRFLIENRNTVFFTLLVALVLSLYLLVYLFSHTPRPPYPEE LKYIAIDEKGHEVSRALPNLNEHQDDEEIFLSVVIPSYNETGRILLMLTDAISFLKEK YGSRWEIVIVDDGSTDNTTQYCLKICKEQFKLNYEQFRIIKFSQNRGKGGAVRQGFLH IRGKYGLFADADGASKFSDVEKLIDAISKIETSSTDLKTTKPAVAIGSRAHMVNTEAV IKRSMIRNCLMYGFHTLVFIFGIRSIKDTQCGFKLFNRAAILKIFPYLHTEGWIFDVE ILILAIRKRIQIEEIPISWHEVDGSKMALAIDSIKMAKDLVIIRMAYLLGIYRDNKKC YPL226W MPPKKFKDLNSFLDDQPKDPNLVASPFGGYFKNPAADAGSNNAS KKSSYQQQRNWKQGGNYQQGGYQSYNSNYNNYNNYNNYNNYNNYNNYNKYNGQGYQKS TYKQSAVTPNQSGTPTPSASTTSLTSLNEKLSNLELTPISQFLSKIPECQSITDCKNQ IKLIIEEFGKEGNSTGEKIEEWKIVDVLSKFIKPKNPSLVRESAMLIISNIAQFFSGK PPQEAYLLPFFNVALDCISDKENTVKRAAQHAIDSLLNCFPMEALTCFVLPTILDYLS SGAKWQAKMAALSVVDRIREDSANDLLELTFKDAVPVLTDVATDFKPELAKQGYKTLL DYVSILDNLDLSPRYKLIVDTLQDPSKVPESVKSLSSVTFVAEVTEPSLSLLVPILNR SLNLSSSSQEQLRQTVIVVENLTRLVNNRNEIESFIPLLLPGIQKVVDTASLPEVREL AEKALNVLKEDDEADKENKFSGRLTLEEGRDFLLDHLKDIKADDSCFVKPYMNDETVI KYMSKILTVDSNVNDWKRLEDFLTAVFGGSDSQREFVKQDFIHNLRALFYQEKERADE DEGIEIVNTDFSLAYGSRMLLNKTNLRLLKGHRYGLCGRNGAGKSTLMRAIANGQLDG FPDKDTLRTCFVEHKLQGEEGDLDLVSFIALDEELQSTSREEIAAALESVGFDEERRA QTVGSLSGGWKMKLELARAMLQKADILLLDEPTNHLDVSNVKWLEEYLLEHTDITSLI VSHDSGFLDTVCTDIIHYENKKLAYYKGNLAAFVEQKPEAKSYYTLTDSNAQMRFPPP GILTGVKSNTRAVAKMTDVTFSYPGAQKPSLSHVSCSLSLSSRVACLGPNGAGKSTLI KLLTGELVPNEGKVEKHPNLRIGYIAQHALQHVNEHKEKTANQYLQWRYQFGDDREVL LKESRKISEDEKEMMTKEIDIDDGRGKRAIEAIVGRQKLKKSFQYEVKWKYWKPKYNS WVPKDVLVEHGFEKLVQKFDDHEASREGLGYRELIPSVITKHFEDVGLDSEIANHTPL GSLSGGQLVKVVIAGAMWNNPHLLVLDEPTNYLDRDSLGALAVAIRDWSGGVVMISHN NEFVGALCPEQWIVENGKMVQKGSAQVDQSKFEDGGNADAVGLKASNLAKPSVDDDDS PANIKVKQRKKRLTRNEKKLQAERRRLRYIEWLSSPKGTPKPVDTDDEED YPL225W MSTFNAETADNLEDIEKQFAVVAVEQAETYWKLLTSVPGSKLRL TKFDDEIYENFMERFPEYKDVERVKKFTEEELKTKEAKERWRKFFTIFEKKIEDYNFG TLLRTDASAEYGQFTTCFVVRLQFYAFEIARNKHGLNDWIVGQK YPL224C MLRISIDSIKQFGSFVPGYNNTSYHAAGRAIRTSSLYSTMISAN PRRCLHSSKLLNKEGQEEGYNEQLISKMSSQNGSNSRQNESEGKKEGKASSVKSLLQH THSHSHTHMHDNPLLSLNVQQIKKNPGVRITWIGLASNVGMAVGKFVGGITFHSQALL ADSVHALSDLVSDFLTLFSVQYASRKPTSEYPYGYGKVETVGSLAVSTILAMAGISIG WSSLCAIVGPVIPHAILESMAGLIGETHSHSQSLTQQATNVNAVWIAAGSILVKEWVF QATKKVAIQTNSNVLMANAWHHRVDSLTSLVALVAITSSYFFNIQSLDNLGGLVVSGL IIKTGGQGILSSLKELVDQSIPPTDPRYLEIESVIKDSIGSLKTDLDLKQSLHVRDLT ILASGPNLRATTTLEVPVLHSGQEVGIRFLENAISTIREDLRMKVPNVGKVDVEFVDV TSDSKGDLEHSHDTKSTNHTHTHSDSADTHTHKH YPL223C MSNLLNKFADKLHGNDHDERYEDDNDDQTRQQRHEKHQQREFRN QGSKADPYGEENQGNFPQRQQPQSNLGGNTQFGGNDFQQQTTDYTAGTGGGTYTQTYR ETNTQGQLDDDEDDDFLTSGQQQKQGRTRGAQSNRYQSSNIGSGRRDLSGSGNDEYDD DSGNQGVW YPL222W MGEKRTIIKALKNSAASHFIKKLTADTSLSSIQEAINVVQQYNA TDPVRLKLFHTPRMVSQGAHFAFCLPTKKPHYKPLLLSQNALDEFNLVQDQDLEKILS GEKVYYSDSIFPYSTVYSGFQFGSFAAQLGDGRVVNLFDLKDKCSGQWQTFQLKGAGM TPFSRFADGKAVLRSSIREFIMSEALHSIGIPSTRAMQLTLLPGTKAQRRNQEPCAVV CRFAPSWIRLGNFNLFRWRHDLKGLIQLSDYCIEELFAGGTQFEGKPDFNIFKRDFFP DTETKIDEQVEKDETEVSTMTGDNISTLSKYDEFFRHVVSLNANTVAHWQAYGFANGV LNTDNTSIMGLTIDYGPFAFLDKFEPSFTPNHDDTAKRYSFANQPSIIWWNLQQFAKD LACLLGPEARDLELLLKGELNSVDDALEKTMIERVQKLVELSANEYKYVFTTRYAQIM SQRLGVDLDLEKCMSSTNLKDIEHAAEKAKEFCDVIVEPLLDILQATKVDYNNFFIHL QNYKGPFFIKDKSDTATLFGAFDEEYLGMFFNSKQLQQMAETEEAFAAGEKVFDANGE LRLLNEKLQEIRNWTQDYLTLVPPTETAARASLAKKANPLFVPRSWVLEEVVDDLMYS QRDGLQDPSSELDTSALKKLYLMSVNPYDRTKWDVTLRPELETKWADLSHQDDAKFMM QASCSS YPL221W MQVLVTLWCLICTCLVLPVAAKKRTLTASSLVTCMENSQLSANS FDVSFSPDDRSLHYDLDMTTQIDSYIYAYVDVYAYGFKIITENFDVCSMGWKQFCPVH PGNIQIDSIEYIAQKYVKMIPGIAYQVPDIDAYVRLNIYNNVSENLACIQVFFSNGKT VSQIGVKWVTAVIAGIGLLTSAVLSTFGNSTAASHISANTMSLFLYFQSVAVVAMQHV DSVPPIAAAWSENLAWSMGLIRITFMQKIFRWYVEATGGSASLYLTATTMSVLTQRGL DYLKNTSVYKRAENVLYGNSNTLIFRGIKRMGYRMKIENTAIVCTGFTFFVLCGYFLA GFIMACKYSIELCIRCGWMRSDRFYQFRKNWRSVLKGSLLRYIYIGFTQLTILSFWEF TERDSAGVIVIACLFIVLSCGLMAWAAYRTIFFASKSVEMYNNPAALLYGDEYVLNKY GFFYTMFNAKHYWWNALLTTYILVKALFVGFAQASGKTQALAIFIIDLAYFVAIIRYK PYLDRPTNIVNIFICTVTLVNSFLFMFFSNLFNQKYAVSAIMGWVFFIMNAAFSLLLL LMILAFTTIILFSKNPDSRFKPAKDDRASFQKHAIPHEGALNKSVANELMALGNVAKD HTENWEYELKSQEGKSEDNLFGVEYDDEKTGTNSENAESSSKETTRPTFSEKVLRSLS IKRNKSKLGSFKRSAPDKITQQEVSPDRASSSPNSKSYPGVSHTRQESEANNGLINAY EDEQFSLMEPSILEDAASSTQMHAMPARDLSLSSVANAQDVTKKANILDPDYL YPL220W MSKITSSQVREHVKELLKYSNETKKRNFLETVELQVGLKNYDPQ RDKRFSGSLKLPNCPRPNMSICIFGDAFDVDRAKSCGVDAMSVDDLKKLNKNKKLIKK LSKKYNAFIASEVLIKQVPRLLGPQLSKAGKFPTPVSHNDDLYGKVTDVRSTIKFQLK KVLCLAVAVGNVEMEEDVLVNQILMSVNFFVSLLKKNWQNVGSLVVKSSMGPAFRLY YPL219W MANDQDPNKSLINDALTRSMSEFYDDDDDNDSDMCRANDEGEDV FDLPLKVGVSQSRNFSEVNDVLDPLSSLHGPSKKVRFEQQKQQQQHQQLHNDFNTDFN LKSPSSKKMGVEQLIQSANEINDYLANNIDKVNSFNSELLSGSGKLPGRVKSDTATQG TGRLDSMSNFALSDTELDNDDDNYLLDPLANASSTTPTVEHHGYSLLDKALSTSDKEK IYTNKVNSNSQIDTDNHSHESGNTTNNETDENESSEILDYTKFDSFPYPPSSAPNGEP PDLKVLSIECEQENEKELRRISLLLDHYESIPKIPELSDDEALSKFRENIELILQLSK KINDNANTLAISSEDPQKFVNFVMKNPPSLSFRDFIDRIQNKCMFGAVVYLGATYLLQ LVFLTRDEMDGPIKLKAKLQEDQAHRIIISTIRIATKLLEDFVHSQNYICKVFGISKR LLTKLEISFMASVNFDGLMITCEKLEKTLHILDDTRQALGNT YPL218W MAGWDIFGWFRDVLASLGLWNKHGKLLFLGLDNAGKTTLLHMLK NDRLATLQPTWHPTSEELAIGNIKFTTFDLGGHIQARRLWKDYFPEVNGIVFLVDAAD PERFDEARVELDALFNIAELKDVPFVILGNKIDAPNAVSEAELRSALGLLNTTGSQRI EGQRPVEVFMCSVVMRNGYLEAFQWLSQYI YPL217C MEQSNKQHRKAKEKNTAKKKLHTQGHNAKAFAVAAPGKMARTMQ RSSDVNERKLHVPMVDRTPEDDPPPFIVAVVGPPGTGKTTLIRSLVRRMTKSTLNDIQ GPITVVSGKHRRLTFLECPADDLNAMIDIAKIADLVLLLIDGNFGFEMETMEFLNIAQ HHGMPRVLGVATHLDLFKSQSTLRASKKRLKHRFWTEVYQGAKLFYLSGVINGRYPDR EILNLSRFISVMKFRPLKWRNEHPYMLADRFTDLTHPELIETQGLQIDRKVAIYGYLH GTPLPSAPGTRVHIAGVGDFSVAQIEKLPDPCPTPFYQQKLDDFEREKMKEEAKANGE ITTASTTRRRKRLDDKDKLIYAPMSDVGGVLMDKDAVYIDIGKKNEEPSFVPGQERGE GEKLMTGLQSVEQSIAEKFDGVGLQLFSNGTELHEVADHEGMDVESGEESIEDDEGKS KGRTSLRKPRIYGKPVQEEDADIDNLPSDEEPYTNDDDVQDSEPRMVEIDFNNTGEQG AEKLALETDSEFEESEDEFSWERTAANKLKKTESKKRTWNIGKLIYMDNISPEECIRR WRGEDDDSKDESDIEEDVDDDFFRKKDGTVTKEGNKDHAVDLEKFVPYFDTFEKLAKK WKSVDAIKERFLGAGILGNDNKTKSDSNEGGEELYGDFEDLEDGNPSEQAEDNSDKES EDEDENEDTNGDDDNSFTNFDAEEKKDLTMEQEREMNAAKKEKLRAQFEIEEGENFKE DDENNEYDTWYELQKAKISKQLEINNIEYQEMTPEQRQRIEGFKAGSYVRIVFEKVPM EFVKNFNPKFPIVMGGLLPTEIKFGIVKARLRRHRWHKKILKTNDPLVLSLGWRRFQT LPIYTTTDSRTRTRMLKYTPEHTYCNAAFYGPLCSPNTPFCGVQIVANSDTGNGFRIA ATGIVEEIDVNIEIVKKLKLVGFPYKIFKNTAFIKDMFSSAMEVARFEGAQIKTVSGI RGEIKRALSKPEGHYRAAFEDKILMSDIVILRSWYPVRVKKFYNPVTSLLLKEKTEWK GLRLTGQIRAAMNLETPSNPDSAYHKIERVERHFNGLKVPKAVQKELPFKSQIHQMKP QKKKTYMAKRAVVLGGDEKKARSFIQKVLTISKAKDSKRKEQKASQRKERLKKLAKME EEKSQRDKEKKKEYFAQNGKRTTMGGDDESRPRKMRR YPL216W MVLLNRRKIQPKEIGQSADSFSETPWVIKESSERINDYDSDLKK LDFYKRDIFTCEISGKDGLSYFKALKSEEQHREKVRYLLPKELRKAIANFANFSPIRK VGHLVESAFQRFSNRFFIGDTVCLKTIQKNALITYKEGEPNLVESPTIENNVTLFLVK DVFQSNGMMESEKGEISAPKLSLYLITECLNRESKGAALIVGQNEIKRPESHFSKFII ACFLNEILIKVSNKEHAPWRVKQEYIERYDVNPKCSPNMIDYLPDRMNSSSSELYTPL TIPPESDVEPADWKETSETSETSETSLSKIKAIDDEISVSFDHIYDNVNSLAYNDLKG TVDDKELPFTGPSIPFENISYLDSSLEYKNIDQKWFKECSQFPTERLLVVYQFLSFFG RFIGLSHFNFDQFLTTIKCTSPEALVDEYVKINFLKTYNSKGSFTNEKPRNEIYNQVT SSNVSQREKANVFNADESQRIPSNFTRNQKMRKFITDKSTEFVMYSIFKGKPLKNDDM EFQSYEKVNILYIDIVCSLMCLMTDNEPDWNCNLMDNWTEEKRKEEGNKTEIDIAIEK CLNYGDTSWVKLLHNKNFSNGNWLICLLGILQQNTHMIAYSDVAKCITKKILPLSMNF VNLGDELWDNFRKRLSIKDKIDVLWVLVDFASNFSSYIKELVDKVPKLCNGIRLKLDS AKKEYIKLKRQLKTLTKNRVKLHSNVSMNRYGSDECKGKVNALKVKIAYLMEDIAFLE AKLIQSDIKRLEILGKDRNGNRYYWMDSNGSSSAISEKNEELYNCCFLWVQGPSEADI NFCLDVDVESLKKWELLAKAKGTAYATKEVFSIFRSTDGSYYQIAQGENFMIINSNGI LMRPTIPAFIDKKIISETPEKLLLSHHQWAFFNDIEDIHMLVDRLDDLRENEGQLKKA LTSKMDRIEVSYKQQFKIKRRIECDETFKKNHKLLKNNEFTFPELKRIETTCTSNGQH FSNMEKISKKLSRTKNDLVLEAILKDVAHLGECERALLKKQQNLIYPLNFHFEQLRTI DLEFIVETKRKRQEDILTKLLNHQRYKHISHVSGYGISSQRVDKAAHLDVQGILEEIE CQLISRRREDEERN YPL215W MMSVNRFTSGRLPVFLRKSPFYYSRAYLHQTCVFKQNKETAQDS PELLAKSSHLNSKPLDVSNKAPVKTAQNKIPLAHSKYESSKYELPKWKEALGELVIRA FHLDMDRVRAGPVAGSYYYKICKEQGLQYEDEPLSETAKYFYEDLKLPRTFSQWFQIT VLHEWILFVRMRAMPFKYGRNYQQKLVDRTFSDIELRLFEEMKVNSGRIADQYLKDFN TQLRGAIFAYDEGFATDDGTLATAVWRNLFGGRKNIDMVHLESVVRYIYSQLYVLSRL SDREFATGKFKFVPPGVKVEKLTPKQEEELKAKTIAKYEALDKDPKTLPSERSRLSYT N YPL214C MVFTKEEVDYSLYLVTDSTMLPPGTTLCSQVEAGLKNGVTLVQI REKDIETKNFVAEALEVQKICKKYNVPLIINDRIDVAMAIDADGVHVGQDDMPIPMVR KLLGPSKILGWSVGKPSEVETLAKWGPDMVDYIGVGTLFPTSTKKNPKKSPMGPQGAI AILDALEEFKATWCRTVGIGGLHPDNIQRVLCQCVASNGKRSLDGISLVSDIMAAPDA CAATKRLRGLLDATRYQFVECELNNTFPTTTSIQNVISQVSNNRPLVQHITNKVHQNF GANVTLALGSSPIMSEIESEVSELARIPNASLLLNTGSVAPIEMLKAAINAYNEVNRP ITFDPVGYSATETRLCLNNTLLTYGQFACIKGNCSEILSLAKLNNHKMKGVDSSSGKT NIDTLVRATQIVAFQYRTVAVCTGEFDCVADGTFGGEYKLSSGTEGITAEDLPCVIIE DGPIPIMGDITASGCSLGSTIASFIGGLDSTGKLFDAVVGAVLLYKSAGKLASTRCQG SGSFHVELIDALYQLFHENKPEKWSASLKKFK YPL213W MKFTPSIVIDAPQYYVDHFNGKYNVDKCVILRDLQLETDSESMP SSLKHLTKPTHILDLTNNDLIMIPDLSRRDDIHTLLLGRNNIVEVDGRLLPMNVQNLT LSNNSIRRFEDLQRLRRAPRTLKNLTLIGNQVCHLANYREHVLRLVPHLETLDFQNVT AEERKSAMSFPRQADGDTLGPVNTAIRDNGSRDKTMEIMNLVVSKMTVERRNELKKQL AEATSLEEIARLEKLLSGGV YPL212C MSEENLRPAYDDQVNEDVYKRGAQSKLTKARKADFDDEKDKKKD NDKHIDKRPKSGPRLDENGNPLPKEPRLPKRKVAVMVGYCGTGYHGMQYNPPNPTIES ALFKAFVEAGAISKDNSNDLKKNGFMRAARTDKGVHAGGNLISLKMIIEDPDIKQKIN EKLPEGIRVWDIERVNKAFDCRKMCSSRWYEYLLPTYSLIGPKPGSILYRDIEESKTE LPGVLDEDLESKEFWEEFKKDANEKFSTEEIEAILAYVPPARDEFDINEELYQKVKKY KQLENAHRRRYRISAAKLAKFRASTSQYLGAHNFHNFTLGKDFKEPSAIRFMKDIKVS DPFVIGDAQTEWISIKIHGQSFMLHQIRKMVSMATLITRCGCPVERISQAYGQQKINI PKAPALGLLLEAPVFEGYNKRLEQFGYKAIDFSKYQDEVDKFKMKHIYDKIYKEEVDE NVFNAFFSYIDSFNKVTGAQGEETADKSGPAVQKSIFEFLTAKGIPGLTDAPESNKKI KQRKRMEEEEAASKKAEISSTTQSNEPEVQPEAAAN YPL211W MRQLTEEETKVVFEKLAGYIGRNISFLVDNKELPHVFRLQKDRV YYVPDHVAKLATSVARPNLMSLGICLGKFTKTGKFRLHITSLTVLAKHAKYKIWIKPN GEMPFLYGNHVLKAHVGKMSDDIPEHAGVIVFAMNDVPLGFGVSAKSTSESRNMQPTG IVAFRQADIGEYLRDEDTLFT YPL210C MAKDNLTNLLSQLNIQLSQDEHSQVEQTCVKLLDSGCENPADVF RRCLVAVIQQDKYQKALHYLKKFKHIDDKYGRKFALEKLYIFYKLNMPDEFNTLYTAI ITDDLDTVLKKDIESLRGILHVRAQYCYKNGLYQEAFKIYQHLASHNEKDQDSQIELS CNERVPLSVATELMNRSPLVTPMDESSYDLLFNESFIMASVGKYDKAIELLEKALQGA TNEGYQNDINTIKLQLSFVLQMVGKTAQSKEILKGLLQELKADSPFSLICQNNLNAFV DFSKYNTNFNLLLRELNVEKLNTFNLQTFTHEQWSNIQRNVLFLRLFNNVKIHSQESL LSRTFDKYSKLVDNVTLESYKTQAKKLYHHTTKTILSGTDGSTIGILLLTIQLLIIEK EWENAIRIGELFLNESWKSSFEKFNDSQAIVCYILFELYKIKGRNNSKSVLLKKLGSV RVQLSGKIQENIPFWKHVGFELLSMGNAKESKALLREISNFSKGDADVLVDRVVSSDS LDIAQGIDLVRDIDIDKLIQLGVKPLESSAKRSKNTAVSKVQKRKVLELKKKRKIKRL EKFLQGRDTSKLPDPERWLPLRDRSTYRPKKKQQGAKQTQGGAMNKKSEQALDISKKG KPTVNKKPKNKKKGRK YPL209C MQRNSLVNIKLNANSPSKKTTTRPNTSRINKPWRISHSPQQRNP NSKIPSPVREKLNRLPVNNKKFLDMESSKIPSPIRKATSSKMIHENKKLPKFKSLSLD DFELGKKLGKGKFGKVYCVRHRSTGYICALKVMEKEEIIKYNLQKQFRREVEIQTSLN HPNLTKSYGYFHDEKRVYLLMEYLVNGEMYKLLRLHGPFNDILASDYIYQIANALDYM HKKNIIHRDIKPENILIGFNNVIKLTDFGWSIINPPENRRKTVCGTIDYLSPEMVESR EYDHTIDAWALGVLAFELLTGAPPFEEEMKDTTYKRIAALDIKMPSNISQDAQDLILK LLKYDPKDRMRLGDVKMHPWILRNKPFWENKRL YPL208W MSSDALKALLQWGASFGVIVPEELKFLYTDLKGIICVCEKDIDN PSIKIPPEIVISRNLPMKFFGLSESTKNINGWLKLFFAKIKFDRDNDTIVDNVRVNDK FKPYLDALPSRLNSPLVWNPSELKRLSSTNIGNSIHEKFEGIFKEWFELVSSSDMFDL ERVADDVQTFHNLDELTYEALYEKILKITELQRPTIWYSFPAFLWSHLIFISRAFPEY VLNRNCPDNSIVLLPIVDLLNHDYRSKVKWYPENGWFCYEKIGTASQSRELSNNYGGK GNEELLSGYGFVLEDNIFDSVALKVKLPLDVVSTILETEPSLKLPLLSDYTTYAFENK DCVQQEKKATRSATDYINGVTYFINIQNEQCLEPLLDLFTYLSKAEEEDLHDLRARLQ GIQMLRNALQSKLNSITGPPATDDSYAIDPYRVYCADVYTKGQKQILKEALTRLKKLE KTMLSENKHQLLTMSKILKNDPAFAETELPSLFSNEDGEEVIFESTYDLLILWILLKT KKNSYPTKYEWVGQQYTNFKQTAYISDDAKAFHTAYFEKQDDVDLAEVDHAIQFVVDN SFTRTSSTTEETILVRK YPL207W MDGFRVAGALVVGALTAAYLYFGGRFSIALVIIVGYGIYCNEAS GGSQDSQEKLDLNKQQKKPCCSDKKIADGGKKTGGCCSDKKNGGGKGGGCCSSKGGKK GGCCSSKGGKKGGCCSSKKNIGDNENTATEVEKAVNYPVTVDFTEVFRKPTKKRSSTP KVFSKNSSSNSRVGKKLSVSKKIGPDGLIKSALTISNETLLSSQIYVLYSSLQGAASK AAKSVYDKLKELDELTNEPKLLNLDDLSDFDDYFINVPVENALYVLVLPSYDIDCPLD YFLQTLEENANDFRVDSFPLRKLVGYTVLGLGDSESWPEKFCYQAKRADHWISRLGGR RIFPLGKVCMKTGGSAKIDEWTSLLAETLKDDEPIIYEYDENADSEEDEEEGNGSDEL GDVEDIGGKGSNGKFSGADEIKQMVAKDSPTYKNLTKQGYKVIGSHSGVKICRWTKNE LRGKGSCYKKSLFNIASSRCMELTPSLACSSKCVFCWRHGTNPVSKNWRWEVDEPEYI LENALKGHYSMIKQMRGVPGVIAERFAKAFEVRHCALSLVGEPILYPHINKFIQLLHQ KGITSFLVCNAQHPEALRNIVKVTQLYVSIDAPTKTELKKVDRPLYKDFWERMVECLE ILKTVQNHQRTVFRLTLVKGFNMGDVSAYADLVQRGLPGFIEVKGATFSGSSDGNGNP LTMQNIPFYEECVKFVKAFTTELQRRGLHYDLAAEHAHSNCLLIADTKFKINGEWHTH IDFDKFFVLLNSGKDFTYMDYLEKTPEWALFGNGGFAPGNTRVYRKDKKKQNKENQET TTRETPLPPIPA YPL206C MVEIVGHRAFKARYPENTLLAFEKAYAAGADVIETDLQMTSDGM VVVNHDSDTGRMWDKNLVIGESTWEEVKRLRCKEDGSLAMMTLKEILTWAVCHPGAKL MLDIKFTNEKIIMIKTFVIMLEVKNDLKFWQERITWGLWLLDWYDFGIETGVLKDFKV IVISLSLDIASQFVKRSLTLNDPHYKLFGISVHFVSSWTSQFRLRLLPVLMKNDIKVY LWTVNKPIDFKYLCELPIHGAITDDPIKARKLCDGHTVAKKPTAEKKFVAPSLASVDG LRFHAFIKVYNILCTLLYSKWVHIKLCGWSIAYVIFLFLRTIHFL YPL204W MDLRVGRKFRIGRKIGSGSFGDIYHGTNLISGEEVAIKLESIRS RHPQLDYESRVYRYLSGGVGIPFIRWFGREGEYNAMVIDLLGPSLEDLFNYCHRRFSF KTVIMLALQMFCRIQYIHGRSFIHRDIKPDNFLMGVGRRGSTVHVIDFGLSKKYRDFN THRHIPYRENKSLTGTARYASVNTHLGIEQSRRDDLESLGYVLIYFCKGSLPWQGLKA TTKKQKYDRIMEKKLNVSVETLCSGLPLEFQEYMAYCKNLKFDEKPDYLFLARLFKDL SIKLEYHNDHLFDWTMLRYTKAMVEKQRDLLIEKGDLNANSNAASASNSTDNKSETFN KIKLLAMKKFPTHFHYYKNEDKHNPSPEEIKQQTILNNNAASSLPEELLNALDKGMEN LRQQQPQQQVQSSQPQPQPQQLQQQPNGQRPNYYPEPLLQQQQRDSQEQQQQVPMATT RATQYPPQINSNNFNTNQASVPPQMRSNPQQPPQDKPAGQSIWL YPL203W MEFVAERAQPVGQTIQQQNVNTYGQGVLQPHHDLQQRQQQQQQR QHQQLLTSQLPQKSLVSKGKYTLHDFQIMRTLGTGSFGRVHLVRSVHNGRYYAIKVLK KQQVVKMKQVEHTNDERRMLKLVEHPFLIRMWGTFQDARNIFMVMDYIEGGELFSLLR KSQRFPNPVAKFYAAEVILALEYLHAHNIIYRDLKPENILLDRNGHIKITDFGFAKEV QTVTWTLCGTPDYIAPEVITTKPYNKSVDWWSLGVLIYEMLAGYTPFYDTTPMKTYEK ILQGKVVYPPYFHPDVVDLLSKLITADLTRRIGNLQSGSRDIKAHPWFSEVVWERLLA KDIETPYEPPITSGIGDTSLFDQYPEEQLDYGIQGDDPYAEYFQDF YPL202C MKAKSMKSIISVPISVSKTGKMKLTASPDNLASMMSKDQNKLIH LDPVPSFEDRHEIKPWLQKIFYPQGIDIVIERSDSSKVTFKCRSVRSKVGLNPKSKGS SSRSHACPFRIRAAYSVRLQKWNVVVMNNIHSHELRFDLITKTDDYKKFKENLRQKND EKAIKTFDELEYKASLNLPLVTPIISCDCGLTKEIEAFNNIFLPLSNPPLTSKKNLLK TNKNSVSKIKSRQMDNSKPRPRLKTKLDADLHDTGFLDNFKTRNSCVKIEKEDSLTNL NEIDFTNMFCNDNFIQNYNQGLMELLTEPTPGPSSSSCILPSTPTRPLSQSKMDIALS ESTTSSPNFMETDAPYGDEIIKVSKDTKSNAPTADTDIATNLGKERNENFGMLNYNYE ALLHFNDEHFNELNSIDPALISKY YPL201C MGIPMQIYQDGKGVQFYHTRYQNVFDERASKYGNYTVNNDYPQL PDTIKEHIDQLTFSNVGEDGGDVGNYSEEDDDGDEEKELEDVFRSNRGLEFVRINNYF TTHDLQSFKSFRNFNSKYWIFYSNQAEDKKLLLYDFNGQHLIFIKQQFYGQLNLLLSD AIICMDCNFGYNSNTIQILVGFQNGKLLKLNCDLNGNVNNHLLLKDPSTSSHQSHLSI LNVWAGLLPHFVVSFSLKDGLLITSLDHQQSNGSFQSFHTNIDLPVDLRTTTNVKSVL NFPQFTLYKGNDMIFHCKNLLGSDASTLNKEINFMLKIDEDVQKIDYLLKTNHILLET NMRYLSIPTRDPIENSNSSPPVSDSEVYPIFYKTQELHVHASGTGRQIANNGKYIFIT EQHLYGTALSVYKYSISFKRWLFVGYSDIRAKYGIRSVKDLFVGNCPSVNSPVLTILT DDNNIQTILLK YPL200W MMDGSITRKVTSTLSNQLATWKWKLQLSLLERKLATINNDYFLL QWELLFITNEVMKWKEMIAFLESQLFCTTQNFVAQETHDRETFQSLVDDYNKQLSENN LIISVLKSRPQLSSFPIYLSDEVCSHLKFVIAELNSLIIVFFISLVFLWVSIEV YPL199C MKGTGGVVVGTQNPVRDYNHSTDEEYQRLRRLADEAYKKRDQLS HESQTAYQQGDKKLAHELSEKSKAQLKTAEDFNMQAAEYVFVENNADSSSNEIDLHGL YVKEALFILQKRIKFAIDHNEPQLNVIVGKGLHSQNGIAKLKPSIEEFCAKHGIRNHL EKGNSGVLVLELQGVQMQMDGPAVNAPTNQYNAQPHPQYNNNGGQPQGQAQNYNNSGN DNKDSTLTSIFKIFCNCIQSLA YPL198W MSTEKILTPESQLKKTKAQQKTAEQIAAERAARKAANKEKRAII LERNAAYQKEYETAERNIIQAKRDAKAAGSYYVEAQHKLVFVVRIKGINKIPPKPRKV LQLLRLTRINSGTFVKVTKATLELLKLIEPYVAYGYPSYSTIRQLVYKRGFGKINKQR VPLSDNAIIEANLGKYGILSIDDLIHEIITVGPHFKQANNFLWPFKLSNPSGGWGVPR KFKHFIQGGSFGNREEFINKLVKAMN YPL196W MFGVKDAIFKIKRSIAGTDSSDSTAYTTASESSPQLKDSHNPFR NKTTSERTIVEEGSLPPVRLNGYLPSTKNKLLTPEMCDEIRTLMPTRIQLYTEWNLLY SLEQHGSSLHSLYSNVAPDSKEFRRVGYVLVIKDRKNGIFGAYSNEAFHPNEHRQYTG NGECFLWKLDKVPDVNISEKEESEQEGKEGKEEGDKEERWRFSGYPYTGVNEFAIYCT SEFLSMGAGDGHYGLLCDDGLLHGVSNPCQTYGNEVLSKEGKKFSIVALEVWRVG YPL195W MTSLYAPGAEDIRQRLRPFGFFFEKSLKDLIKGIRSHNETPEKL DQFFKQVLSECREEVNSPDLNSKTNAVLKLTYLEMYGFDMAWCNFHILEVMSSNKLQQ KRVGYLAASQSFYKDSDILMLATNLLKKDLKYDGNNDVVKVGIALSGLSTIITPSLAR DIADDLFTMLNSTRPYIRKKAITALFKVFLQYPEALRDNFDKFVSKLDDDDISVVSAA VSVICELSKKNPQPFIQLSPLLYEILVTIDNNWIIIRLLKLFTNLSQVEPKLRAKLLP KILELMESTVATSVIYESVNCIVKGNMLEEDDFETAMACLERLHTFCDSQDPNLRYIS CILFYKIGKINTDFISRFDQLIIRLLSDVDVSIRSKAIELVEGIVDEDNLKAIVQTLM KQFVDEDVVILQTGSIVYEKSKRIPIIIPENYKIKMVNVIISICSADNYSSVNDFEWY NAVIMDLAMLCQDISDKSLGSKIGEQFRNLMIKVPSMREVTIANIIKLISNDNINKQL PTVLRECIWCLGEFSTLVENGNDLIKIMTENISYYSHSVQEVLILALVKVFSNWCNNF QEDKRFEIKMVLKELIEFFENLSYSSTFEVQERSVEVLEFLRLSLEALEEDTEGLPML LSEVLPSFFNAYELAPIARGTQLKLAVDENLDLETPFLTKEAADELLDEQKSDAISDL MSDISMDEQVELKFVDDSDTSYEEKEKLDDFENPFEIEREKERMSNPYYLGEEDEERT KNSKDLLDLNEEESSDKKPETIRLNRTDNSLNSLSLSTTEISRKKKKGKKKNRVQVLS DEPVIEAAPKRKDAFQKPHDNHSTQNPLKKDKINLRMHSQLENFDFSNFGQSSNAGRG SQEEGNLRKEDELELSRLEANLIVKDEKDNLSDTEEVIVIKKKKKGKKSKSKNKLKTK AKNSPEPNEFLRDQSTDI YPL194W MSFKATITESGKQNIWFRAIYVLSTIQDDIKITVTTNELIAWSM NETDTTLCQVRFQKSFFEEYEFKPHEIVFGENGVQVIEDTYGNSHKLYSFRVNGRHLT TISRKPDGDGIKSFTIAVNNTSTCPESLANRLIVVIEMDSLIVKEYCPQFQPIKYDPI IINLKYKRRFLDVFGTAASDRNPQEPLDPKLLDVFTNTERELTSALFNEEVESDIRKR NQLTAADEINYICCNSTLLKNFLDNCNVNVTDEVKLEINVHRLSITAFTKAVYGKNND LLRNALSMSNTISTLDLEHYCLFTTIEDEKQDKRSHSKRREHMKSIIFKLKDFKNFIT IGPSWKTTQDGNDNISLWFCHPGDPILMQMQKPGVKLELVEVTDSNINDDILEGKFIK TAISGSKEEAGLKDNKESCESPLKSKTALKRENLPHSVAGTRNSPLKVSYLTPDNGST VAKTYRNNTARKLFVEEQSQSTNYEQDKRFRQASSVHMNMNREQSFDIGTTHEVACPR NESNSLKRSIADICNETEDPTQQSTFAKRADTTVTWGKALPAADDEVSCSNIDRKGML KKEKLKHMQGLLNSQNDTSNHKKQDNKEMEDGLGLTQVEKPRGIFD YPL193W MNYNNFENSKGDGHSRLPKPTYSGTLSDGYDESKIKRQKTDSAF NAAYSPHMYPNSPYYEGSWNTGYTPQLHHVAPHNQYFHPIQPSTQYNYTSPPNYTENY IPPVHQNISYAPALNLQKWPSSYCENTQALKNDKDYQTSISYEDVAIPTVKEIQLIEK NRGKDTFMNEISPVPSSKDQASAEPTEIPRKDPELANSNAEDDHNNLGLEDDDRDEQL ESEGLGKVVLVPGTSIALITDEDVKKWREERKKMWLLKISNNKQKHMQEMGIKEDELK SQPSIFKESRKEKQFIQSIQNQVQRGNPKIDLNLKLIQREFANENSQLLDFIRELGDV GLLEYELSQQEKDVLFGSSEDNNKNHYKPNYKNRKPNLSRANFTRNK YPL192C MTAMKEDNAALITLKKNNDQEKLRVHKLTDASSNSADGFVINKA KNGGPLNKKSLVNNEQHIKKAVSPGRVRKHKTTTSSTKSRTKSKKKDASESKVQRENK GSFYQGAIFGSFLGAAVTTVLSNLAVKALQN YPL191C MDLSFTTKSVKINGQNHRILLQNENGPCALLALANILILSPDHT RFSNELIRLVNKGSQISLKELIEVLADIALQVTDKPSTDISELLSLLPRLHEGLNINP EFNGSFENTKEMSIFRLFNVDVVHGWVINSFINENIDEKLSHYSYESAQRILTQAADI NCGISQDENSDEVLRDAMHLGLFLNESPTQLTAFGLLRLREKLLHNKFSILFRNDHFS TLFKYEDRLYTLVTDFGYKNCKDIVWQSLDSVDGSCDAFFAGNFSAAEVNGQQLSTDI ERDFGTGNLLLEEIQQIENDKELAKQLQEQEQERVTKFEAKRKIHSHKKNSEIHAPVK KDKFKRRSSLLNAKASEKEKSECVVM YPL190C MSDENHNSDVQDIPSPELSVDSNSNENELMNNSSADDGIEFDAP EEEREAEREEENEEQHELEDVNDEEEEDKEEKGEENGEVINTEEEEEEEHQQKGGNDD DDDDNEEEEEEEEDDDDDDDDDDDDEEEEEEEEEEGNDNSSVGSDSAAEDGEDEEDKK DKTKDKEVELRRETLEKEQKDVDEAIKKITREENDNTHFPTNMENVNYDLLQKQVKYI MDSNMLNLPQFQHLPQEEKMSAILAMLNSNSDTALSVPPHDSTISTTASASATSGARS NDQRKPPLSDAQRRMRFPRADLSKPITEEEHDRYAAYLHGENKITEMHNIPPKSRLFI GNLPLKNVSKEDLFRIFSPYGHIMQINIKNAFGFIQFDNPQSVRDAIECESQEMNFGK KLILEVSSSNARPQFDHGDHGTNSSSTFISSAKRPFQTESGDMYNDDNGAGYKKSRRH TVSCNIFVKRTADRTYAIEVFNRFRDGTGLETDMIFLKPRMELGKLINDAAYNGVWGV VLVNKTHNVDVQTFYKGSQGETKFDEYISISADDAVAIFNNIKNNRNNSRPTDYRAMS HQQNIYGAPPLPVPNGPAVGPPPQTNYYQGYSMPPPQQQQQQPYGNYGMPPPSHDQGY GSQPPIPMNQSYGRYQTSIPPPPPQQQIPQGYGRYQAGPPPQPPSQTPMDQQQLLSAI QNLPPNVVSNLLSMAQQQQQQPHAQQQLVGLIQSMQGQAPQQQQQQLGGYSSMNSSSP PPMSTNYNGQNISAKPSAPPMSHQPPPPQQQQQQQQQQQQQQQQPAGNNVQSLLDSLA KLQK YPL189C-A MRAVTRNKIVNNLYFSTFLIAFASVAIGSVLPCPAHSVDSDSPA VQQHKLQLAHEQELKRKDALSKKI YPL189W MSMLRIWSCIVHFFSVQALDSRIKPDIEFKRRQRIFINSSKEEN GSSSSAVTVTRNPVLSSNSPSPPLWNTWEFRLYYLAFTVVVPFMIKAALATSSESNPN YYKFSGLLAHGWILGRKVDNSDPQYRFFRSNFFLLAILILLQIILKKVFVKFSKIPKT KFDFACGLVFVCFMYGINSVKLFTHAFIFFTLAHSLKRKRLIAAFAIWSYGIFTLFIN QKMKNLPFNNIAIILSPMDQWYKGIVPRWDFFFNFTLLRLLSYSMDFLERWHEQLSRQ PSIDYDDRRPEFRKSLSGSTLQTIYESGKNVLEEKERLVAEHHIQDYNFINFIAYITY APLFLVGPIITFNDYLYQSENKLPSLTKKNIGFYALKVFSSLLLMEIILHYIYVGAIA RTKAWNNDTPLQQAMIALFNLNIMYLKLLIPWRLFRLWAMVDGIDAPENMLRCVDNNY STVGFWRAWHTSFNKWVIRYIYVPFGGSNNKILTSFAVFSFVAIWHDIQLRVLFWGWL TVLLLLGETYITNCFSRYRFRSWYRFVCGIGAAINICMMMIINVYGFCLGAEGTKLLL KGIFNNSHSPEFLTAVMVSLFIAVQVMFEIREEEKRHGINLKC YPL188W MFVRVKLNKPVKWYRFYSTLDSHSLKLQSGSKFVKIKPVNNLRS SSSADFVSPPNSKLQSLIWQNPLQNVYITKKPWTPSTREAMVEFITHLHESYPEVNVI VQPDVAEEISQDFKSPLENDPNRPHILYTGPEQDIVNRTDLLVTLGGDGTILHGVSMF GNTQVPPVLAFALGTLGFLSPFDFKEHKKVFQEVISSRAKCLHRTRLECHLKKKDSNS SIVTHAMNDIFLHRGNSPHLTNLDIFIDGEFLTRTTADGVALATPTGSTAYSLSAGGS IVSPLVPAILMTPICPRSLSFRPLILPHSSHIRIKIGSKLNQKPVNSVVKLSVDGIPQ QDLDVGDEIYVINEVGTIYIDGTQLPTTRKTENDFNNSKKPKRSGIYCVAKTENDWIR GINELLGFNSSFRLTKRQTDND YPL187W MRFPSIFTAVLFAASSALAAPVNTTTEDETAQIPAEAVIGYLDL EGDFDVAVLPFSNSTNNGLLFINTTIASIAAKEEGVSLDKREAEAWHWLQLKPGQPMY KREAEAEAWHWLQLKPGQPMYKREADAEAWHWLQLKPGQPMYKREADAEAWHWLQLKP GQPMY YPL186C MVTIVFDHPAEDFPELKIAGEFTNWEGVPMKINTSSGKWEYKFD ESSVTKHNDKDKVHFKFIDQNGNWFADDEYPKEVDEHSNENNVATLNNEEDGGSAGEE KDEGDKTAHNTNENGSELYYEGPETPTPSLKGNVTFPSPKTAISQDGSAFAKETTRKE RKYEHAPLNEVPVERDPKEENKELSPNFSQEQTENKQDKGLDNLSEGNDNDNTRVNED TDVTDTQESEHEINGSDTENTDMSEQEEIQKIDKPADQNAKSIVKEGDANTEDYESVL KKLLGALGRFFGSWFSWLTTKMSSSEAS YPL184C MVVSYNNNNNNNNNNNNNNISNNNNNNNMFPPFPSSDDFAMYQQ SSSSGPYQETYASGPQNFGDAVYPMNGNFTLLPSDFTREPNDSFFYENDGIFDYQRIQ QQPTQFQTKQRNDSQQQRFSQEQNFEIDNEVVHNNNRYYEYERSSNEVSPFDDENPNV LSDGMSPTIMATATAVTNANAPLPVNAQANNPLNFTSAPSRTVYLGNVPPNLSVKELL DHVRSGVVEDVKIIPEKMCAFVSFIDESAALLFHSDAILKRLNIGDRDIKIGWGKPTR IDPIVAARISTDGATRNVYIGRMTIEGEESHLSEEQLRVDLEEYGEIDCIKIIKEKGI AFIHFASILNAIKVVTNLPIRNPYYQNKRIFYGKDRCAFITKTQQHNAAQFLGVQPGM EHMIEFSDREFISNALLQQSAAAAAIATSAGGPNNLGNRTVYLGSLPKDVKIEEICNA VRGGLLQSIKLLNDRYVCFVTFIDPTAAAQFYAMSSLYGFTVQKKRCKVGWGKHSGPL PNALALAVSNGASRNVYVGNIDFVGDSLRDERVFTESNLRHIFQQYGEVEQINFLPEK NCCFINYTNISNAILALDKIKSNPYFKDLKINFGKDRCGNVPHQSR YPL183W-A MFLQTLRLTMPRMFLHMKPSPITITRACTVPSLLSVAAPQPALV AANRPLVFNRGFKVRTSVKKFCSDCYLVRRKGRVYIYCKSNKKHKQRQG YPL183C MKDLSHYGPALCVKFYNDYVLAGYGPFIHVYDYHSATLINKCRL FHYNKVHGLSLSSEGKILAYGARSVTIVELEDVLKKESLVDFERINSDWITGATFSFD NLQIYLLTCYNKVLICDLNCEVLFRKSLGGERSILYSGIIKVFGPDKVYVNAGTVMGG VIIWDLFSETKIHNLLGHEGSIFYVNLSNNGRYVASCSDDRSIRLWDLETGKQLSVGW SHTARIWNLMFFDNDSKLISVSEDCTCRVWNIIESRENVAELSISNVYEVHLIKSIWG VDVKDDEMIAVTSGNDGRLKLIDLLQLKRHGDEETSFSLDDIAKQCGDIFEKNESIKG FQWFSFGVIAITSLGKILKYSDVTKQWKLLLTNEKFNSYPITNGIQTQNIAVFSNNKS DILLIKFSKDSADIIETEEFHLDELSKTNNCLVTEYDDDSFLLTLQSPNPREKFVCLE ISLQNLKIKSKHCFNKPENFSSSCLTSFRNHILVGSRFSTLVIYNLLDESEEPFIIRR LSPGDTTTSIEFVEDKDNSAVFSVTNRDGYYVFIELTKNSLEEGPYRLSYKVLHSNKM MKGFLEGAFFNSKGEYITYGFKSSLFYLYNETNCYELASEVCGGSHRLWNLAKITDGH VLMYIKASRFHLRKIYNSIVPETLENGVHGREIRDISICPVSNTNTNDNFKDGHIFCT ASEDTTIKLGYFNNRTGKVQNFWTQRKHVSGLQRCQFINHKLMISSSAREELFLWELN DKYNKRPYMTIRQALPVSTNNSDLRIMDFDVKFISQSGDFLLVTVYSDSTIKIWHYRE NQNKFDLIMQGRYKTCCLFNVVFIALKEELLVVISPTDGHLVVYNITEYVPFSVDPIS GDLVDHKLDATISNLPAPVAQLPVHQSGVKSLDYVANATRTSATILTGGDDNGLGLSN LKLDDSNKVTLKTSDFIAAAASSTITSGMLINGGKEVITTSVDQVIRAWEITAGKLSL VDKKRTTVADTGSLEIISNDEDADSEKTLLIGGVGLSIWKK YPL181W MESTAIVPKGPVVGSEDMEKAEVIASGSTDIISTTSTATTTAAI GSVQEESVKQEDVPMEGGEGEVEEEEGETRCICGELDTPDDSGFFIQCEQCSSWQHGY CVSITQDNAPDKYWCEQCRPELHQLFTTDTGEARSIYKPVQEKRRQSRRKARSAAASK SHAANEAEKSPRNTSNTDDNVDDIGDEEDEVEDEASAVALAKDGNTRSSRRRRRNSMD DASTDQYSLDPGDSDKKLLDRKRATFMAREEKQYQRMLEKALKESRRTSHQEDPESYE NDADIYQGDTDNHNGTTRLQTDVMLTEGKPDSVTNDDMKESLRPSKEQSMEKTNDVEK EASQEKESSTGSAQDTEKTDEPILPLTSISSSEDDSRKASSRGSKRVSKPARKGNRTR RSNTSSDTNQNRRSADIGTDKPVKPRLPPQRTSLNEMRRRVSAILEFISRTQWELSED QSDREEFVRFVENQHFVEKVDTIYNGYNESLSMMDDLTRELLLWEKKYSNNTNAIQ YPL180W MVHRGRTLKSDTDVTSLNASTVSHQSKPFRQFSTRSRAKSNASF KGLRRVLTHDGTLDNDYFNKHNVSQKCKSSDALFRKRTISGLNMTALTRVKSNQGKRS ASFHSPVHNTLLSPKNSSHSNTGTAGFGLKPRRSKSTQSVLSLRDAQESKKSESTTDE EVECFSEDNIEDGKVNNDKVIAEHVMPEEKKNVQQLNQNELQSPDSIDEQEEDKSGTD GKENHRAVSLPLPHLSSNNYFGESSHSIEHQKDGETSPSSIETKLNATSVINEEGQSK VTKEADIDDLSSHSQNLRASLVKAGDNISEAPYDKEKKILDVGNTLAAHKSNQKPSHS DEQFDQEDHIDAPRSNSSRKSDSSFMSLRRQSSKQHKLLNEEEDLIKPDDISSAGTKD IEGHSLLENYAPNMILSQSTGVERRFENSSSIQNSLGNEIHDSGEHMASGDTFNELDD GKLRKSKKNGGRSQLGQNIPNSQSTFPTIANIGSKDNNVPQHNFSTSISSLTNNLRRA APESFHGSRMNNIFHKKGNQNLLLRSNDLNKNSAAPASPLSNEHITSSTNSGSDANRQ SNSGAKFNSFAQFLKSDGIDAESRTQRKLWLQRENSIMDLSSQNDGSDSIFMAGNIDA KREFERISHEYSNVKRFYNPLDEALLRVQPIITGNANNIRKKSHNDAQSIAHSSSDTD HKDEDDLLFTNYDKKFDDLYPHLASAKIQAVLSGIWKSESYLFNKDVNPINKNRTTST NHSVGHTASQNARNLLRGPMGSSTTLHHQRVINSLQPTTRAVNRRMENVGYMHTQPQQ R YPL179W MRRSPSRSNNNFAVPNCSTNSNSSQQQLTTPSDDLNSNEPNDPD DSRSLPTIKKFNNKHSINNYNTLASAGKNNNNKRASNDNLLIPGENAHKQKIYTKDEN LKSLYLDIDVSVAKALSSSATAPKLINTARTSSTTTATTSNNILTSPSYRESNYSSPS SYSFSSYYSSATSASSSTSSFLKSSGLSSRVKSPSSSVKAGSFGAPSSPTSGIPNPKS SKKPIFLRRYSHDTSSNEGLDIDVAIEKLLQVGESREITKTSKKKNFPFHSWEIQLIC YHAREIFLNQPTLLRLQAPIKVVGDVHGQFNDLLRILKLSGVPSDTNYLFLGDYVDRG KNSLETILLLLCYKIKYKDNFFMLRGNHESANVTKMYGFYDECKRRLSSKVWKMFVDV FNTLPLAAIIQDKIFCVHGGISPDLHDMKQIEKVARPTDIPESGLVTDLLWSDPDPQV TDWSENDRGVSYTFSKRNVLDFCAKFKFDLILRGHMVVEDGYEFFARKKFVTIFSAPN YCGEFHNWGAVMSVTTGMMCSFELLKPRALKNKKKLYKTKV YPL178W MSLEEFDEVKYDHSTKRLDTPSRYLLRKARRNPNGLQELRESMK SSTIYVGNLSFYTSEEQIYELFSKCGTIKRIIMGLDRFKFTPCGFCFIIYSCPDEALN ALKYLSDTKLDEKTITIDLDPGFEDGRQFGRGKSGGQVSDELRFDFDASRGGFAIPFA ERVGVPHSRFDNSSSQSNTNNYIPPPDAMGTFRPGFDEEREDDNYVPQ YPL177C MNYNCEIQNRNSKNVDNQVSLPPIQVLFNSIEKRSMPELAFSNI EYSHGNLRSSTEEQNYPAPVLLPQHHSIAYPAINSGGTSTTATPTASTVETSKTSSSA MDTQSQYGSSKKSKSASDDAKPCYKSAPIYEIINKEKDAGAQYNRPFSDFVESKSRRK QNSGRRSNLPKETVQILNTWLLNHLNNPYPTQQEKRELLIKTGLTKIQLSNWFINVRR RKIFSDYYTLVNSIPNDNANNTPVERVQNVSAYHNTLSATNNTMYDATSTCSTDYELS KRFAHAPVTRRKKLIDRLEELKKLSNPDMN YPL176C MVNTRGYTTLPNVEEPANNSQDELNSQDFEQAIGMPSEPPVYVE EMGMEEPQAPEAFSEKVQRFRMCFENNVVIPVKKNVVDPLAQMISLASEKFDLFLSKI GNVMVMRRIFYIMMMSIIAALIIASDRLPNGKARGSNGSFSDHDLLLQYARKSIDLSK IERDLEYISSMPHMSGTSGDAAIRHYIKESFDKNGIRLAGEEEFMAYSNYPGNVSLRV YSKDDTEGFDIPLNEENFNPMSHNGQLNNIPVIYANKASLDDMASMQDQGLLNGDFIL LVHYGDYVFQQMLTAQEYGAKAIIFISEPYQDNKDVIQMKSVALPQYGTGDALTPEWE GSIRDPIDATEAKCLPKIPSIPISANQGDKILAILSDTGVKFSNNLFSGSLNDCRLDL LVQTAIRERHPVHDIVGKIEGSEQAGRAIVIAAPRNSASYGTMYPSFGTVVLLSLIQL YQEMVYKFDWKPLRNIYFISFGGSEFNEAGATELMEKRTEALKSEIYTIIDVGQIGIW DDSNNLEIQCHPLLVDLFQKNMTSRKFNVKVDNVHQFGDWTPYLAQGIPVAIISSPGV MNREHPIYTVEDKFDFIKDKLRDKKKGEVLSEIMLYLVEKSLELIDDPFIPFSISNYV DFLSTTLKDLQKECPDTVNFDEVFLGTTLWENTKLQFEKWKSEWTELMYGAGTYIEPT IIAINRWSWNYLLSLIGVTQCLEEGLMDRTFYKNVIFGPKLWVDKGDPLRSWTFPEIR DTIAIKDWSSVQVQANTLGTILQNTARYFLENKNLHGINTNEF YPL175W MGFNIAMLCDFFYPQLGGVEFHIYHLSQKLIDLGHSVVIITHAY KDRVGVRHLTNGLKVYHVPFFVIFRETTFPTVFSTFPIIRNILLREQIQIVHSHGSAS TFAHEGILHANTMGLRTVFTDHSLYGFNNLTSIWVNKLLTFTLTNIDRVICVSNTCKE NMIVRTELSPDIISVIPNAVVSEDFKPRDPTGGTKRKQSRDKIVIVVIGRLFPNKGSD LLTRIIPKVCSSHEDVEFIVAGDGPKFIDFQQMIESHRLQKRVQLLGSVPHEKVRDVL CQGDIYLHASLTEAFGTILVEAASCNLLIVTTQVGGIPEVLPNEMTVYAEQTSVSDLV QATNKAINIIRSKALDTSSFHDSVSKMYDWMDVAKRTVEIYTNISSTSSADDKDWMKM VANLYKRDGIWAKHLYLLCGIVEYMLFFLLEWLYPRDEIDLAPKWPKKTVSNETKEAR ET YPL174C MRNAGVQVDTNMQKISLQDTVLVNEMKGRVKFIGETQFAKGIWY GIELDKPLGKNDGSANGIRYFDIDLKKANSNGGYYGLFCKKDTLQFYKPDDDEHSLLN GNAAQETIKNLQVKCESLASKLNKIKIENHELKTSVEKLSTNETVLLSKISRLDKLVK ELKVENGNMKTHLDNFNHLLDASDSVMAPDLDKGTLLERSHLLQGLLDQTKLSYDKAM KVQEDLLEENTQLLEENAVLSKKISDLGLQLQQTNNTIGDLALQIEAQSKSSNIVDKL TNDNILLTSNIKALNNELEELQAKEKLDENLRITYEQLEQELRLQLSNLQSALENEKE IAGTYIEENSRLKATLESIEAKTSHKFQSLELKVNTLQEELYQNKLLKKFYQIYEPFA QPHLAALSSQLQYLAEVIESENFGKLENIEIHIILKVLSSISYALHIYTIKNTPDHLE TTLQCFKVNIAPISMWLSEFLQRKFSSKQETAFSICQFLEDNKFLDKDVTLILKILHP ILETTVPKLLAFLRTNSNFNDNDTLCLIGSLYERSLSLIARIDKLIGKEEISKQDNRL FLYPSCDITLSSILTILFSDALFLRQDYKRISSLKKLEVFFQGIESLLENITIFPEQP SQQTSDSESQCNIKEGNFSNSLLSDRLNEENIRLKEVLVQKENMLTELETKIKIIIGR DLERKTLEENIKTLKVELNNKNEENCGKTEILNKLKEENFNLVNRLKNMELKLYQIKD NNTLNKIYLDREKVDRVNLVSEIMELRETIRRQIKEQKRVSIDFSWLDELPAVENKQP FKEHINHSLDTLGIEMFNFVSTSRILDLKLDQPLAEDELWHERDHSYISYLKRKRKNI RLKSQNVVTYYK YPL173W MSGSYQHLSNVGSRVMKRLGNRPKNFLPHSEKFIKKSTPEFMKS DLKEVDEKTSFKSEKEWKFIPGDRVVVMSGASKGNIAVIKSFDKRTNSFILDENGPTK TVPVPKQFWLEGQTSHMITIPVSILGKDLRLVADIDDEKTPGKTRTVAVRDVSFNGSY YDADYKKVMPYRCVKGQPDLIIPWPKPDPIDVQTNLATDPVIAREQTFWVDSVVRNPI PKKAIPSIRNPHSKYKRGTLTAKDIAKLVAPEMPLTEVRKSHLAEKKELAEREVPKLT EEDMEAIGARVFEFLEKQKRE YPL172C MSYFPRTYAHLMRNVLAHNKGNIYLQIGTQLHDTQIKIRFNGVR YISRNHGGKQQHINTAPIEFTPNFGYGDRTSNCNKKVESTAMKTLRCTDDISTSSGSE ATTDASTQLPFNVKLVDPMVRKSKRPSHAISEGLNMKTLKKKVIMPYLQLTKPRLTIL VMLSAICSYALSPYPASVNELLCLTVGTTLCSGSANAINMGREPEFDRQMVRTQARPV VRGDVTPTQAFEFAALIGTLGVSILYFGVNPTVAILGASNIALYGWAYTSMKRKHIIN TWLGALVGMVPPLMGWAAASPLSHPGSWCLAGLLFAWQFPHFNTLSHNIRNEYKNAGY VMTAWKNPLLNARVSLRYSILMFPLCFGLSYFNITDWYYQIDSGLINAWLTFWAFKFY WQQRINYSAKTLKDNVKFNKGLSVANIYARKTFMASVLHLPAILILAIIHKKGRWDWI YPGEAKRPQERF YPL171C MPFVKGFEPISLRDTNLFEPIKIGNTQLAHRAVMPPLTRMRATH PGNIPNKEWAAVYYGQRAQRPGTMIITEGTFISPQAGGYDNAPGIWSDEQVAEWKNIF LAIHDCQSFAWVQLWSLGWASFPDVLARDGLRYDCASDRVYMNATLQEKAKDANNLEH SLTKDDIKQYIKDYIHAAKNSIAAGADGVEIHSANGYLLNQFLDPHSNKRTDEYGGTI ENRARFTLEVVDALIETIGPERVGLRLSPYGTFNSMSGGAEPGIIAQYSYVLGELEKR AKAGKRLAFVHLVEPRVTDPSLVEGEGEYSEGTNDFAYSIWKGPIIRAGNYALHPEVV REQVKDPRTLIGYGRFFISNPDLVYRLEEGLPLNKYDRSTFYTMSAEGYTDYPTYEEA VDLGWNKN YPL170W MSFIKNLLFGGVKTSEDPTGLTGNGASNTNDSNKGSEPVVAGNF FPRTLSKFNGHDDEKIFIAIRGKVYDCTRGRQFYGPSGPYTNFAGHDASRGLALNSFD LDVIKDWDQPIDPLDDLTKEQIDALDEWQEHFENKYPCIGTLIPEPGVNV YPL169C MSGFHNVGNINMMAQQQMQQNRIKISVRNWQNATMNDLINFISR NARVAVYDAHVEGPLVIGYVNSKAEAESLMKWNGVRFAGSNLKFELLDNNGASAGTSD TISFLRGVLLKRYDPQTKLLNLGALHSDPELIQKGVFSSISTQSKMFPAMMKLASTEK SLIVESVNLADNQLKDISAISTLAQTFPNLKNLCLANNQIFRFRSLEVWKNKFKDLRE LLMTNNPITTDKLYRTEMLRLFPKLVVLDNVIVRDEQKLQTVYSLPMKIQQFFFENDA LGQSSTDFATNFLNLWDNNREQLLNLYSPQSQFSVSVDSTIPPSTVTDSDQTPAFGYY MSSSRNISKVSSEKSIQQRLSIGQESINSIFKTLPKTKHHLQEQPNEYSMETISYPQI NGFVITLHGFFEETGKPELESNKKTGKNNYQKNRRYNHGYNSTSNNKLSKKSFDRTWV IVPMNNSVIIASDLLTVRAYSTGAWKTASIAIAQPPQQQASVLPQVASMNPNITTPPQ PQPSVVPGGMSIPGAPQGAMVMAPTLQLPPDVQSRLNPVQLELLNKLHLETKLNAEYT FMLAEQSNWNYEVAIKGFQSSMNGIPREAFVQF YPL168W MTVLYTSASLKKMKCLAFNMGMNCVRTVSHARSGGAKFGGRNVF NIFDSKTPDSVRIKAFKNTIYQSAMGKGKTKFSAMEINLITSLVRGYKGEGKKNAINP LQTNVQILNKLLLTHRLTDKDILEGMNLAAGPVNVAIPRDITPQEEKKKVELRNRKAE NMDLHPSRKMHIKELLHSLNLDMCNDEEVYQKISLYLQKNEESRTSVGASQQNHVDID INSLKRYLQNIEKKARQKSAIDKQKKNQARIYQWNTQSFSEIVPLSAGNILFKREPNR LWKRLQNGISVFLGSNGGGKKSKTTKKVLQGNNILLHSLENNKDMTLSNNFDHSVFNI NFTDLFGVINASGSPPDRVLNEINEIELKGWKCVGNLYDNNKIVVFQSSNPLLEDTKI PQKSFTNSKRFLISLSALLASFFAYYRYRLSQRQESKK YPL167C MSRESNDTIQSDTVRSSSKSDYFRIQLNNQDYYMSKPTFLDPSH GESLPLNQFSQVPNIRVFGALPTGHQVLCHVHGILPYMFIKYDGQITDTSTLRHQRCA QVHKTLEVKIRASFKRKKDDKHDLAGDKLGNLNFVADVSVVKGIPFYGYHVGWNLFYK ISLLNPSCLSRISELIRDGKIFGKKFEIYESHIPYLLQWTADFNLFGCSWINVDRCYF RSPVLNSILDIDKLTINDDLQLLLDRFCDFKCNVLSRRDFPRVGNGLIEIDILPQFIK NREKLQHRDIHHDFLEKLGDISDIPVKPYVSSARDMINELTMQREELSLKEYKEPPET KRHVSGHQWQSSGEFEAFYKKAQHKTSTFDGQIPNFENFIDKNQKFSAINTPYEALPQ LWPRLPQIEINNNSMQDKKNDDQVNASFTEYEICGVDNENEGVKGSNIKSRSYSWLPE SIASPKDSTILLDHQTKYHNTINFSMDCAMTQNMASKRKLRSSVSANKTSLLSRKRKK VMAAGLRYGKRAFVYGEPPFGYQDILNKLEDEGFPKIDYKDPFFSNPVDLENKPYAYA GKRFEISSTHVSTRIPVQFGGETVSVYNKPTFDMFSSWKYALKPPTYDAVQKWYNKVP SMGNKKTESQISMHTPHSKFLYKFASDVSGKQKRKKSSVHDSLTHLTLEIHANTRSDK IPDPAIDEVSMIIWCLEEETFPLDLDIAYEGIMIVHKASEDSTFPTKIQHCINEIPVM FYESEFEMFEALTDLVLLLDPDILSGFEIHNFSWGYIIERCQKIHQFDIVRELARVKC QIKTKLSDTWGYAHSSGIMITGRHMINIWRALRSDVNLTQYTIESAAFNILHKRLPHF SFESLTNMWNAKKSTTELKTVLNYWLSRAQINIQLLRKQDYIARNIEQARLIGIDFHS VYYRGSQFKVESFLIRICKSESFILLSPGKKDVRKQKALECVPLVMEPESAFYKSPLI VLDFQSLYPSIMIGYNYCYSTMIGRVREINLTENNLGVSKFSLPRNILALLKNDVTIA PNGVVYAKTSVRKSTLSKMLTDILDVRVMIKKTMNEIGDDNTTLKRLLNNKQLALKLL ANVTYGYTSASFSGRMPCSDLADSIVQTGRETLEKAIDIIEKDETWNAKVVYGDTDSL FVYLPGKTAIEAFSIGHAMAERVTQNNPKPIFLKFEKVYHPSILISKKRYVGFSYESP SQTLPIFDAKGIETVRRDGIPAQQKIIEKCIRLLFQTKDLSKIKKYLQNEFFKIQIGK VSAQDFCFAKEVKLGAYKSEKTAPAGAVVVKRRINEDHRAEPQYKERIPYLVVKGKQG QLLRERCVSPEEFLEGENLELDSEYYINKILIPPLDRLFNLIGINVGNWAQEIVKSKR ASTTTTKVENITRVGTSATCCNCGEELTKICSLQLCDDCLEKRSTTTLSFLIKKLKRQ KEYQTLKTVCRTCSYRYTSDAGIENDHIASKCNSYDCPVFYSRVKAERYLRDNQSVQR EEALISLNDW YPL166W MIMNSTNTVVYIKVKGRRPQGFLDPPKFEWNGTKERQLWTMVSN LNYSQDQIDWQNLSKIFETPEFFLKKRTYKLFAEHLELLQLQLEKKRDLEKYSNDQVN EGMSDLIHKYTPTLQNDNLLNVSASPLTTERQDSEEVETEVTNEALQHLQTSKILNIH KKTSDSENKPNDKLDKDGINKEMECGSSDDDLSSSLSVSKSALEEALMDRLQF YPL165C MTIDGDVHEISPFFQVRQTKWGGRACFSNGNIPKGTTVLQVSNF TGTSISYEFRKEVCHNCFAYANAKTMKYKLNYDYLRDLVCNAHYQINPKKFLGAGLWF CSEHCRTSYLQIPNIIELIECYEILLHHFPSMLKRYNYTSEQEEKLNSILISENVIQS SWDEIESKWIPRINNMKSAKRINQLPPTCEDEYCCIRFVCESLFNLKYMDPQCITYRA FNMLQSNELSKISKFPVLLHFQKLVFQTLYILLPSHLHRMLSIPLLRHILGTEYGNAF GLWQEGEASDSREYFGYWVFPEASYFNHSCNPNITKYRKGNSMLFTMNRDIKKDEQIC IDYSGVLDLPTVKRRAFLADSWFFDCACERCKSELQSVH YPL164C MSQHIRKLDSDVSERLKSQACTVSLASAVREIVQNSVDAHATTI DVMIDLPNLSFAVYDDGIGLTRSDLNILATQNYTSKIRKMNDLVTMKTYGYRGDALYS ISNVSNLFVCSKKKDYNSAWMRKFPSKSVMLSENTILPIDPFWKICPWSRTKSGTVVI VEDMLYNLPVRRRILKEEPPFKTFNTIKADMLQILVMHPMISLNVQYTDKLRINTEVL FRSKNITEGLTKHQQMSQVLRNVFGAIIPPDMLKKVSLKFNEYQIEGIISKMPVGLKD LQFIYINGRRYADSAFQGYVDSLFQAQDFGEKGMSLLKTKSVGKPYRSHPVFILDVRC PQTIDDLLQDPAKKIVKPSHIRTIEPLIVKTIRSFLTFQGYLTPDKSDSSFEIVNCSQ KTATLPDSRIQISKRNQVLNSKMKIARINSYIGKPAVNGCRINNSTINYEKIKNIRID GQKSRLRNKLSSRPYDSGFTEDYDSIGKTITDFSISRSVLAKYEVINQVDKKFILIRC LDQSIHNCPLLVLVDQHACDERIRLEELFYSLLTEVVTGTFVARDLKDCCIEVDRTEA DLFKHYQSEFKKWGIGYETIEGTMETSLLEIKTLPEMLTSKYNGDKDYLKMVLLQHAH DLKDFKKLPMDLSHFENYTSVDKLYWWKYSSCVPTVFHEILNSKACRSAVMFGDELTR QECIILISKLSRCHNPFECAHGRPSMVPIAELK YPL163C MIFKILCSLLLVTSNFASALYVNETTSYTPYTKTLTPTYSVSPQ ETTLTYSDETTTFYITSTFYSTYWFTTSQSAAIISTPTASTPTASTPSLTTSTNEYTT TYSDTDTTYTSTLTSTYIITLSTESANEKAEQISTSVTEIASTVTESGSTYTSTLTST LLVTVYNSQASNTIATSTAGDAASNVDALEKLVSAEHQSQMIQTTSADEQYCSASTKY VTVTAAAVTEVVTTTAEPVVKYVTITADASNVTGSANNGTHI YPL162C MYVSNGKDTCQLLGPVSLFVQTLMGMTAVIVLLVKRNYEHPRRK MIVWSYDIGKQIIGSLGIHFLNLGISILKKRRRSLFAITAKGNDDEDQCDWYFLNLLL DTTVGIPILWLCLYIIEKVLKSLHFQNIESGNYFPSKTVGSHPRKPLFSAFVKQLLIF IVGLGVMKFCVFLILNYLEDLAYWFADLILGWSDSWPNFQVFLVMFVFPILLNCFQYF CVDNVIRLHSESLTITNAENFETNTFLNDEIPDLSEVSNEVPNKDNNISSYGSII YPL161C MDYEEILFGLQPILNASSIKDVPMNDVYLGSYLAVMDQLAVSLR EPSNRDIVGKTGLLLNLVRVLEQALDICFHDTSISINDKIAFYEISSEVIRCIANAII DNDDNREILLDSGGKKLLNYYIGGVLQLDEISSDKSEDSLVDKLQMRSVVLLRNFCIG NLKYTENLAPFIRGPLFVLLKTTQYSYLSSPEKVVLGSDLLNDILKVNYSNVQISDLF FLSQYIKKISSNVQNKELQAMEDGAVEAYSNTETQKFAGQGNQEYIEKEEEDDEEDVN CELLLNLSTCLETIVAKDETINFTNEEQLVLSMQKNLILSLVCLESKTFNNKLIVMRR LISCAGNISANLTNSNKREQSLCIETIKSSASSYALAAALMILCNSVASKSDAVALLK LISLSELIQVGSLLQDPLQYQGFLDLLRKLLNLENTMWLDIKDLFTLFQIMRRCHEQT KYYNNLRSLLTNLLNKTLTVLPSSKIHNSISSDPTIISFIAEHGTLTSCIAMDKLLVS KKALPKEAITSLWDSIFKFQNLGQAEQLSISDLFHITKTVGIYLKDSSVTADVNPIEN ILFKDYIQKLTLILETILSFKENKDKGSESCFNNGKFIAGIILNIVKNTKCLTPEEQN LEALAKSFF YPL160W MSSGLVLENTARRDALIAIEKKYQKIWAEEHQFEIDAPSIEDEP ITMDSEELHRTYPKFMSSMAYPYMNGVMHAGHCFTLSKVEFSIGFERMNGKRALFPLG FHCTGMPILACADKLKREAELFGKNFDNVPAEEEEIKEETPAEKDHEDVTKFKAKKSK AAAKKGRGKYQFEIMLQLGIPREEIIKFADAKYWLTYFPPLCESDCTSLGARIDWRRS FVTTDANPYYDAFIRWQMNKLKAAGKIKFGERYTIYSEKDGQACMDHDRQSGEGVTPQ EYIGVKIEALEFADDAAKIIDSSSDLDKSKKFYFVAATLRPETMYGQTCCFVSPTIEY GIFDAGDSYFITTERAFKNMSYQKLTPKRGFYKPIVTVPGKAFIGTKIHAPQSVYPEL RILPMETVIATKGTGVVTCVPSNSPDDYITTKDLLHKPEYYGIKPEWIDHEIVPIMHT EKYGDLTAKAIVEEKKIQSPKDKNLLAEAKKIAYKEDYYTGTMIYGPYKGEKVEQAKN KVKADMIAAGEAFVYNEPESQVMSRSGDDCIVSLEDQWYVDYGEESWKKQAIECLEGM QLFAPEVKNAFEGVLDWLKNWAVCRTYGLGTRLPWDEKYLVESLSDSTIYQSFYTIAH LLFKDYYGNEIGPLGISADQMTDEVFDYIFQHQDDVKNTNIPLPALQKLRREFEYFYP LDVSISGKDLIPNHLTFFIYTHVALFPKKFWPKGIRANGHLMLNNSKMSKSTGNFMTL EQTVEKFGADAARIAFADAGDTVEDANFDESNANAAILRLFNLKEWAEEITKESNLRT GEITDFFDIAFEHEMNALIEKTYEQYALTNYKNALKYGLFDFQAARDYYREASGVMHK DLIARYIETQALLLAPIAPHFAEYIYREVLGNQTSVQNAKFPRASKPVDKGVLAALDY LRNLQRSIREGEGQALKKKKGKSAEIDASKPVKLTLLISESFPEWQSQCVEIVRKLFS EQTLDDNKKVREHIEPKEMKRAMPFISLLKQRLANEKPEDVFERELQFSEIDTVKAAA RNVKKAAQALKIAEFSAISFPYGAKTGKDIFTGEEVEIPPVTKIVENAVPGNPGVVFQ NI YPL159C MLKLARPFIPPLSRNNAISSGIVLTSRRFQSSFTFLSNQSLLSK NQMKSKRKKGSKKAAYHRQPPEHEHTAPLIKQNKTITKKEHSDVRGSHLKKKRSDFSW LPRVPSTSHLKQSDMTTNVLYSGYRPLFINPNDPKLKEDTGSTLYEFAMKLEDLNEPL SPWISSATGLEFFSEWENIPSELLKNLKPFHPPKEKSMNTNELIHVSAKRNTLVDNKT SETLQRKMDEFSKRRGKGRKKSVVTLLQMKKKLEG YPL158C MIIRAPIRTKTKSFRGDQMDFKFPSNESLPRGTLEEYHLNNHHL LNDVFAAENGVSRDEDGNSQTLSDYTSTSNTNTNSGYSSNGYYSFANISDNTTSSPRI VINQNETARLTSSDSNKSDFFASHDFPGNDSLHYSSSNVVKNQLHSMEAIPEGNITGS ISTAFQTIPTADNVSYDIAPSSASSLLPRKSTSKSAILPSTQEAKPMTKLNMEKDIKT IELNNSVVPKPKKKLNRVPTIRRVESSRFSNSRYSSSVSSKSSSSRCSLKRSKAIRCK GGLLYYFTSLGIKIKKKLRKLRLVLRRRLFSYNVQKVPSATNSKTTKSKANINNKSKK RGTNLVNKNSNSTPRQKKSQRYVSNLQRSISSKSLVPVLAPQKKTKPLTVDTKFKANH PQSEDSKVGSNTPRSPLVSYTPSLRRTNSSIRRAASILTASATMTPANNKNSFISVPD NVSHAVTRNSSMYSRSRLVRSKPSTALNAIARQPSIVVENKVIPLSMNRYSIKEEDEY VIDTSSMRELSPVNSVCSSDYDRESSESYSNYADAMETTEVDNKDRVECNNEIQNVDA NNEETSNEESYNLMKHYLSTVIAQRIMLRVQIARIQNYKSNVVYMNKSAETNSTIYED LVDSLLTEYEADGSSSQIFDGVTVRADEEEEEDEDDEDDEEEEEENDDEEDEEDEEDD EDDEEEEEKRKEGEGRNLAKEVDELAELSPMRKQSDLSITLRSPFAMLNPAYSNSIIS LPTGVVKRSLTLPVGMKI YPL157W MGRTFIHASKIKHAARKRKHHSNFRTLIKLLNNDAYKIESSKPL KNGKLFKYWKNRRRLFSKIDSASIYMTDELWFSVTPERIACFLANFVKACMPNAERIL DVFCGGGGNTIQFAMQFPYVYGVDYSIEHIYCTAKNAQSYGVDDRIWLKRGSWKKLVS KQKLSKIKYDCVFGSPPWGGPEYLRNDVYDLEQHLKPMGITKMLKSFLKLSPNVIMFL PRNSDLNQLSRATRKVLGPFAKCKVLYVKENGYMKGIFCMWGECFFNYEPASTENSRR ESSEKEELSSENEELSKRKKHESTTTTKDNTVDIYDVNG YPL156C MIADSSVLKKHTAIKRSTRIISLTLVLLGVFSFLLLTWNDSLEF YNSADPSENKKNSEEESEKKFVYKLPNLLKTADSFLSNENELNFQKVKEEISNIQSEV EVDIPEPSSKATSKFSSRSFQTDNVVTATTTTTLNPRSSSLALQKNCDHKKFDPRTDF LDIIRTSPAVLFIKSSQADSIFLKNLLQREFEISPELATVDLEKHSHGYELEKYIKQN KLNIDTSAALESIQSPYLFLNGISVINRGMVRDIIEPHSKGLLLPLLKSEARGNLLVE KKDIPSNS YPL155C MIQKMSPSLRRPSTRSSSGSSNIPQSPSVRSTSSFSNLTRNSIR STSNSGSQSISASSTRSNSPLRSVSAKSDPFLHPGRIRIRRSDSINNNSRKNDTYTGS ITVTIRPKPRSVGTSRDHVGLKSPRYSQPRSNSHHGSNTFVRDPWFITNDKTIVHEEI GEFKFDHVFASHCTNLEVYERTSKPMIDKLLMGFNATIFAYGMTGSGKTFTMSGNEQE LGLIPLSVSYLFTNIMEQSMNGDKKFDVIISYLEIYNERIYDLLESGLEESGSRISTP SRLYMSKSNSNGLGVELKIRDDSQYGVKVIGLTERRCESSEELLRWIAVGDKSRKIGE TDYNARSSRSHAIVLIRLTSTNVKNGTSRSSTLSLCDLAGSERATGQQERRKEGSFIN KSLLALGTVISKLSADKMNSVGSNIPSPSASGSSSSSGNATNNGTSPSNHIPYRDSKL TRLLQPALSGDSIVTTICTVDTRNDAAAETMNTLRFASRAKNVALHVSKKSIISNGNN DGDKDRTIELLRRQLEEQRRMISELKNRSNIGEPLTKSSNESTYKDIKATGNDGDPNL ALMRAENRVLKYKLENCEKLLDKDVVDLQDSEIMEIVEMLPFEVGTLLETKFQGLESQ IRQYRKYTQKLEDKIMALEKSGHTAMSLTGCDGTEVIELQKMLERKDKMIEALQSAKR LRDRALKPLINTQQSPHPVVDNDK YPL154C MFSLKALLPLALLLVSANQVAAKVHKAKIYKHELSDEMKEVTFE QHLAHLGQKYLTQFEKANPEVVFSREHPFFTEGGHDVPLTNYLNAQYYTDITLGTPPQ NFKVILDTGSSNLWVPSNECGSLACFLHSKYDHEASSSYKANGTEFAIQYGTGSLEGY ISQDTLSIGDLTIPKQDFAEATSEPGLTFAFGKFDGILGLGYDTISVDKVVPPFYNAI QQDLLDEKRFAFYLGDTSKDTENGGEATFGGIDESKFKGDITWLPVRRKAYWEVKFEG IGLGDEYAELESHGAAIDTGTSLITLPSGLAEMINAEIGAKKGWTGQYTLDCNTRDNL PDLIFNFNGYNFTIGPYDYTLEVSGSCISAITPMDFPEPVGPLAIVGDAFLRKYYSIY DLGNNAVGLAKAI YPL153C MENITQPTQQSTQATQRFLIEKFSQEQIGENIVCRVICTTGQIP IRDLSADISQVLKEKRSIKKVWTFGRNPACDYHLGNISRLSNKHFQILLGEDGNLLLN DISTNGTWLNGQKVEKNSNQLLSQGDEITVGVGVESDILSLVIFINDKFKQCLEQNKV DRIRSNLKNTSKIASPGLTSSTASSMVANKTGIFKDFSIIDEVVGQGAFATVKKAIER TTGKTFAVKIISKRKVIGNMDGVTRELEVLQKLNHPRIVRLKGFYEDTESYYMVMEFV SGGDLMDFVAAHGAVGEDAGREISRQILTAIKYIHSMGISHRDLKPDNILIEQDDPVL VKITDFGLAKVQGNGSFMKTFCGTLAYVAPEVIRGKDTSVSPDEYEERNEYSSLVDMW SMGCLVYVILTGHLPFSGSTQDQLYKQIGRGSYHEGPLKDFRISEEARDFIDSLLQVD PNNRSTAAKALNHPWIKMSPLGSQSYGDFSQISLSQSLSQQKLLENMDDAQYEFVKAQ RKLQMEQQLQEQDQEDQDGKIQGFKIPAHAPIRYTQPKSIEAETREQKLLHSNNTENV KSSKKKGNGRFLTLKPLPDSIIQESLEIQQGVNPFFIGRSEDCNCKIEDNRLSRVHCF IFKKRHAVGKSMYESPAQGLDDIWYCHTGTNVSYLNNNRMIQGTKFLLQDGDEIKIIW DKNNKFVIGFKVEINDTTGLFNEGLGMLQEQRVVLKQTAEEKDLVKKLTQMMAAQRAN QPSASSSSMSAKKPPVSDTNNNGNNSVLNDLVESPINANTGNILKRIHSVSLSQSQID PSKKVKRAKLDQTSKGPENLQFS YPL152W-A MMVHLTLKSHLIKEELLWHALSPSYSCRYHGR YPL152W MLPEKRLLTPDDMKLWEESPTRAHFTKFIIDLAESVKGHENSQY KEPISESINSMMNLLSQIKDITQKHPVIKDADSSRFGKVEFRDFYDEVSRNSRKILRS EFPSLTDEQLEQLSIYLDESWGNKRRIDYGSGHELNFMCLLYGLYSYGIFNLSNDSTN LVLKVFIEYLKIMRILETKYWLEPAGSHGVWGLDDYHFLPFLFGAFQLTTHKHLKPIS IHNNELVEMFAHRYLYFGCIAFINKVKSSASLRWHSPMLDDISGVKTWSKVAEGMIKM YKAEVLSKLPIMQHFYFSEFLPCPDGVSPPRGHIHDGTDKDDECNFEGHVHTTWGDCC GIKLPSAIAATEMNKKHHKPIPFD YPL151C MDGNDHKVENLGDVDKFYSRIRWNNQFSYMATLPPHLQSEMEGQ KSLLMRYDTYRKESSSFSGEGKKVTLQHVPTDFSEASQAVISKKDHDTHASAFVNKIF QPEVAEELIVNRYEKLLSQRPEWHAPWKLSRVINGHLGWVRCVAIDPVDNEWFITGSN DTTMKVWDLATGKLKTTLAGHVMTVRDVAVSDRHPYLFSVSEDKTVKCWDLEKNQIIR DYYGHLSGVRTVSIHPTLDLIATAGRDSVIKLWDMRTRIPVITLVGHKGPINQVQCTP VDPQVVSSSTDATVRLWDVVAGKTMKVLTHHKRSVRATALHPKEFSVASACTDDIRSW GLAEGSLLTNFESEKTGIINTLSINQDDVLFAGGDNGVLSFYDYKSGHKYQSLATREM VGSLEGERSVLCSTFDKTGLRLITGEADKSIKIWKQDETATKESEPGLAWNPNLSAKR F YPL150W MVNPVGSSKLEQNNIKSIIGSSYNRLYSQFTSDELTEVGNYKIL KQIGEGSFGKVYLALHRPTHRKVCLKTSDKNDPNIVREVFYHRQFDFPYITKLYEVIV TESKVWMALEYCPGKELYDHLLSLRRISLLECGELFAQISGAVYYAHSMHCVHRDLKL ENILLDKNGNAKLTDFGFTRECMTKTTLETVCGTTVYMAPELIERRTYDGFKIDIWSL GVILYTLITGYLPFDDDDEAKTKWKIVNEEPKYDAKVIPDDARDLISRLLAKNPGERP SLSQVLRHPFLQPYGSVVLDQTQKILCRQRSGGTQFKSKLERRLLKRLKQSGVDTQAI KQSILKKKCDSLSGLWLLLLAQGKKQENCKYPKRSRSVLSVKKVIESATHNDTNGISE DVLKPSLELSRAASLSKMLNKGSDFVTSMTPVSRKKSKDSAKVLNPTLSKISSQRAYS HSIAGSPRKSNNFLQKVSSFFKSKKSSNSNSNNSIHTNVSESLIASNRGAPSSGSFLK KNSGSIQKSRTDTVANPSRTESIGSLNENVAGAIVPRSANNTTLENKKTSGNEIGLKV APELLLNEHIRIEEPRLKRFKSSISSEISQTSTGNYDSESAENSRSISFDGKVSPPPI RNRPLSEISQISNDTYISEYSTDGNNSSFKISDTIKPSYIRKGSETTSQYSASSEKMT NGYGRKFVRRDLSIVSTASSTSERSSRTDSFYDITTATPVVTTDNRRNKNNNLKESVL PRFGTQRPWTGKRTYTTSRHGKNARRSSKRGLFKITSSNTDSIIQEVSSSEEEDHNVI YSKGKGLPTPVLQTKGLIENGLNERDEEGDDEYAIHTDGEFSIKPQFSDDVIDKQNHL PSVKAVATKRSLSEGSNWSSSYLDSDNNRRRVSSLLVEDGGNPTA YPL149W MNDIKQLLWNGELNVLVSIDPSFLMKGSPREIAVLRIRVPRETY LVNYMPLIWNKIKSFLSFDPLTDSEKYFWFEHNKTPIPWNYPVGVLFDCLAGKSATFT TSFENQVKDVLTFLRIHLVMGDSLPPTIIPIASSKTQAEKFWFHQWKQVCFILNGSSK AIMSLSVNEARKFWGSVITRNFQDFIEISNKISSSRPRHIPLIIQTSRTSGTFRISQP TISMTGVNPTLKDIEGDILDVKEGINGNDVMVICQGIEIPWHMLLYDLYSKLRSFDGF LYITLVPIKGGDKASSEL YPL148C MSFASRNIGRKIAGVGVDIVYLPRFAHILEKYSPFDPCGRSTLN KITRKFMHEKERFHFSNLLIEENCLTPRLHEYIAGVWALKECSLKALCCCVSKHDLPP AQVLYAGMLYKTQTDTGVPQLEFDKMFGKKYPKYQQLSKNYDSLFSTHEFLVSLSHDK DYLIAVTNLVERE YPL147W MSTTLAAPAKLKSLLLNLHTHCIGLHVNDVTPKVYFKLLIRHLL QISRSNAAHPKLRRRAQILLVSLFLSGVTLFSGVTYSTFKIILKCYKFYKFPWKRRNR RPLIRRTRSQMQLDSGARIMYIPEVELVDRQSPDDNKFMNATDKKKRKRIFIPPKDND VYEHDKFLFKNVELERAKNSQLFYSKFLNQMNVLSKILIPTVFDKNFLLLTAQIFFLV MRTWLSLFVAKLDGQIVKNIIAGRGRSFLWDLGCWFLIAVPASYTNSAIKLLQRKLSL NFRVNLTRYIHDMYLDKRLTFYKLIFDAKASNSVIKNIDNSITNDVAKFCDATCSVFA NIAKPVIDLIFFSVYLRDNLGTVGVAGIFVNYFITGFILRKYTPPLGKLAGERSASDG DYYNYHLNMINNSEEIAFYQGTAVERTKVKELYDVLMEKMLLVDKVKFGYNMLEDYVL KYTWSGLGYVFASIPIVMSTLATGINSEEKNMKEFIVNKRLMLSLADAGSRLMHSIKD ISQLTGYTNRIFTLLSVLHRVHSLNFNYGAVPSILSIRTEDASRNSNLLPTTDNSQDA IRGTIQRNFNGIRLENIDVIIPSVRASEGIKLINKLTFQIPLHIDPITSKSNSIQDLS KANDIKLPFLQGSGSSLLILGPNGCGKSSIQRIIAEIWPVYNKNGLLSIPSENNIFFI PQKPYFSRGGTLRDQIIYPMSSDEFFDRGFRDKELVQILVEVKLDYLLKRGVGLTYLD AIADWKDLLSGGEKQRVNFARIMFHKPLYVVLDEATNAISVDMEDYLFNLLKRYRFNF ISISQRPTLIKYHEMLLEIGENRDGKWQLQAVGTDEAITSIDNEIEELERKLERVKGW EDERTKLREKLEII YPL146C MAPTNLTKKPSQYKQSSRKGKKAWRKNIDLSDVEQYMEKKIDHE ITHGTSDITSLQNDALFHVDVEGDEILKNKLIKRKQIKKVLKSKEILDAVKTNSKIAA LNHHKNSSGNPNKIQGVSKHELKKLMALAGRVHGESKIKNRVAKDGLVKTTAGDLWGE ESNSKKQKVKLPSGIKLDVEKKDQIPEELLKKSTTSWSTASVRPSTLDIEPIAVKEFT EIPHAGKSYNPNNKAWSELINKEYKEEKAREDERIALEKYKERIRHLMETLDDNEEEE SSSNEEEEEEEEENENENESTQCSGSDKEIKLSINKPVKNKKKTKYQRNKAKRHEEKV KLQQELKELRQRVKDLEEVINSEETEILSAIESDSNKVKKSKKNKKHKLGTKYSVIDE RLEIKFSDELSDSLRKLKPEGNLLYDTVRKLQSSGKVETRVPVRKGRKYKQKITEKWT HKDFK YPL145C MSQYASSSSWTSFLKSIASFNGDLSSLSAPPFILSPISLTEFSQ YWAEHPELFLEPSFINDDNYKEHCLIDPEVESPELARMLAVTKWFISTLKSQYCSRNE SLGSEKKPLNPFLGELFVGKWENKEHPEFGETVLLSEQVSHHPPVTAFSIFNDKNKVK LQGYNQIKASFTKSLMLTVKQFGHTMLDIKDESYLVTPPPLHIEGILVASPFVELEGK SYIQSSTGLLCVIEFSGRGYFSGKKNSFKARIYKDSKDSKDKEKALYTISGQWSGSSK IIKANKKEESRLFYDAARIPAEHLNVKPLEEQHPLESRKAWYDVAGAIKLGDFNLIAK TKTELEETQRELRKEEEAKGISWQRRWFKDFDYSVTPEEGALVPEKDDTFLKLASALN LSTKNAPSGTLVGDKEDRKEDLSSIHWRFQRELWDEEKEIVL YPL144W MLVKTISRTIESESGFLQPTLDVIATLPADDRSKKIPISLVVGF KQEASLNSSSSLSCYYYAIPLMRDRHINLKSGGSNVVGIPLLDTKDDRIRDMARHMAT IISERFNRPCYVTWSSLPSEDPSMLVANHLYILKKCLDLLKTELGE YPL143W MAESHRLYVKGKHLSYQRSKRVNNPNVSLIKIEGVATPQDAQFY LGKRIAYVYRASKEVRGSKIRVMWGKVTRTHGNSGVVRATFRNNLPAKTFGASVRIFL YPSNI YPL141C MSYTNKRHTYYGGFTNDLSDTFQYPQRTDEQRRKHVTFGPYILG STLGEGEFGKVKLGWPKNFSNSSNSTFDFPKQVAIKLIKRDSISNDYRKEVKIYREIN ALKHLSHPNIVKLEEVLQNSRYIGIVLEYACGGEFYKYIQKKRRLKEMNACRLFSQLI SGVHYIHSKGLVHRDLKLENLLLDKNENLVITDFGFVNEFCSRNELMKTSCGSPCYAA PELVISAEPYEARKADIWSCGVILYAILAGYLPWDDDPNNPEGSDIGRLYNYINSTPL KFPDYILPIPRDLLRRMLVSDPKKRINLKQIKKHEWLKPHSSFLSITPDEWDKLNNTQ SVFRLAKPRRRYGSRPQSSCSTSSLGSRSDKRDSLVIDSTLITFPAPPQESQNHIITR PASIASDQRLSPIRRSNRHNRSNSAASVALQAVVNADREYVLSHEQSLSPVQNIRQTT GNMTASLSPPPAISPGDIIIETTPIKRNTISGSSIVPSLEEESSTTMQTSKIQPNNMA SSQNHQYNKNKTQNSLQSAKNFYRTSSSSHTKPRPTSYHPGSYTTPPYNSNTLSIYEI NEKAKSSASSQTLNQRDTSPFDSTPYLALDTCITSSSSIESSPKLITHGQFSVAKPSV DLQSVSGDLIKYKRDADVVTRIYDEKYKQKRKSLRYSGIFSDISCDTVTEESDELRPP ESPLQQHEGQESIDKAKTEDTSEKGSKSSNIAKATAQKHVNNHLERSLNEAESTKKRF SFLSLYSYDTSKSSLYSSMDSKRKPSPPSQRRPKKDDSYQTNSKNHYITASNMQTSHQ VSKDLPAPTMVQNKCTLETKKAVRSNRSSIMVSEVNKASVDNKAAQSPEHSTAKRVLG FFKRRSMKI YPL140C MASMFRPPESNRSHQKTPKLTLPVNLVQNAKSTNDGQHLNRSPY SSVNESPYSNNSTSATSTTSSMASNSTLLYNRSSTTTIKNRPVPPPLPPLVLTQKKDG IEYRVAGDSQLSERFSNLHVDITYKELLSSAPISTKLSNIDTTFIKKDLDTPEGEDSY PSTLLSAYDFSSSGSNSAPLSANNIISCSNLIQGKDVDQLEEEAWRFGHLKDEITTLG ILGEGAGGSVAKCRLKNGKKVFALKTINTMNTDPEYQKQIFRELQFNKSFKSDYIVQY YGMFTDEQSSSIYIAMEYMGGKSLEATYKNLLKRGGRISERVIGKIAESVLRGLSYLH ERKVIHRDIKPQNILLNEKGEIKLCDFGVSGEAVNSLAMTFTGTSFYMAPERIQGQPY SVTCDVWSLGLTLLEVAGGRFPFESDKITQNVAPIELLTMILTFSPQLKDEPELDISW SKTFRSFIDYCLKKDARERPSPRQMLKHPWIVGQMKKKVNMERFVKKCWEKEKDGI YPL139C MSTLDIAEDNKIKNEEFKIWKKSIPSLYQHISSLKPIFGSGVDE SPSTLRSIVFTNDSSCNKSKGVLSVPLLYSQGSEIFEVDCIVPLGLHYKKPESISEPL VQPDYTMESQKVEQTVLIPKWEFKGETIAKMIYVDNSEINVKVIALSTNGSLAWFREG VKSPVYTMMEPSTSLSSASSGNQNKPCVDFAISNDSKTLTVTKEKHLDNENATIKLID NSGKIGEVLRTIPVPGIKNIQEIKFLNNQIFATCSDDGIIRFWGNEIGKKPLWILNDS LDGKTTCFAASPFVDTLFMTGTSGGALKVWDIRAVIALGDADAELNINQGHNKVNELF KVHHFYSEQVSKIEFSSISPMEVVTIGGLGNVYHWNFEPVFAIYNEIHEDFQGIISDE LEAESMAFYHTEGCRREIGENNKVNTVAYHKYIEDLVATVDSDGLLTVYKPFTGKVLD GSREVGAAKS YPL138C MSLPQWCPPHSTLKRNPTTGEDVYCICKRPDYGELMVGCDGCDD WFHFTCLHIPEQFKDLVFSFYCPYCQAGITGKNKDAIINGEGSLPKTLWKRKCRISDC YKPCLQDSKYCSEEHGREFVNDIWSRLKTDEDRAVVKKMVEQTGHIDKFKKFGQLDFI DNNIVVKTDDEKEIFDQIVVRDMTLKTLEDDLQEVQEISLPLFKKKLELLEVYLGWLD NVYTEMRKLDDDAASHVECGKEDSKGTKRKKKKNSSRSRARKNICGYCSTYERIPCSV EEFVRDFGSNEEATKIHEVCTKWKCNRHLDWVSTNQEQYLQQIDSLESMQERLQHLIQ ARKKQLNIQYYEEILRRGL YPL137C MITNTEFDVPVDWLYKGKSRRKTNTKPSRPSTSPASSSSTSSSK NGDNSTSGNRSSNDKPRARSSSVSNAALCNTEKPDLKRNDGNTSASDTDNIPLLTPIN SGNRSDSADIDNPATVDAIDLIDNDDNGSSTQFVRKKRSTSISNAVVSSKPRLASSAI NATASSSVGKGKHPPISSPSNATLKRSNSTSGEKTKRSIFGSLFSKRSTSSSASTAKK PLPVVNTSTTENESGGIKAVATPDPRVKEISSPMRGVAPTASKPQTPILPSPALAVKD LSTVSLKRVSFAVDKFESDPPQQLPSRTPKKGNILIPDDMISEVPSISVGISSSNQSA KSTNSNIKGPLYTKKSKEYILALENQKLALREAAKHQQEAHFAANRIAFEVANFKTAS DAGGKLTEKSSEGTITKQREEVSPPNVEADRELENNKLAENLSKAGIDKPIHMHEHYF KEPDQDKYQDGHSIENNEVTLDVIYTRCCHLREILPIPSTLRQVKDKTAPLQILKFLN PKPTLIDILSFCDFITIAPIHTIVFDNVALNQDMFRIIISALVNSTVLDKLSLRNVRI DQDGWKLLCKFLLLNKSLNKLDISQTKIKSDLAESLYRHNMDWNLFTDVLSQRSHKPI EELLFNGIQFSKIPYSCFARLLTSFATQKNFPESGIRLGLAGATTSNISQDCLKFIFN WMSQYNVQGVDLAFNDLSTMIKPMVGKLSALSYDNLRYFILNSTNISTSYDLALLLKY LSKLPNLIFLDLSNLSQCFPDILPYMYKYLPRFPNLKRIHLDSNNLTLKELAVVCNIL IKCKSLSHVSMTNQNVENFYLMNGTDSPVQQTNTDGDLDSSSTLDVKGQFAKNSFSST LYAFARDSPNLIGLDFDYDLISEEIQSRIALCLMRNMKRTMDSTFQLDELDSQDDLLF DGSLVTMTAESVLEKLNLLSDKSTKVKKDTTKRYLLKKYIEKFHILHHNVQHTIDTMF EKRKSGELPLQEKENLVRLLLLEQNLCNILELFSHNPNLNDVLGSSRDDSKESVDSSE DSKLPALKHVESGYHVPEEKIQPENDVITARPHLMATDSGKTIDVFTGKPLVFKHTSS STSVGCKKQEEEEGELHKWGFFVQQQRSLYPENESTRQTPFASGDTPINTETAGKSTS SPSVSTSNNETATTSLFSPANPKILPKIPSGAVLRSAIMKAKGIDSIDDLIQNVNSNN IELENIYGESIQNSASTFTPGVDSDVSAPNTDKGSVETLPAVSTDDPNCEVKVTATYD KLLNNLSMERSIRL YPL135W MLPVITRFARPALMAIRPVNAMGVLRASSITKRLYHPKVIEHYT HPRNVGSLDKKLPNVGTGLVGAPACGDVMRLQIKVNDSTGVIEDVKFKTFGCGSAIAS SSYMTELVQGMTLDDAAKIKNTEIAKELSLPPVKLHCSMLAEDAIKAAIKDYKSKRNT PTMLS YPL134C MTSIDNRPLPFIYQFTAGAIAGVSELLVMYPLDVVKTRMQLQVT TKGHPAVVAAKAAVDHYTGVMDCLTKIVKKEGFSHLYKGITSPILMEAPKRAIKFSGN DTFQTFYKKIFPTPNGEMTQKIAIYSGASAGAVEAFVVAPFELVKIRLQDVNSQFKTP IEVVKNSVVKGGVLSLFNGLEATIWRHVLWNAGYFGIIFQIRKLLPAAKTSTEKTRND LIAGAIGGTVGCLLNTPFDVVKSRIQRSSGPLRKYNWSLPSVLLVYREEGFKALYKGF APKVMRLAPGGGLLLVVFTNVMDFFREVKYGKKQ YPL133C MSANSGVKRASKAFKTCLFCKRSHVVCDKQRPCSRCVKRDIAHL CREDDIAVPNEMPSQHESSPNDNNIQGKYANKAHTGIPSDYQNEPVNKSGSTYGEELS PKLDSSLVNDTTSLLLPQQPVFVSENVGSEFSSLNEFLSMLENPLLTQTSLSSSSASN VHLENGSQTTQSPLEYQNDNRRDEIGVARQENRSPTIMSGSSNSISKGDKQDQEKEES RILANANENSAPTPKEQFFLTAADPSTEMTPEHRLKLVINAKLEAGLLKPYNYAKGYA RLQDYMDKYMNQSSKQRILKPLSTIRPAFRTIARSLKDVDLVLVEESFERMLLSYDRV FTSMSMPACLCRRTGEIYRANKEFASLVDCTVDDLRDGKLAIYELMTEESAVNFWEKY GSIAFDKGQKAVLTSCSLRTKDGIRKRPCCFSFTIRRDRYNIPICIVGNFIPLS YPL132W MIRICPIVRSKVPLLGTFLRSDSWLAPHALALRRAICKNVALRS YSVNSEQPKHTFDISKLTRNEIQQLRELKRARERKFKDRTVAFYFSSVAVLFLGLAYA AVPLYRAICARTGFGGIPITDRRKFTDDKLIPVDTEKRIRISFTSEVSQILPWKFVPQ QREVYVLPGETALAFYKAKNYSDKDIIGMATYSIAPGEAAQYFNKIQCFCFEEQKLAA GEEIDMPVFFFIDPDFASDPAMRNIDDIILHYTFFRAHYGDGTAVSDSKKEPEMNADE KAASLANAAILSPEVIDTRKDNSN YPL131W MAFQKDAKSSAYSSRFQTPFRRRREGKTDYYQRKRLVTQHKAKY NTPKYRLVVRFTNKDIICQIISSTITGDVVLAAAYSHELPRYGITHGLTNWAAAYATG LLIARRTLQKLGLDETYKGVEEVEGEYELTEAVEDGPRPFKVFLDIGLQRTTTGARVF GALKGASDGGLYVPHSENRFPGWDFETEEIDPELLRSYIFGGHVSQYMEELADDDEER FSELFKGYLADDIDADSLEDIYTSAHEAIRADPAFKPTEKKFTKEQYAAESKKYRQTK LSKEERAARVAAKIAALAGQQ YPL130W MKKQILIVAAQSILCSTVFGERSNVGLSTEELGGDSILYFNEDP IVIEIDKKAIDKKTLEQLASTRDVVLTDLPDTLEFIDFNEYAKMKSKSDMLLEYINEY EFDDFERSSEGGLEEEEEEDLIYDFNAQAEDLGKLGSNIYEVVEEKNIVNTYDGNLIN ASTTESTTTIRPFVTSHSYVASSTPYSNISSLNEDYDNASNFLTPTTVALAVLLTILL FIQAY YPL129W MVATVKRTIRIKTQQHILPEVPPVENFPVRQWSIEIVLLDDEGK EIPATIFDKVIYHLHPTFANPNRTFTDPPFRIEEQGWGGFPLDISVFLLEKAGERKIP HDLNFLQESYEVEHVIQIPLNKPLLTEELAKSGSTEETTANTGTIGKRRTTTNTTAEP KAKRAKTGSASTVKGSVDLEKLAFGLTKLNEDDLVGVVQMVTDNKTPEMNVTNNVEEG EFIIDLYSLPEGLLKSLWDYVKKNTE YPL128C MDSQVPNNNESLNRFNDIIQSLPARTRLTICSLCLLDNISTQLL RFLILNANSPNIIAVLTDQTAFLSSGETEIFQTLVKLFKQIRMIYHTRSPLLSVHDVA PGLWFPNSPPPLILRGHEAFIITAIRKANLLTFLLTSLNCLNYGFELLQSIFLDIFCP NTNTVGNNSLEQSGKFLKSQAILYLDLKTQAYIAGLKEFQDETNEISLEKKQELLDLI FPSNLADILVQRRTGDSGDITLLTPSEKDFVERCDRRRENLKIVQDFNSLTQSYEWAQ FIRELLDYCNKNMGLIIWGRKGRGKSPLYDFDVNEFDPQVLFSTGTRTVEFMDDQNQP SSASAFLSTARPNHYSTHTPTTDVSSKNPAITQSIVDAAVAASMSNSSSGPHSSHNNS SNSNNNGSIGLRKPKAKRTWSKEEEEALVEGLKEVGPSWSKILDLYGPGGKITENLKN RTQVQLKDKARNWKLQYLKSGKPLPDYLIKVTGNLEKIYKAKKKFSQSPNSSTIMEQN LSQHPSSAASATEDTQTHQEDSHGQNSDNMPSNGLFGNSTSDNTGFDPHLEDGM YPL127C MAPKKSTTKTTSKGKKPATSKGKEKSTSKAAIKKTTAKKEEASS KSYRELIIEGLTALKERKGSSRPALKKFIKENYPIVGSASNFDLYFNNAIKKGVEAGD FEQPKGPAGAVKLAKKKSPEVKKEKEVSPKPKQAATSVSATASKAKAASTKLAPKKVV KKKSPTVTAKKASSPSSLTYKEMILKSMPQLNDGKGSSRIVLKKYVKDTFSSKLKTSS NFDYLFNSAIKKCVENGELVQPKGPSGIIKLNKKKVKLST YPL126W MTQSLGIEQYKLSVVSGGKPALNNLSSVTGNKNIARLSQDQRNY IIPFNNQIKVYSVETRQCVKTLKFANNSLLSGIFLQEEENNESIVKILLGDITVPQQE DAHLITVFTNNGHVIVLNYKGKLVESPKHFKISLADEKLANVFHSEGNYRILTTFKDP SQKAHNSLQSYRLYALTFDDAKKQFEVAHQAEWHNVILSNISSNGKLLAHMCKDVSTK DHEHKSISVVSLFDDSVNLSFPLGSILSSQTQSLSYNTRYVSSMAIDNMGQQLAVGFA SGVISIVSLADLQIRLLKWHIDSVLSLSFSHDGSYLLSGGWEKVMSLWQLETNSQQFL PRLNGIIIDCQVLGPQGNYYSLILQMTENNSNSDYQFLLLNASDLTSKLSINGPLPVF NSTIKHIQQPISAMNTKNSNSITSLNHSKKKQSRKLIKSRRQDFTTNVEINPINKNLY FPHISAVQIFDFYKNEQVNYQYLTSGVNNSMGKVRFELNLQDPIITDLKFTKDGQWMI TYEIEYPPNDLLSSKDLTHILKFWTKNDNETNWNLKTKVINPHGISVPITKILPSPRS VNNSQGCLTADNNGGLKFWSFDSHESNWCLKKISLPNFNHFSNSVSLAWSQDGSLIFH GFDDKLQILDFDTFKKFESLENTKTVSEFTLDSEIQTVKLINDTNLIVATRTTLNAIN LLRGQVINSFDLYPFVNGVYKNGHMDRLITCDERTGNIALVINQQLTDLDGVPTINYK SRIIIFDSDLSTKLGNFTHHEYISWIGWNYDTDFIFLDIESTLGVVGTTVNTQLSDEV NNEGILDGLVSNTITTSASNSDIFAEQLHKLSSRGKKSDTRDKNTNDNDEDEEDIALE FINGEKKDKLVNMNSFTSMFDNIQNVQMDTFFDRVMKVLT YPL125W MASSLNELNLVQVLEQASNPQHIRSDVQKLAEQQLRQWETQAGF HYLLQSIYLNLSNSLQIRWLAVIQFKNGVDKYWRSTRINAIPKDEKASIRGRLFEMID EQNNQLCIQNAQASARIARLDFPVEWPTLFEDLENLLNDEIIRKDSVKIYNILMHINQ IVKVLGTARIGRCRPAMQSKVPLILPLIVRIYLQSFEEWTTSSNLNYEDLSSLQVSYL ALKVLRRIICEGYDRPQTDQSVCDFIKLSVSHFEMLISNHENFKKFDIYEKFIKCLGK LYFNLVTGSPANFILLPCSTQILITYTRLIFDKAPKVYRENSDVTGDFWEQTAIRGLL ILKRVINFIHKKGAITLKARSDKLTIDASINKINTEFLNENLITRLVDTLMEWYLRLR PTELENWFMDPEEWINEQMATSYEYQIRPCAENVFQDLMNTFSELLVPYLLKKIENDA SKLSNSLDDFLRKDAIYASFQLSASAVSEMVDFDRLLIQVFLPEATNTNISGDELRII RRRVALIINEWSTVKCSEESKSLCYKLFTNFLTDEDDKVVLLTTVQTVRTMVDDWNFN KDTFQPFLTENVHLLLRKILPSVSLTETRLYVLNTLSDIIIQTKPLISRDLLVEILQI IPNLWEIATNNASEAILANALLRLLRNLVSSLGSQSHLTWDIAIPVVALACDPSSMQY QLLSEDGYELWGMLLQNFSSHDQEFDDKFVELVPFLKYGIETHTEILPTLLEIIKSYA LILNPVDFFSNNTFQDIFKQMSKYLLKLREDSFQLVLEIWEILILSNESDYENLLLQK FYETGVLSALFDAIFLEEAPSSYLCSQIIQIIARISYVNPDALMTFLATYHDNLPTSN ENARMPESIRKIVSKDQTYDSVVNKLLTGWIVCFRDIFDPKFKKVHILGISSLLRTGL VPILTEFSSIASLWIEMLEEINETNRGDCEKYHLNDIVTEQSIAFHPLTAEQLRYHQL CKNNDPVHNISLKDFISQSMEYLESHLGVERYQEFLKTINPSLLENLQMFLSIQPQEA RP YPL124W MDYSNFGNSASKKFQDDTLNRVRKEHEEALKKLREENFSSNTSE LGNKKHYRAQERMSSPLHRLSPTGKSDDRKVKSPLDDKLRRQLREGNTRLPPPPFSSY GMPPTNRSNLDRIRRRTSSPVRTDKFASQNVIDDQRLEIKYLERIVYDQGTVIDNLTS RITRLESFILNSISDRGDKNFASLEHSRSFSGFPTNKTYGLQMGGLYENDMPYRRSSD NINKEGAREDRSSQIHIENESTEDILKILSSSFHN YPL123C MLLKNLHSLLQLPIFSNGADKGIEPNCPINIPLSCSNKTDIDNS CCFEYPGGIFLQTQFWNYFPSKNDLNETELVKELGPLDSFTIHGLWPDNCHGGYQQFC NRSLQIDDVYYLLHDKKFNNNDTSLQISGEKLLEYLDLYWKSNNGNHESLWIHEFNKH GTCISTIRPECYTEWGANSVDRKRAVYDYFRITYNLFKKLDTFSTLEKNNIVPSVDNS YSLEQIEAALSKEFEGKKVFIGCDRHNSLNEVWYYNHLKGSLLSEMFVPMDSLAIRTN CKKDGIKFFPKGYVPTFRRRPNKGARYRGVVRLSNINNGDQMQGFLIKNGHWMSQGTP ANYELIKSPYGNYYLRTNQGFCDIISSSSNELVCKFRNIKDAGQFDFDPTKGGDGYIG YSGNYNWGGDTYPRRRNQSPIFSVDDEQNSKKYKFKLKFIKN YPL122C MSDYSLKHSVTQYLEEIPQQVQNRLYTSPATCLAIYRILPPLAK FFIMAMVFNENEVPLLDLDKWVNSNGKLQFQNAIKSMKSLHLLIPNKSSGTLMINLNP TFKISLRNALTGGEVQNSFGVVVEENVVSLDLLDEYSANKWETILHFMVGTPLAKIPS EKVLNLLKHSKLMEEVNSTGEFKITNEGFQFLLQEINSQLWTLLLQYLKMIETSKMDL VDVLHFIFMLGALEVGKAYKIDALSETQRIMLQDMRDYGLVFQKHSNDSIFYPTKLAL MLTSDTKTIRSASNAMDSVLRQNREEPSVNEDGANGKSTTDITTSDDLNKAGLKNQDI PDGSLIVETNFKIYSYSNSPLQIAVLSLFVHLKARFVNMVLGQITRESIRRALTNGIT ADQIIAYLETHAHPQMRRLAEEKLEKKLELDPNCKEPLQVLPPTVVDQIRLWQLELDR VITYEGSLYSDFETSQEYNLLSKYAQDIGVLLWKDDKKKKFFISKEGNSQVLDFAKRK LKKKQ YPL121C MHNQEEWLDKDKTLVNEEENTCINHSYTKKDTNNYRVGKSGIKD LKKPTNQKEIAIKNRELTKQLTLLRQENNHLQQACKILSENKIIENRKSIEKWRTICE MELSFILNSTLIKINRMGGYKDFLEKEMEAKKRRLEYQIDNGMEDQICEIKESDDFRQ LSEVEKQEWESQMNEQLKELEKKKIAELEKLNKVLHDSEGKDFGMAELCTRLKLDYSL IFPQ YPL120W MKCQTCHLPLQLDPSLEGLSLTQRNLLLSNNSIITATNENVISN KGIEAADNCGPQIPKERLRRLGEIQNIKDLNLKDDKLITDSFVFLNHDDDDNANITSN SREDQRYGNANGNDNKKANSDTSDGTSTFRDHDEEEQEATDEDENQQIQLNSKTLSTQ VNAMTNVFNILSSQTNIDFPICQDCCNILINRLKSEYDDAIKERDTYAQFLSKLESQN KEISESNKEKQYSHNLSEKENLKKEEERLLDQLLRLEMTDDDLDGELVRLQEKKVQLE NEKLQKLSDQNLMDLNNIQFNKNLQSLKLQYELSLNQLDKLRKINIFNATFKISHSGP FATINGLRLGSIPESVVPWKEINAALGQLILLLATINKNLKINLVDYELQPMGSFSKI KKRMVNSVEYNNSTTNAPGDWLILPVYYDENFNLGRIFRKETKFDKSLETTLEIISEI TRQLSTIASSYSSQTLTTSQDESSMNNANDVENSTSILELPYIMNKDKINGLSVKLHG SSPNLEWTTAMKFLLTNVKWLLAFSSNLLSKSITLSPTVNYNDKTISGN YPL119C-A MHPLVDELTLSRYLTHGTSVLSSSLYSVAFFLFFFPNFLFFCSC PNHKWVSLPFIGMDILEALCFYREGKIRNIFEIGGLLLQSFYN YPL119C MADLPQKVSNLSINNKENGGGGGKSSYVPPHLRSRGKPSFERST PKQEDKVTGGDFFRRAGRQTGNNGGFFGFSKERNGGTSANYNRGGSSNYKSSGNRWVN GKHIPGPKNAKLEAELFGVHDDPDYHSSGIKFDNYDNIPVDASGKDVPEPILDFSSPP LDELLMENIKLASFTKPTPVQKYSIPIVTKGRDLMACAQTGSGKTGGFLFPLFTELFR SGPSPVPEKAQSFYSRKGYPSALVLAPTRELATQIFEEARKFTYRSWVRPCVVYGGAP IGNQMREVDRGCDLLVATPGRLNDLLERGKVSLANIKYLVLDEADRMLDMGFEPQIRH IVEECDMPSVENRQTLMFSATFPVDIQHLARDFLDNYIFLSVGRVGSTSENITQRILY VDDMDKKSALLDLLSAEHKGLTLIFVETKRMADQLTDFLIMQNFKATAIHGDRTQAER ERALSAFKANVADILVATAVAARGLDIPNVTHVINYDLPSDIDDYVHRIGRTGRAGNT GVATSFFNSNNQNIVKGLMEILNEANQEVPTFLSDLSRQNSRGGRTRGGGGFFNSRNN GSRDYRKHGGNGSFGSTRPRNTGTSNWGSIGGGFRNDNEKNGYGNSNASWW YPL118W MTLAELLGRSRIAQVANNHKPLTYTGKKFHPTHQIIETKPSTLY RQEWGLKSAIPSKIKSRYLVYNDLDTLERITTFEPRGGTQWNRLRFQEMGVPIVSNIG RQNPFFKYISRPEDESHAKLSLFKEMKGDTDISPAAMKKRLKKITALIRSFQDEFKEW LVENHPDELKLNSNKLEDYVVKFLNKKLETKTNKKFNTEIIGTGGLSYSLPGKLKNSP NGVIQRTVVPGRILNVVKENNDNKWLAAIGGFVADVVFFQSPPSSFNSMGDFIRMKTF LFEILEASMEKNGSVSMHARLLEPQNDKTREFFNKRPIYKPLTSRRARRPSVGNIQEA NNLLNIIKGN YPL117C MTADNNSMPHGAVSSYAKLVQNQTPEDILEEFPEIIPLQQRPNT RSSETSNDESGETCFSGHDEEQIKLMNENCIVLDWDDNAIGAGTKKVCHLMENIEKGL LHRAFSVFIFNEQGELLLQQRATEKITFPDLWTNTCCSHPLCIDDELGLKGKLDDKIK GAITAAVRKLDHELGIPEDETKTRGKFHFLNRIHYMAPSNEPWGEHEIDYILFYKINA KENLTVNPNVNEVRDFKWVSPNDLKTMFADPSYKFTPWFKIICENYLFNWWEQLDDLS EVENDRQIHRML YPL116W MSSKHSDPLERFYKQFQAFVQNNPNVISAARAAAQIPESAKAVV VLSPYSLQHVFPREWVTKSYRKTIVERPERLLASSMGISAAITMYPSLFTLKSSHQRK GSLMAPHVLKVHGSSWPAELIELCQMADAKLLKGEIEVPDTWNSGDIYLSSKTIKALQ GTIGAIETGVDSIFKGPSAEHISNRAFVAIRPPGHHCHYGTPSGFCLLNNAHVAIEYA YDTYNVTHVVVLDFDLHHGDGTQDICWKRAGFKPEEEPEDSSYDDFGKKFAEFPKVGY FSMHDINSFPTESGFATKENIKNASTCIMNSHDLNIWNIHLSKWTTEEEFNVLYRTKY RTLFAKADEFFRSAKLEMNQQGRPFKGLVVISAGFDASEFEQTSMQRHSVNVPTSFYT TFTKDALKLAQMHCHGKVLSLMEGGYSDKAICSGVFAHLIGLQNQDWVKEWGSEQVVK EIVRGCKPAWKPYKTKRAKDVIRIWAEEVIRLGRAMIPEFDDIIFKDAVNSAPSNSLL KATVEPASTSTIAQRIIRSHRSNASPEKELHENKPRSTEKQEQREIRSDTKVKQLSSN NRAAETQIPFLQQEFSSEDEDEEYVYDEELNKTFNRTVEDITIDDISRHLETLEIEKK GDEDSDHELKEKNWKNSHQRRLQGNGMYKIPSNTKPHRIRQPQNANTPTYDDSDISMI SHVSRKHTTRSGGRW YPL115C MTDNLTTTHGGSTTLELLAQYNDHRSKKDKSIEHIEKGTCSGKE RNPSYDEIFTENIKLKLQVQEYETEIESLEKVIDMLQKNREASLEVVLEQVQNDSRDS YVNDQSFVLPPRSAERKAHIKSLNLPIPTLSPPLQQGSDVALETSVTPTVPQIGVTSN TSISRKHLQNMILNDEIEANSSFSSPKIINRSVSSPTKIHSEQLASPAASVTYTTSRI TIKSPNKGSKSPLQERLRSPQNPNRMTAVINNHLHSPLKASTSNNLDELTESKSQQLT NDAIQKNDRVYSSITSSAYTTGTPTSAAKSPSSLLEVKEGENKALGFSPASKEKLDDF TQLLDSSFGEEDLVNTDSKDPLSIKSTINESLPPPPAPPTFFSPTSSGNIKNSTPLSS HLASPVILNKKDDNFGAQSAKNLKKPVLTSSLPNLSTKLSTTSQNASLPPNPPVESSS KQKQLGETASIHSTNTLNTFSSTPQGSLKTLRRPHASSVSTVKSVAQSLKSDIPLFVQ PEDFGTIQIEVLSTLYRDNEDDLSILIAIIDRKSGKEMFKFSKSIHKVRELDVYMKSH VPDLPLPTLPDRQLFQTLSPTKVDTRKNILNQYYTSIFSVPEFPKNVGLKIAQFISTD TVMTPPMMDDNVKDGSLLLRRPKTLTGNSTWRVRYGILRDDVLQLFDKNQLTETIKLR QSSIELIPNLPEDRFGTRNGFLITEHKKSGLSTSTKYYICTETSKERELWLSAFSDYI DPSQSLSLSSSRNANDTDSASHLSAGTHHSKFGNATISATDTPSYVTDLTQEYNNNNN ISNSSNNIANSDGIDSNPSSHSNFLASSSGNAEEEKDSRRAKMRSLFPFKKLTGPASA MNHIGITISNDSDSPTSPDSIIKSPSKKLMEVSSSSNSSTGPHVSTAIFGSSLETCLR LSSHKYQNVYDLPSVVYRCLEYLYKNRGIQEEGIFRLSGSSTVIKTLQERFDKEYDVD LCRYNESIEAKDDEASPSLYIGVNTVSGLLKLYLRKLPHLLFGDEQFLSFKRVVDENH NNPVQISLGFKELIESGLVPHANLSLMYALFELLVRINENSKFNKMNLRNLCIVFSPT LNIPISMLQPFITDFACIFQGGEPVKEEEREKVDIHIPQV YPL113C MITSIDIADVTYSAKPRILVPYKTQWEVASHLPEYRKLAERVEF YKYEMSTKDDFVKFLETHRINGFWLTEEFFTVLGNPSSYIEFFPASLKVILVPWVGCD FIDGKLLRSKGITLCNIGPHAADHVTELAIFLAISCFRMTSFWEYCFKYVENGNVEQC KKYISSDSYEIVTDSYHGQEMKFPSRTDKCKPNKDRKVVHLAEKYTVGGKKMESPMNK KVLILGFGSIGQNIGSNLHKVFNMSIEYYKRTGPVQKSLLDYNAKYHSDLDDPNTWKN ADLIILALPSTASTNNIINRKSLAWCKDGVRIVNVGRGTCIDEDVLLDALESGKVASC GLDVFKNEETRVKQELLRRWDVTALPHIGSTVADMVIKQTLITLENVQDIFVEGGDGK YVLN YPL112C MSQFGTTDIVSGSETPPYSGASYQDAQDDNTHPHSSDAGAEKFS AGSGSESHTESSRSDDEDSQAKTKMVDNITILKYILDSLSGRDKLAKIIKYALDILKL FIEKSKRNLTVLDPSVLTYYTKILKNLTVKVALRHPITVIKVLLLSLLRNFDKKIDFI SQQLSTFRYILRFGGTPFRVCSFLGKFNKTRKCNFQIDQIKKIWFNEASLREFLDLYY GIFDELDLLYKLKIWTNKSFYSFVSRQESLAWQYDILLSLKDHWLNLQSLQKRQLELE VQLKVQNNALLLSPILMHQAHKDDGSQSPIRKQLLNDLNVNNDAEVLIHKQLKAIKDE KTLVYLDIARLSFDCMANTSDILNLKTPKGTYAVLSLGSGLTGLVKLWITTKRSLCSS KD YPL111W METGPHYNYYKNRELSIVLAPFSGGQGKLGVEKGPKYMLKHGLQ TSIEDLGWSTELEPSMDEAQFVGKLKMEKDSTTGGSSVMIDGVKAKRADLVGEATKLV YNSVSKVVQANRFPLTLGGDHSIAIGTVSAVLDKYPDAGLLWIDAHADINTIESTPSG NLHGCPVSFLMGLNKDVPHCPESLKWVPGNLSPKKIAYIGLRDVDAGEKKILKDLGIA AFSMYHVDKYGINAVIEMAMKAVHPETNGEGPIMCSYDVDGVDPLYIPATGTPVRGGL TLREGLFLVERLAESGNLIALDVVECNPDLAIHDIHVSNTISAGCAIARCALGETLL YPL110C MKFGKTFANHRIPEWSSQYVGYKSLKKMIKEITRLQEDIYRAHN KNSYDEGRPPTKMRDSSNSAQNYLDSPKIQKLLASFFFAVDRDIEKVDTFYNSQYAEY KKRFERLLSSNQFNEIKSTLVVDANKEDAVAQTLLTKDTREMNMLLKGTSQASRLSYH KDDLIEIQSILAELRKQFRNLKWYAELNKRAFGKILKKLDKKVGTNQQMSTMKTRILP LQFANDSLITKDLSLLKTIWEQVTFRINSYERVMRSTSPNANANDNTEFFKIICVFIE EDDSKGLIRELTNLYSELSLIPTRIMISVLNKAALSKSLACIDAILKVIPSLNDSEDI NRRNFFHHHIIAIGKLIRKQEILSRKKKSQPSKYTNSEGEIVTDLRTLHTTLSAPAES DSITEEEKSSACTLSYILEELPIHLRPCLFQHDNYKRTPLHYSCQYGLSEVTKLIIKL MKEWNIWNEIPIDDVSAFGDAESLTPLHLCVLGAHPKTTEVLLQSLDPNVKLKSSSLL HLATEWNNYPLLHVLLSSKRFDINYQDNELHETPLYLACRLNFFEAAVCLLYNGADLE IREKLFGWTAIFVAAAEGFTDIVKLLIANNANFDIEDEGGWTPMEHAVLRGHLHIADM VQIRDELVTHPHSQLNSGSEEKEPLNEISAGELNERNENGNGGNKGSLGKLAGPIKSY GHRFLDNNESLILITLGSNDTRNKSPSISLSSEALAKVIGLETDCALSLVISCNDSID KSSVILDLPLDDNVDAVDFKVPFKVDYSHTLYFDIVPTYGTRSLETHNRIDCQKNNNN YVMARGVSMLNKSYSSVGVNRSILNGSVTVPIIANHTLEILGTLKFEYIIITPFEHPQ LPLERTETYWKSLVSTRVIGHRGLGKNNPNKSLQIGENTVESFIMAASLGASYVEFDV QLTKDNVPVVYHDFLVAETGVDIPMHELTLEQFLDLNNADKEHIQRGAGHSPHHVNGA DTALQKYRGRSVDDSDVSTLRRAWDLHDNDPNGKSNNAHWSDNRMRLTKTFKKNNFKG NARGHSIASSFVTLKELFKKIPANVGFNIECKFPMLDEAEEEELGQIMMEMNHWVDTV LKVVFDNANGRDIIFSSFHPDICIMLSLKQPVIPILFLTEGGSEQMADLRASSLQNGI RFAKKWNLLGIVSAAAPILKAPRLVQVVKSNGLVCVTYGVDNNDPENASIQIEAGVDA VIVDSVLAIRRGLTKKNEK YPL109C MSFLKFAYRNSWRYYSKSTRHFHKIPIRQFIIPTSIAFYLTQNS FPKQNCLIYNDSLKPDPKGDTFEMGLYVSSENELQEKLKSFRSAKITESRNKLIRYLR IFWFGFNDNIVEPVCTILRFLEISAIFLPLLLLYPISWFGHKLKITDTNITETRGSLI WCQLLRKALELAGPSFIKLGQWAGSRTDIFSHALCHELGKLHSNVTAHSLSFTLEKLS QALKVDKIEDAFDEFNRTPIGVGSIAQVYVGELSQKYIDKYDNIQIGKDGNRWCAIKI LHPNVRSQIRRDLKIMKFCADAINWIPTMEWLSLPSEVDQFSILMNIQLDLRIEALNL ERFNENFKNSIQVKFPKPFLPLSNRDVMFEEHVYGLSMEKFLSTKKQINDVELCKKVS DPFVDAFLQMLILDDFVHADLHPGNVIIRFVKTNKYGTNIISSELESYRITHDLRKKI EEDQDQDFVGKLKSVLTNYTPQICFIDTGIITELNEKNRINFIALFNALARFDGYRAG ELMIERSRTPETAIDKEVFAFKVEKLVDKVKQRTFTLGTVSIGDLLDQMLSMVRSHHV RMESDFVSVVVAILLLEGIGRQLDPNLDLFESSLPILREFGFKREAKSLLKDASTLSM LKIWVGLEVRQLMHLSMKQIYDLVRTDQLCPNY YPL108W MKEASDREEAPKMVEKNYSTGFRKAHGEKDQSVTKPISLDGRTG EVIVRKSTGKTKIRKGQTEEEYTQQLQHYFEVEQGPVRTKVGWMDEVDPLVEIREGKY DISNKHQRQVLSGFCHRLFYQCKYKECLDLSTYFLGLFEPFNVKNKMKRELEELEYMI ERCRGHVL YPL107W MIRNQGWSLLYRIYPVRRFTRYSRVDMTFEGNTQDISTSVEERM TTVFGGRLKGEPPRSTSRVLSGGTKKIAGVQVPAKPQEPDNCCMSGCVNCVWEIYSED LRDWKHRRKEAAEKIAGTKEKWPKDWNPPLGLLHMENVPVELREKKLETDSKKAEQPH DLSAIRSLFPKRKGPLPKSVLAAKRKNIALRHNYEQKDGGDQSVSESDADEGWEDIPV YVKAFAEFESKKRLQKIRRQEEIKKRTALV YPL106C MSTPFGLDLGNNNSVLAVARNRGIDIVVNEVSNRSTPSVVGFGP KNRYLGETGKNKQTSNIKNTVANLKRIIGLDYHHPDFEQESKHFTSKLVELDDKKTGA EVRFAGEKHVFSATQLAAMFIDKVKDTVKQDTKANITDVCIAVPPWYTEEQRYNIADA ARIAGLNPVRIVNDVTAAGVSYGIFKTDLPEGEEKPRIVAFVDIGHSSYTCSIMAFKK GQLKVLGTACDKHFGGRDFDLAITEHFADEFKTKYKIDIRENPKAYNRILTAAEKLKK VLSANTNAPFSVESVMNDVDVSSQLSREELEELVKPLLERVTEPVTKALAQAKLSAEE VDFVEIIGGTTRIPTLKQSISEAFGKPLSTTLNQDEAIAKGAAFICAIHSPTLRVRPF KFEDIHPYSVSYSWDKQVEDEDHMEVFPAGSSFPSTKLITLNRTGDFSMAASYTDITQ LPPNTPEQIANWEITGVQLPEGQDSVPVKLKLRCDPSGLHTIEEAYTIEDIEVEEPIP LPEDAPEDAEQEFKKVTKTVKKDDLTIVAHTFGLDAKKLNELIEKENEMLAQDKLVAE TEDRKNTLEEYIYTLRGKLEEEYAPFASDAEKTKLQGMLNKAEEWLYDEGFDSIKAKY IAKYEELASLGNIIRGRYLAKEEEKKQAIRSKQEASQMAAMAEKLAAQRKAEAEKKEE KKDTEGDVDMD YPL105C MNPINSLAFDLHSVKLADANSDTAALSNSNTPTMNNAALLQRPS SIMDSIGVQRVPSPFVPGSNAISGASTVPFNAYDAEITGSPLQISANQENNSAFSAAS SNLHMNASSPSVLNKPSSTFPNVAPYLYNATGPAPNVGNQPPPPGIESQWKYIDSNGN IQGPFGTNNMSQWYQGGYFTPTLQICRLATSPEPFGVNDRFIRLGELTTLVNNYQDPF VAFDFIVIRALNAVPLVAPTSSEKQKVESRDLIPVADVHSDDFTYEEILGLKFEDGSY YHETQVWVPVDGRHITKVDRIPKISAYTAPLSTTSSRSNKTTSSHEEKVPSHEEASPE EQEVFSEEGRTVSNITNEEESIVKNPTKQEEESRGSEKEQNILDQVQPEIEEVDRKDV ISTADEPKSKDTPQMTSEEQKRFAKAELMAQKLLEEQQRQEEEKKRREEQRKLKKEKK LKQKQKKEEEKLKKKKKEEGKLEKEKQKELLNNILTGDTETPSSENTATSITTNLAPW ANKKPEGAVYNQISSALEDLKKENSSKKEKKPNRTQLDREQALKLQKEILSSAQIPKT QTGSAWGIKPQQPIKVDIKGELMKDSTKINSQSKINKANNGDIKPDSTFIEEQKKLWE QVQKKTKKFNRASSLDDFISRTPSPSSSALNSSNTSNAWTTVSSKSTTHIASTMPVAG NQSKSYISLDTLRSSGGLSTATKTKMSDKSKQIGSSTSIPTLKARQVKPSRIPAYPGN ASVSKRQEFLRWCRSQLKLNTGVQPDNVLEMLLSLPPGSESKEIIADTIYSYSSTMDG RRFATDFIKKRLECEEEINDPLSWSEVLAMPEGSSEDWEFQVVGKKKGKRF YPL104W MLARSRVCLQTITRRLADFPEANAIKKKFLFRKDTSTIKQLKGL SSGQKIVLNGWIEQKPKRVGKNLIFGLLRDSNGDIIQLVDNKSLLKGFTLEDVVQAVG ILSLKRKLSNEDADEYEVQLEDITVLNASNKKPAQMQDFKLSAIYPPEFRYLQLRNPK YQDFLKKRSSISKEIRNSFNNFDFTEVETPMLFKATPEGAREFLVPTRTKRSDGKPSF YALDQSPQQYKQLLMASGVNKYYQMARCFRDEDLRADRQPEFTQVDMEMAFANSEDVM KIIEKTVSGVWSKFSKKRGLLTLDSKGTLVPAKKENGTVSIFRMTYEQAMTSYGIDKP DLRAPDLKIINLGEFNAFSHLNKKFPVFEVIILRSAFSNMEEYKERWSFLTNNSNYNY RVPIVLPIENDEQANSNWFENFHAIATFENPHLITKFLKLKKGDIVCGCTREPNHSIF ENPTPLGRLRQLVLQSEHGKNIYHAVNKDVASWIVDFPLFSPVIIEDKSGKKEKLAYP EYEKDRLCSTHHPFTMVKLKDYEKLEKTPEKCLGRHYDLVVNGVELGGGSTRIHDPRL QDYIFEDILKIDNAYELFGHLLNAFDMGTPPHAGFAIGFDRMCAMICETESIRDVIAF PKSITGADLVVKSPSVIPESILEPYNIKYSNSKK YPL103C MNFVTCHVQMRLLLQRRLVRLRESELFRPQTSLSTFKRHASQKT RPIQKCSRKYARILLLSVLVPYTGYAFYVSLATVKQIDLRNEMCQRLEENNNEVTYKG SLLKYSPLEVLGRFENPFEEYRIQTVFEFFANRVFELFERNRGGIPRDVHQMNKLMPV HKPTWGPNLVDVDPAEETALPLECKVLDELHIPTAVEENEGSKCPVYNTWLGQSCNYT VYNGLRILTDPLFSDFLIHKTLGPKRITQMPSQITEVPKPDIILVSHNHPDHLDLESL EYWSGKDSPLWIVPKGMKSYMTSNGCDNVLELSWWETLQVKKNNEIYHISATPAMHWS GRSLLDTNKSLWCSFLLTHHGNPILFHAGDTGYVKDLFVRIKERFGKGCKLALLPCGQ YCPEWHQKPRHINPQEVLKIMKDLEARNVLGVHWGTFVLSGEYFLEPKEKLEMLAEWG GFKDRCYCPELGKTECFD YPL101W MSFRKRGEILNDRGSGLRGPLLRGPPRTSSTPLRTGNRRAPGNV PLSDTTARLKKLNIADESKTKMGLDSSHVGVRPSPATSQPTTSTGSADLDSILGHMGL PLGNSVLVEEQSTTEFHSILGKLFAAQGIVHNRISDSSADKTRNGDTHVIVLSLNQMF AKELPGIYKGSRKQMKKNLISEEESKVTVQNLNETQRSTPSRYKDLKIAWKYKLADEK RLGSPDRDDIQQNSEYKDYNHQFDITTRLMPAPIASELTFIAPTQPVSTILSQIEQTI KRNDKKLIRIVIPSLLHPAMYPPKMFESSEIIGLMHGVRSLVKKYYERVVLFASISID IITPPLLVLLRNMFDSVINLEPFNQEMTEFLERVYKSQPGKIQHGLVHILKLPVFTDR GEMRVLKSEWAFKNGRKKFEIEQWGIPVDDAEGSAASEQSHSHSHSDEISHNIPAKKT KISLDY YPL100W MKVLQFNQDATCCVVAASSHQISIFNCDPFGKCFEIDTKNSKKK TSNNNGSASNSESRNNEESILITNGSRDRTDAEEEEDNEDNALVTGNILKEGEFVIEM LFSTSLIAIADRGQGLNKGKKLKIVNTKRKCTICEIVFPHEIVDVVMNRKRMCVLLES DQIFIYDISCMKPLETIDLWEDHYKRSQANSFSNASNTGTLEGDSANLNRVATNLLAN ATQKSVNGSNPSVRTRRNSLRSKIRPRMVLSNDDRSILCFTAYSSPKKNKPNSEALYD VVIYDTLNVTPVNYLNSVHKGNVACLAVSHDGKLLATASDKGTIIRVFHTGVDSDYMS SRSLFKEFRRGTRLCNLYQLAFDKSMTMIGCVGDTDTIHLFKLDDASNSLPGDNSSNG HWNEEEYILASNSNPSMGTPKEIPLSKPRIANYFSKKIKSSIPNQNLSRNFAYITVNE SNRSCLGFPDEFPNQVYIASDDGTFSIYSIPSKPGECVLTKNNKFT YPL099C MLKRRSNALITLSRTKLFPITTVAYYHRRLLNQQRRAVSTSPKK EIKSLEDLANLDSLDGVDTELIRDLINEHTTKLNIKKELDMLKKFSQEEESGHEIPVK RFIRPLWMFILMGSSVYLLLHFSWWKLEHEERESQLKKEVEILEHQLNELIVQDKTHN TSRGKGSNESTHMKPWYRRWFW YPL098C MPPLPQNYAQQQPSNWDKFKMGLMMGTTVGVCTGILFGGFAIAT QGPGPDGVVRTLGKYIAGSAGTFGLFMSIGSIIRSDSESSPMSHPNLNLQQQARLEMW KLRAKYGIRKD YPL097W MLELRSCSNLVNSSRRLVPLVTYSGLSAITLPKSRFYSQPSALE VQGTSDSRSDNILDELKQRGLVSQVSQPESFLRTKLNGNDKIKLYCGVDPTAQSLHLG NLVPLMVLLHFYVKGHDIVTVIGGATGKVGDPSGRKTERDVMENDIRQSNVASISQQL QRFFKNGLEYYRNRCALTEDVPSGKYTPRNNFNWWKDIKMLDFLADFGRHIRVQSMLA RDSISSRLQTKNGLGFNEFTYQVLQAYDFYHLYKEENVTIQVGGNDQWGNITAGIDLI NRIQPIKNKGLPFGITVPLLTTATGEKFGKSAGNAVFIDPSINTAYDVYQFFYNTLDA DVPKFLKIFTFLNSSEIKKIVETHIKSPSLRYGQTLLAKEVTDMLYGVGSGSDSEALS NIIFGRYDGTLSAAKLVDLCKKARILQYADREIDLIKLICKLVNCSVSEARRKLSQGS VYLHHSKSKVNENISNLAPFLIDDRVLILRIGKQKCFIIEMR YPL096C-A MRPRDQGFLVLGFTYSVLLISLATFYWLRNNDSFLHYWCVLLLC PATLWLWALIAWCDSEMFASSKDE YPL096W MGEVYEKNNIDFDSIAKMLLIKYKDFILSKFKKAAPVENIRFQN LVHTNQFAQGVLGQSQHLCTVYDNPSWHSIVLETLDLDLIYKNVDKEFAKDGHAEGEN IYTDYLVKELLRYFKQDFFKWCNKPDCNHCGQNTSENMTPLGSQGPNGEESKFNCGTV EIYKCNRCGNITRFPRYNDPIKLLETRKGRCGEWCNLFTLILKSFGLDVRYVWNREDH VWCEYFSNFLNRWVHVDSCEQSFDQPYIYSINWNKKMSYCIAFGKDGVVDVSKRYILQ NELPRDQIKEEDLKFLCQFITKRLRYSLNDDEIYQLACRDEQEQIELIRGKTQETKSE SVSAASKSSNRGRESGSADWKAQRGEDGK YPL095C MFRSGYYPTVTPSHWGYNGTVKHVLGEKGTKSLAFRDSKRQIPL HEFVTKHVPTLKDGANFRLNSLLFTGYLQTLYLSAGDFSKKFQVFYGREIIKFSDGGV CTADWVMPEWEQTYSLNAEKASFNEKQFSNDEKATHPKGWPRLHPRTRYLSSEELEKC HSKGYSYPLVVVLHGLAGGSHEPLIRALSEDLSKVGDGKFQVVVLNARGCSRSKVTTR RIFTALHTGDVREFLNHQKALFPQRKIYAVGTSFGAAMLTNYLGEEGDNCPLNAAVAL SNPWDFVHTWDKLAHDWWSNHIFSRTLTQFLTRTVKVNMNELQVPENFEVSHKPTVEK PVFYTYTRENLEKAEKFTDILEFDNLFTAPSMGLPDGLTYYRKASSINRLPNIKIPTL IINATDDPVTGENVIPYKQARENPCVLLCETDLGGHLAYLDNESNSWLTKQAAEFLGS FDELVL YPL094C MSAVGPGSNAGASVNGGSATAIATLLRNHKELKQRQGLFQAKQT DFFRYKRFVRALHSEEYANKSARQPEIYPTIPSNKIEDQLKSREIFIQLIKAQMVIPV KKLHSQECKEHGLKPSKDFPHLIVSNKAQLEADEYFVWNYNPRTYMDYLIVIGVVSII LALVCYPLWPRSMRRGSYYVSLGAFGILAGFFAVAILRLILYVLSLIVYKDVGGFWIF PNLFEDCGVLESFKPLYGFGEKDTYSYKKKLKRMKKKQAKRESNKKKAINEKAEQN YPL093W MQLSWKDIPTVAPANDLLDIVLNRTQRKTPTVIRPGFKITRIRA FYMRKVKYTGEGFVEKFEDILKGFPNINDVHPFHRDLMDTLYEKNHYKISLAAISRAK SLVEQVARDYVRLLKFGQSLFQCKQLKRAALGRMATIVKKLRDPLAYLEQVRQHIGRL PSIDPNTRTLLICGYPNVGKSSFLRCITKSDVDVQPYAFTTKSLYVGHFDYKYLRFQA IDTPGILDRPTEEMNNIEMQSIYAIAHLRSCVLYFMDLSEQCGFTIEAQVKLFHSIKP LFANKSVMVVINKTDIIRPEDLDEERAQLLESVKEVPGVEIMTSSCQLEENVMEVRNK ACEKLLASRIENKLKSQSRINNVLNKIHVAQPQARDDVKRTPFIPESVKNLKKYDPED PNRRKLARDIEAENGGAGVFNVNLKDKYLLEDDEWKNDIMPEILDGKNVYDFLDPEIA AKLQALEEEEEKLENEGFYNSDDEEEIYDGFEASEVDDIKEKAAWIRNRQKTMIAEAR NRKSLKNKAIMPRSKLTKSFGKMEEHMSTLGHDMSALQDKQNRAARKNRYVERGSDVV FGDQDALTASTENGVKLRQTDRLLDGVADGSMRSKADRMAKMERRERNRHAKQGESDR HNAVSLSKHLFSGKRGVGKTDFR YPL092W MVANWVLALTRQFDPFMFMMVMGVGISSNILYSFPYPARWLRIC SYIMFAIACLIFIAVQALQILHLIVYIKEKSFREYFNDFFRNMKHNLFWGTYPMGLVT IINFLGALSKANTTKSPTNARNLMIFVYVLWWYDLAVCLVIAWGISFLIWHDYYPLEG IGNYPSYNIKMASENMKSVLLLDIIPLVVVASSCGTFTMSEIFFHAFNRNIQLITLVI CALTWLHAIIFVFILIAIYFWSLYINKIPPMTQVFTLFLLLGPMGQGSFGVLLLTDNI KKYAGKYYPTDNITREQEILTIAVPWCFKILGMVSAMALLAMGYFFTVISVVSILSYY NKKEIENETGKVKRVYTFHKGFWGMTFPMGTMSLGNEELYVQYNQYVPLYAFRVLGTI YGGVCVCWSILCLLCTLHEYSKKMLHAARKSSLFSESGTEKTTVSPYNSIESVEESNS ALDFTRLA YPL091W MLSATKQTFRSLQIRTMSTNTKHYDYLVIGGGSGGVASARRAAS YGAKTLLVEAKALGGTCVNVGCVPKKVMWYASDLATRVSHANEYGLYQNLPLDKEHLT FNWPEFKQKRDAYVHRLNGIYQKNLEKEKVDVVFGWARFNKDGNVEVQKRDNTTEVYS ANHILVATGGKAIFPENIPGFELGTDSDGFFRLEEQPKKVVVVGAGYIGIELAGVFHG LGSETHLVIRGETVLRKFDECIQNTITDHYVKEGINVHKLSKIVKVEKNVETDKLKIH MNDSKSIDDVDELIWTIGRKSHLGMGSENVGIKLNSHDQIIADEYQNTNVPNIYSLGD VVGKVELTPVAIAAGRKLSNRLFGPEKFRNDKLDYENVPSVIFSHPEAGSIGISEKEA IEKYGKENIKVYNSKFTAMYYAMLSEKSPTRYKIVCAGPNEKVVGLHIVGDSSAEILQ GFGVAIKMGATKADFDNCVAIHPTSAEELVTMR YPL090C MKLNISYPVNGSQKTFEIDDEHRIRVFFDKRIGQEVDGEAVGDE FKGYVFKISGGNDKQGFPMKQGVLLPTRIKLLLTKNVSCYRPRRDGERKRKSVRGAIV GPDLAVLALVIVKKGEQELEGLTDTTVPKRLGPKRANNIRKFFGLSKEDDVRDFVIRR EVTKGEKTYTKAPKIQRLVTPQRLQRKRHQRALKVRNAQAQREAAAEYAQLLAKRLSE RKAEKAEIRKRRASSLKA YPL089C MGRRKIEIQRISDDRNRAVTFIKRKAGLFKKAHELSVLCQVDIA VIILGSNNTFYEFSSVDTNDLIYHYQNDKNLLHEVKDPSDYGDFHKSASVNINQDLLR SSMSNKPSKSNVKGMNQSENDDDENNDEDDDDHGNFERNSNMHSNKKASDKNIPSAHM KLLSPTALISKMDGSEQNKRHPENALPPLQHLKRLKPDPLQISRTPQQQQQQNISRPY HSSMYNLNQPSSSSSSPSTMDFPKLPSFQNSSFNGRPPPISISPNKFSKPFTNASSRT PKQEHKINNSGSNNNDNSNYTQSPSNSLEDSIQQTVKARRKLSARPVLRVRIPNNNFS SNSAIPSEPSSASSTSANGNSMGSSQIMKENKTSRSSKISPLSASASGPLTLQKGNNG RMVIKLPNANAPNGSNNGNGSNNNNHPYPFGSGSSPLFSATQPYIATPLQPSNIPGGP FQQNTSFLAQRQTQQYQQMSFKKQSQTVPLTTTLTGRPPSTFSGPETSNGPPTGSLPS KFVHDLMSNSPNVSSISMFPDWSMGPNSAKPGNTNNPGTFPPVQTAVNNGNSSNISST NNTNNNNNNNNNNSSNNNSNNGNDNNSNNSNNSYYSNNEDAPVNGAAISEHTTDGDSN NQSNSSTYDAAATAYNGNTGLTPYINTAQTPLGTKFFNFSTDISGEKNSSKI YPL088W MVLVKQVRLGNSGLKISPIVIGCMSYGSKKWADWVIEDKTQIFK IMKHCYDKGLRTFDTADFYSNGLSERIIKEFLEYYSIKRETVVIMTKIYFPVDETLDL HHNFTLNEFEELDLSNQRGLSRKHIIAGVENSVKRLGTYIDLLQIHRLDHETPMKEIM KALNDVVEAGHVRYIGASSMLATEFAELQFTADKYGWFQFISSQSYYNLLYREDEREL IPFAKRHNIGLLPWSPNARGMLTRPLNQSTDRIKSDPTFKSLHLDNLEEEQKEIINRV EKVSKDKKVSMAMLSIAWVLHKGCHPIVGLNTTARVDEAIAALQVTLTEEEIKYLEEP YKPQRQRC YPL087W MLFSWPYPEAPIEGYWGKPTSLIDWCEENYVVSPYIAEWSNTIT NSIFLMTAFYSTYSAWRNKLETRYILIGMGFSLVGIGSWLFHMTLQYRYQLLDELPML YATIIPSWSIFAETQEILIKDEKKRKESSFRIQMVISFIMCGIVTILTWIYVVVQKPA IFQVLYGILTLLVVVLSGWLTYYHVHDSFAKKNLFITMVMGMIPFVIGFICWQLDIHL CSFWIYIRRTYLALPLGVLLELHAWWHLLTGTGVYIFVVYLQYLRILTHGNPNDFLFI WRWGFFPELVRKGLPIGTSYSLEYLGPIVNTQVDDETKKNN YPL086C MARHGKGPKTNKKKLAPEKERFIQCCADITLELTDSLTSGTTRE INLNGLITKYSKKYKLKQQPRLTDIINSIPDQYKKYLLPKLKAKPVRTASGIAVVAVM CKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYDPYEQARGR VEQLKQLGHSIDKVEYVLMGGTFMSLPKEYREDFIVKLHNALSGFNGNDIDEAILYSQ QSLTKCVGITIETRPDYCTQTHLDDMLKYGCTRLEIGVQSLYEDVARDTNRGHTVRSV CETFAVSKDAGYKVVSHMMPDLPNVGMERDIEQFKEYFENPDFRTDGLKIYPTLVIRG TGLYELWKTGRYKSYSANALVDLVARILALVPPWTRIYRVQRDIPMPLVTSGVDNGNL RELALARMKDLGTTCRDVRTREVGIQEVHHKVQPDQVELIRRDYYANGGWETFLSYED PKKDILIGLLRLRKASKKYTYRKEFTSQRTSIVRELHVYGSVVPLHSRDPRKFQHQGF GTLLMEEAERIAKEEHGSEKISVISGVGVRNYYGKLGYELDGPYMSKRI YPL085W MTPEAKKRKNQKKKLKQKQKKAAEKAASHSEEPLELPESTINSS FNDDSVNRTESDIASKSDVPPVSSSTNISPANETQLEIPDTQELHHKLLNDSDQHDIT ADSNDLPDNSIVEHDSVITQTKPAMSQEYEETAAHLSSRNPSLDVVAGELHNNNEHTQ KIAVSAVEEDSFNEEEGENHDSIIISSLNDATPSQYNHFLPSDGNLLSPELSSGDTPT HNVPLGTKDNEINDDEYCNDKEISLNANNVLPDELSKEEDERLKLETHVSTEEKKQDI ADQETAENLFTSSTEPSENKIRNSGDDTSMLFQDDESDQKVPWEEDVKKDFHNENTNN TQESAPNTDDRDKGYEGNEALKKSESCTAADERSYSEETSEDIFHGHDKQVVEGQNDF TGKNIENESQKLMGEGNHKLPLSAEADIIEPGKDIQDQAEDLFTQSSGDLGEVLPWES TDKNADVTSKSQEKHEDLFAASGNDEKLPWEVSDGEVSSGKTENSMQTSTEKIAEQKF SFLENDDDLLDDDDSFLASSEEEDTVPNTDNTTNLTSKPVEEKKASRYKPIIEEEAGM RQEQVHFTNTTGIVTPQQFHGLTKTGLGTPNQQVSVPNIVSPKPPVVKDNRSNFKINE EKKKSDAYDFPLEIISESSKKGHAKPVAVPTQRFGSGNSFSSLDKPIPQSRKGSNNSN RPPVIPLGTQEPRSSRTNSAISQSPVNYAFPNPYKIQQLQQAPIQSGMPLPNTNIPPP ALKVETTVSAPPIRARGVSNASVGSSASFGARHATQYGLNNGVPPVSPYGQATINLPT ANKYAPVSPTVQQKQYPSVVQNLGASAVNTPNFVKTHRGHTSSISSYTPNQNEHASRY APNYQQSYQVPYTSQPVGPVAGNSSYQSQTRSSYAVPMMPQAQTSASIQPHANIQPPT GILPLAPLRPLDPLQAATNLQPRASNITAANSLPLANLPLAENILPEIITHRATSSVA PPRQENNPIKIDNEALLRRQFPIFHWSAANKVVYAVPPIPDQSQYMISSSIVQEIKVT PIDQIIKPNDMLKSFPGPLGSAKLKKKDLTKWMETTIKSISENESSTDMTIWQLLEMK LNDKVNWKNISKLLYNSDELLMYLSQPFPNGDMIPNAYRLDINCQMRVLAFLQTGNHD EALRLALSKRDYAIALLVGSLMGKDRWSEVIQKYLYEGFTAGPNDQKELAHFLLLIFQ VFVGNSKMAIKSFYTNNETSQWASENWKSIVAAVLINIPENNEDPLLIPPVVLEFLIE FGIFLTKKGLTAAASTLFIIGNVPLSNEPVMADSDVIFESIGNMNTFESILWDEIYEY IFSYDPKFKGFSSILPQKIYHASLLQEQGLNSLGTKYTDYLSSSVRKLPKKDILTINL TRELSEVASRLSESNTGWLAKPKLSSVWGQLDKSFNKYIGGDDIDALNKKNDKKKVFD GFTPGSSANSSTVDLTQTFTPFQAQVTSQSYVDTTALLHNAHNVPSHSVLHSKPSNVS KGLVEANLPYTHRIGDSLQGSPQRIHNTQFAAAEPQMASLRRVRTDQHTNEKALKSQQ ILEKKSTAYTPQFGQNHSVPMEKSNSNVPSLFADFPAPPKLGTVPSNYVSSPDLVRRE SIISTGSEFLPPPKIGVPTKANSSQGSLMYSPSVEALPIDPVVPQVHETGYNDFGNKH SQKSMPEDESHTSHDNSNADQNTLKDSADVTDETMDIEGPGFNDVKNLLPMEPNHQPT STVNPIQTISDDIQPILQTNVEVRGTDASKMENSLPSIENERSSEEQPENISKSASSA YLPSTGGLSLENRPLTQDENSISETVQSTYLPAGSISMEAKPISQVQDVPRNVNNKAS KLVEQHMAPPKPKSTDATKMNYSPYVPQSTAASADGDESTILKTSPAIYARTHQAHAS NPSQYFPLVNQANETASFELSESTSQAQSNGNVASENRFSPIKKAEVVEKDTFQPTIR KASTNQYRAFKPLESDADKYNDVIEDESDDDNMSTDEAKNRKEEKKNVNMKKETKPSN KDIDDKSNGWFGWLKKDTGDKKVYKAKLGHKNTLYYDEKLKRWVNKDATEEEKQKIIE SSAPPPPPIVKRKDGGPKTKPRSGPINNSLPPVHATSVIPNNPITGEPLPIKTSPSPT GPNPNNSPSPSSPISRISGVNLTSKKANGLDDLLSLAGGPKPASTRRKKKTARGYVNV MDNIQ YPL084W MKPYLFDLKLKDTEKLDWKKGLSSYLKKSYGSSQWRTFYDEKAT SELDHLRNNANGELAPSSLSEQNLKYYSFLEHLYFRLGSKGSRLKMDFTWYDAEYSSA QKGLKYTQHTLAFEKSCTLFNIAVIFTQIARENINEDYKNSIANLTKAFSCFEYLSEN FLNSPSVDLQSENTRFLANICHAEAQELFVLKLLNDQISSKQYTLISKLSRATCNLFQ KCHDFMKEIDDDVAIYGEPKWKTTVTCKLHFYKSLSAYYHGLHLEEENRVGEAIAFLD FSMQQLISSLPFKTWLVEFIDFDGFKETLEKKQKELIKDNDFIYHESVPAVVQVDSIK ALDAIKSPTWEKILEPYMQDVANKCDSLYRGIIPLDVYEKESIYSEEKATLLRKQVEE TETANLEYSSFIEFTNLPRLLSDLEKQFSDGNIFSNTDTQGQLMRDQIQTWCKFIQTN EFRDIEEQMNKIVFKRKQILEILSALPNDQKENVTKLKSSLVAASNSDEKLFACVKPH IVEINLLNDNGKIWKKFDEFNRNTPPQPSLLDIDDTKNDKILELLKQVKGHAEDLRTL KEERSRNLSELRDEINNDDITKLLIINKGKSDVELKDLFEVELEKFEPLSTRIEATIY KQSSMIDDIKAKLDEIFHLSNFKDKSSGEEKFLEDRKNFFDKLQEAVKSFSIFASDLP KGIEFYDSLFNMSRDLAERVRVAKQTEDSTANSPAPPLPPLDSKASVVGGPPLLPQKS AAFQSLSRQGLNLGDQFQNLKISAGSDLPQGPGIPPRTYEASPYAATPTMAAPPVPPK QSQEDMYDLRRRKAVENEERELQENPTSFYNRPSVFDENMYSKYSS YPL083C MQFAGKKTDQVTTSNPGFEEEEEEEEELQQDWSQLASLVSKNAA LSLPKRGEKDYEPDGTNLQDLLLYNASKAMFDTISDSIRGTTVKSEVRGYYVPHKHQA VLLKPKGSFMQTMGRADSTGELWLDFHEFVYLAERGTILPYYRLEAGSNKSSKHETEI LLSMEDLYSLFSSQQEMDQYFVFAHLKRLGFILKPSNQEAAVKTSFFPLKKQRSNLQA ITWRLLSLFKIQELSLFSGFFYSKWNFFFRKYTTSPQLYQGLNRLVRSVAVPKNKKEL LDAQSDREFQKVKDIPLTFKVWKPHSNFKKRDPGLPDFQVFVYNKNDDLQHFPTYKEL RSMFSSLDYKFEFLSEIEDDDDWETNSYVEDIPRKEYIHKRSAKSQTEKSESSMKASF QKKTAQSSTKKKRKAYPPHIQQNRRLKTGYRSFIIAIMDNGLISFVKMSEADFGSESV WYTPNTQKKVDQRWKKH YPL082C MTSRVSRLDRQVILIETGSTQVVRNMAADQMGDLAKQHPEDILS LLSRVYPFLLVKKWETRVTAARAVGGIVAHAPSWDPNESDLVGGTNEGSPLDNAQVKL EHEMKIKLEEATQNNQLNLLQEDHHLSSLSDWKLNEILKSGKVLLASSMNDYNVLGKA DDNIRKQAKTDDIKQETSMLNASDKANENKSNANKKSARMLAMARRKKKMSAKNTPKH PVDITESSVSKTLLNGKNMTNSAASLATSPTSNQLNPKLEITEQADESKLMIESTVRP LLEQHEIVAGLVWQFQGIYELLLDNLMSENWEIRHGAALGLRELVKKHAYGVSRVKGN TREENNLRNSRSLEDLASRLLTVFALDRFGDYVYDTVVAPVRESVAQTLAALLIHLDS TLSIKIFNCLEQLVLQDPLQTGLPNKIWEATHGGLLGIRYFVSIKTNFLFAHGLLENV VRIVLYGLNQSDDDVQSVAASILTPITSEFVKLNNSTIEILVTTIWSLLARLDDDISS SVGSIMDLLAKLCDHQEVLDILKNKALEHPSEWSFKSLVPKLYPFLRHSISSVRRAVL NLLIAFLSIKDDSTKNWLNGKVFRLVFQNILLEQNPELLQLSFDVYVALLEHYKVKHT EKTLDHVFSKHLQPILHLLNTPVGEKGKNYAMESQYILKPSQHYQLHPEKKRSISETT TDSDIPIPKNNEHINIDAPMIAGDITLLGLDVILNTRIMGAKAFALTLSMFQDSTLQS FFTNVLVRCLELPFSTPRMLAGIIVSQFCSSWLQKHPEGEKLPSFVSEIFSPVMNKQL LNRDEFPVFRELVPSLKALRTQCQSLLATFVDVGMLPQYKLPNVAIVVQGETEAGPHA FGVETAEKVYGEYYDKMFKSMNNSYKLLAKKPLEDSKHRVLMAINSAKESAKLRTGSI LANYASSILLFDGLPLKLNPIIRSLMDSVKEERNEKLQTMAGESVVHLIQQLLENNKV NVSGKIVKNLCGFLCVDTSEVPDFSVNAEYKEKILTLIKESNSIAAQDDINLAKMSEE AQLKRKGGLITLKILFEVLGPSILQKLPQLRSILFDSLSDHENEEASKVDNEQGQKIV DSFGVLRALFPFMSDSLRSSEVFTRFPVLLTFLRSNLSVFRYSAARTFADLAKISSVE VMAYTIREILPLMNSAGSLSDRQGSTELIYHLSLSMETDVLPYVIFLIVPLLGRMSDS NEDVRNLATTTFASIIKLVPLEAGIADPKGLPEELVASRERERDFIQQMMDPSKAKPF KLPIAIKATLRKYQQDGVNWLAFLNKYHLHGILCDDMGLGKTLQTICIIASDQYLRKE DYEKTRSVESRALPSLIICPPSLTGHWENEFDQYAPFLKVVVYAGGPTVRLTLRPQLS DADIIVTSYDVARNDLAVLNKTEYNYCVLDEGHIIKNSQSKLAKAVKEITANHRLILT GTPIQNNVLELWSLFDFLMPGFLGTEKMFQERFAKPIAASRNSKTSSKEQEAGVLALE ALHKQVLPFMLRRLKEDVLSDLPPKIIQDYYCELGDLQKQLYMDFTKKQKNVVEKDIE NSEIADGKQHIFQALQYMRKLCNHPALVLSPNHPQLAQVQDYLKQTGLDLHDIINAPK LSALRTLLFECGIGEEDIDKKASQDQNFPIQNVISQHRALIFCQLKDMLDMVENDLFK KYMPSVTYMRLDGSIDPRDRQKVVRKFNEDPSIDCLLLTTKVGGLGLNLTGADTVIFV EHDWNPMNDLQAMDRAHRIGQKKVVNVYRIITKGTLEEKIMGLQKFKMNIASTVVNQQ NSGLASMDTHQLLDLFDPDNVTSQDNEEKNNGDSQAAKGMEDIANETGLTGKAKEALG ELKELWDPSQYEEEYNLDTFIKTLR YPL081W MPRAPRTYSKTYSTPKRPYESSRLDAELKLAGEFGLKNKKEIYR ISFQLSKIRRAARDLLTRDEKDPKRLFEGNALIRRLVRVGVLSEDKKKLDYVLALKVE DFLERRLQTQVYKLGLAKSVHHARVLITQRHIAVGKQIVNIPSFMVRLDSEKHIDFAP TSPFGGARPGRVARRNAARKAEASGEAADEADEADEE YPL080C MDGSYLTHRYCALKRPYTAHLLLLDFSKDEVTKNVWRWKGTDVK LHKMGRKSIVAAVYNQAHPSHPPLYHLGNLNGDKYVASPPSRMKAVSLSFAFTFLYYY FPLPTN YPL079W MGKSHGYRSRTRYMFQRDFRKHGAVHMSTYLKIYKVGDIVDIKA NGSIQKGMPHKFYQGKTGVVYNVTKSSVGVIINKMVGNRYLEKRLNLRVEHIKHSKCR QEFLERVKANAAKRAEAKAQGVAVQLKRQPAQPRESRIVSTEGNVPQTLAPVPYETFI YPL078C MSMSMGVRGLALRSVSKTLFSQGVRCPSMVIGARYMSSTPEKQT DPKAKANSIINAIPGNNILTKTGVLGTSAAAVIYAISNELYVINDESILLLTFLGFTG LVAKYLAPAYKDFADARMKKVSDVLNASRNKHVEAVKDRIDSVSQLQNVAETTKVLFD VSKETVELESEAFELKQKVELAHEAKAVLDSWVRYEASLRQLEQRQLAKSVISRVQSE LGNPKFQEKVLQQSISEIEQLLSKLK YPL077C MKDLQKKSSVRRQITNEDDERYGEDSIHDLPRTIPNVNPYIRNS GFRPSYSSQIPSTRSLFNNYYNRSSANTVGNDTIDTDSVSYNGVAKFRRNSVDIPLQT HNRLEVRPIIDRQDYLWREIDALDDVKRQAQATELYDQFPPGFENKLMQLRQAHSKLL QVLRDRNAKIEEEQRREVAVATAAAMMTRTPSPTGKSVGDEATSNNMHSSSAIRNPNG PTVDPEEGKYIQELVNTIRELQ YPL076W MTRSPWKRLLWLKQEYPDNYTDPSFIELRARQKAESNQKSDRKL SEAARAQIRLDFISFYQTILNTSFIYITFTYIYYYGFDPIPPTIFLSFITLIISRTKV DPLLSSFMDVKSSLIITFAMLTLSPVLKSLSKTTASDSIWTLSFWLTLWYIFVISSTK SKDKPSNLSTNILVALVAVLSSRLSTTIDVFCFLLICIQLNIILPTYLSVTNKVVPII SNIIVYSFLNVALGWIYMLLIFFASVFYITVLPKWFIYWKINYHKRDNDLLSTWDART PILD YPL075W MVCTSTSSNFYSIAQYILQSYFKVNVDSLNSLKLVDLIVDQTYP DSLTLRKLNEGATGQPYDYFNTVSRDADISKCPIFALTIFFVIRWSHPNPPISIENFT TVPLLDSNFISLNSNPLLYIQNQNPNSNSSVKVSRSQTFEPSKELIDLVFPWLSYLKQ DMLLIDRTNYKLYSLCELFEFMGRVAIQDLRYLSQHPLLLPNIVTFISKFIPELFQNE EFKGIGSIKNSNNNALNNVTGIETQFLNPSTEEVSQKVDSYFMELSKKLTTENIRLSQ EITQLKADMNSVGNVCNQILLLQRQLLSGNQAIGSKSENIVSSTGGGILILDKNSINS NVLSNLVQSIDPNHSKPNGQAQTHQRGPKGQSHAQVQSTNSPALAPINMFPSLSNSIQ PMLGTLAPQPQDIVQKRKLPLPGSIASAATGSPFSPSPVGESPYSKRFKLDDKPTPSQ TALDSLLTKSISSPRLPLSTLANTAVTESFRSPQQFQHSPDFVVGGSSSSTTENNSKK VNEDSPSSSSKLAERPRLPNNDSTTSMPESPTEVAGDDVDREKPPESSKSEPNDNSPE SKDPEKNGKNSNPLGTDADKPVPISNIHNSTEAANSSGTVTKTAPSFPQSSSKFEIIN KKDTKAGPNEAIKYKLSRENKTIWDLYAEWYIGLNGKSSIKKLIENYGWRRWKVSEDS HFFPTRRIIMDYIETECDRGIKLGRFTNPQQPREDIRKILVGDLEKFRINNGLTLNSL SLYFRNLTKNNKEICIFENFKNWNVRSMTEEEKLKYCKRRHNTPS YPL074W MAHEKFSIPENFTLAQSLQLLYSVVKNQYKNLADLIINSKGNKD TVTYGKIHKNLDTLLVYVNEGLRKIEKTYTLKKGLGNLVVDHPELRSIIEDFQILGQD IRIARRKAETLMAEGNGSPSLSSSSSVLGLGTGNGLRFPKLWRMGSKRDKLKEADEKE AKINKQADNIRRARKLEEEKKLGAKLQYERDLELQREKLIELKVKEKVEFEVAQKLEE ERVKREEEERKHREQAEKKRISTLKHERKTNYKSRASLDNFSSSSKSSGKIDNSLIKR RSLDVVRTSGERVRTPVRKSMEAAEIGMAAQLAWSQYQNGANHSKVSNNGSHSNELQI RYKPTPPLKKRYDYKKPTVNRPIIKSPTLNRQNSKSSRNIPTNSKLKASKSNTNKVSR RNEQNLEPSSPVLVSATAVPAESKPMRSKSGTPDKESSASSSLDSRKEDILKSVQGVD RNACEQILNEILVTDEKVYWEDIAGLRNAKNSLKEAVVYPFLRPDLFKGLREPVRGML LFGPPGTGKTMIAKAVATESNSTFFSVSASSLLSKYLGESEKLVRALFYMAKKLSPSI IFIDEIDSMLTARSDNENESSRRIKTELLIQWSSLSSATAQSEDRNNTLDSRVLVLGA TNLPWAIDDAARRRFSRKLYIPLPDYETRLYHLKRLMAKQKNSLQDLDYELITEMTEG FSGSDLTSLAKEAAMEPIRDLGDKLMFADFDKIRGIEIKDFQNALLTIKKSVSSESLQ KYEEWSSKFGSNGS YPL072W MSWIKNVTESPTSLIKKVSCGLIIAASLYAIAPSLSALVFGDSK QSIGKYTTVGLINRGNDCFITSSLQGLAGIPRFVEYLKRIRTVLLELETKLSNNAKGD NPTVDNTTRHSRLENSSNSLAPLHESLTSLILDLISVKDRKTSISPKIVINTLESIFK SKISSKQNDAHEFTLILLQTLQEERSKLIDYSKQICNLNIPKFPFEGETSKFLVCLKC KGLSEPSYKQTFIRELSVPQQTSENLSNILAHDETEIIDDYSCLICQIRAILNHEEYR NFKDCTPDEILMLDRLKNYATKAPINENLPFEVEQYVKRYSKGNLQVSNIKGKVIKKD VVVQLPDILIVHLSRSTFNGITYSRNPCNVKFGERITLSEYTLAESGTITENRQVKYN LKSVVKHTGSHSSGHYMCYRRKTEIRFGKEDESSFRRAPVVNNEVNKNREQNVAHNDY KKSRYKKVKNALRYPYWQISDTAIKESTASTVLNEQKYAYMLYYERVNK YPL071C MSSRFARSNGNPNHIRKRNHSPDPIGIDNYKRKRLIIDLENLSL NDKGPKNGHADDNNLIHNNIVFTDAIDDKVLKEIIKCSTSKRGDNDLFYDKIWERLRE KRLQIIKWVDYKEIAYLSWWKWFHNQMTSKYTYDGEADTDVEMMAVDTDVDMDA YPL070W MARQLFTPPITNPRFDPNQSIRESYKNTTGGMQFQQNLHEDQND NERSSCDGDENSTTGERLENNKSPILTKQEIDEALNTVTNLPPELSKLIDIFIDDLKQ PKYVRPLSVLQLSSLFQSFYIKFDKASFQHVSSANNNGYYFSGGGSSSFLAAKETLSS GLSGIFGRSRSSSGNSLMRPRRSSSLFSNESISNSTNATQMLSPEEIKKQLKINELNN MKIEKYMELCERDVFKKILIVGTSVSSPNKMKTFKPHQLQTFKVGNLFRNSVEFTEYN KLLNEKILCLSKLSTMNKINLIKFLSLNNGIDPEPKFEEIKDILYEFTYHSISPCEKI KALLKLHEIMTYSQEMSNDDYLSLLIYYIITIVPRDIFLNAEFIRLFRYKKKLVETES FALTNLEAALVFVEGLTKNDFSNELQDKLTVNESKILENSISSRVSLPSKTAIMHKNN GNNGSNLGDIVTPTIQRPDVTRSNSYDGFRTVFDSSLKNIIGKIRSYTPPHPNNTSNN NLHSSNNLNIPRSSSQLSMELSNRDTTEMSRDGSRSTSSSSRSSASLEHGNREFTGDL TVTASINGADKKEFQKSWKKYKGYKFEDLTICELRDLFEIYQKMMQ YPL069C MEAKIDELINNDPVWSSQNESLISKPYNHILLKPGKNFRLNLIV QINRVMNLPKDQLAIVSQIVELLHNSSLLIDDIEDNAPLRRGQTTSHLIFGVPSTINT ANYMYFRAMQLVSQLTTKEPLYHNLITIFNEELINLHRGQGLDIYWRDFLPEIIPTQE MYLNMVMNKTGGLFRLTLRLMEALSPSSHHGHSLVPFINLLGIIYQIRDDYLNLKDFQ MSSEKGFAEDITEGKLSFPIVHALNFTKTKGQTEQHNEILRILLLRTSDKDIKLKLIQ ILEFDTNSLAYTKNFINQLVNMIKNDNENKYLPDLASHSDTATNLHDELLYIIDHLSE L YPL068C MHMQLRKRKRVDYSGRNQTSDPPSTTTAAVPSIIVPKKRKVVAQ NMVSPAIRATTTTLGTSNIIIPKPLQRPKFHNSASLSSPDDDPEKISVLEVQKNLSNL IKRQQRLFYKDIHKPTLAGLKNFEMLRLPNDLKLLQNIVNLLYSFEQLNSDSKTRPVT TSKLKASSQAHSDKLKKMLAERKPPFSHPSHSGTAYHNDIIHEIANLHSINLVDLINL EVYNNNCHTNNTALQTTANSLTLNSIIKKLDKPILKERNNSLVWPHKSRFKAKRNQPS PGQSLINNTDITLYNDV YPL067C MQQDIVNDHQEEAQGWKWEQIKEIIESGELARLKRSRQMTDKYH EHKKRTAGLDMNQYVLQKLGWSLDEPQLENAAAKAFSSSTLYAVRANDFPYNFEPGVV HLVLWSKVALPVHSPDKAVREAARARMNAFLQAQPLLRPLLSSGHVAWFVNYPELQSV ARIFHAHVLLFFPRERYSAEQVKTTVDDILSHGFEPLA YPL066W MTHPVISLKPSYNSVIRGCPGLPDTLPRIECQLRVRSNNSLPFK LVKIEIVLKTIEIYFNKNLYSSNNSSFTPFNRPSDPSNGHSDTSNQNISIHYKKNIVL SHPTHDGDDLNNDLIGIDIPLTIGLPDDIKETNYNPKFGKTQTFLDCTVFYTEVGGGS SNKKRNFLYPVNVERYTYLPSPSYFRPINRSNITSPDQKFLISYSIENPCVSMNNDTL KLSISIRLNPFPNNATTPSSNDFDVSTPTLFSTKKKFKSKLKLKSITTQILEYLEILK NQSEFSSTQTTNILQTSVRQVDQIISMNSMIFQFNLKIFTKDKILQSFRSSESSCPET KVLINKIDDIPLQYHSSITTIGQHFNVSHYLSIRFKFNKSLKNFEINHPLIISFWSVS QLPLIENLILQERQTAKFAKKFYKNFGRIKNTSNNNNSSNCLEYPSLPPIIYNFNDPE TNNRFNILYSQKDPSRTDPSKLRRVPVIQ YPL065W MQKHNIKLNQNQDISQLFHDEVPLFDNSITSKDKEVIETLSEIY SIVITLDHVEKAYLKDSIDDTQYTNTVDKLLKQFKVYLNSQNKEEINKHFQSIEAFCD TYNITASNAITRLERGIPITAEHAISTTTSAPSGDNKQSSSSDKKFNAKYVAEATGNF ITVMDALKLNYNAKDQLHPLLAELLISINRVTRDDFENRSKLIDWIVRINKLSIGDTL TETQIRELLFDLELAYKSFYALLD YPL064C MSSNIEPQTTAKCILYTTKGNIAIELWAKECPETCKRFLSMLSD GTFTNGEFKELKPTQWLMFNANSTGEYRTVAEEKNPRIRFNRDGLLGWDRRRNTWFIT VLADSKHVLNDCNVFGKIVGKSIYIFREILGGEIEASSRDNDVKRFMYPAVLKDVEIT IPFFEDIFGSKRRLEDNEKKEQEPAKKLVKSAKVKMVYEDEQEDDDGDVQKLKPRKRM ILPAWIKDDSRSEGIKLDASLDQPQEALIREKTELHDNVDEATTKETESQENIKEEPM DKRERETLAMLSKFQERIKNKNILK YPL063W MLSILRNSVRLNSRALRVVPSAANTLTSVQASRRLLTSYSSFLQ KETKDDKPKSILTDDMLFKAGVDVDEKGQGKNEETSGEGGEDKNEPSSKSEKSRRKRQ TSTDIKREKYANWFYIFSLSALTGTAIYMARDWEPQESEELKKDIDNGYTLSLMYKRF KARFNSMFTYFQEPPFPDLLPPPPPPPYQRPLTLVITLEDFLVHSEWSQKHGWRTAKR PGADYFLGYLSQYYEIVLFSSNYMMYSDKIAEKLDPIHAFVSYNLFKEHCVYKDGVHI KDLSKLNRDLSKVIIIDTDPNSYKLQPENAIPMEPWNGEADDKLVRLIPFLEYLATQQ TKDVRPILNSFEDKKNLAEEFDHRVKKLKDKFYGDHKSGGNWAMTALGLGNSLGGSTK FPLDLIHEEGQKNYLMFMKMIEEEKEKIRIQQEQMGGQTFTLKDYVEGNLPSPEEQMK IQLEKQKEVDALFEEEKKKKKIAESK YPL062W MIELDYVKGEDTIVEATSTSPWLMRSPLARAAEKRGSGLFFDIN EGHGQHRDVIVAYGVSKPKRRSPHPHGNKAADKRKTTEKEPERKKRVGAPRTCKKICI QLLYRFNFTVLGIGNVCNIDLLLGTVSATNAI YPL061W MTKLHFDTAEPVKITLPNGLTYEQPTGLFINNKFMKAQDGKTYP VEDPSTENTVCEVSSATTEDVEYAIECADRAFHDTEWATQDPRERGRLLSKLADELES QIDLVSSIEALDNGKTLALARGDVTIAINCLRDAAAYADKVNGRTINTGDGYMNFTTL EPIGVCGQIIPWNFPIMMLAWKIAPALAMGNVCILKPAAVTPLNALYFASLCKKVGIP AGVVNIVPGPGRTVGAALTNDPRIRKLAFTGSTEVGKSVAVDSSESNLKKITLELGGK SAHLVFDDANIKKTLPNLVNGIFKNAGQICSSGSRIYVQEGIYDELLAAFKAYLETEI KVGNPFDKANFQGAITNRQQFDTIMNYIDIGKKEGAKILTGGEKVGDKGYFIRPTVFY DVNEDMRIVKEEIFGPVVTVAKFKTLEEGVEMANSSEFGLGSGIETESLSTGLKVAKM LKAGTVWINTYNDFDSRVPFGGVKQSGYGREMGEEVYHAYTEVKAVRIKL YPL060W MRAFPRVLPFRHQRSYNNILLRTVRLFGSSLSSFDFSRQMPKVD PDNTAAMLLQKNLIQRNNMLYGYGSGTIRCTLLDSTGRAKSPLVEIKREDLVSKHGLL PRDLRKIEKSRKNDLVPSLLVRENSILISLLTVKALIKPDMVIIFDSAGSGITLNSEA HKDFINDMKLRLKNQETSELNSDPLPYEFRALETIFISALSNLTSEMKVLLTICKGVL QDLEFSITRDKLRFLLGQNKKLSSFNKKAVLVKDMLDDLLEQDDMLCDMYLTDKKAGK IRVQDDHTEIEMLLETYHNYVDEIVQKSESAISDVKTTEEIINIILDSNRNELMLLGI RYAIGMLSLGGALFLGSIYGMNLESFIEESNYAYLTVTILGLISTVWLYAKGIRHLHK LQRMTLLSKIKTDSVHELLKK YPL060C-A MATPVRDETRNVIDDNISARIQSKVKTNDTVRQTPSSLRKVSIK DEQVKQYQRNLNRFKTILNGLKAEEEKLSETDDIQMLAEKLLKLGETIDKVENRIVDL VEKIQLLETNENNNILHEHIDATGTYYLFDTLTSTNKRFYPKDCVFDYRTNNVENIPI LLNNFKKFIKKYQFDDVFENDIIEIDPRENEILCKIIKEGLGESLDIMNTNTTDIFRI IDGLKNKYRSLHGRDVRIRAWEKVLVDTTCRNSALLMNKLQKLVLMEKWIFSKCCQDC PNLKDYLQEAIMGTLHESLRNSVKQRLYNIPHNVGINHEEFLINTVIETVIDLSPIAD DQIENSCMYCKSVFHCSINCKKKPNRELRPDSTNFSKTYYLQGAQRQQQLKSSAKEQK SWNKTQKKSNKVYNSKKLVIIDTGSGVNITNDKTLLHNYEDSNRSTRFFGIGKNSSVS VKGYGYIKIKNGHNNTDNKCLLTYYVPEEESTIISCYDLAKKTKMVLSRKYTRLGNKI IKIKTKIVNGVIHVKMNELIERPSDDSKINAIKPTSSPGFKLNKRSITLEDAHKRMGH TGIQQIENSIKHNHYEESLDLIKEPNEFWCQTCKISKATKRNHYTGSMNNHSTDHEPG SSWCMDIFGPVSSSNADTKRYMLIMVDNNTRYCMTSTHFNKNAETILAQIRKNIQYVE TQFDRKVREINSDRGTEFTNDQIEEYFISKGIHHILTSTQDHAANGRAERYIRTIVTD ATTLLRQSNLRVKFWEYAVTSATNIRNCLEHKSTGKLPLKAISRQPVTVRLMSFLPFG EKGIIWNHNHKKLKPSGLPSIILCKDPNSYGYKFFIPSKNKIVTSDNYTIPNYTMDGR VRNTQNIYKSHQFSSHNDNEEDQIETVTNLCEALENYEDDNKPITRLEDLFTEEELSQ IDSNAKYPSPSNNLEGDLDYVFSDVEESGDYDVESELSTTNTSISTDKNKILSNKDFN SELASTEISISEIDKKGLINTSHIDEDKYDEKVHRIPSIIQEKLVGSKNTIKINDENR ISDRIRSKNIGSILNTGLSRCVDITDESITNKDESMHNAKPELIQEQFNKTNHETSFP KEGSIGTKCKIPKYRQWISLKTGDTSLPIKTLESINNHHSNDYSTNKVEKFEKENHHP PPIEDIVDMSDQTDMESNCQDGNNLKELKVTDKNVPTDNGTNVSPRLEQNIEASGSPV QTVNKSAFLNKEFSSLNMKRKRKRHDKNNSLTSYELERDKKRSKRNRVKLIPDNMETV SAQKIRAIYYNEAISKNPDLKEKHEYKQAYHKELQNLKDMKVFDVDVKYSRSEIPDNL IVPTNTIFTKKRNGIYKARIVCRGDTQSPDTYSVITTESLNHNHIKIFLMIANNRNMF MKTLDINHAFLYAKLEEEIYIPHPHDRRCVVKLNKALYGLKQSPKEWNDHLRQYLNGI GLKDNSYTPGLYQTEDKNLMIAVYVDDCVIAASNEQRLDEFINKLKSNFELKITGTLI DDVLDTDILGMDLVYNKRLGTIDLTLKSFINRMDKKYNEELKKIRKSSIPHMSTYKID PKKDVLQMSEEEFRQGVLKLQQLLGELNYVRHKCRYDINFAVKKVARLVNYPHERVFY MIYKIIQYLVRYKDIGIHYDRDCNKDKKVIAITDASVGSEYDAQSRIGVILWYGMNIF NVYSNKSTNRCVSSTEAELHAIYEGYADSETLKVTLKELGEGDNNDIVMITDSKPAIQ GLNRSYQQPKEKFTWIKTEIIKEKIKEKSIKLLKITGKGNIADLLTKPVSASDFKRFI QVLKNKITSQDILASTDY YPL059W MFLPKFNPIRSFSPILRAKTLLRYQNRMYLSTEIRKAIEDAIES APVVLFMKGTPEFPKCGFSRATIGLLGNQGVDPAKFAAYNVLEDPELREGIKEFSEWP TIPQLYVNKEFIGGCDVITSMARSGELADLLEEAQALVPEEEEETKDR YPL058C MSSTDEHIEKDISSRSNHDDDYANSVQSYAASEGQVDNEDLAAT SQLSRHLSNILSNEEGIERLESMARVISHKTKKEMDSFEINDLDFDLRSLLHYLRSRQ LEQGIEPGDSGIAFKNLTAVGVDASAAYGPSVEEMFRNIASIPAHLISKFTKKSDVPL RNIIQNCTGVVESGEMLFVVGRPGAGCSTFLKCLSGETSELVDVQGEFSYDGLDQSEM MSKYKGYVIYCPELDFHFPKITVKETIDFALKCKTPRVRIDKMTRKQYVDNIRDMWCT VFGLRHTYATKVGNDFVRGVSGGERKRVSLVEAQAMNASIYSWDNATRGLDASTALEF AQAIRTATNMVNNSAIVAIYQAGENIYELFDKTTVLYNGRQIYFGPADKAVGYFQRMG WVKPNRMTSAEFLTSVTVDFENRTLDIKPGYEDKVPKSSSEFEEYWLNSEDYQELLRT YDDYQSRHPVNETRDRLDVAKKQRLQQGQRENSQYVVNYWTQVYYCMIRGFQRVKGDS TYTKVYLSSFLIKALIIGSMFHKIDDKSQSTTAGAYSRGGMLFYVLLFASVTSLAEIG NSFSSRPVIVKHKSYSMYHLSAESLQEIITEFPTKFVAIVILCLITYWIPFMKYEAGA FFQYILYLLTVQQCTSFIFKFVATMSKSGVDAHAVGGLWVLMLCVYAGFVLPIGEMHH WIRWLHFINPLTYAFESLVSTEFHHREMLCSALVPSGPGYEGISIANQVCDAAGAVKG NLYVSGDSYILHQYHFAYKHAWRNWGVNIVWTFGYIVFNVILSEYLKPVEGGGDLLLY KRGHMPELGTENADARTASREEMMEALNGPNVDLEKVIAEKDVFTWNHLDYTIPYDGA TRKLLSDVFGYVKPGKMTALMGESGAGKTTLLNVLAQRINMGVITGDMLVNAKPLPAS FNRSCGYVAQADNHMAELSVRESLRFAAELRQQSSVPLEEKYEYVEKIITLLGMQNYA EALVGKTGRGLNVEQRKKLSIGVELVAKPSLLLFLDEPTSGLDSQSAWSIVQFMRALA DSGQSILCTIHQPSATLFEQFDRLLLLKKGGKMVYFGDIGPNSETLLKYFERQSGMKC GVSENPAEYILNCIGAGATASVNSDWHDLWLASPECAAARAEVEELHRTLPGRAVNDD PELATRFAASYMTQIKCVLRRTALQFWRSPVYIRAKFFECVACALFVGLSYVGVNHSV GGAIEAFSSIFMLLLIALAMINQLHVFAYDSRELYEVREAASNTFHWSVLLLCHAAVE NFWSTLCQFMCFICYYWPAQFSGRASHAGFFFFFYVLIFPLYFVTYGLWILYMSPDVP SASMINSNLFAAMLLFCGILQPREKMPAFWRRLMYNVSPFTYVVQALVTPLVHNKKVV CNPHEYNIMDPPSGKTCGEFLSTYMDNNTGYLVNPTATENCQYCPYTVQDQVVAKYNV KWDHRWRNFGFMWAYICFNIAAMLICYYVVRVKVWSLKSVLNFKKWFNGPRKERHEKD TNIFQTVPGDENKITKK YPL057C MRKELKYLICFNILLLLSIIYYTFDLLTLCIDDTVKDAILEEDL NPDAPPKPQLIPKIIHQTYKTEDIPEHWKEGRQKCLDLHPDYKYILWTDEMAYEFIKE EYPWFLDTFENYKYPIERADAIRYFILSHYGGVYIDLDDGCERKLDPLLAFPAFLRKT SPLGVSNDVMGSVPRHPFFLKALKSLKHYDKYWFIPYMTIMGSTGPLFLSVIWKQYKR WRIPKNGTVRILQPAYYKMHSYSFFSITKGSSWHLDDAKLMKALENHILSCVVTGFIF GFFILYGEFTFYCWLCSKNFSNLTKNWKLNAIKVRFVTILNSLGLRLKLSKSTSDTAS ATLLARQQKRLRKDSNTNIVLLKSSRKSDVYDLEKNDSSKYSLGNNSS YPL056C MKNAALCEALPLLATCSHEIPPTPHTVCFVFPPALLLSPSKLTL LNSRRVASRCVIIIDPRLLRLFSCSRPQQLPRDKNQSFAKPSFSFFFFLLTSLLSPF YPL055C MSGYTGNNYSRYSSTPPRQRGGYHHARRSRGGAGGSYYRGGNAS YGARYNSDYEQPPQEGDLRQTGAYYRNGYTDTRPYYSANSRHYQAQPSPRYNNGTNSY HLPQRGNSQDTNGRTTSASQEDNDEKRVKSRYRNMQADHPRQQPMSVGSTSSRNGSSG NSSTSSTSNGLPPPPSVSSITNNRSYHSSAYPYSSSHTYNNYHHRETPPPPPSNGYYA KGYPVHVPENRSNSDGSSSSVVKKKRILDMKDSPFIYLTDFDKNVKKTNNTESECEKA REVFKESDSIDSALEELNLKINSNELELRLLNNQCDKHALNIQLTQEKLDSLLLMQ YPL054W MDAFENMSVSNHPGGNARRNSQSANEMLASQIQDFQNIPRSFND SNANVNLSKNCTVGNQLPFSSRQQKIIMEHLLITKNNSQQQKDYSHVPCKFFKMGNCQ AGSSCPFSHSPDIISSANNLPCKYFAKGNCKFGNKCVNAHVLPNGFKMNSKEPIDITP PSQNNYLSHARSASFSTYTSPPLSAQTEFSHSASNANYFSSQYLMYSPQKSPEALYTE FFSPPSSSSSYINYSYNNSNINAYSPVSSSSSNIWQEQGQTTLSNPSVNQNLRYRTGP AIQEESDNEIEDLLIHNFNSRYCHE YPL053C MHVLLSKKIARFLLISFVFVLALMVTINHPKTKQMSEQYVTPYL PKSLQPIAKISAEEQRRIQSEQEEAELKQSLEGEAIRNATVNAIKEKIKSYGGNETTL GFMVPSYINHRGSPPKACFVSLITERDSMTQILQSIDEVQVKFNKNFAYPWVFISQGE LDGMKQEMIRQAITDSMNGDPELINIKFAEIPADEWVYPEWIDENKAAESLISLANVP DGDSRAVRYQARYFAGFFWRHPVLDEFDWYWRVDPGIKLYCDIDHDLFRWMQDEGKVF GFTLSMSEAKEANEKIWDVTKKFAKDFPKFISENNFKSFITKKDSEDFNNCEFTSNFE IGNLNFYRSPAYRKFFNYIDEEGGIFYWKWSDSIIHTIGLSMLLPKDKIHFFENIGFH YDKYNNCPLNDDIWNQYNCNCDQGNDFTFRSGSCGGHYFDIMKKDKPEGWDRLP YPL052W MYEVIQKRKTKIINVLQSPELMRLIEDPSNLGISLHFPVSSLLK SNKCTPMPKLSTYSLASGGFKDWCADIPLDVPPEIDIIDFYWDVILCMESQFILDYNV PSKNKGNNQKSVAKLLKNKLVNDMKTTLKRLIYNENTKQYKNNNSHDGYNWRKLGSQY FILYLPLFTQELIWCKLNENYFHVVLPSLLNSRNVHDNHSTYINKDWLLALLELTSNL NQNFKFEYMKLRLYILRDDLINNGLDLLKNLNWVGGKLIKNEDREVLLNSTDLATDSI SHLLGDENFVILEFEC YPL051W MFHLVKGLYNNWNKKEQYSILILGLDNAGKTTFLETLKKEYSLA FKALEKIQPTVGQNVATIPVDSKQILKFWDVGGQESLRSMWSEYYSLCHGIIFIVDSS DRERLDECSTTLQSVVMDEEIEGVPILMLANKQDRQDRMEVQDIKEVFNKIAEHISAR DSRVLPISALTGEGVKDAIEWMIVRLERNKKSRPPIYK YPL050C MSLSLVSYRLRKNPWVNIFLPVLAIFLIYIIFFQRDQSLLGLNG QSISQHKWAHEKENTFYFPFTKKYKMPKYSYKKKSGWLFNDHVEDIIPEGHIAHYDLN KLHSTSEAAVNKEHILILTPMQTFHQQYWDNLLQLNYPRELIELGFITPRTATGDLAL KKLENAIKKVQTDKKTQRFSKITILRQNSQSFDKLMEKERHALDVQKERRAAMALARN ELLFSTIGPHTSWVLWLDADIIETPPSLIQDMTKHNKAILAANIYQRFYDEEKKQPSI RPYDFNNWQESDTGLEIASQMGDDEIIVEGYAEIATYRPLMAHFYDANGVPGEEMALD GVGGGCTLVKAEVHRDGAMFPNFPFYHLIETEGFAKMAKRLNYDVFGLPNYLVYHIEE ENH YPL049C MAVSARLRTTAEDTSIAKSTQDPIGDTEISVANAKGSSDSNIKN SPGGNSVGQESELEHVPEEDDSGDKEADHEDSETATAKKRKAQPLKNPKKSLKRGRVP APLNLSDSNTNTHGGNIKDGNLASSNSAHFPPVANQNVKSAPAQVTQHSKFQPRVQYL GKASSRQSIQVNNSSNSYGKPHMPSAGIMSAMNPYMPMNRYIMSPYYNPYGIPPPHML NKPIMTPYVSYPYPMGPRTSIPYAMQGGNARPYEENEYSASNYRNKRVNDSYDSPLSG TASTGKTRRSEEGSRNSSVGSSANAGPTQQRADLRPADMIPAEEYHFERDALLSANTK ARSASTSTSTSTSTNRDRSSWHEAEPNKDEEEGTDLAIEDGAVPTPTFTTFQRTSQPQ QQSPSLLQGEIRLSSHIFAFEFPLSSSNVDKKMFMSICNKVWNESKELTKKSSSHHRT GK YPL048W MSQGTLYANFRIRTWVPRGLVKALKLDVKVVTPDAAAEQFARDF PLKKVPAFVGPKGYKLTEAMAINYYLVKLSQDDKMKTQLLGADDDLNAQAQIIRWQSL ANSDLCIQIANTIVPLKGGAPYNKKSVDSAMDAVDKIVDIFENRLKNYTYLATENISL ADLVAASIFTRYFESLFGTEWRAQHPAIVRWFNTVRASPFLKDEYKDFKFADKPLSPP QKKKEKKAPAAAPAASKKKEEAKPAATETETSSKKPKHPLELLGKSTFVLDDWKRKYS NEDTRPVALPWFWEHYNPEEYSLWKVTYKYNDELTLTFMSNNLVGGFFNRLSASTKYM FGCLVVYGENNNNGIVGAVMVRGQDYVPAFDVAPDWESYDYAKLDPTNDDDKEFINNM WAWDKPVSVNGEPKEIVDGKVLK YPL047W MTEETITIDSISNGILNNLLTTLIQDIVARETTQQQLLKTRYPD LRSYYFDPNGSLDINGLQKQQESSQYIHCENCGRDVSANRLAAHLQRCLSRGARR YPL046C MSQDFVTLVSKDDKEYEISRSAAMISPTLKAMIEGPFRESKGRI ELKQFDSHILEKAVEYLNYNLKYSGVSEDDDEIPEFEIPTEMSLELLLAADYLSI YPL045W MKNPSFDWERLKDVFYRSRAIGELKWPTQYEEFKCALSLTVIAV EIQDFIQVYNYFGQLLGKINLQRIHEDIIKFEFDKDEKLILVTKSSIKIVKGWSPLTI ESVPLQDPTIDTIWDYHNGIMLLAKSRDIYKLNGNEWELLYENKDKKYNLLTKNHWSC NDDSIILLDVDHVYQVSTSNGALLKLITDSSWHKVTISSRGFICLYNMKDNKLQIFRD PARILMEHNLDSTPDDICWCGNDTVACSFEDEIKLYGPDGLYVTFWYPFTVTNLRAEV DGLKVITTEKIYFLSRVQPQTSNIFRIGSTEPGAMLVDSFSLLEDHAPKAIEILKNFV LEKGVLDCIAAAIDEFEPKLQKMLLNAASYGKASLQYKSFDASIFVNACNTIKLLNCF RSFGIFLTVEEYRCISLKGVIDRLLKYHRYYECIQICKLANERFLLGYVFTEWAKDKI KGSPDMEDDELLDKIKSRLSVIDMTDTLQMVAVAKVAYLEGRFQLSRNLALLEKNEEA RIEQLYNLDDDSIALKECIKVQNYSLTISLLIALSKKLTNSQLTKLLIIDMFNNPLYL YYMRMDKAYLYDFYRQTDRFIDLAHVLLQQGKEQQSLHSFLPQIKDLYSQVQNSEVVN NTIEQLQRQEKLWIYQESLGKRFAISFTNMTLDQTLSKLIETGQDKQVKEIVKKFKIS EKKLYHLKCKTLVEAKKFDELLQFAQSRKSPIGYMPFYTYLKSRGHMDKASPYVNMIP GLSYQEKKKLYVECRGFRDAIQLAGKEKDIPGLKEIYNIIPPNEPELKALANETMSRI YPL043W MEETIENVEVPSSNVSKQNDDGLDMKTLFVRSIPQDVTDEQLAD FFSNFAPIKHAVVVKDTNKRSRGFGFVSFAVEDDTKEALAKARKTKFNGHILRVDIAK RRDRSKKTSEVVEKSTPESSEKITGQNNEDEDDADGEDSMLKGKPKLIIRNMPWSCRD PVKLKKIFGRYGTVVEATIPRKRDGKLCGFAFVTMKKISNCRIALENTKDLKIDGRKV AVDFAVQKNRWEDYKKAQPEMNDKDDNESGNEDAEENHDDEEDENEEEDRQVDQASKN KESKRKAQNKREDFSVFVRNVPYDATEESLAPHFSKFGSVKYALPVIDKSTGLAKGTA FVAFKDQYTYNECIKNAPAAGSTSLLIGDDVMPEYVYEGRVLSITPTLVREDAGRMAE KNAAKRKEALGKAPGEKDRRNLYLLNEGRVVEGSKMADLLTNTDMEIREKSYKLRVEQ LKKNPSLHLSMTRLAIRNLPRAMNDKALKALARKAVVEFATEVKNKERHPLSKEEIIR STKEKYKFMGPDEIEAQKKKDKKSGVVKQAKVIMEVKGSTAGRSRGYGFVEFRDHKNA LMGLRWLNCHAVTSDEILEGLNDDEKKQVDNDLGKGRRLCVEFAIENSNVVKRRREQL KQARTKRTRPDNEDTGDVGESENKKPKKEEATTPTNPDDKKMGDDIKRIIGFKRKRKH AKK YPL042C MYNGKDRAQNSYQPMYQRPMQVQGQQQAQSFVGKKNTIGSVHGK APMLMANNDVFTIGPYRARKDRMRVSVLEKYEVIGYIAAGTYGKVYKAKRQINSGTNS ANGSSLNGTNAKIPQFDSTQPKSSSSMDMQANTNALRRNLLKDEGVTPGRIRTTREDV SPHYNSQKQTLIKKPLTVFYAIKKFKTEKDGVEQLHYTGISQSACREMALCRELHNKH LTTLVEIFLERKCVHMVYEYAEHDLLQIIHFHSHPEKRMIPPRMVRSIMWQLLDGVSY LHQNWVLHRDLKPANIMVTIDGCVKIGDLGLARKFHNMLQTLYTGDKVVVTIWYRAPE LLLGARHYTPAVDLWSVGCIFAELIGLQPIFKGEEAKLDSKKTVPFQVNQLQRILEVL GTPDQKIWPYLEKYPEYDQITKFPKYRDNLATWYHSAGGRDKHALSLLYHLLNYDPIK RIDAFNALEHKYFTESDIPVSENVFEGLTYKYPARRIHTNDNDIMNLGSRTKNNTQAS GITAGAAANALGGLGVNRRILAAAAAAAAAVSGNNASDEPSRKKNRR YPL041C MTVMNLFFRPCQLQMGSGPLELMLKRPTQLTTFMNTRPGGSTQI RFISGNLDPVKRREDRLRKIFSKSRLLTRLNKNPKFSHYFDRLSEAGTVPTLTSFFIL HEVTAILPLFLLWWLLYNLDLSDDFKLPNFLNGLMDSCHTAMEKFVGKRYQECLNKNK LILSGTVAYVTVKLLYPVRIFISIWGAPYFGKWLLLPFQKLKHLIKK YPL040C MKRSRLVPQHIFSIISKRYLAKHAYQKTLNLPKTKFPNRSNLEI TLRELIPKSSQLVYKEQLRDFFEEFSKLNTTDEKLEFIKEKLFILHDGPPYANGELHL GHALNKILKDIINRYQLSQGKYIFYKPGWDCHGLPIEIKALKDLSAQQIESISPLKIR SMALKHAQKAIKRQRETFQHFAILTDWETPYLTMDKDYEINQLNIFKEMYERGLIKRQ NKPVYWGTETRTALAEGELEYNENHKSIAAYVKFPLEKKSQMDLCKKLGITNNLPIYC LIWTSTPWTLLSNRAICFNQDFSYSLLRLNSELILVETGSIDKLGLTTNSFETIKQFQ GTHLNGLYYQNLLVDDKVGRPLLHGAHVTSGTGTGLVHTAPGHGQDDYLIGIQNGLEI YSPVDHQGRYQLNELPQSVRSIVRDEGDLTKGRQVLDAETAKIILCKLSDLNLLYKSH EYTHSYPYDWRSKKPVIIRATPQWFADLHDVKNLALESISRVKFCPKRGYSRLSSFMK SRNEWCISRQRSWGIPILSFYKKSEPDSVLMNSEILAHAIEKIKQKGINAWFNDKDND MKEWLPEKYHDVAHEYCRSQDTMDVWFDSGSSWSVIKDFYEKSLKLSKLPSPLYQVCL EGSDQHRGWFQSSLLTKVASSNVPVAPYEEVITHGFTLDENGLKMSKSVGNTISPEAI IRGDENLGLPALGVDGLRYLIAQSNFTTDIVAGPTVMKHVGEALKKVRLTFRYLLSNL QKSQDFNLLPIEQLRRVDQYTLYKINELLETTREHYQKYNFSKVLITLQYHLNNELSA FYFDISKDILYSNQISSLARRQVQTTLVHILNAYRAILAPILPVMVQEVWKYIPEGWL QGQEHIDINPMRGKWPFLDSNTEIVTSFENFELKILKQFQEEFKRLSLEEGVTKTTHS HVTIFTKHHLPFSSDELCDILQSSAVDILQMDDNNNSLPTIELGSGINVQILVERSKR HNCPRCWKANSAEEDKLCDRCKEAVDHLMS YPL039W MLKEEYNLSGADNMASAELSFKKQKVVLKKFMIAQVTKGIMQRY ASLLVTMPSDDDNAIIGTNHLKTTKFLEIILHRAKSSHLQFKKVCCIVIKFLDCCSKE TNYMKFLKFSLHKLFVAAFILSVPNVVGDDRDRITTRDETYHLYSQITGLPLEEVINC CSIVRPVLIRRSRQQRRQMLSRREQHSYFLRSSFMNSNSSASPFFSTNRSADDLHVHT RAYSLHSHSDGEGQDRRSEHEETHSMGADADTYRQTTFIPDTPNGLHSRSLIECGIEP TQVSDSGEWSGQSNGYVLVTELQEFNKMGKKLVQEAFRIV YPL038W-A MVCRFVHHSRVIFISIYDFLSTKGKKNMYNYTQEKKTKQKNTFT QASIYYENFFESYRTISCL YPL038W MKLAQDMNVDEIFLKQAAEAIAVISSSPTHTDPIIRELLHRIRQ SSPLSAVIPAPENVLKAGEPENMARGLIRIPETQTKRTGGNNHSKEGAQLYSCAKCQL KFSRSSDLRRHEKVHSLVLPHICSNCGKGFARKDALKRHSNTLTCQRNRKKLSEGSDV DVDELIKDAIKNGTGLL YPL037C MPIDQEKLAKLQKLSANNKVGGTRRKLNKKAGSSAGANKDDTKL QSQLAKLHAVTIDNVAEANFFKDDGKVMHFNKVGVQVAAQHNTSVFYGLPQEKNLQDL FPGIISQLGPEAIQALSQLAAQMEKHEAKAPADAEKKDEAIPELVEGQTFDADVE YPL036W MSSTEAKQYKEKPSKEYLHASDGDDPANNSAASSSSSSSTSTSA SSSAAAVPRKAAAASAADDSDSDEDIDQLIDELQSNYGEGDESGEEEVRTDGVHAGQR VVPEKDLSTDPAYGLTSDEVARRRKKYGLNQMAEENESLIVKFLMFFVGPIQFVMEAA AILAAGLSDWVDVGVICALLLLNASVGFIQEFQAGSIVDELKKTLANTATVIRDGQLI EIPANEVVPGEILQLESGTIAPADGRIVTEDCFLQIDQSAITGESLAAEKHYGDEVFS SSTVKTGEAFMVVTATGDNTFVGRAAALVGQASGVEGHFTEVLNGIGIILLVLVIATL LLVWTACFYRTVGIVSILRYTLGITIIGVPVGLPAVVTTTMAVGAAYLAKKQAIVQKL SAIESLAGVEILCSDKTGTLTKNKLSLHEPYTVEGVSPDDLMLTACLAASRKKKGLDA IDKAFLKSLIEYPKAKDALTKYKVLEFHPFDPVSKKVTAVVESPEGERIVCVKGAPLF VLKTVEEDHPIPEDVHENYENKVAELASRGFRALGVARKRGEGHWEILGVMPCMDPPR DDTAQTINEARNLGLRIKMLTGDAVGIAKETCRQLGLGTNIYNAERLGLGGGGDMPGS ELADFVENADGFAEVFPQHKYRVVEILQNRGYLVAMTGDGVNDAPSLKKADTGIAVEG ATDAARSAADIVFLAPGLSAIIDALKTSRQIFHRMYSYVVYRIALSLHLEIFLGLWIA ILNNSLDINLIVFIAIFADVATLTIAYDNAPYAPEPVKWNLPRLWGMSIILGIVLAIG SWITLTTMFLPNGGIIQNFGAMNGVMFLQISLTENWLIFVTRAAGPFWSSIPSWQLAG AVFAVDIIATMFTLFGWWSENWTDIVSVVRVWIWSIGIFCVLGGFYYIMSTSQAFDRL MNGKSLKEKKSTRSVEDFMAAMQRVSTQHEKSS YPL034W MTTRKTVDSRLLEWQTTCKHPVINLTPEKVDKLYHLKLKSESKN ISSNRLLPISLSSLQKKMEKLFIKDKSHSHKPSLPDPKVPTLRTYKDGGFFISGKGSM KLPDIESAIHKFLWKKYGKGLVYCYGCDPTGKKRHTEWFNVPVLELPSVLRLIDSYCL GGESR YPL033C MKKTIYKVLVSFYQYVGLGKKFHPSHDTVLIIGGSSNELGIELC ETFIEDYHTKVINIDTIDSINGKNARRSEKLYTFISCKDFSDIKCLEESMLYLQNLEI IPTVLINNMQEGIESTLLKEDKFLRLDEESLNEFEKIVRYNLQSVILITKFCLSNIFP KVQAEAQEKAKGFYIVNISSVLTLKPCKSGTHFITSKCGINSFHDGITSELKLKDSNL NVKTLIAYLPSFESEAHWKRLSPSISKHLVHCLLEGRYGDTILESKRSIGDILLITGF KSSFT YPL032C MSSSSLRVLAIGNNPNILFYTSRFQLAKNIDLYHVNDSKSCQFE IETEYYGKDRFELENHFTSIEHLTEALSSKSSEAVFDIIIMSAPSLQELSSLASKLTS IIDSNTKIFLESSGFIQLEPFVKLSMESPHVNVFSILTDLDIRQIGPNHFKHFPSTAK ENTIYLGESKSSTEKYSSGVITLLTTFEKLFAKLFSNIKINLCNFSSIEFLSQQWKLA ISRICFDPLLIMFEQENPSDLDQQIIAKPLISGLVTEIITVAKTMGARLNSSHDNENS LLSLWKNSYHSTNKPPALVYHFIHQTTPLNIDILLLQTILLADDFGIKTPYLEFLYSV LSQFERLNSGKSKWFIRSDEKTQILQSLQKSQKNESALQTQITSLQGQISKLRQELLM QAKQHEMETNELKEKHQVALKAQAQAQAQAQSQAQTSIEALTPTEATNQSDTNEYKAT GTPNLRDIEDMALYSVNYGDSPVRSPPPVVSSQPQMNSPLSSHSQTFGENNGTNDKLL QERELQLRKKELELQERELEFQKRALQQQRFNNSNNSIPRKPSFPQLQQSANVRSNSR GMHGTNGAMSQPASAGNFVDPISSSIAAYDPQQPPSLPLQQPQQSVQVQPFHSHSIKP TSRKNRNSNMPNIGNPSSINMSDFGRPPNNSSQTRLNSMPTHSIVNQNRLRSQQSKNK LNMPHATNPNNTFNQVPAPSLNNHVPTQRQFSSSTMIEVTNNNNKVNNSSSNPDISTN SVVHNAMQFTNTNNNTSSTVDINDPKNIAPPPTTSVSAPSTPTLSSSSQMANMASPST DNGDNEEKNGGKKKRFGLFKKKNKSKK YPL031C MSSSSQFKQLEKLGNGTYATVYKGLNKTTGVYVALKEVKLDSEE GTPSTAIREISLMKELKHENIVRLYDVIHTENKLTLVFEFMDNDLKKYMDSRTVGNTP RGLELNLVKYFQWQLLQGLAFCHENKILHRDLKPQNLLINKRGQLKLGDFGLARAFGI PVNTFSSEVVTLWYRAPDVLMGSRTYSTSIDIWSCGCILAEMITGKPLFPGTNDEEQL KLIFDIMGTPNESLWPSVTKLPKYNPNIQQRPPRDLRQVLQPHTKEPLDGNLMDFLHG LLQLNPDMRLSAKQALHHPWFAEYYHHAS YPL030W MTGDGSAHISKNNQNQHKDRFKFIVNDKSILGPQWLSLYQTDGK VTFAKSHFEQAMMNVIREPNINSTVILRADILKEINHAAEAGSEPKFDESVLKKFEID NGNESGEEDVKKINIEDLNIRSCETSESLKLSPVHEFVRRIIPRNFYKDAIINQTCLI LNSKDPNFQETSLIVYTPHINSEKDCPFYIPRTQSVGILLHQSVLSVHYIPFPEDKTA FTDESERVVRTAYRLLQTANKHSKGVMQGYEKRVNHDQVVNKVNFQNTYIVLKKKYSK FLVENWAESTDPKKHVFEDIAIAAFLIELWIKVYGPDFRSKMQFRDLGCGNGALCYIL LSESIKGLGIDARKRKSWSIYPPEVQSSLKEQVIIPSILLRPHPALKRQVPHLEHNGR FFPVKVTHEVIAPATVVYSSEDLLKSPQVNTAEFPPDTFIIGNHSDELTCWIPLLGHP YMVIPCCSHNFSGQRVRFNVRKRSPRSNEIKNQNNSKSTYSGLVDHVEYISSRVGWKV EKEMLRIPSTRNAAIIGVENATLKHFPTQAVYDMIWEDGGAEGWIQNTMSLLKRNPRN H YPL029W MALVKYSTVFFPLRSLRLFVSIKKAYYHSEPHSIDLFHDKDWIV KRPKFLNLPKNEHSKLDIFQFNFNKSESNNVYLQDSSFKDNLDKAMQFIYNDKLSSLD AKQVPIKNLAWLKLRDYIYQQLKDPKLQAKTYVPSVSEIIHPSSPGNLISLLINCNKI SNLVWKSVLKYSLSNNITTLDKFIHVLQQTFDHVYEQEILPMMTNTDDTDGAHNVDIT NPAEWFPEARKIRRHIIMHIGPTNSGKTYRALQKLKSVDRGYYAGPLRLLAREVYDRF HAEKIRCNLLTGEEVIRDLDDRGNSAGLTSGTVEMVPINQKFDVVVLDEIQMMSDGDR GWAWTNALLGVVSKEVHLCGEKSVLPLVKSIVKMTGDKLTINEYERLGKLSVEEKPIK DGIKGLRKGDCVVAFSKKKILDLKLKIEKDTNLKVAVIYGSLPPETRVQQAALFNNGE YDIMVASDAIGMGLNLSIDRVVFTTNMKYNGEELMEMTSSQIKQIGGRAGRFKSRSAS GGVPQGFITSFESKVLKSVRKAIEAPVEYLKTAVTWPTDEICAQLMTQFPPGTPTSVL LQTISDELEKSSDNLFTLSDLKSKLKVIGLFEHMEDIPFFDKLKLSNAPVKDMPMVTK AFTKFCETIAKRHTRGLLSYRLPFNLLDYNCIPNESYSLEVYESLYNIITLYFWLSNR YPNYFIDMESAKDLKYFCEMIIFEKLDRLKKNPYAHKPFGSTRGHLSSSRRRLRT YPL028W MSQNVYIVSTARTPIGSFQGSLSSKTAVELGAVALKGALAKVPE LDASKDFDEIIFGNVLSANLGQAPARQVALAAGLSNHIVASTVNKVCASAMKAIILGA QSIKCGNADVVVAGGCESMTNAPYYMPAARAGAKFGQTVLVDGVERDGLNDAYDGLAM GVHAEKCARDWDITREQQDNFAIESYQKSQKSQKEGKFDNEIVPVTIKGFRGKPDTQV TKDEEPARLHVEKLRSARTVFQKENGTVTAANASPINDGAAAVILVSEKVLKEKNLKP LAIIKGWGEAAHQPADFTWAPSLAVPKALKHAGIEDINSVDYFEFNEAFSVVGLVNTK ILKLDPSKVNVYGGAVALGHPLGCSGARVVVTLLSILQQEGGKIGVAAICNGGGGASS IVIEKI YPL027W MACTNDGPNKYPEIVSVKHLFQHSGSKHEFSAGKRFSKSIGKIF KRNSALKTSRTETANHKMELKKREGVTLLPPVPESLLHKLNSWLETFSSTKNMKIEEN KIVINEKEIRDSVSYYPDKNGGSAVFCYLPDLVLYYKPPIKVTGKQCPIKRSPWESME IQYQKFMYPLERLERQFEEVPFRPWYFAMRLKELYRCCERSFTNAANRGKARLLRGKQ RTKKSYHKTVNLVSAKISTHSNAPSPG YPL026C MLSDCLLNNFRITAQIGSGAYGLVFHVVDILTSREYAVKTVFKS SSMDEFYNKNGLNNNSQVARTTLLQTQLYHFFKSFQKKLFLPSVDLDSILQLTENELN RLPHYREIAFQLRVQSHGNIVKIHQVLESSIATFIVMDYYDRDLFTSIVDDKHFVNHG ILIKKVFLQLCSALDHCHRLGIYHCDIKPENVLLDRNDNAYLCDFGLSTKSKYLAPNV CVGSSYYMAPERILYCLNTTTNGIHVDECCSSLPTDTGDIWSLGIILINLTCIRNPWL KAHQKEDNTFQHFANDNNVLKKILPISDELFTVLTKILQLNPYTRIDMKTLMSEVSSL TSFTREGPLSQVPILSSEVYMTHIIRNENLFLSDLSHFSADQEQQQQQQQQQQQVQEQ EQEQKQEQIQNQEQAQQQQEEEDAEPESDIPSTYNSDGSMEKYEYTNNHNNSTFLTSS MDSTPYQSDIDDVSASKDCKFQQDTLRNRLLCLQMNFSTLTDGPNEKWLPDY YPL024W MSFSSILSQDITDDITPPAYSATLGSREQIVFRAYQNEPWLAGT ASNLILDKKLVIVDRELLFQVLMVENITKSKLTQIDDIKTKLDPKKQKVDRLRSGAQG NGAKKYEVITQVDMEDDGNVADNNCAKENNSNNNSSAAKNKAVFKLTLQSKSGDVFFA INSTPISWSSCMLGSKIVILPGTVFNRGVFILKDSQVIFLGGINRVWNENRDQKFCDY LESKLQRDKQLVNGGSKKRKAND YPL023C MSIRDLYHARASPFISLEFFPPKTELGTRNLMERMHRMTALDPL FITVTWGAGGTTAEKTLTLASLAQQTLNIPVCMHLTCTNTEKAIIDDALDRCYNAGIR NILALRGDPPIGEDWLDSQSNESPFKYAVDLVRYIKQSYGDKFCVGVAAYPEGHCEGE AEGHEQDPLKDLVYLKEKVEAGADFVITQLFYDVEKFLTFEMLFRERISQDLPLFPGL MPINSYLLFHRAAKLSHASIPPAILSRFPPEIQSDDNAVKSIGVDILIELIQEIYQRT SGRIKGFHFYTLNLEKAIAQIVSQSPVLSHIVNESSEEEGEDETSGEIGSIENVPIED ADGDIVLDDSNEETVANRKRRRHSSLDSAKLIFNRAIVTEKGLRYNNENGSMPSKKAL ISISKGHGTLGRDATWDEFPNGRFGDSRSPAYGEIDGYGPSIKVSKSKALELWGIPKT IGDLKDIFIKYLEGSTDAIPWSDLGLSAETALIQEELIQLNYRGYLTLASQPATNATL SSDKIFGWGPAKGRLYQKAFVEMFIHRQQWETTLKPKLDHYGRRKFSYYAGDSSGSFE TNLDPHSSSVVTWGVFPNSPVKQTTIIEEESFKAWRDEAFSIWSEWAKLFPRNTPANI LLRLVHKDYCLVSIVHHDFKETDELWEMLLDQA YPL022W MSQLFYQGDSDDELQEELTRQTTQASQSSKIKNEDEPDDSNHLN EVENEDSKVLDDDAVLYPLIPNEPDDIETSKPNINDIRPVDIQLTLPLPFQQKVVENS LITEDALIIMGKGLGLLDIVANLLHVLATPTSINGQLKRALVLVLNAKPIDNVRIKEA LEELSWFSNTGKDDDDTAVESDDELFERPFNVVTADSLSIEKRRKLYISGGILSITSR ILIVDLLSGIVHPNRVTGMLVLNADSLRHNSNESFILEIYRSKNTWGFIKAFSEAPET FVMEFSPLRTKMKELRLKNVLLWPRFRVEVSSCLNATNKTSHNKVIEVKVSLTNSMSQ IQFGLMECLKKCIAELSRKNPELALDWWNMENVLDINFIRSIDSVMVPNWHRISYESK QLVKDIRFLRHLLKMLVTSDAVDFFGEIQLSLDANKPSVSRKYSESPWLLVDEAQLVI SYAKKRIFYKNEYTLEENPKWEQLIHILHDISHERMTNHLQGPTLVACSDNLTCLELA KVLNASNKKRGVRQVLLNKLKWYRKQREETKKLVKEVQSQDTFPENATLNVSSTFSKE QVTTKRRRTRGASQVAAVEKLRNAGTNVDMEVVFEDHKLSEEIKKGSGDDLDDGQEEN AANDSKIFEIQEQENEILIDDGDAEFDNGELEYVGDLPQHITTHFNKDLWAEHCNEYE YVDRQDEILISTFKSLNDNCSLQEMMPSYIIMFEPDISFIRQIEVYKAIVKDLQPKVY FMYYGESIEEQSHLTAIKREKDAFTKLIRENANLSHHFETNEDLSHYKNLAERKLKLS KLRKSNTRNAGGQQGFHNLTQDVVIVDTREFNASLPGLLYRYGIRVIPCMLTVGDYVI TPDICLERKSISDLIGSLQNNRLANQCKKMLKYYAYPTLLIEFDEGQSFSLEPFSERR NYKNKDISTVHPISSKLSQDEIQLKLAKLVLRFPTLKIIWSSSPLQTVNIILELKLGR EQPDPSNAVILGTNKVRSDFNSTAKGLKDGDNESKFKRLLNVPGVSKIDYFNLRKKIK SFNKLQKLSWNEINELINDEDLTDRIYYFLRTEKEEQEQESTDENLESPGKTTDDNAL HDHHNDVPEAPV YPL021W MLYNKEQGTSGASSSGRRTKFHFDRFVQMVLFIAANPNYCCSVA SIPKSGVTPDLKRADILEQKIKSLNSALSPKLKEESRLGGPLHNPSILPAPSFSSLPI SSNGKKSLAGYRPKSRKKQTILPNGQPKECATCGDTWTSQWRSGPNGNVELCSRCGIA YRKKMEKKIRSQQSSDDGTKNFIFKNK YPL020C MSVEVDKHRNTLQYHKKNPYSPLFSPISTYRCYPRVLNNPSESR RSASFSGIYKKRTNTSRFNYLNDRRVLSMEESMKDGSDRASKAGFIGGIRETLWNSGK YLWHTFVKNEPRNFDGSEVEASGNSDVESRSSGSRSSDVPYGLRENYSSDTRKHKFDT STWALPNKRRRIESEGVGTPSTSPISSLASQKSNCDSDNSITFSRDPFGWNKWKTSAI GSNSENNTSDQKNSYDRRQYGTAFIRKKKVAKQNINNTKLVSRAQSEEVTYLRQIFNG EYKVPKILKEERERQLKLMDMDKEKDTGLKKSIIDLTEKIKTILIENNKNRLQTRNEN DDDLVFVKEKKISSLERKHKDYLNQKLKFDRSILEFEKDFKRYNEILNERKKIQEDLK KKKEQLAKKKLVPELNEKDDDQVQKALASRENTQLMNRDNIEITVRDFKTLAPRRWLN DTIIEFFMKYIEKSTPNTVAFNSFFYTNLSERGYQGVRRWMKRKKTQIDKLDKIFTPI NLNQSHWALGIIDLKKKTIGYVDSLSNGPNAMSFAILTDLQKYVMEESKHTIGEDFDL IHLDCPQQPNGYDCGIYVCMNTLYGSADAPLDFDYKDAIRMRRFIAHLILTDALK YPL019C MLFGIKLANDVYPPWKDSYIDYERLKKLLKESVIHDGRSSVDSW SERNESDFVEALDKELEKVYTFQISKYNAVLRKLDDLEENTKSAEKIQKINSEQFKNT LEECLDEAQRLDNFDRLNFTGFIKIVKKHDKLHPNYPSVKSLLQVRLKELPFNNSEEY SPLLYRISYLYEFLRSNYDHPNTVSKSLASTSKLSHFSNLEDASFKSYKFWVHDDNIM EVKARILRHLPALVYASVPNENDDFVDNLESDVRVQPEARLNIGSKSNSLSSDGNSNQ DVEIGKSKSVIFPQSYDPTITTLYFDNDFFDLYNNRLLKISGAPTLRLRWIGKLLDKP DIFLEKRTFTENTETGNSSFEEIRLQMKAKFINNFIFKNDPSYKNYLINQLRERGTQK EELEKLSRDFDNIQNFIVEEKLQPVLRATYNRTAFQIPGDQSIRVTIDSNIMYIREDS LDKNRPIRNPENWHRDDIDSNIPNPLRFLRAGEYSKFPYSVMEIKVINQDNSQMPNYE WIKDLTNSHLVNEVPKFSLYLQGVASLFGEDDKYVNILPFWLPDLETDIRKNPQEAYE EEKKTLQKQKSIHDKLDNMRRLSKISVPDGKTTERQGQKDQNTRHVIADLEDHESSDE EGTALPKKSAVKKGKKFKTNAAFLKILAGKNISENGNDPYSDDTDSASSFQLPPGVKK PVHLLKNAGPVKVEAKVWLANERTFNRWLSVTTLLSVLTFSIYNSVQKAEFPQLADLL AYVYFFLTLFCGVWAYRTYLKRLTLIKGRSGKHLDAPVGPILVAVVLIVTLVVNFSVA FKEAARRERGLVNVSSQPSLPRTLKPIQDFIFNLVGE YPL018W MDFTSDTTNSHDTSNSHLSLEDAVGTHHAGEADVNIDGDEKQQL SLLDDDQVRALKLQEEKDALLTRRNTLLQEIQTYQNILMKENNSKTKNGDILQNDITQ DFLNLISISSSNPNSAISDRKRVERINGLTNLQKELVTKYDTLPLLNMNLRLSYLRDH TYPHLQVSVQSRDRVHNDGIEVLVVNYKFCRNTMNPFEIQFKMFYKFEDSTLLKWEIL RISTNVRLKAKQLLATRNFQKCLLSLYEFDKIKSKKTGIFQNLINLLKRKTRCYLMNN SDSLIVERVIREGRLTTIKLQINFIITMPGERGKPRNCFLPMSKISIALWKGGERFNQ IDLDEICYGLIKEYGVKTGLKEICNVCLFPDMYAR YPL017C MGGEDEILSTMEDFAAVYDVLVIGCGPGGFTAAMQASQAGLLTA CVDQRASLGGAYLVDGAVPSKTLLYESYLYRLLQQQELIEQRGTRLFPAKFDMQAAQS ALKHNIEELGNVYKRELSKNNVTVYKGTAAFKDPHHVEIAQRGMKPFIVEAKYIVVAT GSAVIQCPGVAIDNDKIISSDKALSLDYIPSRFTIMGGGTIGLEIACIFNNLGSRVTI VESQSEICQNMDNELASATKTLLQCQGIAFLLDTRVQLAEADAAGQLNITLLNKVSKK TYVHHCDVLMVSIGRRPLLKGLDISSIGLDERDFVENVDVQTQSLLKYPHIKPIGDVT LGPMLALKAEEQAIRAIQSIGCTGSDGTSNCGFPPNVLYCQPQIGWVGYTEEGLAKAR IPYQKGRVLFSQNVRYNTLLPREENTTVSPFIKVLIDSRDMKILGVHMINDDANELLS QASMAVSLGLTAHDVCKVPFPHPSLSESFKQAVQLAMANGTSPGVHVRE YPL016W MDFFNLNNNNNNNNTTTTTTTTNNNNTNNNNTNNNNNPANNTNN NNSTGHSSNTNNNTNNNNTNTGASGVDDFQNFFDPKPFDQNLDSNNNNSNSNNNDNNN SNTVASSTNFTSPTAVVNNAAPANVTGGKAANFIQNQSPQFNSPYDSNNSNTNLNSLS PQAILAKNSIIDSSNLPLQAQQQLYGGNNNNNSTGIANDNVITPHFITNVQSISQNSS SSTPNTNSNSTPNANQQFLPFNNSASNNGNLTSNQLISNYAASNSMDRSSSASNEFVP NTSDNNNNSNNHNMRNNSNNKTSNNNNVTAVPAATPANTNNSTSNANTVFSERAAMFA ALQQKQQQRFQALQQQQQQQQNQQQQNQQPQQQQQQQQNPKFLQSQRQQQQRSILQSL NPALQEKISTELNNKQYELFMKSLIENCKKRNMPLQSIPEIGNRKINLFYLYMLVQKF GGADQVTRTQQWSMVAQRLQISDYQQLESIYFRILLPYERHMISQEGIKETQAKRIFL QQFLQELLKKVQQQQQAAALANANNNINSASSAPTPAAPGASVPATAAPGTEAGIVPV SANTPKSLNSNININVNNNNIGQQQVKKPRKQRVKKKTKKELELERKEREDFQKRQQK LLEDQQRQQKLLLETKLRQQYEIELKKLPKVYKRSIVRNYKPLINRLKHYNGYDINYI SKIGEKIDSNKPIFLFAPELGAINLHALSMSLQSKNLGEINTALNTLLVTSADSNLKI SLVKYPELLDSLAILGMNLLSNLSQNVVPYHRNTSDYYYEDAGSNQYYVTQHDKMVDK IFEKVNNNATLTPNDSNDEKVTILVDSLTGNQLPTPTPTEMEPDLDTECFISMQSTSP AVKQWDLLPEPIRFLPNQFPLKIHRTPYLTSLKKIKDEIDDPFTKINTRGAEDPKVLI NDQLSTISMILRNISFSDNNSRIMSRNFYLKRFISDLLWLVLIHPENFTCNRKILNFK KDLVIVLSNISHLLEIASSIDCLLILILVISFGQPKLNPMASSSSFGSESLTFNEFQL QWGKYQTFGVDILAKLFSLEKPNLNYFKSILLNKNTGNNLYDRNSNNNHKDKKLLRRL LNLYNDNNKNNNNRHNLLNDVVSFLFSAIPLQQVLSQSADPSLLIDQFSPVISQSLTS ILVIVQKILPLSNEVFEISENNSDSNSNNNGNKDSSFNFNKNLPFVWLSSEENIGSGL LKLSEIILNINNSTSKNTLLQQQNYSKVLLPSINISCVQLIKCLVEKSICFENCLNND PEILKKIASIPNLFPTDLEIFQLFTNPSVDIQIINQYQLLYNLKNDILTNLE YPL015C MSVSTASTEMSVRKIAAHMKSNPNAKVIFMVGAGISTSCGIPDF RSPGTGLYHNLARLKLPYPEAVFDVDFFQSDPLPFYTLAKELYPGNFRPSKFHYLLKL FQDKDVLKRVYTQNIDTLERQAGVKDDLIIEAHGSFAHCHCIGCGKVYPPQVFKSKLA EHPIKDFVKCDVCGELVKPAIVFFGEDLPDSFSETWLNDSEWLREKITTSGKHPQQPL VIVVGTSLAVYPFASLPEEIPRKVKRVLCNLETVGDFKANKRPTDLIVHQYSDEFAEQ LVEELGWQEDFEKILTAQGGMGDNSKEQLLEIVHDLENLSLDQSEHESADKKDKKLQR LNGHDSDEDGASNSSSSQKAAKE YPL014W MLLERLHKRLHAGSSRRSQENKDKNCKPEDALPIQPEAQHQTQD PQPLLNCDYDDMIAFDRNLSTPVFTPVMTPINNSSSNQAKSSDASYFPPYLNANRTRQ NSASSLASSVSDFAQNFKQHTFYNNNAQFTSFTPQFVGLLLEVYQNTCSDPTITPFDT TNPPSGILNRVAKAAIQQSELQQLDIGCDRNSWLLTLVRQRLLQEVRKDGYLSRNTSL TSLPPPPPPQFSEMLRVPSPFVNADITDPIPLSNTNSNPNVSSTTSLTNTLNWYSLQR SNVSMKNRNGSSQYISELQPQPILARTNSNNSVSNSNAFSLLTPTPTTDSAFNFNIAL LSRQRSNIISSPLASTRLPTANVSTEESSILPNESLKLKRDLLRLKR YPL013C MTCGLVRIRLARFGRKNSPVYNIVVANSRKARDAKPIEVLGTYV PVPSPVTKRELKRGVVPIKDVKLDFDRTKYWIGVGAQPSETVTKLLRKAGILNDAWAT SKNSNVNRKVVFERMETLE YPL012W MDQDKVAFLLELEDKLAKIRSQVNSKLENQKHIAIILTAVEENI AGQATNDVSKNIVNYIISFMSLLDQAVDPSTHEIKDIQLASSSTYLLDLIFHYSPKVL LRSKFSEILTKIAPCITAEKANAPLIRAAIGCLESLLIAQDAQAWNNTYDLNVTPKRG LQGILELSLDVRPKVRKRALDAVHAVLLNPPVAPTAEHVAAVFVADFCDKQLAGILND LSNLSNKQLKAQKTKEDINASVMRSLRLITSVVSTGQWPSSQIEPLCDVLLGVTKSSE QYLVSASFECFESMFKTMAETTISSGLAENKYLRVLDTIFALKPSNVDTLLTKSWIAV VIKGMSTYATHQPLKALRKIPGVFHIMCTYLASETPEVYQAASQCLISILSESVKDDL LLYTPSVDEKVFKNVDEIISQIAKTFIDFLSIRYSHCSREILKILVAAFNKFRYRSNP HFLKSLKIVDTWRVNEEQFMDLRNEIELVIGASISAMGPEMILAEAPLNLDNPSSERP GRAWLLPLIRDYTKNANLATFQNELAPYIKSFQSKFDKVPEESIQLRVFQTIVDQIWS TLPRFCELPMDLRESFTDEFASELSSLLYSEVELRTTICHALKVLAESNVSYAEESSS HNVLLLQRFPISEAQKNIEYLSTKSTNLLAVLFNVYTQTTPNARSYILETIDQYLKIT SKEDLEKTFNNVCGLLKNSMNEESSGNVNKEKKKPQLTATLLDLIICMITYLPVSSYS ALFSMFSLTVNSADALIQKRAYRIITKLSELKSGSTAVAQFISDIENVMVDSASSVQT SAKAARLTAIKTIVELLPLDHLDFIVRTVAEVILSTKDVNEKSRETAFDTLICMGRKM NEPNGIIKLFQIPGYDPTTPDQSSSISEFFKIISAGLIGESQHMVSSSITGYACLVFE FKNELDSGILMDIYDTIELYLTSNSREIVKSAIGFTKVCVLGLPEELMRPKVPELLLK LLRWSHEHTGHFKAKVKHIIERLIRRFGYDYIEANFPEEDRRLLTNIRKMRNRNKRKD EEVTTGVSDVAATKGSRFMSAFDEAVYGSDEENDNGSDQEENVAGGKMKNGAKQFIVE SGDNPLDLLDSQTLAHISSTRPKKFNKNQNRARFNDDAFNFDSEGKLVVKGQPKPSTN VDDPLSAVTSGINAYLEAVKSGPVRGQRNKLKFRKNGKDSDEFGDDDDGEKDSRLMRG RVNQGNKIGKHNKKGPKFKSRKKL YPL011C MTTNNDFYFALLRISILQLLKAQGFDRARPSLVDVMTDLYAKFL SLLASEVSSIAQARCDQDDTIALQDITLALENLGIVKPTNVLDVYDENSELSSSRGME KFKDWCIYSTQLTDARITALPTVELLQSEEKESDPLSAIPDYLNQLLQNKGAKQKLET KNRKTELIEDLINNNGLDDWIKLVIARQRINMIERASKKESQNVPALPHIAGYKSSIL SRHHHTTITNEDRMPSAMTPRDEDALTEIQENPFVTSKLPIMRKENRLENITLSFEDE ELESLGEVEGPNQKSQENNNEESFKENNKSLTESPHGDDRDISMFQFDSNVDTKWAEQ EDMDSTFQRRTSLDYGGYF YPL010W MSSLSLYTVQAVLILDQQGERIYAKYYQPPHRSDEGHQLLFNSV KKQKEFEKQLYRKTHKQDSEILIFEDHLVLYKEYIDITIYLVASLEENEIVLQQGFSA IRGALDLILNSGMDKKNIQENYDMVLLAIDETIDNGVILETDSNTIASRVSKPPTNEP QMALDLDKGFLGAWGFAKSKFQERLQQGL YPL009C MKQRISALDLLLLARELKQDLEGYRLSNIYNIADSSKQFLLKFN KPDSKLNVVVDCGLRIYLTEFSRPIPPTPSGFVVKLRKHLKAKRLTALKQVDQDRILV LQFADGHFYLVLEFFSAGNVILLDENRRIMALQRVVLEHENKVGQIYEMFDESLFTTN NESADESIEKNRKAEYTSELVNEWIKAVQAKYESDITVIKQLNIQGKEGAKKKKVKVP SIHKLLLSKVPHLSSDLLSKNLKVFNIDPSESCLNLLEETDSLAELLNSTQLEYNQLL TTTDRKGYILAKRNENYISEKDTADLEFIYDTFHPFKPYINGGDTDSSCIIEVEGPYN RTLDKFFSTIESSKYALRIQNQESQAQKKIDDARAENDRKIQALLDVQELNERKGHLI IENAPLIEEVKLAVQGLIDQQMDWNTIEKLIKSEQKKGNRIAQLLNLPLNLKQNKISV KLDLSSKELNTSSDEDNESEGNTTDSSSDSDSEDMESSKERSTKSMKRKSNEKINVTI DLGLSAYANATEYFNIKKTSAQKQKKVEKNVGKAMKNIEVKIDQQLKKKLKDSHSVLK KIRTPYFFEKYSWFISSEGFLVMMGKSPAETDQIYSKYIEDDDIYMSNSFNSHVWIKN PEKTEVPPNTLMQAGILCMSSSEAWSKKISSSPWWCFAKNVSKFDGSDNSILPEGAFR LKNENDQNHLPPAQLVMGFGFLWKVKTSGNEDNGDDDEEEEEEEEEEEEEEEEEEEEE EEEKEEEEKEEEQQQDEDDSNEVNGLEKGGDSNDSTKNNSFEHDNLEKDIEKHCTISS DTDSDSGNAKAKNDNSSTQRILDEPGVPISLIENINSNVRGKRGKLKKIQKKYADQDE TERLLRLEALGTLKGIEKQQQRKKEEIMKREVREDRKNKREKQRRLQALKFTKKEKAR VNYDKHKSELKPSLDKGDVVDDIIPVFAPWPALLKYKYKVKIQPGSAKKTKTLTEILH YFKSRPLDGSSTDNEMDWPQEHEMIKGLKEQDLVLLLCVDKLKVTIAGQKSTKNGGNS SKKGKKKR YPL008W MDKKEYSETFYHPYKPYDIQVQLMETVYRVLSEGKKIAILESPT GTGKTLSLICATMTWLRMNKADIFTRMETNIKTNEDDSENLSDDEPDWVIDTYRKSVL QEKVDLLNDYEKHLNEINTTSCKQLKTMCDLDKEHGRYKSVDPLRKKRKGARHLDVSL EEQDFIPRPYESDSENNDTSKSTRGGRISDKDYKLSELNSQIITLLDKIDGKVSRDPN NGDRFDVTNQNPVKIYYASRTYSQLGQFTSQLRLPSFPSSFRDKVPDEKVKYLPLASK KQLCINPKVMKWKTLEAINDACADLRHSKEGCIFYQNTNEWRHCPDTLALRDMIFSEI QDIEDLVPLGKSLGICPYYASREALPIAEVVTLPYQYLLSESTRSSLQINLENSIVII DEAHNLIETINSIYSSQISLEDLKNCHKGIVTYFNKFKSRLNPGNRVNLLKLNSLLMT LIQFIVKNFKKIGQEIDPNDMFTGSNIDTLNIHKLLRYIKVSKIAYKIDTYNQALKEE ESSKNENPIKETHKKSVSSQPLLFKVSQFLYCLTNLTSEGQFFFEKNYSIKYMLLEPS KPFESILNQAKCVVLAGGTMEPMSEFLSNLLPEVPSEDITTLSCNHVIPKENLQTYIT NQPELEFTFEKRMSPSLVNNHLFQFFVDLSKAVPKKGGIVAFFPSYQYLAHVIQCWKQ NDRFATLNNVRKIFYEAKDGDDILSGYSDSVAEGRGSLLLAIVGGKLSEGINFQDDLC RAVVMVGLPFPNIFSGELIVKRKHLAAKIMKSGGTEEEASRATKEFMENICMKAVNQS VGRAIRHANDYANIYLLDVRYNRPNFRKKLSRWVQDSINSEHTTHQVISSTRKFFSMR SLNSR YPL007C MKLLKDLLVDRKEFEDWKNNLTWARDGTLYLTTFPDISIGQPKY AKDINCNSKNLFHVKEFPLEFENKLDFELAQQNGLLNSQPVCYPRVCKPSPIDDWMAV LSNNGNVSVFKDNKMLTNLDSKGNLSSRTYHCFEWNPIESSIVVGNEDGELQFFSIRK NSENTPEFYFESSIRLSDAGSKDWVTHIVWYEDVLVAALSNNSVFSMTVSASSHQPVS RMIQNASRRKITDLKIVDYKVVLTCPGYVHKIDLKNYSISSLKTGSLENFHIIPLNHE KESTILLMSNKTSYKVLLEDELHVTADNIIAPYLEKKFKKWSTIWNEFNNYETTLVIH GISLSPDGYSIAIVYDMERVAFKYKIASEQSFNIMFAPLYHTWTISERAVGLAWYQTY QIYNQSLPKLPENFSMNKKLLNGNYPISLDFQSYLNALMKSEEMRIIMFLNMTIDKPS ILSFLEALYEYAINKKSELTNSFDLACVLSIAAILKREAPIYNGTLLMKNSFLEETFN LESFTADPETVTSTTNNTWKRCGVTLLPILTTHVKICPVSKQRVIDIKRDDLNDYGWF TRGLLERFNEISVYCGTTLEVM YPL006W MNVLWIIALVGQLMRLVQGTATCAMYGNCGKKSVFGNELPCPVP RSFEPPVLSDETSKLLVEVCGEEWKEVRYACCTKDQVVALRDNLQKAQPLISSCPACL KNFNNLFCHFTCAADQGRFVNITKVEKSKEDKDIVAELDVFMNSSWASEFYDSCKNIK FSATNGYAMDLIGGGAKNYSQFLKFLGDAKPMLGGSPFQINYKYDLANEEKEWQEFND EVYACDDAQYKCACSDCQESCPHLKPLKDGVCKVGPLPCFSLSVLIFYTICALFAFMW YYLCKRKKNGAMIVDDDIVPESGSLDESETNVFESFNNETNFFNGKLANLFTKVGQFS VENPYKILITTVFSIFVFSFIIFQYATLETDPINLWVSKNSEKFKEKEYFDDNFGPFY RTEQIFVVNETGPVLSYETLHWWFDVENFITEELQSSENIGYQDLCFRPTEDSTCVIE SFTQYFQGALPNKDSWKRELQECGKFPVNCLPTFQQPLKTNLLFSDDDILNAHAFVVT LLLTNHTQSANRWEERLEEYLLDLKVPEGLRISFNTEISLEKELNNNNDISTVAISYL MMFLYATWALRRKDGKTRLLLGISGLLIVLASIVCAAGFLTLFGLKSTLIIAEVIPFL ILAIGIDNIFLITHEYDRNCEQKPEYSIDQKIISAIGRMSPSILMSLLCQTGCFLIAA FVTMPAVHNFAIYSTVSVIFNGVLQLTAYVSILSLYEKRSNYKQITGNEETKESFLKT FYFKMLTQKRLIIIIFSAWFFTSLVFLPEIQFGLDQTLAVPQDSYLVDYFKDVYSFLN VGPPVYMVVKNLDLTKRQNQQKICGKFTTCERDSLANVLEQERHRSTITEPLANWLDD YFMFLNPQNDQCCRLKKGTDEVCPPSFPSRRCETCFQQGSWNYNMSGFPEGKDFMEYL SIWINAPSDPCPLGGRAPYSTALVYNETSVSASVFRTAHHPLRSQKDFIQAYSDGVRI SSSFPELDMFAYSPFYIFFVQYQTLGPLTLKLIGSAIILIFFISSVFLQNIRSSFLLA LVVTMIIVDIGALMALLGISLNAVSLVNLIICVGLGVEFCVHIVRSFTVVPSETKKDA NSRVLYSLNTIGESVIKGITLTKFIGVCVLAFAQSKIFDVFYFRMWFTLIIVAALHAL LFLPALLSLFGGESYRDDSIEAED YPL005W MNTLRCLTQALSKSGREAPKLYQKVIFPGLFREGIPIANVKKVD EKIIDSPTSTSVNGEAKKIVRHGVKYEREQVKEYLSSLPTLTLSRKQIRDDYDEERAK RMYMFSKQTNSSNKFQKLLTAKSQEFTRELLTLLIDCTSNEKNSGPERFTRKFLKFSN DEIPPLPDFSKNPQLFENYIGILSHTKFNFRSSSKLNGIVRKMLRHLLHPTNKTTLPL RSAQVYNDSIYFFSEHFDFASCREIFAQMKAEGTKPNTITFNLLLRNVVKNSHIRKTK HPDDEVLFYLRSMRNHGVFADVITWTTCYNFLRDEVSRQLYIVQMGEHLGNFNVNFVY TVLRNGDYRAEDCLKVLAANSLPISRKTFYLCIERLLNEEQLETASKLLDYGFQHLKS NFKLDSEAMNHFMRVFANKGRSDLAFLCYNTCRKIYKIKPDSQTFEMLFKALVRNGNT KNFGAVLQYIKDLKVSEGFGLRTSYWRTKADSIFKFGSPNTLSEKSIEKARKLLGNLI ASEGEFSWKIWKESDSSQKKILRFLGCIPTTLRCTNTAQDHQKPTNLPSNISQKKREY RNRVKAIATKAALEKRMAYIKDNDVAFKKELVKRRIVGEV YPL004C MHRTYSLRNQRAPTAAELQAPPPPPSSTKSKFFGKASIASSFRK NAAGNFGPELARKLSQLVKTEKGVLRAMEVVASERREAAKQLSLWGADNDDDVSDVTD KLGVLIYELGELQDQFIDKYDQYRVTLKSIRNIEASVQPSRDRKEKITDEIAHLKYKD PQSTKIPVLEQELVRAEAESLVAEAQLSNITREKLKAAYSYMFDSLRELSEKFALIAG YGKALLELLDDSPVTPGEARPAYDGYEASRQIIMDAESALESWTLDMAAVKPTLSFHQ TVDDVYEDEDGEEEEEPEIQNGDIPGQVVEEEEVEWTTEVPVDDEAHEADHHVSQNGH TSGSENI YPL003W MERYDRQLRLWGALGQDSLNRSRVCVVGPATPLLQEVFKNLVLA GISSLTWLKVECAVQSGSLFLAELKKDLEPLASKQLEYEENDLRKTLQQPQYDWTRFS VVILTCIGEQTAMLDLNEIRRQRGTKFPPVLNTFVSGFYGYIYLVLSETHFVLQAHPD SKKYDLRLQNPWPELINYVDTFDLSKMDTATFSGIPYTVLLMKCIAKLERDGNNGRIT IDQMKKVLDQICLPLGNDVIYEPNYVEAKRYAYLACSQNDCCKELEDLLRNLEISDYG NDWHDTYNYEILTLLLTLKNIAKENGELSFQPLTGTLPDMESTTENYIRLKKLYEVKA KLDKSRVEESLARSKKIVSQDVLETFCSHYGEVRKILPPKSDLLGIFSTSNALLDALV MVQFWEQPAVTAEDKDEFIGLRVDDNYSVMAFFGGAVVQEAIKLITHHYVPIDNLFLY NGINNSSATYKI YPL002C MKQFGLAAFDELKDGKYNDVNKTILEKQSVELRDQLMVFQERLV EFAKKHNSELQASPEFRSKFMHMCSSIGIDPLSLFDRDKHLFTVNDFYYEVCLKVIEI CRQTKDMNGGVISFQELEKVHFRKLNVGLDDLEKSIDMLKSLECFEIFQIRGKKFLRS VPNELTSDQTKILEICSILGYSSISLLKANLGWEAVRSKSALDEMVANGLLWIDYQGG AEALYWDPSWITRQL YPL001W MSANDFKPETWTSSANEALRVSIVGENAVQFSPLFTYPIYGDSE KIYGYKDLIIHLAFDSVTFKPYVNVKYSAKLGDDNIVDVEKKLLSFLPKDDVIVRDEA KWVDCFAEERKTHNLSDVFEKVSEYSLNGEEFVVYKSSLVDDFARRMHRRVQIFSLLF IEAANYIDETDPSWQIYWLLNKKTKELIGFVTTYKYWHYLGAKSFDEDIDKKFRAKIS QFLIFPPYQNKGHGSCLYEAIIQSWLEDKSITEITVEDPNEAFDDLRDRNDIQRLRKL GYDAVFQKHSDLSDEFLESSRKSLKLEERQFNRLVEMLLLLNNSPSFELKVKNRLYIK NYDALDQTDPEKAREALQNSFILVKDDYRRIIESINKSQG YPR001W MVQRLLPGAHICRRSFNSSAIIKSSALTLKEALENVIPKKRDAV KKLKACYGSTFVGPITISSVLGGMRGNQSMFWQGTSLDPEHGIKFQGLTIEECQNRLP NTGIDGDNFLPESMLWLLMTGGVPTFQQAASFRKELAIRGRKLPHYTEKVLSSLPKDM HPMTQLAIGLASMNKGSLFATNYQKGLIGKMEFWKDTLEDSLNLIASLPLLTGRIYSN ITNEGHPLGQYSEEVDWCTNICSLLGMTNGTNSSNTCNLTSQQSLDFINLMRLYTGIH VDHEGGNVSAHTTHLVGSALSDPYLSYSSGIMGLAGPLHGLAAQEVVRFLIEMNSNIS SIAREQEIKDYLWKILNSNRVIPGYGHAVLRKPDPRFTAMLEFAQKRPIEFENDKNVL LMQKLAEIAPKVLLEHGKSKNPFPNVDSASGILFYHYGIRELLFFTVIFGCSRAMGPL TQLVWDRILGLPIERPKSLNLEGLEALTKASNVNKL YPR002W MFLAKNLKNNKIKVCLPKKKFAALSTASIQTNERPNPDKVLKDI AKYVHETPLKSSLALDTARLCFLDTLGCGLAALKFKQAQNIIKPIVPGTIVPSGTKIL GTSYVMDPVKGAFAIGTLIRWLDYNDCWLAAEWGHPSDNLGGILAVADHLSRLNKATH GKNGKQFLVKDVLEAMIKAHEIQGIIALENSFNKVGLDHVVLVKVATAGVVSKMLGLS QEQTIEALSQAFVDGQSLRTYRHAPNTGSRKSWAAGDAVSRAVNLAYLVKNANVGTIP SVLTARTWGFYDVLFKGKPFSFQQRSKYDSYVMENVLFKISFPAEFHAQTAVEAAVKA YRILAKQGKTFKDIKSIRIRTQEAAMRIIDKSGPLYNYADRDHCIQYMIAVPLITGNL TATDYSDEVARNPEIDNLRSKMYCIEDTHLTQNYHDPDKRSIGNALLIELNDGTQLDE IFVEYPVGHKFRREEGIPLLMNKFQRHLREHFVESPDKVDLIMKVSSKTNFLNMQIDK YMDLFTEG YPR003C MTSNNSLLGRGRMSYSSTAPPRFKRSVDQRDTFSDNFDYDKDSS NRGRTYIAASNSTTGVPPPNNSRSGCTNNTNNTNNTSNTSNTNNNDSVDENTVFETLP YYLPCFSWLPEYTFNKLWGDVIAGISVASFQIPLALSYTTSIAHVPPLCGLYSLAISP FVYGILGSVPQMIVGPESAISLVVGQAVESITLHKENVSLIDISTVITFVSGTILLFS GISRFGFLGNVLSKALLRGFISSVGLVMIINSLISELKLDKFLVSLPQHYHTPFEKIL FLIDYAPAQYHIPTAIFSGCCLIVLFLTRLLKRKLMKYHKSAIFFPDILLVVIVTILI SMKFNLKHRYGISIIGDFSMDNFDELKNPLTRPRRKLIPDLFSASLIVAMLGFFESTT ASKSLGTTYNLTVSSNRELVALGFMNIVISLFGALPAFGGYGRSKINALSGAQSVMSG VFMGVITLITMNLLLQFVHYIPNCVLSVITTIIGISLLEEVPGDIKFHLRCGGFSELF VFAVTFCTTIFYSIEAGICIGCVYSIINIIKHSAKSRIQILARVAGTSNFTNLDDYMM NMKRNSLDVEGTEEIEGCMIVRIPEPLTFTNSEDLKQRLDRIERYGSSKIHPGRKSLR SKDSIKYVIFDLGGMTSIDSSAAQVLEEIITSYKRRNVFIYLVNVSINDKVRRRLFKA GVAASVERAQANNNENNTSNTFSDAGETYSPYFDSIDAALYEIEKMKIKGNNVPNNDS ESFMSNTLFNSSLV YPR004C MFKSLAAVLPRASKAKFLQKNYASTLAFIESSKDGSVSRSSLSL LAAAQKLSNPITAVITGSKAEKTAEALKSSYSCSNLEKLVIFEDSKLDTCLPEQLTPL LVKLLKGGDYSHFVVSNSSVGKSVLPRVGALLDVQPVCEVTVIKDPKTFIRPIYAGNI ISTIECQAEKKLLIIRASAFPPIAEGSMDSVTIEKRTDIPPCDLNVTWVKTILTKSER PELTSAQNVVTGGRALKDKETFEKLLSPLADVLHAAIGATRASVDNGLCDNSLQIGQT GKVVAPNLYIAIGVSGAVQHLAGMKDSKVIVAINNDPDAPIFNVADYGLQGDLYKIVP ELTEKLGKYK YPR005C MHFKDLGLHDYTLKNLMYENNCCKFYDAVDENNISYVLKFVPSD VTSEGDTFPFVDRFQVKEGVFLVYSSNDFGKEGTDYFTYTGSGGNEVHISGTSSEAGI KPQFIETCHPKHLKRGTKEQEDINSSTSKKSAVINNFSGEKTPNPRPQSSNISERETY VGILNVKCKNKNSSKIRSEKLVSSVIETKHTPGLASILSKEGTTYPNNADGKHISIVN PSSKIYHSSHKQIVKTPIPKSGLSPIERCPFNGQNIKCYSPRPLDHESPQRDFNNNFQ LRILKSSVLQRRQSTQNS YPR006C MITMINNKTFNRKTTGTLKKLVLSSDKSLRRSFNGASSTKDFVF SESSKVEEWWESARFKNISRPYSATDVVKHRGSLPANTSIYPSSYQARKLFNLLEENF KNGTPLHTLGVIDPVQMSQLARCRNIKVAYISGWACSSTLVGSTNEVSPDFGDYPYDT VPNQVERIFKAQQLHDRKAFLEASIKGSTPVDYLKPIIADADMGHGGPTTVMKVAKLF AEKGAAGIHLEDQMVGGKRCGHLSGAVLVPTATHLMRLISTRFQWDIMGTENLVIART DSCNGKLLSSSSDPRDHEFIRGIIRDNVVPWSEKLIEMEDKKIPNSAIADMEKEWYHE NELFTFEEALEKQFTASEFESYKEKKEDLMVNKLGRAYLSLREMKLLAQEVTPLKKII FDWDAPRTKEGYYMFNGCIEAAIRRSLVFAPYSDMIWLETKTPDLEQARSFSRKIHKQ LPATKLVYNLSPSFNWSAHGFDDKALKSFVWDLAKEGFTLQLVSLAGLHSDGVSFWEL ANSFQSDGMKAYVEKVQKREKETNCDIMTHQLWSGAEYVDSLMKVVQNGASSQTLSTS GESFTETQF YPR007C MAPLSLNFKDDKKYKGLTTVWLLSALGNSIVKESNNYYSNKSNS TGNISSSTVKKKDIVNISIPKTCDEIQNFENDFSLRYISNLLYGVTICYNKKTEYVLN DLNHLLVQLQKNDVYAFKAKNKSTRINGLNSNNSIIGNKNNNYTWEECVFFDDDPLYD ITKVPALEFLNTTLQDNVSFIEEAKSIRRQDYINELSNSNRFELHGDMTNSDAQSNLG SNVRNSFPLDEIPVDVDFNLDLDDIVSHQGTPLGSHSSSQKDGNDFKFNYQGDELVLN FENDNENNSNGGEDTSVENEGPVANLKDYELGLEAQASEEENDLQQKLNTRMQRGHRA DVGGQFSKVQFDAKTSYPNEVLKFNHGNYSHLMEKNRIRKLTGQNFLTSNISSLVRSC GEEEFFSTNWLSIFNDFSNIKTSEWDLYPQGFSSVERGRKRAHSLVSTQSSSSTRSHE YGRKSFRNNKNDNYSSDMENDNLLLNLEQINEDLEDGHYIEENSQGNILDFNLNLPPS SFGRSHTRNSTRSSGFNEDIVGALRRRVGPSEQNFAEEDDSSNSCFSDGSQQNLQQDK TNFQDVILDYQTKKFYDYIKERSIVVGRTTRSNPPFKRKMLLVDIIPSRMGEAQTGAN FDDVERGVSRQIAASAFLSLLNLATKGMVKLNEYPVADAVTKDLKLRREDEIIVYA YPR008W MVLINGIKYACERCIRGHRVTTCNHTDQPLMMIKPKGRPSTTCD YCKQLRKNKNANPEGVCTCGRLEKKKLAQKAKEEARAKAKEKQRKQCTCGTDEVCKYH AQKRHLRKSPSSSQKKGRSISRSQPMFERVLSSTSLDSNMLSGHGALSDTSSILTSTF LDSEPGVGKISKDYHHVPSLASISSLQSSQSLDQNFSIPQSPPLSSMSFNFLTGNINE TNQNHSNHQHSKSGNNWQDSSVSLPAKADSRLNMMDKNNSVGLDLLGHSKRISPISNS RVGEVSVPLEEYIPSDIDGVGRVTDKSSLVYDWPFDESIERNFSTTATAATGESKFDI NDNCNRINSKSYSKTNSMNGNGMNNSNNNNINSNGNDKNNNNSSRQEHQGNGLFDMFT DSSSISTLSRANLLLQEKIGSQENSVKQENYSKNPQLRHQLTSRSRSFIHHPANEYLK NTFGNSHSNDIGKGVEVLSLTPSFMDIPEKERETERSPSSNYITDRPFTRKPRSSSID VNHRYPPMAPTTVATSPGALNNAVASNLDDQLSLTSLNSQPSSIANMMMDPSNLAEQS SIHSVPQSINSPRMPKTGSRQDKNIHTKKEERNPLNNIHDLSQLENVPDEMNQMFSPP LKSMNRPDAIRENSSSSNFIIQGNSMISTPSGRNDLPDTSPMSSIQTASPPSQLLTDQ GFADLDNFMSSL YPR009W MKPNNRTCDVITNKDESLPALLLPALNSYTCDDSLLKGQISSNG RYQPFGFSDCSLLPKRLNIQAGQGSMPVSSIQCADHSYSNWQKESEKTKLPKLGCPTE YTEYYKTVSSGETTDSAVVSSIATNRLKRKRQRDGPSCDSCRIKKIKCNATIIIFLQD RNLISSISSNLHYTLSQDDINQFRMKFFRKLPDVMGTYEVIKHLDKIVLFKACTSCSR RNQKNGKCLFSRGFTKSDMNVFPKINSKLKDKSIFEMTVDDYVAAGFQTL YPR010C MSKVIKPPGQARTADFRTLERESRFINPPKDKSAFPLLQEAVQP HIGSFNALTEGPDGGLLNLGVKDIGEKVIFDGKPLNSEDEISNSGYLGNKLSVSVEQV SIAKPMSNDGVSSAVERKVYPSESRQRLTSYRGKLLLKLKWSVNNGEENLFEVRDCGG LPVMLQSNRCHLNKMSPYELVQHKEESDEIGGYFIVNGIEKLIRMLIVQRRNHPMAII RPSFANRGASYSHYGIQIRSVRPDQTSQTNVLHYLNDGQVTFRFSWRKNEYLVPVVMI LKALCHTSDREIFDGIIGNDVKDSFLTDRLELLLRGFKKRYPHLQNRTQVLQYLGDKF RVVFQASPDQSDLEVGQEVLDRIVLVHLGKDGSQDKFRMLLFMIRKLYSLVAGECSPD NPDATQHQEVLLGGFLYGMILKEKIDEYLQNIIAQVRMDINRGMAINFKDKRYMSRVL MRVNENIGSKMQYFLSTGNLVSQSGLDLQQVSGYTVVAEKINFYRFISHFRMVHRGSF FAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHFAHKCRISTQQSDVSRIPSILY SLGVAPASHTFAAGPSLCCVQIDGKIIGWVSHEQGKIIADTLRYWKVEGKTPGLPIDL EIGYVPPSTRGQYPGLYLFGGHSRMLRPVRYLPLDKEDIVGPFEQVYMNIAVTPQEIQ NNVHTHVEFTPTNILSILANLTPFSDFNQSPRNMYQCQMGKQTMGTPGVALCHRSDNK LYRLQTGQTPIVKANLYDDYGMDNFPNGFNAVVAVISYTGYDMDDAMIINKSADERGF GYGTMYKTEKVDLALNRNRGDPITQHFGFGNDEWPKEWLEKLDEDGLPYIGTYVEEGD PICAYFDDTLNKTKIKTYHSSEPAYIEEVNLIGDESNKFQELQTVSIKYRIRRTPQIG DKFSSRHGQKGVCSRKWPTIDMPFSETGIQPDIIINPHAFPSRMTIGMFVESLAGKAG ALHGIAQDSTPWIFNEDDTPADYFGEQLAKAGYNYHGNEPMYSGATGEELRADIYVGV VYYQRLRHMVNDKFQVRSTGPVNSLTMQPVKGRKRHGGIRVGEMERDALIGHGTSFLL QDRLLNSSDYTQASVCRECGSILTTQQSVPRIGSISTVCCRRCSMRFEDAKKLLTKSE DGEKIFIDDSQIWEDGQGNKFVGGNETTTVAIPFVLKYLDSELSAMGIRLRYNVEPK YPR010C-A MRPAQLLLNTAKKTSGGYKIPVELTPLFLAVGVALCSGTYFTYK KLRTDETLRLTGNPELSSLDEVLAKDKD YPR011C MAEVLTVLEQPNSIKDFLKQDSNIAFLAGGVAGAVSRTVVSPFE RVKILLQVQSSTTSYNRGIFSSIRQVYHEEGTKGLFRGNGLNCIRIFPYSAVQFVVYE ACKKKLFHVNGNNGQEQLTNTQRLFSGALCGGCSVVATYPLDLIKTRLSIQTANLSSL NRSKAKSISKPPGIWQLLSETYRLEGGLRGLYRGVWPTSLGVVPYVALNFAVYEQLRE FGVNSSDAQPSWKSNLYKLTIGAISGGVAQTITYPFDLLRRRFQVLAMGGNELGFRYT SVWDALVTIGRAEGVSGYYKGLAANLFKVVPSTAVSWLVYEVVCDSVRNW YPR013C MNFSLSKQSSEKQSSYTDKSRSPNIGMCTVNYKSNLPLQVSAVD QLSTGKGTQFYGHNSYKNERECYNSTKINLPPISSLLPNFENNTPPNVDSRVQFPPQQ VYQSMNVVPIVNEIYTPISMNATSDQYPIYYTESQQPIPHSQSPHLTSSAPLMMPVMV PTVYKPLTPYDKEPITIASEPNFTAISMASHPNAALELCHDRPKSVPPGYGVLPTMQE ASNGRTKSEPGAVLNGSATFSDWKTDTRISSTKLRKQCPVCGKICSRPSTLKTHYLIH TGDTPFKCTWEGCTKSFNVKSNMLRHLKSHERKRNKVLNTT YPR014C MSCIFSADLGVEYSCAESRITNLVLCILCIREEKAAPVVKKDKF LFFIFLSSKENLFCEISSRSSVSEVKSLRAKNNFICPHAVIGFQGAKAQLGIRFPQLN GLLRLQH YPR015C MWRTKTLESMLCSPMKCSSSNIGGSYAQSSKEVSNTTKREVHLP PCSSIMHAPLTPEINQAALPPPAYHYAPSSLHQTEDPVWRSSPNSIIFSPVIATPQPF PLTFVERQSCCPIYSTAASSYTAQSVPPSMQHFQEENHRAVSNEQYSLPNVHIGQNPG TLLSQTQTDLDLIQKQLRAVVKLRKQCPICGKVCSRPSTLRTHYLIHTGDTPFKCTWE HCNKSFNVKSNMLRHLRTHQKKIAKKKHQ YPR016C MATRTQFENSNEIGVFSKLTNTYCLVAVGGSENFYSAFEAELGD AIPIVHTTIAGTRIIGRMTAGNRRGLLVPTQTTDQELQHLRNSLPDSVKIQRVEERLS ALGNVICCNDYVALVHPDIDRETEELISDVLGVEVFRQTISGNILVGSYCSLSNQGGL VHPQTSVQDQEELSSLLQVPLVAGTVNRGSSVVGAGMVVNDYLAVTGLDTTAPELSVI ESIFRLQDAQPESISGNLRDTLIETYS YPR017C MSKATCSFEGCHSAVITINDDNIINLPEQVHSEFKLLENRTMRD ATPSESNFLVVPDVWDFDNVGVSREIPSSILGDLSDKSDFVFEYGNSSWKIKKCLKYL ICADCDKGPIGIICKVQDQTKNEERVLHLLSLRSLQIMGRN YPR018W MEQHLKSIPLQDDTKKKGILSFFQNTTTVKSNKFLTKEKDVITL DDPKEDVSGPMIETVKQETMKSINKECADEMKTTPKKANAEDKLLCYKNSPIQSTKYD RNTNKQVPNGNIIAIETKSRSSSPCSKRELSSSKKEEAKREKELKKQQRAEEKHRKEL LRQEEKKKKELKVEEERQRRAELKKQKEEEKRRKEEARLEAKRRKEEERLKKEEEIRL KEEAKERAQSRIGNFFKKLSDSNTPVVEKSDYEKFFLPFYAKDGVRVSNKWKLTKVEL EGSKRKIDDELLNSKDKTSSDDLLNWLQSRRLPRGHKIKRKAVDVLQQMPLKEKTDDE LQSLLAQVPHKYIKFYENVRPPFIGTYSMDFTLPPNDPFSTKGTGFNYDYDSDVEWVN EEEEGEVDNLESGEEEEEEEDDEDVPSEGEFDGFLDSEENSDLDGLPCAKRKFVGPLI PTICLKSNFENLSEENKRYLQQLKAEVIIETDGPIDPFKEPKTSSLPSKRSNSDLQAQ TASQSQSPEKKQKAMITDPMDLLRLFDGVQDSTFSLGTVTEIAQKNLPQYNKQTIKNT IKEYAIRSSGKGDLPRKWVIKDAQNWENLRANANMPTPSL YPR019W MSQQSSSPTKEDNNSSSPVVPNPDSVPPQLSSPALFYSSSSSQG DIYGRNNSQNLSQGEGNIRAAIGSSPLNFPSSSQRQNSDVFQSQGRQGRIRSSASASG RSRYHSDLRSDRALPTSSSSLGRNGQNRVHMRRNDIHTSDLSSPRRIVDFDTRSGVNT LDTSSSSAPPSEASEPLRIIWGTNVSIQECTTNFRNFLMSFKYKFRKILDEREEFINN TTDEELYYIKQLNEMRELGTSNLNLDARNLLAYKQTEDLYHQLLNYPQEVISIMDQTI KDCMVSLIVDNNLDYDLDEIETKFYKVRPYNVGSCKGMRELNPNDIDKLINLKGLVLR STPVIPDMKVAFFKCNVCDHTMAVEIDRGVIQEPARCERIDCNEPNSMSLIHNRCSFA DKQVIKLQETPDFVPDGQTPHSISLCVYDELVDSCRAGDRIEVTGTFRSIPIRANSRQ RVLKSLYKTYVDVVHVKKVSDKRLDVDTSTIEQELMQNKVDHNEVEEVRQITDQDLAK IREVAAREDLYSLLARSIAPSIYELEDVKKGILLQLFGGTNKTFTKGGRYRGDINILL CGDPSTSKSQILQYVHKITPRGVYTSGKGSSAVGLTAYITRDVDTKQLVLESGALVLS DGGVCCIDEFDKMSDSTRSVLHEVMEQQTISIAKAGIITTLNARSSILASANPIGSRY NPNLPVTENIDLPPPLLSRFDLVYLVLDKVDEKNDRELAKHLTNLYLEDKPEHISQDD VLPVEFLTMYISYAKEHIHPIITEAAKTELVRAYVGMRKMGDDSRSDEKRITATTRQL ESMIRLAEAHAKMKLKNVVELEDVQEAVRLIRSAIKDYATDPKTGKIDMNLVQTGKSV IQRKLQEDLSREIMNVLKDQASDSMSFNELIKQINEHSQDRVESSDIQEALSRLQQED KVIVLGEGVRRSVRLNNRV YPR020W MLSRIQNYTSGLVSKANLLSSKALYYGKVGAEISKQIYLKEGLQ PPTVAQFKSVYSNLYKQSLNFALKPTEVLSCLKNIQKNELLKYGAYGIQLIGFYSVGE IIGRRKLVGYKHH YPR021C MEQINSNSRKKKQQLEVFKYFASVLTKEDKPISISNGMLDMPTV NSSKLTAGNGKPDTEKLTGELILTYDDFIELISSSKTIYSKFTDHSFNLNQIPKNVFG CIFFAIDEQNKGYLTLNDWFYFNNLLEYDNYHLIILYEFFRKFDVENLKAKQKKELGS SSFNLKAADDRIKSINYGNRFLSFDDLLLNLNQFKDTIRLLHESIDDNFVKDNKLLLD WNDFRFLKFYKCYHENEEYLSLNSLVTILQNDLKNEKIFIGFDRLAQMDSQGHRLALS KNQLTYLLRLFYSHRVSADIFSSLNLSNTELLKADNNSIPYNVFKDIFYLFQNFDLLN QIFHKYVTENNLNEQDIREQIVTKNDFMTVLNAQYNKVNNIIEFSPSQINLLFSIVAN SKENRRLRKRNQDRDDELLNDHHYDSDIDFFIHNEYLHGVSRSRKNLESFNDYYHDLS DGFDQDSGVKKASKASTGLFESVFGGKKDKATMRSDLTIEDFMKILNPNYLNDLVHQM ELQKNQNESLYINYYFYPIFDSLYNFSLGSIAGCIGATVVYPIDFIKTRMQAQRSLAQ YKNSIDCLLKIISREGIKGLYSGLGPQLIGVAPEKAIKLTVNDFMRNRLTDKNGKLSL FPEIISGASAGACQVIFTNPLEIVKIRLQVQSDYVGENIQQANETATQIVKKLGLRGL YNGVAACLMRDVPFSAIYFPTYAHLKKDLFDFDPNDKTKRNRLKTWELLTAGAIAGMP AAFLTTPFDVIKTRLQIDPRKGETKYNGIFHAIRTILKEESFRSFFKGGGARVLRSSP QFGFTLAAYELFKGFIPSPDNKLKSREGRKRFCIDDDAGNEETVVHSNGELPQQKFYS DDRKHANYYYKSCQIAKTFIDLDNNFSRFDSSVYKNFQEHLRSING YPR022C MHGKELAGRLRKRENDNDLSPNSSSSPAERFRCPHPECNKTFSR QEHLSRHKLNHWPKEIYVCSYVLPTTNAPCNKTFVRKDLLIRHEKRHSKVKNRLSRPS KDQISSSNKDFSKNAPYNPSEVPLSTQSGTSTINLIKNSVNPPPSITQESKFRPFLQQ AQQPQQVQQSQQPQQIQQLQQLQFPQQLRAPLQQPMLQQQMHPQQASPTFPSYDPRIR NNGQNGNQFFNLIFDNRTGVNGFEVDAANNNGNGNDQNMNINPAVQQQRYQDRNFASS SYQQPLQPLTQDQQQEQYFQQQKLAQQQQQQQQQQQQQQQLPPQNPFGDPLTSSSSGA NLSVMQDLFSTNFLNSDPLQSFMQELSEAPQVSIEDTFSDKNTIPPNEKPVQQDEGFQ NPPVMFELPQDNIKIPKAQPKFNDNPSTSVKDNLSSQKLNINELKRRSSKDSGVGNNS SLNYKEQLRHSMKSVPSFFHPDPLTKYKISKEKCQEMFSFVPELRYVSIESIHKSLKS FWLNFHPQYGLLHKPSFHVDKQPAILNLALIMTGASFLGSEYREQISDPICGPLRWII FSHADFQPPSKTYIIQSLLLVEGYEKTSTNRYLHERSFLHHGTTIQLLRRTPSLGGHP LMVKTGKTSGENSIQDPQEVYKRWIDFEMLKRIAFYAFYMDTTHAVVFGYWNLFINSN QIQLTLPCPDQVWESYDLSYETLMEHGYGSTKRDENNTFLSALMQLMKNVIQILRNNN IRRNKVNNGGIESTPTDLESTTDWNIQSLFGKKILLAGIISILFQCQEEVNGDYFITN FRGGITDHLGLSWKDILSFAMNYWLHEVQKSCTDPKACRISTPSEETLTNRKIDEDNG DGLCDDDLDLLSSDNPSNCKIPVIHISQIVLRILHHDYYIYAGAPWRMNVPIGRDEYD MISRRILQFAKDPYNGGVAVVYAFQFLFEMFIIKENNVPTVVKSYNINSDPVITRPYA IALTSLLIWSCNFALHGCEVSIWDNTEASKDECFQPDDSNGGNILGNTDNNGSTIANN NLKEKNNYIPIESFEVYLLRMYRNLYVDSSLDVVSFQNDVWAKASLLQHISNTHFLCG MMQFMRDIFNKSYWDLGREFGKLFDNCLERSLGKTSPTCHNMFDV YPR023C MVDLEQEFALGGRCLAFHGPLMYEAKILKIWDPSSKMYTSIPND KPGGSSQATKEIKPQKLGEDESIPEEIINGKCFFIHYQGWKSSWDEWVGYDRIRAYNE ENIAMKKRLANEAKEAKKSLLEQQKKKKLSTSLGGPSNGGKRKGDSRSNASISKSTSQ SFLTSSVSGRKSGRSSANSLHPGSSLRSSSDQNGNDDRRRSSSLSPNMLHHIAGYPTP KISLQIPIKLKSVLVDDWEYVTKDKKICRLPADVTVEMVLNKYEHEVSQELESPGSQS QLSEYCAGLKLYFDKCLGNMLLYRLERLQYDELLKKSSKDQKPLVPIRIYGAIHLLRL ISVLPELISSTTMDLQSCQLLIKQTEDFLVWLLMHVDEYFNDKDPNRSDDALYVNTSS QYEGVALGM YPR024W MNVSKILVSPTVTTNVLRIFAPRLPQIGASLLVQKKWALRSKKF YRFYSEKNSGEMPPKKEADSSGKASNKSTISSIDNSQPPPPSNTNDKTKQANVAVSHA MLATREQEANKDLTSPDAQAAFYKLLLQSNYPQYVVSRFETPGIASSPECMELYMEAL QRIGRHSEADAVRQNLLTASSAGAVNPSLASSSSNQSGYHGNFPSMYSPLYGSRKEPL HVVVSESTFTVVSRWVKWLLVFGILTYSFSEGFKYITENTTLLKSSEVADKSVDVAKT NVKFDDVCGCDEARAELEEIVDFLKDPTKYESLGGKLPKGVLLTGPPGTGKTLLARAT AGEAGVDFFFMSGSEFDEVYVGVGAKRIRDLFAQARSRAPAIIFIDELDAIGGKRNPK DQAYAKQTLNQLLVELDGFSQTSGIIIIGATNFPEALDKALTRPGRFDKVVNVDLPDV RGRADILKHHMKKITLADNVDPTIIARGTPGLSGAELANLVNQAAVYACQKNAVSVDM SHFEWAKDKILMGAERKTMVLTDAARKATAFHEAGHAIMAKYTNGATPLYKATILPRG RALGITFQLPEMDKVDITKRECQARLDVCMGGKIAEELIYGKDNTTSGCGSDLQSATG TARAMVTQYGMSDDVGPVNLSENWESWSNKIRDIADNEVIELLKDSEERARRLLTKKN VELHRLAQGLIEYETLDAHEIEQVCKGEKLDKLKTSTNTVVEGPDSDERKDIGDDKPK IPTMLNA YPR025C MTDIQLNGKSTLDTPSATMSAKEKEAKLKSADENNKPPNYKRIS DDDLYRHSSQYRMWSYTKDQLQEKRVDTNARAIAYIEENLLKFREAHNLTEEEIKVLE AKAIPLTMEEELDLVNFYAKKVQVIAQHLNLPTEVVATAISFFRRFFLENSVMQIDPK SIVHTTIFLACKSENYFISVDSFAQKAKSTRDSVLKFEFKLLESLKFSLLNHHPYKPL HGFFLDIQNVLYGKVDLNYMGQIYDRCKKRITAALLTDVVYFYTPPQITLATLLIEDE ALVTRYLETKFPSREGSQESVPGNEKEEPQNDASTTEKNKEKSTESEEYSIDSAKLLT IIRECKSIIEDCKPPSTEEAKKIAAKNYYCQNPSTLIQKLKRKLNGEDTSSTVEKKQK T YPR026W MKRIRSLWFNAEASYSNLNNSPSLRNKNSTGNNSRSKNYRSFSR FDLINSILLLMMLFLLAIFVTALYLTKSSRLTYSHASRAALFNPLGVISPSLGNHTLN YDPEARESSKKLYELLSDFNTAYYDDENMILGSNLFSKNTYSRQPYVANGYIGSRIPN IGFGYALDTLNFYTDAPGALNNGWPLRNHRFAGAFVSDFYCLQPKLNSTNFPELDDVG YSTVISSIPQWTNLQFSLVNDSKWFNPQNVTLDDVTNYSQNLSMKDGIVTTELDWLNS QIHVKSEIWAHRHIHPLGVVSLEISLNTDHLPSDFDSLDVNIWDILDFNTSHRTVLHS TGTDEKNNAVFMIVQPDNVPSSNCAIYSTCTVKYENSTNPINSSESFEEKDVSSNIYN VILTEDQPKIIVHKYVGIMSTEFNKNKEQQDNTNIGLAKMIALNSKGNYEKLLSSHKR AWYDLYNDAFIEIPSDSLLEMTARSSLFHLLANTRDYNVSSDRGLPVGVSGLSSDSYG GMVFWDADIWMEPALLPFFPNVAQNMNNYRNATHSQAKLNAEKYGYPGAIYPWTSGKY ANCTSTGPCVDYEYHINVDVAMASFSIYLNGHEGIDDEYLRYTTWPIIKNAAQFFTAY VKYNSSLGLYETYNLTDPDEFANHINNGAFTNAGIKTLLKWATDIGNHLGEVVDPKWS EISKDIYIPRSSSNITLEYSGMNSSVEIKQADVTLMVYPLGYINDESILNNAIKDLYY YSERQSASGPAMTYPVFVAAAAGLLNHGSSSQSYLYKSVLPYLRAPFAQFSEQSDDNF LTNGLTQPAFPFLTANGGFLQSILFGLTGIRYSYEVDPDTKKINRLLRFNPIELPLLP GGIAIRNFKYMNQVLDIIIDDHNGTIVHKSGDVPIHIKIPNRSLIHDQDINFYNGSEN ERKPNLERRDVDRVGDPMRMDRYGTYYLLKPKQELTVQLFKPGLNARNNIAENKQITN LTAGVPGDVAFSALDGNNYTHWQPLDKIHRAKLLIDLGEYNEKEITKGMILWGQRPAK NISISILPHSEKVENLFANVTEIMQNSGNDQLLNETIGQLLDNAGIPVENVIDFDGIE QEDDESLDDVQALLHWKKEDLAKLIEQIPRLNFLKRKFVKILDNVPVSPSEPYYEASR NQSLIEILPSNRTTFTIDYDKLQVGDKGNTDWRKTRYIVVAVQGVYDDYDDDNKGATI KEIVLND YPR027C MVGIYRILASFVPLLGLLFAFHDDDMIDTVTIIKTVYETVTSTS TAPAPAATKSVSEKKLDDTKLTLQVIQTMVSCFSVGENPANMISCGLGVVILMFSLII ELINKLENDGINEPQRLYDLIKPKYVELPSNYVNEKIKTTFEPLDLYLGVNMNTSGSE LNQNCLILKLGEKTALPFPGLAQQICYTKGASNEFTNYKLSDIQGNLNENSQGIANGV FQKISNIRKISGNFKSQLYQISEKITDENWDGSAVGFTAHGREKGPNKSQISVSFYRD N YPR028W MSEYASSIHSQMKQFDTKYSGNRILQQLENKTNLPKSYLVAGLG FAYLLLIFINVGGVGEILSNFAGFVLPAYLSLVALKTPTSTDDTQLLTYWIVFSFLSV IEFWSKAILYLIPFYWFLKTVFLIYIALPQTGGARMIYQKIVAPLTDRYILRDVSKTE KDEIRASVNEASKATGASVH YPR029C MGSSLRSFIKDVRGAKTLADERAIITKQSAKIRTKLRDDHLPHE KRRVNIQKLLYLYILGEKTHFGQVESINLIASDDFVDKRLGYLAATLLLDESEDLLTL LTNMLNNDLHHPNKYAVSLALTSLGFLSSPELARDLYPDVENIIKNSRDPFLLKKALQ CAAKLIFKDVSLLEIFNIEDITKILSSHSICTHGVLLGVTKIIQSILLIGLNRKKDED EDEDGIDYSNDILSPLSLLLRDFFIRLENMNSKNIEPGYDVQGICDPFLQCEIIYTLK LYFQVGELLNSNNVLDYKDNFCDLLTRIATNTDSTKNSGQAILYETVKTIFSLDLNQP LRVLGINILAKFLAGKDNNTKYVSLNTLLKVVPQEPTAVQRHRKFISHCLQDTDVSIR MRALELSFAILDDSNLVELVNELMKFLAKQDEDSKDLIIYTIDHLIDTFDTRVVKDES WKLDVFFNILKLVGSFINYEKINDILIIINNTSQLSDKSEFLRKMLTISLNGTSAEIS EENIGWQLVLIWCIGEYGDLVLNEGNKNGADIINESSITDYLLTLQELYTATNLKIIN YILTAALKLSVRFHDAKNIEKLRQLILSYTDSTDLSLQMKSNQYEIFFNQSISVKKII LETMPKFEKITEEQDNGKALSKNLISNEPVDLLSDLLGEDSKAESKASTGDNVKPIDI LEEIFGEKNDIAQVPKNANKEESINHSSAVEANSGVTLPLDANKIYDSSSLNVYASLL SANSGLAHLDLYFQAKSLISDLKTFCAVPKAQKLTLGQLYPSSTINASQICKQSLKIS GSGKLKLRVKLDFHLNGSSSITNEQFDHKFDETL YPR030W MQSTVPIAIASNGNKRDVVQNVSAGDEGDILQRLARNREMISTS LSPQKSSGFSGRRRSSSVRDALSSFFGTGNSPTSSMDDYSNLMNRNYSTASTAMCRGN SFPSDVGTKAYNITGSYQPDRHRNSVPYTTIDQLHTRQDTGLRRESDPVAAKQISSNN DIVRSFITHHASNSTMFINRVLSDYLADRGFIKQTPLYNKKSVLEISIATSAESVFLP TTKSDETEYLSLIHGSLNQARTQPVGSTNTAESDFLPSCPTMDTLNENNDLSLFPLHT QRTSPSNTARTGNAMDTSNSDRASPASNNNTTDADSFVASGNNNPMNNNNSPARNRHP NSHSRSLPNAWNSQMPSFSFALIFSLNKSTTLSDIKVELTSNVRVVWFNGLPPTKNVN EECYNIGSLDWTLNADNFNLFIPQGAKSPLDIVENHSNNRKLKVLQKLSMRKRRSFSN KAVLRENILNNLNASNSTNKLNAGVYVFTIPIVLASRIPESLYYPSARVSYSLRLATK LKDEHTQLVASRPRSSSISSPQKLRSYSCSDSYEYSQIDDTIEGETYNNDKNSTGKIA FPSSWLKSAKGRLKRNNSNGRSDNNGASSSGLAMQHDSEDTINLQYPLNLVRTPPEIS VTTANKPLYINKVWENCLSYEISFAQKYVPLNGEIPITIKVAPLVKSLSVKRIRVSCR EKISYRSKDYQYDFDQLDPLASDPCNPYHMRYLVRKKKDRSLPLFEVASKCTSGPSIR EEVVTNTVDDNLLAYTSSKENNKDIPFSESFTVKTKLKFPKYCEVDATKAASLPPYGI DLFDPIKDPTQSENTSNNGNVLGFLVGRPNRASKTVHKIPQDKNHNEVNDTNGNSNTS LQTSSNVPIQHYTRLNKPRRGLYLDSMHFKNIQCSHKLEIVLRVSKTDSGSSKIIRHY EVIVDTPIYLISDLCNTSNIDLPTYDMATTESSKVLPPTFEEATSVSASPRSSVSYYP DDISMQQLNLSRSTSLANGYLSTLHPKTTAVSDSSNGAPIRDQQEQQARPLRTEDYAL QMGNENNAYSNMDGLLSQDIFEQETAATLFKRDIVTMNFNNNIFTPRYSPRTFTNTDY NYNDNDNNDNDTEGPGPIIHPGPEPPRYDEISS YPR031W MNRGSLDDGPKLREEKHFQDFYPDLNADTLLPFIVPLVETKDNS TDTDSDDISNRNNREIGSVKSVQTKELIFKGRVTTEPLVLKKNEVEFQKCKITTNELK GKKNPYCVRFNESFISRYYHINKVRNRKSYKQQQKEFDGVEAPYFTKFSSKEAPNITI STSTKSAIQKFASISPNLVNFKPQYDMDEQDELYLHYLNKRYFKDQMSHEIFEILMTT LETEWFHIEKHIPSTNSLIARHNILRDCKNYELYGSDDGTGLSMDQACAVCLGTDSDN LNTIVFCDGCDIAVHQECYGIIFIPEGKWLCRRCMISKNNFATCLMCPSHTGAFKQTD TGSWVHNICALWLPELYFSNLHYMEPIEGVQNVSVSRWKLNCYICKKKMGACIQCFQR NCFTAYHVTCARRAGLYMSKGKCTIQELASNQFSQKYSVESFCHKHAPRGWQTSIEGI NKARKYFSLLSTLQTETPQHNEANDRTNSKFNKTIWKTPNQTPVAPHVFAEILQKVVD FFGLANPPAGAFDICKYWSMKRELTGGTPLTACFENNSLGSLTEEQVQTRIDFANDQL EDLYRLKELTTLVKKRTQASNSLSRSRKKVFDIVKSPQKYLLKINVLDIFIKSEQFKA LERLVTEPKLLVILEKCKHCDFDTVQIFKEEIMHFFEVLETLPGASRILQTVSSKAKE QVTNLIGLIEHVDIKKLLSRDFIINDDKIEERPWSGPVIMEEEGLSDAEELSAGEHRM LKLILNSG YPR032W MFGSKRLKNVKEAFKSLKGQNSETPIENSKASFKSKNSKTSTIS KDAKSSSSLKIPISSNNKNKIFSLAETNKYGMSSKPIAAAFDFTQNLLAIATVTGEVH IYGQQQVEVVIKLEDRSAIKEMRFVKGIYLVVINAKDTVYVLSLYSQKVLTTVFVPGK ITSIDTDASLDWMLIGLQNGSMIVYDIDRDQLSSFKLDNLQKSSFFPAARLSPIVSIQ WNPRDIGTVLISYEYVTLTYSLVENEIKQSFIYELPPFAPGGDFSEKTNEKRTPKVIQ SLYHPNSLHIITIHEDNSLVFWDANSGHMIMARTVFETEINVPQPDYIRDSSTNAAKI SKVYWMCENNPEYTSLLISHKSISRGDNQSLTMIDLGYTPRYSITSYEGMKNYYANPK QMKIFPLPTNVPIVNILPIPRQSPYFAGCHNPGLILLILGNGEIETMLYPSGIFTDKA SLFPQNLSWLRPLATTSMAASVPNKLWLGALSAAQNKDYLLKGGVRTKRQKLPAEYGT AFITGHSNGSVRIYDASHGDIQDNASFEVNLSRTLNKAKELAVDKISFAAETLELAVS IETGDVVLFKYEVNQFYSVENRPESGDLEMNFRRFSLNNTNGVLVDVRDRAPTGVRQG FMPSTAVHANKGKTSAINNSNIGFVGIAYAAGSLMLIDRRGPAIIYMENIREISGAQS ACVTCIEFVIMEYGDDGYSSILMVCGTDMGEVITYKILPASGGKFDVQLMDITNVTSK GPIHKIDAFSKETKSSCLATIPKMQNLSKGLCIPGIVLITGFDDIRLITLGKSKSTHK GFKYPLAATGLSYISTVEKNNDRKNLTVIITLEINGHLRVFTIPDFKEQMSEHIPFPI AAKYITESSVLRNGDIAIRVSEFQASLFSTVKEQDTLAPVSDTLYINGIRIPYRPQVN SLQWARGTVYCTPAQLNELLGGVNRPASKYKESIIAEGSFSERSSDDNNANHPEHQYT KPTRKGRNSSYGVLRNVSRAVETRWDAVEDRFNDYATAMGETMNEAVEQTGKDVMKGA LGF YPR033C MLSRSLNKVVTSIKSSSIIRMSSATAAATSAPTANAANALKASK APKKGKLQVSLKTPKGTKDWADSDMVIREAIFSTLSGLFKKHGGVTIDTPVFELREIL AGKYGEDSKLIYNLEDQGGELCSLRYDLTVPFARYVAMNNIQSIKRYHIAKVYRRDQP AMTKGRMREFYQCDFDVAGTFESMVPDSECLSILVEGLTSLGIKDFKIKLNHRKILDG IFQIAGVKDEDVRKISSAVDKLDKSPWEAVKKEMTEEKGQSEETADKIGEYVKLNGSL KEIHAVLSADANITSNEKAKQGLDDIATLMKYTEAFDIDSFISFDLSLARGLDYYTGL IYEVVTSASAPPENASELKKKAKSAEDASEFVGVGSIAAGGRYDNLVNMFSEASGKKS TQIPCVGISFGVERIFSLIKQRINSSTTIKPTATQVFVMAFGGGKDWTGYLPERMKVT KQLWDAGIEAEYVYKAKANPRKQFDAAEKAGCHIAVILGKEEYLEGKLRVKRLGQEFA DDDGELVSAADIVPIVQEKLSQIHEDGLNEVTRLIKGL YPR034W MTLNRKCVVIHNGSHRTVAGFSNVELPQCIIPSSYIKRTDEGGE AEFIFGTYNMIDAAAEKRNGDEVYTLVDSQGLPYNWDALEMQWRYLYDTQLKVSPEEL PLVITMPATNGKPDMAILERYYELAFDKLNVPVFQIVIEPLAIALSMGKSSAFVIDIG ASGCNVTPIIDGIVVKNAVVRSKFGGDFLDFQVHERLAPLIKEENDMENMADEQKRST DVWYEASTWIQQFKSTMLQVSEKDLFELERYYKEQADIYAKQQEQLKQMDQQLQYTAL TGSPNNPLVQKKNFLFKPLNKTLTLDLKECYQFAEYLFKPQLISDKFSPEDGLGPLMA KSVKKAGASINSMKANTSTNPNGLGTSHINTNVGDNNSTASSSNISPEQVYSLLLTNV IITGSTSLIEGMEQRIIKELSIRFPQYKLTTFANQVMMDRKIQGWLGALTMANLPSWS LGKWYSKEDYETLKRDRKQSQATNATN YPR035W MAEASIEKTQILQKYLELDQRGRIIAEYVWIDGTGNLRSKGRTL KKRITSIDQLPEWNFDGSSTNQAPGHDSDIYLKPVAYYPDPFRRGDNIVVLAACYNND GTPNKFNHRHEAAKLFAAHKDEEIWFGLEQEYTLFDMYDDVYGWPKGGYPAPQGPYYC GVGAGKVYARDMIEAHYRACLYAGLEISGINAEVMPSQWEFQVGPCTGIDMGDQLWMA RYFLHRVAEEFGIKISFHPKPLKGDWNGAGCHTNVSTKEMRQPGGMKYIEQAIEKLSK RHAEHIKLYGSDNDMRLTGRHETASMTAFSSGVANRGSSIRIPRSVAKEGYGYFEDRR PASNIDPYLVTGIMCETVCGAIDNADMTKEFERESS YPR036W MGATKILMDSTHFNEIRSIIRSRSVAWDALARSEELSEIDASTA KALESILVKKNIGDGLSSSNNAHSGFKVNGKTLIPLIHLLSTSDNEDCKKSVQNLIAE LLSSDKYGDDTVKFFQEDPKQLEQLFDVSLKGDFQTVLISGFNVVSLLVQNGLHNVKL VEKLLKNNNLINILQNIEQMDTCYVCIRLLQELAVIPEYRDVIWLHEKKFMPTLFKIL QRATDSQLATRIVATNSNHLGIQLQYHSLLLIWLLTFNPVFANELVQKYLSDFLDLLK LVKITIKEKVSRLCISIILQCCSTRVKQHKKVIKQLLLLGNALPTVQSLSERKYSDEE LRQDISNLKEILENEYQELTSFDEYVAELDSKLLCWSPPHVDNGFWSDNIDEFKKDNY KIFRQLIELLQAKVRNGDVNAKQEKIIIQVALNDITHVVELLPESIDVLDKTGGKADI MELLNHSDSRVKYEALKATQAIIGYTFK YPR036W-A MVAFLELTSDVSQPFVIPSLSPVSQPSSRKNSDANVDDLNLAIA NAALLDASASSRSHSRKNSLSLL YPR037C MKQIVKRSHAIRIVAALGIIGLWMFFSSNELSIATPGLIKAKSG IDEVQGAAAEKNDARLKEIEKQTIMPLMGDDKVKKEVGRASWKYFHTLLARFPDEPTP EEREKLHTFIGLYAELYPCGECSYHFVKLIEKYPVQTSSRTAAAMWGCHIHNKVNEYL KKDIYDCATILEDYDCGCSDSDGKRVSLEKEAKQHG YPR040W MSKRNTPPLRSSGINTIQINAAREMHAQTVRARRMPMPTSGITT PSVQPTAAPATPPRHICNNPNNPQCLHCGSVIIPSPRATLPLEDNPSISINDWTISSR KKPILNSQELDIWENEKLKGLTLPEMIFGNNYIRIENSKQHWSIEFNALDALKEVQLQ DSGIRVAYSNDWINSKKRQNSTNGAQRFTNDVNDDSLNIIHKYDWTYTTRYKGTESSP ESKFRLDNDQKLPLDKLAVHDKILFYDDMILFEDELADNGISILNVKIRVMNERLLLL SRFFLRVDDVLVRVYDTRIYVEFDENVVIRESKEFEGKYQDVLAKHRLSQSHDPKAAL RDSNWVAQNTPMIKRQCEIIQF YPR041W MSINICRDNHDPFYRYKMPPIQAKVEGRGNGIKTAVLNVADISH ALNRPAPYIVKYFGFELGAQTSISVDKDRYLVNGVHEPAKLQDVLDGFINKFVLCGSC KNPETEIIITKDNDLVRDCKACGKRTPMDLRHKLSSFILKNPPDSVSGSKKKKKAATA SANVRGGGLSISDIAQGKSQNAPSDGTGSSTPQHHDEDEDELSRQIKAAASTLEDIEV KDDEWAVDMSEEAIRARAKELEVNSELTQLDEYGEWILEQAGEDKENLPSDVELYKKA AELDVLNDPKIGCVLAQCLFDEDIVNEIAEHNAFFTKILVTPEYEKNFMGGIERFLGL EHKDLIPLLPKILVQLYNNDIISEEEIMRFGTKSSKKFVPKEVSKKVRRAAKPFITWL ETAESDDDEEDDE YPR042C MDNKRLYNGNLSNIPEVIDPGITIPIYEEDIRNDTRMNTNARSV RVSDKRGRSSSTSPQKIGSYRTRAGRFSDTLTNLLPSISAKLHHSKKSTPVVVVPPTS STPDSLNSTTYAPRVSSDSFTVATPLSLQSTTTRTRTRNNTVSSQITASSSLTTDVGN ATSANIWSANAESNTSSSPLFDYPLATSYFEPLTRFKSTDNYTLPQTAQLNSFLEKNG NPNIWSSAGNSNTDHLNTPIVNRQRSQSQSTTNRVYTDAPYYQQPAQNYQVQVPPRVP KSTSISPVILDDVDPASINWITANQKVPLVNQISALLPTNTISISNVFPLQPTQQHQQ NAVNLTSTSLATLCSQYGKVLSARTLRGLNMALVEFSTVESAICALEALQGKELSKVG APSTVSFARVLPMYEQPLNVNGFNNTPKQPLLQEQLNHGVLNYQLQQSLQQPELQQQP TSFNQPNLTYCNPTQNLSHLQLSSNENEPYPFPLPPPSLSDSKKDILHTISSFKLEYD HLELNHLLQNALKNKGVSDTNYFGPLPEHNSKVPKRKDTFDAPKLRELRKQFDSNSLS TIEMEQLAIVMLDQLPELSSDYLGNTVIQKLFENSSNIIRDIMLRKCNKYLTSMGVHK NGTWVCQKIIKMANTPRQINLVTSGVSDYCTPLFNDQFGNYVIQGILKFGFPWNSFIF ESVLSHFWTIVQNRYGSRAVRACLEADSIITQCQLLTITSLIIVLSPYLATDTNGTLL ITWLLDTCTLPNKNLILCDKLVNKNLVKLCCHKLGSLTVLKILNLRGGEEEALSKNKI IHAIFDGPISSDSILFQILDEGNYGPTFIYKVLTSRILDNSVRDEAITKIRQLILNSN INLQSRQLLEEVGLSSAGISPKQSSKNHRKQHPQGFHSPGRARGVSVSSVRSSNSRHN SVIQMNNAGPTPALNFNPAPMSEINSYFNNQQVVYSGNQNQNQNGNSNGLDELNSQFD SFRIANGTNLSLPIVNLPNVSNNNNNYNNSGYSSQMNPLSRSVSHNNNNNTNNYNNND NDNNNNNNNNNNNNNNNNNNNNNSNNSNNNNNNDTSLYRYRSYGY YPR043W MAKRTKKVGITGKYGVRYGSSLRRQVKKLEIQQHARYDCSFCGK KTVKRGAAGIWTCSCCKKTVAGGAYTVSTAAAATVRSTIRRLREMVEA YPR045C MQNPYGHFTNNTTEDREASSQGGPFGQSLNRPLDYAGSFPSLTY NNNNFIANQQPSLPLPEPRLSWNNVNQVSNPLMVTPLPGLQKRMNKNIKKKLPRVSKK ASALSNGVSGNVMSNSNIVGHGAVGSASGWKVEMGGSDELERRKRRAERFSQGPSATT NSNDNLNEDFANLNAISSKSHQYDKKIHVVGRCQTLEKSYLRLTSEPNPDLIRPPNIL QKMYCLLMDKYQSKTATYTYLCDQFKSMRQDLRVQMIENSFTIKVYQTHARIALENGD LGEFNQCQNRIMALFENPTIPKKSYSEFICYSVLYSMLTEDYPSISHLKLKLIDDGSS EILEDEHVKMIFELSDMKLVGNYHYFMKNYLKLHKFEKCLINSFLNLEKLIFLTIICK SYNQVNLDFVKSEFNFNSIEETTNFLNEQNLTEFILNKQITDSNGKSSNIKILNTKGC RVQLIQNYMKSKKIDIKGQK YPR046W MTNSSEKQWERIQQLEKEHVEVYRELLITLDRLYLIRKHNHAVI LSHTQQRLLEIRHQLQINLEKTALLIRLLEKPDNTNVLFTKLQNLLEESNSLDYELLQ SLGAQSSLHKQLIESRAERDELMSKLIELSSKFPKPTIPPDDSDTAGKQVEVEKENET IQELMIALQIHSGYTNISYTI YPR047W MFLNRMMKTRTGLYRLYSTLKVPHVEINGIKYKTDPQTTNVTDS IIKLTDRSLHLKESHPVGILRDLIEKKLNSVDNTFKIFNNFKPVVTTMENFDSLGFPK DHPGRSKSDTYYINETHLLRTHTSAHELECFQKIRNDSDNIKSGFLISADVYRRDEID KTHYPVFHQMEGATIWKRTKADVGVKEPMYIEKIREDIRQVENLLNKENVKITVDDDT IPLKENNPKQEYMSDLEVDLCSQHLKRSIELIVSEVFNKKISSMIKNKANNTPKELKV RWINAYFPWTAPSWEIEVWWQGEWLELCGCGLIRQDVLLRAGYKPSETIGWAFGLGLD RIAMLLFEIPDIRLLWSRDERFSRQFSKGLITSFKPYSKHPGSFRDVAFWLPEDKPDI HQVHENDLMEIIRNIAGDLVESVKLVDSFTHPKTGRKSMCYRINYQSMDRNLTNAEVN TLQDMVCSKLVKEYSVELR YPR048W MSSSKKIVILYGSETGNAHDFATILSHRLHRWHFSHTFCSIGDY DPQDILKCRYLFIICSTTGQGELPRNVNALKGERPVTFWSFLKRKNLPSNLLNHIQTA MLGLGDSSYPKFNYGIRKLHQRIVTQLGANELFDRLEADDQAMAGSNKGTGLGIESVY FEYEKKVLSFLLSKYPNRKVNGQIIKREELDPEVYLEPASYLQLSDEHANEKFTSTKV IFEGDESLKVGRVNINKRITSEGHFQDVRQFKFSNVDKIQENYEPGDTVTIYPCNTDE DVSRFLANQSHWLEIADKPLNFTSGVPNDLKDGGLVRPMTLRNLLKYHCDFMSIPRTS FFLKIWTFATDVTKMERGQEQLNDQREKLRQFATDQDMQDLYDYCNRPRRSILEVLED FISVKLPWKYVLDYLPIIKPRYYSISSGPGDPNIELTVAIVKYKTILRKIRRGICTNY IARLQEGEQIRYKLQNNHIIKKEFLNKPMILVGPGVGLAPLLSVVKAEISKDIKLLFG CRYKDKDYIYKDMLEDWFRKGKIALHSSFSRDEENSPGVKYVQDYLWRLGEEITNLVV NKDAVFFLCGSSGKMPIQVRLTFIEMLKKWGNFSDEETAKKYLKEMEKSDRYIQETW YPR049C MADADEYSTAPTQQEITPLQTTATIINAISGECITTNVDFFVSL DKFKQFIARKWKIPPDQLLILLPYGNKLKPSMFKELLINRSFTLNDFYVYDRRLFSLV SKPTPTNLLTSKDSNPMNSPNSNDLTETLEYLIKNSHISQYQGSDTIMIKPMPSPLED ADVDLSRLNYHSVTSLLTTNLGWLSALEIDVHYFKSLIPDIIAHIKRIFDGLTVCSQY LKLYCFDVESLYNSNVQFLNQLVDNGMTSKWEKCFNDTLSKLTALEGDSLQKFINIES LLENEKSVKILNHSINGKLNKIKREIDENASFRDIITVNIDRLRQMFTPNESKFELED QMAESFEVLVSEMRTRSRNVLDKEEEEFNSQEFLKSMNVMLEKDKKESVKTLFTISQA LYSQIGELIDLKKSLQKHAVAILGNIAFTQMEILGIKRLLLNECNKDLELYKKYEVEF AQVEDLPLIYGLYLIEKYRRLSWFQQILSFISNFNQDLELFKQNELRTRNKWVKNFGS IATVFCEDLLSSSDFKRLNEYHSHTSPPNEDEEDENENSIANYRQDLVKVSQAIDNYM TQIKETDVSEPIIDLLSKTLFETKRFHIIYSNFKNNNNNSSNGNSISPEGSIALKSDD VVKGYKTRIKKLESLLHEFQYSDIGHWPQGVLNTHLKPFRGSATSINKKKFLGASVLL EPANISEVNIDSVSQANNHQIQELESNVDDLLHQLQLLKEENNRKSMQISEMGKKISD LEVEKTAYRETLTNLNQELARLTNEEQSHRTEIFTLNASFKKQLNDIISQDNEKIEKL TGDYDDVSKSRERLQMDLDESNKKHEQEVNLLKADIERLGKQIVTSEKSYAETNSSSM EKGEKFETIPLAEDPGRENQISAYTQTLQDRIFDIISTNIFILENIGLLLTFDNNNNI QIRRVKGLKKGTAQSNILDESTQMLDAHDNSLIKSPVFQKLKDEYELIKSVANGSEKD TQQSIFLGNITQLYDNKLYEVAVIRRFKDIETLAKKLTKENKIKRTLLERFQREKVTL RNFQIGDLALFLPTRENVNSVGSMSSSTSSLSSSFSSVDLSTPPPLDAMSIQSSPSVI HSNVINQASISGRDKNKLMRPWAAFTAFEESTRYFLKDEKGLTKGKEWFVGRIVTLEH FVADSPSNNPFRLPKGSVWFQVTAVVVSYQGV YPR051W MEIVYKPLDIRNEEQFASIKKLIDADLSEPYSIYVYRYFLNQWP ELTYIAVDNKSGTPNIPIGCIVCKMDPHRNVRLRGYIGMLAVESTYRGHGIAKKLVEI AIDKMQREHCDEIMLETEVENSAALNLYEGMGFIRMKRMFRYYLNEGDAFKLILPLTE KSCTRSTFLMHGRLAT YPR052C MVTPREPKKRTTRKKKDPNAPKRALSAYMFFANENRDIVRSENP DITFGQVGKKLGEKWKALTPEEKQPYEAKAQADKKRYESEKELYNATLA YPR053C MMYRTTLNTVQVSQISGAEFYPHASSRAILFESPAFCRLFFSPF VYLAVGKQTTQYLLLVPTVKEGLFWDVFFSCFCSIDYPIHSKAQSQWSPQENLRREPL ERRRTQMPLRGLCPPTCFSLTKTEILFVLKIQISHLDKSARSWVRSGRL YPR054W MNCTLTDNTRAINVASNLGAPQQRTIFAKERISIPGYYEIIQFL GKGAYGTVCSVKFKGRSPAARIAVKKISNIFNKEILLKRAIRELKFMNFFKGHKNIVN LIDLEIVTSSPYDGLYCYQELIDYDLAKVIHSSVQLSEFHIKYFLYQILCGLKYIHSA DVIHRDLKPGNILCTLNGCLKICDFGLARGIHAGFFKCHSTVQPHITNYVATRWYRAP ELLLSNQPYSKSVDIWAVGCILAEFYARKPVFMGRDSMHQIFEIIKVLGTPDKDILIK FGTIKAWNLGKNSNNPVYKKIPWSNIFPFASHEAINLIESLLHWDSTHRLNVEQAISH PFLNEVRKPDDEPVCLQGPFDFTYESELNSMSKLRDYLVEEVKNFKTDLSSSSL YPR055W MDYLKPAQKGRRRGLSINSLSETQQSAMNSSLDHLQNDLNRINL QWNRILSDNTNPLELALAFLDDTSVGLGHRYEEFNQLKSQIGSHLQDVVNEHSQVFNT NVASYGKAVSSIMQAQEQTLNLKNCLKEANEKITTDKGSLQELNDNNLKYTKMIDVLV NIEELLQIPEKIEENIRKENFHQVQILLERGFILMNNKSLKTVEILKPINQQLELQEH LLFNNLIEEIHDIMYSKSNKTNFTRVTNNDIFKIISISHNGFTSLENYLYNIVNIDIM EHSKTINKNLEQFIHDQSLNKGNIMLQENAATQAPLAPSRNQENEGFNRIGFLLKTIN NINKLPVAFNIITERAKEEIHNIIVKSTESIRSKHPSLLKMATSLKNDNHFGLPVQDI LSIILRECFWEIFLKLLYAIQCHRAIFEMSNILQPTSSAKPAFKFNKIWGKLLDEIEL LLVRYINDPELISSNNGSIKPINGATNNAPTLPKRKNPKIFSLEYNIEDNSSVKDQAF ELKALLKDIFPGFSVSSNMDLDSIYVKDESFEQDEPLVPPSVFNMKVILDPFLLFTQS TSTIVPSVLTQNTISSLTFFDDYMNKSFLPKIQMTMDYLFTVEVESNNPYALELSDEN HNIFKTALDFQRLFYNLLNVFNTANTFREKISYCILDLLNHFYNYYLGLFNSLIGTSD RHLTRKIITAWLQNGILMDQEQKILNGDETLFHEESIELFKEIPHFYQAGKGLSKSDL FNNLTLDTILQFSASVLWILNWLPGLKKAINIDEVSQEPMLDADRLRSSWTFSESMDL NYSNPSSSPNSLGNLKILLDDKASKKFDETIDGFKTLKFKLITILRFNIRALCIYDIG SFFQNTKIWNMDVGSIELDQNIASLISELRRTESKLKQQLPEKEKNSIFIGLDIVNNY ALIKGAKSIKVLNHNGIKKMLRNVNVLQHAYRNLSSEPSKINMNVTMNFYSLCGSSEA ELFEYIKDNELPHCSVEDLKTILRLQFSEEMHRQLKRQSTSSTKGSIKPSNKRYTEAL EKLSNLEKEQSKEGARTKIGKLKSKLNAVHTANEK YPR056W MDAISDPTFKHARSRKQVTEESPSLLTVIIEIAPKLWTTFDEEG NEKGSIIKVLEALIVFLNAHLAFNSANKVAVIAAYSQGIKYLYPESTSALKASESENK TRSDLKIINSDMYRRFRNVDETLVEEIYKLFELEKKQIEQNSQRSTLAGAMSAGLTYV NRISKESVTTSLKSRLLVLTCGSGSSKDEIFQYIPIMNCIFSATKMKCPIDVVKIGGS KESTFLQQTTDATNGVYLHVESTEGLIQYLATAMFIDPSLRPIIVKPNHGSVDFRTSC YLTGRVVAVGFICSVCLCVLSIIPPGNKCPACDSQFDEHVIAKLKRKPVVPRLKAKKK VTKP YPR057W MKRGESQAPDAIFGQSRAFALSDSSVNPDVIEYLKSVRQEALRT NAISIKNHMNLQKRTRHKSSMYDDEDEGALKRHAISPSLIRLQRNVEIWVRWFNSVKA TVLTNAYEFTGYEDETLDLLLLFLKNYLEDMPSKCTTVEKIISVLNQHSFPEKAEEKE ENLQIDEEWAKNILVRLEKTKIDSVEDVKKVITEGDKHELVGYNQWFQYLINNEPQHT TFHEKITSKQLWVLIKYMSNTWIKEIHKKGRHYRRLQDWLFYILVHTPERVTAEYTSI LRDLGKKCLELIQKKPVEAHENKITLPKEMAELNVEIPAAVENMTITELTVSVIAVNY GQKDLIE YPR058W MSEEFPSPQLIDDLEEHPQHDNARVVKDLLAGTAGGIAQVLVGQ PFDTTKVRLQTSSTPTTAMEVVRKLLANEGPRGFYKGTLTPLIGVGACVSLQFGVNEA MKRFFHHRNADMSSTLSLPQYYACGVTGGIVNSFLASPIEHVRIRLQTQTGSGTNAEF KGPLECIKKLRHNKALLRGLTPTILREGHGCGTYFLVYEALIANQMNKRRGLERKDIP AWKLCIFGALSGTALWLMVYPLDVIKSVMQTDNLQKPKFGNSISSVAKTLYANGGIGA FFKGFGPTMLRAAPANGATFATFELAMRLLG YPR060C MDFTKPETVLNLQNIRDELVRMEDSIIFKFIERSHFATCPSVYE ANHPGLEIPNFKGSFLDWALSNLEIAHSRIRRFESPDETPFFPDKIQKSFLPSINYPQ ILAPYAPEVNYNDKIKKVYIEKIIPLISKRDGDDKNNFGSVATRDIECLQSLSRRIHF GKFVAEAKFQSDIPLYTKLIKSKDVEGIMKNITNSAVEEKILERLTKKAEVYGVDPTN ESGERRITPEYLVKIYKEIVIPITKEVEVEYLLRRLEE YPR061C MLHHKFVYPFLFKWHLSCVEKCPPQITFIAKYATANDKNGNRKL TIRDEQWPELADPTPYDIFGIPKAGSGNPKLDKKSLKKKYHRYVKLYHPDHSDNIQIF SSEKVTNSDSKSPLLLTSSEKLHRFKVISQAYDILCDPKKKIVYDTTRQGWTTSYSPR SNVNTENYQYAGSYGYHSNAQYEYWNAGTWEDANSMKNERIQENINPWTVIGIICGLA ICIEGTALLAKIQESLSKAEFTHDESGLHLIQSYTNYGLDTDKFSRLRRFLWFRTWGL YKSKEDLDREAKINEEMIRKLKAAK YPR062W MVTGGMASKWDQKGMDIAYEEAALGYKEGGVPIGGCLINNKDGS VLGRGHNMRFQKGSATLHGEISTLENCGRLEGKVYKDTTLYTTLSPCDMCTGAIIMYG IPRCVVGENVNFKSKGEKYLQTRGHEVVVVDDERCKKIMKQFIDERPQDWFEDIGE YPR063C MTLNNVARPDLCVSYKKIAPPKGLYSATPSISGVVNQSMPMAAI FLRNKFIAWFSLIQSVHYYLNTDEDIIVAYKENKAPSPMDQPPAIKLFMSLIGLCVCY MNLVFPQQIAQPSSSGSKGNTETTIETTTEVETETAKQ YPR064W MKSCVLSNYVEGLEIIVCGYRNRLLFPFRATQVQAYFKVFSFFF FLLLTLGAAAAAKPTSERQVIFGSADKSPGYHWPVEVSAAWNYRELLCKTIGNLVGKP CSRTWRLLTKKKRAYCCCLFCCSSSYCLAGVLCVFCV YPR065W MNPKSSTPKIPRPKNAFILFRQHYHRILIDEWTAQGVEIPHNSN ISKIIGTKWKGLQPEDKAHWENLAEKEKLEHERKYPEYKYKPVRKSKKKQLLLKEIEQ QQQQQQKEQQQQKQSQPQLQQPFNNNIVLMKRAHSLSPSSSVSSSNSYQFQLNNDLKR LPIPSVNTSNYMVSRSLSGLPLTHDKTARDLPQLSSQLNSIPYYSAPHDPSTRHHYLN VAQAQPRANSTPQLPFISSIINNSSQTPVTTTTTSTTTATSSPGKFSSSPNSSVLENN RLNSINNSNQYLPPPLLPSLQDFQLDQYQQLKQMGPTYIVKPLSHTRNNLLSTTTPTH HHIPHIPNQNIPLHQIINSSNTEVTAKTSLVSPK YPR066W MDCKILVLGAGGLGCEILKNLTMLSFVKQVHIVDIDTIELTNLN RQFLFCDKDIGKPKAQVAAQYVNTRFPQLEVVAHVQDLTTLPPSFYKDFQFIISGLDA IEPRRFINETLVKLTLESNYEICIPFIDGGTEGLKGHVKTIIPGITACWECSIDTLPS QQDTVPMCTIANNPRCIEHVVEYVSTIQYPDLNIESTADMEFLLEKCCERAAQFSIST EKLSTSFILGIIKSIIPSVSTTNAMVAATCCTQMVKIYNDLIDLENGNNFTLINCSEG CFMYSFKFERLPDCTVCSNSNSN YPR067W MQAKLLFTRLNFRRPSTTLRQFPLTCFLFHSKAFYSDLVTKEPL ITPKRIINKTPGLNLSISERASNRLAEIYRNSKENLRISVESGGCHGFQYNLTLEPAT KPDIKNDVKDKEFSDDLDDDDSKDIIYVLPEDKGRVIIDSKSLNILNNTTLTYTNELI GSSFKIINGSLKSSCGCGSSFDIEN YPR068C MSKLVISTSIFQSQVADLLPCNNHQKSQLTYSLINAYDLLQHFD EVLTFPYARKDDLLEFHSKSYIDYLINGRFNKMMAQDVNNPMVESKWSELSELADNWN EKIDYNPSQDLQRFTTRENLYNYYLNHSQALENNMDCINNSEVPTNDKPTDTYILNSE TKQYNLEGDCPIFSYLPMYCQVITGATLNLLDHLSPTERLIGINWDGGRHHAFKQRAS GFCYINDVVLLIQRLRKAKLNKITYVDFDLHHGDGVEKAFQYSKQIQTISVHLYEPGF FPGTGSLSDSRKDKNVVNIPLKHGCDDNYLELIASKIVNPLIERHEPEALIIECGGDG LLGDRFNEWQLTIRGLSRIIINIMKSYPRAHIFLLGGGGYNDLLMSRFYTYLTWCVTK QFSNLRCGDNNSFQIDPFDVCDGDDSEQFIREHDLVEMYNEENYQYWIYEMEGSSRMK MLRNDNKDRDMVELMKFYEL YPR069C MAQEITHPTIVDGWFREISDTMWPGQAMTLKVEKVLHHEKSKYQ DVLIFKSTTYGNVLVLDNVIQATERDEFAYQEMIAHLALNSHPNPKKVLVIGGGDGGV LREVVKHDSVEEAWLCDIDEAVIRLSKEYLPEMAASYSHPKVKTHIGDGFQFLRDYQN TFDVIITDSSDPEGPAETLFQKEYFQLLNSALTEKGVITTQAESMWIHLPIIKDLKKA CSEVFPVAEYSFVTIPTYPTGTIGFMVCSKDKTCNVKKPLREISDEKEAELYRYYNKK IHEASFVLPTWAAKELN YPR070W MVEGDSYVETLDSMIELFKDYKPGSITLENITRLCQTLGLESFT EELSNELSRLSTASKIIVIDVDYNKKQDRIQDVKLVLASNFDNFDYFNQRDGEHEKSN ILLNSLTKYPDLKAFHNNLKFLYLLDAYSHIESDSTSHNNGSSDKSLDSSNASFNNQG KLDLFKYFTELSHYIRQCFQDNCCDFKVRTNLNDKFGIYILTQGINGKEVPLAKIYLE ENKSDSQYRFYEYIYSQETKSWINESAENFSNGISLVMEIVANAKESNYTDLIWFPED FISPELIIDKVTCSSNSSSSPPIIDLFSNNNYNSRIQLMNDFTTKLINIKKFDISNDN LDLISEILKWVQWSRIVLQNVFKLVSTPSSNSNSSELEPDYQAPFSTSTKDKNSSTSN TEPIPRSNRHGSVVEASRRRRSSTNKSKRPSITEAMMLKEEGLQQFNLHEILSEPAIE EENGDSIKEHSTTMDGANDLGFTASVSNQENAGTDIVMEDHGVLQGTSQNYGTATADD ADIEMKDVSSKPSKPESSVLQLIVSEDHIILDTISECNLYDDVKCWSKFIEKFQDIVS YPR071W MQNGTEDKSNIPARSNDDVLPPLAVRLTMKVMRLIFIGKMFAYS FVPFPPFKLLTFDNTVGWFVAYSAIVSIWGFAVWMERGYRHKINLLPPRCTKIRCSRC NTRIRSPNWFKYKNWLYFFLLYVSLTTSNLIIQLASFMTEMSRRGISVPGTKDPGKRD YLGLIIPMRFIGAFIHYMTANLFKEYYLHNGPLEKNDRPSTDEKTSENETL YPR072W MSQRKLQQDIDKLLKKVKEGIEDFDDIYEKFQSTDPSNSSHREK LESDLKREIKKLQKHRDQIKTWLSKEDVKDKQSVLMTNRRLIENGMERFKSVEKLMKT KQFSKEALTNPDIIKDPKELKKRDQVLFIHDCLDELQKQLEQYEAQENEEQTERHEFH IANLENILKKLQNNEMDPEPVEEFQDDIKYYVENNDDPDFIEYDTIYEDMGCEIQPSS SNNEAPKEGNNQTSLSSIRSSKKQERSPKKKAPQRDVSISDRATTPIAPGVESASQSI SSTPTPVSTDTPLHTVKDDSIKFDNSTLGTPTTHVSMKKKESENDSEQQLNFPPDRTD EIRKTIQHDVETNAAFQNPLFNDELKYWLDSKRYLMQPLQEMSPKMVSQLESSLLNCP DSLDADSPCLYTKPLSLPHPTSIFFPNEPIRFVYPYDVPLNLTNNENDTDNKFGKDSK AKSKKDDDIYSRTSLARIFMKFDLDTLFFIFYHYQGSYEQFLAARELFKNRNWLFNKV DRCWYYKEIEKLPPGMGKSEEESWRYFDYKKSWLARRCGNDFVYNEEDFEKL YPR073C MTIEKPKISVAFICLGNFCRSPMAEAIFKHEVEKANLENRFNKI DSFGTSNYHVGESPDHRTVSICKQHGVKINHKGKQIKTKHFDEYDYIIGMDESNINNL KKIQPEGSKAKVCLFGDWNTNDGTVQTIIEDPWYGDIQDFEYNFKQITYFSKQFLKKE L YPR074C MTQFTDIDKLAVSTIRILAVDTVSKANSGHPGAPLGMAPAAHVL WSQMRMNPTNPDWINRDRFVLSNGHAVALLYSMLHLTGYDLSIEDLKQFRQLGSRTPG HPEFELPGVEVTTGPLGQGISNAVGMAMAQANLAATYNKPGFTLSDNYTYVFLGDGCL QEGISSEASSLAGHLKLGNLIAIYDDNKITIDGATSISFDEDVAKRYEAYGWEVLYVE NGNEDLAGIAKAIAQAKLSKDKPTLIKMTTTIGYGSLHAGSHSVHGAPLKADDVKQLK SKFGFNPDKSFVVPQEVYDHYQKTILKPGVEANNKWNKLFSEYQKKFPELGAELARRL SGQLPANWESKLPTYTAKDSAVATRKLSETVLEDVYNQLPELIGGSADLTPSNLTRWK EALDFQPPSSGSGNYSGRYIRYGIREHAMGAIMNGISAFGANYKPYGGTFLNFVSYAA GAVRLSALSGHPVIWVATHDSIGVGEDGPTHQPIETLAHFRSLPNIQVWRPADGNEVS AAYKNSLESKHTPSIIALSRQNLPQLEGSSIESASKGGYVLQDVANPDIILVATGSEV SLSVEAAKTLAAKNIKARVVSLPDFFTFDKQPLEYRLSVLPDNVPIMSVEVLATTCWG KYAHQSFGIDRFGASGKAPEVFKFFGFTPEGVAERAQKTIAFYKGDKLISPLKKAF YPR075C MSSSSKASASSSLSSTATSSTSATRGSDGCVVCDSTASCPVCAS GEYCVMTSLTCDKCPSTYCAKQSDSQLSSLSSSSSSSSSSNSNEKTSLIVGFTVGIVG GAMLIALVALYFINKRYWKPKRQKNKALKLEEASQSYGNEEEYFDDEDDDDEDDEDDG GMRKDESHTLFNTSLVPPTLNVPGNRSSASTTRTRASNILPIAYIPGVTSGLSTDKLQ SKLRSSSKRQNAAGDIRSHITLGSSILDGLDDEDDEHNQVLNKDADDNLITAIRAKPK LVQIAEEESDKEIQDLDVIEEQTEADDLSHMAKSEASHGNNDEDDDEEGSFILDLEIP ESIRESTQGSRTESPFEDKFEIHDER YPR078C MQTISGVLPTVLSPSELRSDDERTFQFDEEAEITTHLTESEDLR RLINETAQLGVRVDHIHDKTDQEIARLEKVIKEVTESDTFFRSCSGWFKTNKNFSDSE SSSNTQLKSLSQLHGRYDRDWRQRLNKWFRKNKSKLALPSDNNLEEVNDDKVYGYGED LMERGKTPYFSDIDDFMNGLNIISPLTPDDFENDDTLVKIDETCQIHSASEPEKTSIS PTFGKNIKKELVTDDTESIISGPPLQENKKTLLKYRYVRTSLDMLGSEKSSSKNNSGG MFRIFHKSANFGDKNQENVPRVWDTLRNNLGREIYLLQGRFKKWTTKHQNLKKGQPCK DEDAVTVPLPSSDPGKETQLETKLCFVPEPGDQPLVQA YPR079W MLKRSSLIYLSCVLIITIPILLHVYNGPGLSHEANEHRASHKQK RTLANPDKPKSENDEDLFCAVTNPVTGSYIDLSQLSSTPNKLREGQKQISGNNKHESS KTKWSVRGWGYDTNFTLGICSSPVGEAESQQLSNLTGAFYVDQLNENNLVSIGDFSTR PALVGGSTAKKLTLKYENGSMCPNGKDKKATLLNFVCDKEIQSKAQISYIGNLHNCSY FFEVRSIHACPTSNKKNEVNVLGIFIGIFAIFFLVEFAGRRWIYAKLNRHLKNDDELH DISPSLNEQPHWDLIEDGSRWSKFFNGIIKTTRRFTKSLMRSLVRGRNSRQGGIRLRS SPSASSSSLANREFFRDMEAQNEIIDSLDINSHTTESDHPTLADNSV YPR080W MGKEKSHINVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKYQVTVIDAPGHRDFIKNM ITGTSQADCAILIIAGGVGEFEAGISKDGQTREHALLAFTLGVRQLIVAVNKMDSVKW DESRFQEIVKETSNFIKKVGYNPKTVPFVPISGWNGDNMIEATTNAPWYKGWEKETKA GVVKGKTLLEAIDAIEQPSRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVV TFAPAGVTTEVKSVEMHHEQLEQGVPGDNVGFNVKNVSVKEIRRGNVCGDAKNDPPKG CASFNATVIVLNHPGQISAGYSPVLDCHTAHIACRFDELLEKNDRRSGKKLEDHPKFL KSGDAALVKFVPSKPMCVEAFSEYPPLGRFAVRDMRQTVAVGVIKSVDKTEKAAKVTK AAQKAAKK YPR081C MPLMSNSERDKLESTLRRRFFYTPSFEIYGGVSGLFDLGPPGCQ LQNNLIRLWREHFIMEENMLQVDGPMLTPYDVLKTSGHVDKFTDWMCRNPKTGEYYRA DHLIEQTLKKRLLDKDVNPQDMKNMEKILTTIDGFSGPELNLVMQEYNINDPVTNDVL DALTSFNLMFETKIGASGQLKAFLRPETAQGQFLNFNKLLEINQGKIPFASASIGKSF RNEISPRSGLLRVREFLMAEIEHFVDPLNKSHAKFNEVLNEEIPLLSRRLQESGEVQL PVKMTIGEAVNSGMVENETLGYFMARVHQFLLNIGINKDKFRFRQHLKNEMAHYATDC WDGEILTSYGWIECVGCADRAAFDLTVHSKKTGRSLTVKQKLDTPKERTEWVVEVNKK FFGSKFKQKAKLIESVLSKFSQDELIRRHEELEKNGEFTCQVNGQIVKLDSSLVTIKM KTTLQHIREYIPNVIEPSFGLGRIIYCIFDHCFQVRVDSESRGFFSFPLQIAPIKVFV TTISNNDGFPAILKRISQALRKREIYFKIDDSNTSIGKKYARNDELGTPFGITIDFET IKDQTVTLRERNSMRQVRGTITDVISTIDKMLHNPDESDWDKSTFGLSPVKI YPR082C MASVLLPQLRTGWHVDQAIVTETKRLVVIRFGRKNDRQCMIMDE LLSSIAERVRNFAVIYLCDIDEVSDFDEMYELTDPMTVMFFYHNKHMMCDFGTGNNNK LNFIVDDKQEMIDILETIFRGARKNKGLVVSPYDYNHKRVS YPR083W MDENGTVKPGYELKGLNSGNSRSNMDKDPIVSKFHRAGLNDSAD EEDTDINGNRNTSWITSMISEEKRKVEGKSMLNDEEDLHLSKATLNKCDALVKILADI IKLEFVIHQSWYIRSLHKSVLIQFEVETSGGNKNSAGDSGDDDDDNHNGNLDDSFYKD LSLKCIKKCEKSSLALESLSRDIDQIRDFIMSNTIEDNRVDRLLQNSMTLLLECWIYS MKRLRRLRMKIAGIFVRSKLLLIDHELVTIWHFLQEQNEHETVNNENELKLAETIKSY RAFIKIFIQQLEDSESGSPSSSLFEECLHVFLDIESMYNSLNLNWLLNENKALQERLL SPSSTSENDHTNNLPVIDETKEIEDISSFVNSIVDASMLTHDLTPINSSDSDNLSNGE IDRLDGRRLSSSTSDMSLMMQRTSLQKQLPSLLTAFNNARRLEQELQNACKVNDNKHS TKDTDSNIRRNEHAMSSSVSSIISQNSTLASPSPPMSSSFISTAPSQSSSRMSTLPLS PSSSLLESQSQTLKNNMSQWLNQSRSGLNGTKLIPTNHIGFHSNVLNTLYGIGGGPVS KSYKSNQPSSQNT YPR084W MSEKASEERPIRLAVLGGTSTGKTSLVSRLTVNIVHEVHYPTRN QTNWLFGFVPSSILARAILDEQAHERLCLRSPSSQTLEPIFPSPQVSKNVLLSPLVFQ ASTDNFQSVRLHNKSHSRRSLSLDKSDSPLYQTFSNDINSQSVPKIKADQLNVIEHFK LPLNYIPPTYAPIQIDIIDTPGFSPDNVVPFLEVSLFRNLGKSILHGLADEPRRPVST TSLLVASGASELNGKVDGYILVYSAVPELNHIGGPPEYGDDVMNTDTENVSDGGFELL KVIRNCILDAWTEFRNYEKRWEEGKEDDIYSLVYSLRHLWSKNSKEKSAKIEQLRSYN TKLKSIELDPSSPDSPPPCIIVCSHVNHELASPMLIEMGRQLATKWKYGFVGIDSMDD LNVDVAVSLLIKEISEKMKLLVSNSNGSSSSGNSSSIYNSHLMNDKKKNNNAGLNKNM LKKIIK YPR085C MRGFSNEIILKRTLTLSDFTLRYHKRGITALQVIKAPSVSNVPV LLSGDNYGYFVMWDLVTKRPITHIEIEGNSHIIAFWWVETTNVLYILSKDSMLRIFEL DSSTQLSIDLVRKLSQANKTDHLQWTKIYEMPINTLNFANFIIEAEVKPTKDNKSYRL VCCHTDDSETIDIYQIIEDSTFKLKRPFNNINFPRFLKQQNFLGISKDSKFGIIMRFA KLNDVIFLGYENGFVVGFKITFDEGLQRDIAELVHVSNDHYPNPILDMCVSGDELYSC STDDFITKYKIPVNLQLETKYLRDDALLIKCPSSLRVSEPSKVHLPLKNIGHIDKVKD DYLVVSSWSGMTIVYNMRTSEVEQTFVKSKNNLVVSDSSMGDLTNGSGSNTESSSKSH NYKVGAMTCLESFDVQSDGLRLGQLRRIKALAKCNWCLIGYEDGTIKLNKI YPR086W MMTRESIDKRAGRRGPNLNIVLTCPECKVYPPKIVERFSEGDVV CALCGLVLSDKLVDTRSEWRTFSNDDHNGDDPSRVGEASNPLLDGNNLSTRIGKGETT DMRFTKELNKAQGKNVMDKKDNEVQAAFAKITMLCDAAELPKIVKDCAKEAYKLCHDE KTLKGKSMESIMAASILIGCRRAEVARTFKEIQSLIHVKTKEFGKTLNIMKNILRGKS EDGFLKIDTDNMSGAQNLTYIPRFCSHLGLPMQVTTSAEYTAKKCKEIKEIAGKSPIT IAVVSIYLNILLFQIPITAAKVGQTLQVTEGTIKSGYKILYEHRDKLVDPQLIANGVV SLDNLPGVEKK YPR088C MVLADLGKRINSAVNNAISNTQDDFTTSVDVMLKGIVTALLESD VNIALVSKLRNNIRSQLLSENRSEKSTTNAQTKKLIQKTVFDELCKLVTCEGSEEKAF VPKKRKTNIIMFVGLQGSGKTTSCTKLAVYYSKRGFKVGLVCADTFRAGAFDQLKQNA IRARIPFYGSYTETDPAKVAEEGINKFKKEKFDIIIVDTSGRHHQEEELFQEMIEISN VIKPNQTIMVLDASIGQAAEQQSKAFKESSDFGAIILTKMDGHARGGGAISAVAATNT PIIFIGTGEHIHDLEKFSPKSFISKLLGIGDIESLFEQLQTVSNKEDAKATMENIQKG KFTLLDFKKQMQTIMKMGPLSNIAQMIPGMSNMMNQVGEEETSQKMKKMVYVLDSMTK EELESDGRMFIEEPTRMVRVAKGSGTSVFEVEMILMQQQMMARMAQTATQQQPGAPGA NARMPGMPNMPGMPNMPGMPNMPGMPKVTPQMMQQAQQKLKQNPGLMQNMMNMFGGGM GGGMGGGMPDMNEMMKMMQDPQMQQMAKQFGMG YPR089W MNSVWDDARIEDRTVDKPVGSSHAQEKLALVKSTLFKLDQEDRP ECDSWVQLVKLICDEDREEEFTTFKELLREVKNVNDKSVTGVALIHYIIVFDRADYIE LLHDNPSGAKLDLNLVDDIVGYTPLMWSFSLQRRNCCLELFNAFDEINFNMTNKAGLT AWDMVPPYSPLSEFLEQNNMFRYRTEVKHEIPQISQPKDTSLLMSNEDSTTKETFDNI DLQVAGLTLSPGANDNMFLDSDEKNMNHSQGAATLIDPTYTEDYHGTFDYDKLSPDQY LEFSDFDIPQILNLLISLPQKEPHMTTYPAGLIYQCIRYADHKIKSKPLVESLINLSL TKILTSVSSNGAAGLVSTEASLQAGDIVLQSYWLSCLSFLYYYLCRDDSFFKRHPSVL QELINTIHSIIIELTSSIHCRLISLIDSTLLAYTTIQDVKQTLYKRDWNFFKKRKQAK LLLKEKNRKQLKEQQKKELHRKSQGQENHEEEEGQQDGNDSDDRASTNDDNNSSVSLF YDKEILRHLYPPSFEEQMKPSPLKIVQIFGALSYVLNLHQTHPIFQQQCLSISVNWFA TTLFNKILKDKKKRSLSRAHAIQIRLNLSTLESWIQNNDFCVPKPMLIDDFMWQRFPM TLIRDVGEIDLSDPILRNVATYKPIDENNKDLIYDTSNSLFYYQPFHKIAQIHLEPVF QLLQWLQVATTLDSEESLISTMNLLPRITPVQLLKSMEKYNYELNENKFNSKLKKFLN NKIKDSKMSKADAYLQEHEIPYLVLPTIPEMTDLYSKGPDSHSFQPFLPGSIQDDVYE IHDVNFKQRQNEPQISRTNSGTSDFTGDEDKAQYETEGVGESIDINETVEPESNAFNV GNDDYFKELNIPSSTAQRPAWSNNDDMEQNPW YPR091C MASLKVFLAVYLLGGITFLPLVLFTLYKIHLLYSNLKSASKKEL DHDTADEIDEKTRLLARDIDPEFKARKLEEQLGVKVFNKGWITVTKQYYYHSSEVAVI LKNSNNNKDSDTALQEQILQRTDLKKKQRFFAVLRHGNLFLYKDDSQNANLVHAISLQ NRFITIWPRFDELGKEELPDASLFTKRTCIAIFKNDLVSIDSKNHNVILPHFDPLTSA ESNNGDISTNDTTHEYQSQFHSSNQFFLYFDNNMDKEDWYYQLINASKNSNSLSTGLL DPNVSANAAHLKTKDMLQLIQDINSTENQLTTKWLNALLGRLFLSLQQTDTLNKFIHE KICKKLNKIKTPGFLDDLVVEKVDVGDSAPLFTSPELLELSPEGSTKIAIDVQYRGNL TIIIATKASINLGSRFKQREVSLQLSIKIKEFSGPLLFLIKPPPSNRIWYAFRTEPIM DFEIEPIVSSSKLSYNVVTNAIKSKFAEAVKESLVVPFMDDIVFYPTPNEVYRGGIWE EQDPEAAARARTAAAASDMNNTSAKEHLEALQEGGMKTQSRIKKALRPERKKENLKDL VDASGVATKTTTQTTVTTATNDDVSSSENSTKSRKYFKNSIKKIGRWYKDNVGNSSDT EDMDEIDVQDKKNDDSADERESDNPILTSNPKMISNRRPVPRRPSQPLNTLSPKLEGR KEKDTENFPVPPSASNMNASKMFANKENRKFSVSSNDSQNSLKNGDPHVKASKLESSQ AFVKKTSQNRFNDGFFKQDLEFEEQREPKL YPR093C MEECPICLADDQEGEQFGCLNVCGHKFHLNCIREWHKYSINLKC PICRVESTHLEVGEGQHALSINLKMGFMIKNAIDYVGAETTNERNEDDTGEQDQEIEF LSERLRGTLVMDTIKIIQCSICGDTDVSRLSLYCQDCEAIYHETCLRGLACEVGDRNT WQECTDCRSNALLELRMGAISSQLASYDSRNSMIFAGELRDKHSVKTQQMYEQIRNAK HKIQMHVRRALDRYPLPLLRFKDAYKHVNKQVSRKLYRLSDNKYLPDQYDYDSLARTG VHTELLIYCHDE YPR094W MSRHQFDLIMCLKQPGVQTGLLCEKCDGKCPICDSYVRPKRKVR VCENCSFGKQAKNCIICNLNVGVNDAFYCWECCRLGKDKDGCPRILNLGSNRLDRHFE KKKKV YPR095C MNQSISSLIKLKFLQSHSNDKNGNKKGGSNVSTGIDKLRESESY RSPFLQLAEIQEHTNNDDDKLDVKECEPTKKHSKLSRIRRKMGRLDLNFRSANEKGSE DDEILVAQHLRNGQDPEEMPFKSENNIDSIEKVPKPDGERVTLTSSGSDNVKRNSKHA PFIPVKPALEKFPSSNRLSRDYRKSQEPTLFNGDRLVPTLPTVSRISTSSSVGSSTAA SRYFNPSKRAVVASSSSSSSSIKFNSLHAIPLDATPQIELAKQQDEISKRRFGRRRSR TVDVFDYINKNNTAKNKPPLSPSSFIRTIDEKNTNSLMQDPMGSRGPLLPDDANIISN DTDGAEASHPDHQVLSRSRSQSTSFVQGKGGKRKSIEDEGYHNKLGLPHGSGPTSVYN NKSNANSTITGMSRRSSSIVNALSSFVNLRSSSLSSSRQQHLQQQQQLQQKLDVSLED LPPVPAPEFSDSCKDFLIKLAPYGKFIGIILTEKDDEFKKNCLNYLLTNCFEFKNDPL DIALRKLLMFLELPKETQQIDRLIMAFSFAYYKAQKSYSKKKGIECPWSNADQVYFIA FSLLMLHTDYFNPNNKSKMTKHDFVDLVHNDKYSGGNEIPMAVLTYFYENVTAKESPK FNYFLMSPMALDDSILDKDAFDTNFAITLSSNSMYSPIDMIKRGSIIPKEASLSPIFY PLTNSISASGIAPSTAASCPPSTSGTINGANLGTANSNSNRPASNSISSYFSYNPSSS SSGNATLVQDDINVYSHIINDTLNEVNLFPEVSKYWNKNALKANLLRNEEHKYEKYYS IMNDTKGGYLRFHKSQLNKLNLPNFEILNDNSRSGCKNSDYKYCKILQMGAIMNLGMP SRKFSIVNSAKIHWKKEFAILTSLGLLICDKMDWINPQMMKDPKSGTTNYIIDFKSGF SFVPGSTIDVYNGLFADRERDSLGKSHFASLVLAYTEHHSTGSHTSNTTAASSSAKHN EGVFEPSSDEEDSITNSTDGTSSVSNGESDNDSVSSSDNQLSSNDSNEDYHSIKDEYP IFEDENADCLLYLHTCHRNFIWKCANKYERDNWIDSINLFSAYDGCYVEIGSIANTIC NKRKLTILQRMERLRSIKSAKWEKLKKFESTLMLMGKCVPISTKTKTDMINRIRQLAV RMDWLIYEIKRSELFVSIIKEVTRKQAEKNILEHGKGEEEGQGNNDDSDGIDDIEESF LFNENSLQVCVSDSSYDEYSNE YPR096C MRGETGVSIKNPRPSRPFSCFWRKGDVENIRKSDIGNEKKIDAK FNRLQYNLYYKPLSHHKAGLLYKELFFRSCFSYTTCSLDFQGKRHQVERKAVDIVL YPR097W MITQDTPALNPTEEHYLKRELLRCQLDYEIGKLNDQFALRKFGY PFSPNDPTAPQPISNNDSSPVLGGKGHFSVNYPMLSYVLQEFISTFPLLSTNLLVDEK FWQSKVQVFFEHFMSLGFSESYDREEASKRKKVSKKLSKVILLLFNSGVGSFQEQAYY NEDKFVLQSGQARKRSNIEKFAMPTRENLENLLTNESVFINGWDVNIISVFNKNSRKC TESVDNDKSSKSTPTSSPKSHAIKSFASTSKWMKNAFNNTINSTINSMPESSASLFSK LSLGVPSTKSKQSRKHHYFLIKIKKQDDDDQDNSNEENSNLDHHAGYFYVTRTYSDFK KLSHDLKSEFPGKKCPRLPHRNKKVTSMITKTEVLHNGQTKSAAREKIVNTFDTDLQS ASESDNSSFLQTTNELSATETVLTEKETETLRKNILNEIKEEDNIDEDEYEEEGEGEE SDFDEYKDASDSKINTLVGEKMRTSLRQYLRTLCKDAEVSQSSSIRRFFLSGPNLDIK DINPKIADDIRNRALIDVSNLENQIRFQQMALEKSLKLQDSMKDFKTSLLKDEKYLMS LLVEIKDNTKVEDLSPLLQDFVEWCKIYISSMIYQMFLGNDNSYELYTQIRRLHKLMP YTVMGQIMKFTNPIAIMRGMIELFMAQPFGGHSLLQTMFSTILTDDLKTQKVAIKELE RKIAEMDPGASVVTKCLKDFVFNNDTKDEHDTKLFTMDAVNAESESMNMPVPLIVLMK SAAANLIPDEVVAGLIESYSSWKLQKEDTDALNVTSEDQSGIYFTHVKDLWQLYIKEH DKQLMRQLWQDPELTQMLKAIVTMIYEPMVKIFKVARMDVALKNFEKFMSDLIRLVDD VINGQLGVSTQFDVVEEIHNLVTKHQDAFFEFIHDVYLNDSEGIFEGFITWITTIVKF LQKSKFGGPSERIDFNKLICRDDIDIDVKLLKVQVNNVLNKKIGARKIYKKLLDLKVK QGTKQNNKHAAGILQKNWSDINSLVMPSSSGSFGLGDGDLVDLDLDTGDYDFLHKENE VELEKQYKDLLNLVVDESEIDKLRSQVFAQELKNYLEAQIAKK YPR098C MCLVKTTAHLLFYSFVFGGTTFYSYVASPIAFKVLEKDQFSALQ NKIFPYFFQMQAASPVILALTAPIALTTGPLSSLVVASVSGLTNLFWLLPWTHKVKEQ RKNIAKKYTGSELEAKDAILRKEFGKSHGLSLLFNLSNVCGMLAYGVCLSGGLLRKIP K YPR100W MVVKAIARNSIGRNGVGAFVFPCRKITLQFCNWGGSSEGMRKFL TSKRLDKWGQEFPWIQFEVMRKSGHPLLRAEYTNGREKVICVRNLNIDNVENKLKLLK DSDGDILRRRTKNDNVESLNSSVRGIWSPLHAAKRHRI YPR101W MDGLSFVDKGKIPDGYKNEIDQLVKKEFANIKREPVHPEIRGIL AKRKGADNSVSTLTNALYTEYLKQRNNKKRRTPDFNDDDDTLFLEEYRRKYPRIDTSR YIPNESSEVSLLGIVDSYLKHQEIVLDTLLPQTVSNQWRINNDYIRQTCTIVEEMNIQ QRKQINDLEIYRKRL YPR102C MSAKAQNPMRDLKIEKLVLNISVGESGDRLTRASKVLEQLSGQT PVQSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYQLRDRNFSATGNF GFGIDEHIDLGIKYDPSIGIFGMDFYVVMNRPGARVTRRKRCKGTVGNSHKTTKEDTV SWFKQKYDADVLDK YPR103W MQAIADSFSVPNRLVKELQYDNEQNLESDFVTGASQFQRLAPSL TVPPIASPQQFLRAHTDDSRNPDCKIKIAHGTTTLAFRFQGGIIVAVDSRATAGNWVA SQTVKKVIEINPFLLGTMAGGAADCQFWETWLGSQCRLHELREKERISVAAASKILSN LVYQYKGAGLSMGTMICGYTRKEGPTIYYVDSDGTRLKGDIFCVGSGQTFAYGVLDSN YKWDLSVEDALYLGKRSILAAAHRDAYSGGSVNLYHVTEDGWIYHGNHDVGELFWKVK EEEGSFNNVIG YPR104C MDGEMAIIESSNHVGTSSPTTETQFTIDSSALKDQETKESITNS PTSEVPIETKLPKSSDIVTEEKHPQNTTTDIENEVENPVTDDNGNLKLELPDNLDNAD FSKLLEFDAKNDEALFNSNELLSHTMDPVNNIDLTHDHSREVSSKEDINIEPVNPDED EREKTQDNTAAVKTEGIRNSEDTSIQKDEPTADAIYTDVHKLSVNKDTETLPTLVDEK NNMLHMRNNSITPIMFQQHELVGQPPQNTVTENNSTDAETTQRKLSEPIDASLPLPNE QPTIFAYARLDFQSFTFYVQTLHAIIGRRSENDFSHKVDVNLGPSKSISRRHAQIFYN FGTGRFELSIIGKNGAFVDDIFVEKGNTVPLRNKTKIQIGQIPFQFILPEQERNDDSK SPENADIAESEINTRNLKKNEPKSKKKITTGAKPKKAQTKPAVKKEKKPPKIPKKVYT LEEIPVEYRTKPTVSYSAMLTTCIRKYSTAKGMSLSEIYAGIRELFPYYKYCPDGWQS SVRHNLSLNKSFRKVSKEGKGWLWGLDEEYIAERERQKKKQSEIAVAKAQAAQLKLEQ QQHKLQQVPQRGKKDIVSQRSNVNARKQNISQTLAANRAASNRKNTASDNQRTMKYLQ EQLVILTRDRKGLSKQVIAAILTQALAMTINQVTQAAKNKGITGNPLTALMDKNPQHL NLILAAAVNAATAKVTKGEVKQLVNPETTAAAALAAKAQHSKPIRQPIVQTPHVPDRP PSQLSASASSHPNNYLHDKQPGSFDPSSLSRFFQPRQNARATSSVAATSVPAAASQNV DAQPKPKPAQDNDLESESGTSSSSSSSSESGSESDSGSDDGSASGSGDNSSTSSESES ESDSGSEVDEKNNKNEKIDSESIKNNESKDDIPSKDENSSNDNREISKTDEEGHDSKR RKVSEDINEGITEVNVSLEEKL YPR105C MEGQKSNASWESSIIEGQLSKNLARYTLLLDKLSTLSQIDKLSE VIANDYAKQSKQLNAFVQQSQSSLNKESRKLELQRTNLTTTLTQFHETVATISSSNAR AKAIHDDIETVDQERALVNKTLQFVKDVRTLKNNISLAHSALETKDYLVAATAINEIR SLPDKKLIVSEFAKKVVPSSEIPEEPAILIKNWCKELTSLFQEQFMEATRTQDIKELT LMFKMFPMIGQDVLGLDLYSKYVCDIIADESRKIMSNSMENSTKFQGFFSQVLLHLFK IVSTIINDHSKVIATCYGKKHMVHVMEKVEKEADLQASLILDIFMETRKIERTIHDIN EWEHSQKNEDVNIDSNQSDIETDGETEKSSIISIHDLALLIMEFSQILQNWSMYSRFY SVKWNEFSDLHPHVLQPPPPIADGKFALKLKQDKVFDEFQVFVLNHLQRSFRNSISLE ELPSLNDLITAVPLNDHDNISYPVTSVLDDLILLVRKNLISVVNTGQFKLLASFLNEL VKFFQNRFLVKFMQNKFKLLQSKLASNVSLKRYIPKGEEQSATSRSVSPPANKFSPLS RFTFRGAAASALTNIQSNLQAVVAEDEDSILALHHYLIYLNTLYLSKVYVHRLLSIEI LEDDSQRILRDNFPFDNDAAQLQNLIINSEKLVLEQTDKLSKWAVKYLFQNILQNRVR NLLGTVFVNSASSNSSTSNQKNVSRDYSAGSNQKNYITSIEDFEDLSQINSFNSKWNQ LIIPYKNILHNEAYAELLSVIVDYIVTTLEQRIWTLEFNELGVTKLDRELSLFIGNMC GLNYNLREKFLKLTQIVLLLGLDDDNFDLTTGDIKDDFNGTFDWVINSQERIKARNMK IDRTQ YPR106W MNSTPPTSPVTRVSDGSFPSISNNSKGFAYRQPQKHKSNFAYSH LVSPVEEPTAKFSEAFQTDYSSKAPVATSEAHLKNDLDVLFTTPRFYSPENLALMFRL SNTVSSLEFLDEFLMGILLAPEMDFLSNPSYSLPSNKLVGQGSYSYVYPISSSASSRC NNDSGVVLKFAKSQHKSKVILQEALTLAYLQYMSPSTNESHIIPFYGLTYITKSHFRR LRSNECVPGLILPKCEMSLYHFNTAVSHKLSLITKRKIWWRLMKQMIDALKSLKTNGI IHGDIKTANILITEMHVLNGGHCKDFDFYLADFTSAFHINQTPTDLNTTVEYCAPELI DSSSDHVPTFESDLYAVGLCLLSFISQHEPYNELQALVSHGSSPGIGSSSIQQSQWLI NALLKKDPINLNMLRNDLFQDWKSELALLSRILVDRLPLENLITILDSNYI YPR107C MSLIHPDTAKYPFKFEPFLRQEYSFSLDPDRPICEFYNSREGPK SCPRGPLCPKKHVLPIFQNKIVCRHWLRGLCKKNDQCEYLHEYNLRKMPECVFFSKNG YCTQSPDCQYLHIDPASKIPKCENYEMGFCPLGSSCPRRHIKKVFCQRYMTGFCPLGK DECDMEHPQFIIPDEGSKLRIKRDDEINTRKMDEEKERRLNAIINGEV YPR108W MVDVEEKSQEVEYVDPTVNRVPNYEVSEKAFLLTQSKVSIEQRK EAAEFVLAKIKEEEMAPYYKYLCEEYLVNNGQSDLEHDEKSDSLNEWIKFDQELYNEL CKKNESKIKELNEKIQKLEEDDEGELEQAQAWINLGEYYAQIGDKDNAEKTLGKSLSK AISTGAKIDVMLTIARLGFFYNDQLYVKEKLEAVNSMIEKGGDWERRNRYKTYYGIHC LAVRNFKEAAKLLVDSLATFTSIELTSYESIATYASVTGLFTLERTDLKSKVIDSPEL LSLISTTAALQSISSLTISLYASDYASYFPYLLETYANVLIPCKYLNRHADFFVREMR RKVYAQLLESYKTLSLKSMASAFGVSVAFLDNDLGKFIPNKQLNCVIDRVNGIVETNR PDNKNAQYHLLVKQGDGLLTKLQKYGAAVRLTGSDRV YPR108W-A MKSLHDSLSVTDIKRSRLQISMQTLCPFLEDTLQCKSLLSLVVE RCTCNAKVVSSILTGGKIFSLKLTLI YPR109W MDNFESTAEGNLSIGNKRVYELRKRNFQRNLVNNLSFLGYVLIS LEYIKYDRTVWTLITRAIVQSLISSPFPSDAKLRRLATLGADNNTTGVATLPGGRSIR FPGMFGTEMLYNSSSEAEQQDHDDTAIVSMKKQIRKFLFHGCLSLNMLFIILTILFPI DFFEPLSGSEPVDDGPKNTPSPFSNSDGLLLGERRGGLFLQMIGERLPKSNFSGNLGL VMFEFSILIVQFTLFSLTCVVLADLDFEEPERLEPVNSDGYDGSVIVARIPLNKTMNA ILNDGNINDNNENASNSV YPR110C MSNIVGIEYNRVTNTTSTDFPGFSKDAENEWNVEKFKKDFEVNI SSLDAREANFDLINIDTSIANAFRRIMISEVPSVAAEYVYFFNNTSVIQDEVLAHRIG LVPLKVDPDMLTWVDSNLPDDEKFTDENTIVLSLNVKCTRNPDAPKGSTDPKELYNNA HVYARDLKFEPQGRQSTTFADCPVVPADPDILLAKLRPGQEISLKAHCILGIGGDHAK FSPVSTASYRLLPQINILQPIKGESARRFQKCFPPGVIGIDEGSDEAYVKDARKDTVS REVLRYEEFADKVKLGRVRNHFIFNVESAGAMTPEEIFFKSVRILKNKAEYLKNCPIT Q YPR111W MFSRSDREVDDLAGNMSHLGFYDLNIPKPTSPQAQYRPARKSEN GRLTPGLPRSYKPCDSDDQDTFKNRISLNHSPKKLPKDFHERASQSKTQRVVNVCQLY FLDYYCDMFDYVISRRQRTKQVLRYLEQQRSVKNVSNKVLNEEWALYLQREHEVLRKR RLKPKHKDFQILTQVGQGGYGQVYLAKKKDSDEICALKILNKKLLFKLNETNHVLTER DILTTTRSDWLVKLLYAFQDPESLYLAMEFVPGGDFRTLLINTRILKSGHARFYISEM FCAVNALHELGYTHRDLKPENFLIDATGHIKLTDFGLAAGTVSNERIESMKIRLEEVK NLEFPAFTERSIEDRRKIYHNMRKTEINYANSMVGSPDYMALEVLEGKKYDFTVDYWS LGCMLFESLVGYTPFSGSSTNETYENLRYWKKTLRRPRTEDRRAAFSDRTWDLITRLI ADPINRVRSFEQVRKMSYFAEINFETLRTSSPPFIPQLDDETDAGYFDDFTNEEDMAK YADVFKRQNKLSAMVDDSAVDSKLVGFTFRHRDGKQGSSGILYNGSEHSDPFSTFY YPR112C MSRIIVKGLPVYLTDDNLREHFTKRLRQKHSHQAVNGSGPDLIT DVKILRDRNGESRRFGFIGYRNEEDAFDAVEYFNGSFINTSKIEVSMAKSFADPRVPQ PMKEKRREALKRFREKEEKLLQEENRKKKKVDENKHSNIDDEIRKNKQLQEFMETMKP SSQVTSWEKVGIDKSIEDEKLKREEEDSSVQGNSLLAHALALKEENNKDEAPNLVIEN ESDDEYSALNRNRDEDQEDAGEEEKMISISNLKDTDIGLVNDDANSDEKENEKRRNLA QDEKVSDLDWFKQRRVRIKESEAETREKSSSYATEQNESLDTKKEEQPERAVPQKTDE ELAIEKINQTGRLFLRNILYTSKEEDFRKLFSPFGELEEVHVALDTRTGQSKGFAYVL FKDSKNAVNAYVELDKQIFQGRLLHILPGEEKKSHRLDEFDLKNMPLKKQKELKRKAA ASRQTFSWNSLYMNQDAVLGSVAAKLGLEKSQLIDAENSSSAVKQALAEAHVIGDVRK YFESKGVDLTKFSQLKSTNQRDDKVILVKNFPFGTTREELGEMFLPYGKLERLLMPPA GTIAIVQFRDTTSARAAFTKLSYKRFKDGIIYLERGPKDCFTKPAEADDLINNTSAKE EENPVEVKPSSNDLMEANKDVTEGSSNAHDEDVIDGPTVSIFIKNLNFSTTNQNLTDR FKVFTGFVVAQVKTKPDPKHQGKTLSMGFGFVEFRTKEQANAVIAAMDGTVIDGHKIQ LKLSHRQASQSGNTKTKSNKKSGKIIVKNLPFEATRKDVFELFNSFGQLKSVRVPKKF DKSARGFAFVEFLLPKEAENAMDQLHGVHLLGRRLVMQYAEEDAVDAEEEIARMTKKV RKQVATNEMAALRNGGGRKKLDVDDEENEGF YPR113W MSSNSTPEKVTAEHVLWYIPNKIGYVRVITAALSFFVMKNHPTA FTWLYSTSCLLDALDGTMARKYNQVSSLGAVLDMVTDRSSTAGLMCFLCVQYPQWCVF FQLMLGLDITSHYMHMYASLSAGKTSHKSVGEGESRLLHLYYTRRDVLFTICAFNELF YAGLYLQLFSNSATFGKWTTIISFPGYVFKQTANVVQLKRAALILADNDAKNANEKNK TY YPR114W MDVLLSLPQPELFKTTVIPFLANRNIIKSEAILSNLHSIFYVAI FYHIWFLFGKWILFPHLVKWKLDYDQKHNVKKDEKTTSERQAQHYKKKYTSLINQSSV HLISLLQSIVVLYYSLKFLLDPKASAEPYQTSHSRVFTENRDTQVICIFAIGYFVWDI YISTMYSTFPFVVHGIISTVVFCIGLKPYIQYYAPVFLMFELSNPSLNFRWFGIKFLP QKSKFCSLLLLLNNLTLMVVFFAARIAWGWFQIGKLCYDFYQVRNEPGFLVFDTIVIL AGNFVLDILNVIWFSTMVSVAAKVLKKGESVDKVTKNEQ YPR115W MSDYFTFPKQENGGISKQPATPGSTRSSSRNLELPKNYRSFGGS SDELASMYSADSQYLMDMIPDSLTLKNEPASGNTQMNGPDGKENKDIKLDEYILPKTD PRSPYYINMPIPKKLPKSEGKARAKQKVNRADPSDLDVENIYETSGEFVREYPTDILI DRFHKWKKILKSLIAYFREAAYSQEQIARINYQMKNAVKFAFLTDLEDETNKLVDPSI SKLPTKKPQPVPLAAQKLDSKYDTDVEQPQSIQSVPSEEVASASSGFMKFGSGSIQDI QVILKKYHLSLGSQQYKISKEILAYIIPKLTDLRKDLTTKMKEIKELNGDFKTNIGEH IKITSRLLNKYIASVKLLDEASTSGDKQGEKLKPKHDPYLLKLQLDLQLKRQLLEENY LREAFLNLQSAALQLEKIVYSKIQSALQRYSALIDSEARLMIKNLCHELQQGILSRPP AVEWDNFVSHHPTCLMNLKSTDPPPQPRRLSDIVYPNMKSPLAKCIRVGYLLKKTESS KSFTKGYFVLTTNYLHEFKSSDFFLDSKSPRSKNKPVVEQSDISRVNKDGTNAGSHPS SKGTQDPKLTKRRKGLSSSNLYPISSLSLNDCSLKDSTDSTFVLQGYASYHSPEDTCT KESSTTSDLACPTKTLASNKGKHQRTPSALSMVSVPKFLKSSSVPKEQKKAKEEANIN KKSICEKRVEWTFKIFSASLEPTPEESKNFKKWVQDIKALTSFNSTQERSNFIEEKIL KSRNHNNGKSSQRSKNSTYITPVDSFVNLSEKVTPSSSVTTLNTRKRANRPRYIDIPK SANMNAGAMNSVYRSKVNTPAIDENGNLAIVGETKNSAPQNGMSYTIRTPCKSPYSPY TGEGMLYNRSADNLMASSSRKASAPGEVPQIAVSNHGDEAIIPASAYSDSSHKSSRAS SVASIHNQRVDFYPSPLMNLPGVSPSCLALDGNANGYFGIPLNCNSEARRGSDLSPFE MESPLFEENRTQNCSGSRKSSACHIPHQCGPRKEGNDSRLIYGNEKGASQSRLTLKEP LTSKGVEAPYSSLKKTYSAENVPLTSTVSNDKSLHSRKEGSTNTVPATSASSK YPR116W MGLPKSAYKKLLIDCPTRVINKNCAQRVKDVSPLITNFEKWSDK RKKLYFKDEEEMVGQFHLENFNLKNNLYGRLLASPMRAEKISKLKSCRELLIPLKVVP STGKDQHADKDKLKLVPTLDYSKSYKSSYVLNSASIVQDNLAAATSWFPISVLQTSTP KSLEVDSSTFITEYNANLHAFIKARLSVIPNVGPSSINRVLLICDKRKTPPIEIQVVS HGKGLPITQSVFNLGYLHEPTLEAIVSKDAVTNGIYLDADNDKDLIKHLYSTLLFHSV N YPR117W MSMLPWSQIRDVSKLLLGFMLFIISIQKIASILMSWILMLRHST IRKISFGYFFGTSIRRAFILTDFAQIYIGKITLRIGWKPGIVFHNVDLKLFGKDSHIT AHSTKDSRTYFNPRDQTFTFVINRRVLSILKLVFSFSTFFHTLALTVPNGKQYKLNIG SITISHPHDDTIKLEAFLHDFTHPETKDTLNHTGFFMVCKIGKEDDTGSNCTKVILKN WKSSLKISDVCWHLPEKKGKNLHSEPVEPFSAGDDAEMLTSYRKMLKPFHYPLKTLNI LDLKVENVKLIYKKKFTIRISSAQLYLESISILNNVSALELLPLNKPTWGDFELSLSA NAVVVDIDGNTAVRIPFGNVILTSDILLFLLDNVPLRRTKVSSILNIINPSVFLTIHQ VLEVLHLVDKFDSPETSSCTNTNDRSLNILDLDIDRLPSFNFELLMSNFISRLHISDE ENVTFKVFSTHALFSRNNLSMTPKKGQVMQIRPDWPFAKTALVSDQLSNYIKIVGTSL SYLRIPTEQDANPVSIPVCGFERLDTFLDEFSNSKLIVQSTLRHSYVSLENIEVLHTL SRAFDKIYLLISSRTKRNAAHKANGGKLGDLNEAKKTFNWSLKLRMKDISCSLLVAGF LPKNLDPVEAENFNLSDVTRGAKVVFTESILLADSQEKNFTIIDASVYRFMDGTTYKP SPEVIIQFTNLLLSFNDSDEIHFSLPKIKFKMDVNIIWLWFYIRSIWIKFRPNSKLSR NSVSSVKSVNVLDRLRVDIGKMIIELTLPHNTEVLLIFERIGLSSSTKNLTIASLSAY VVSVYVKHIKVYVSLLNINDFELDTEELICKKSAVINTSLIHFHAEYHFRFYMITDNI VTLYKSFKQIKLAFSNLNEFKRLYPQQQFPKKVPNLHICCQDFLIDIEEDPFEQELGL ILKVGVLEQRERLKKLEEFKEKLSTYEDMNVRLRSLYDTSRGQSFFPEFYANDQEYEQ KAYLRLLENFSTSWIARYRKAKLSFYGMPYRVISREELGTKYHLFTRQKTSTVANLVV KDLDFKLGSPSFPLDNYMDFVYQYGKKVPKSTEYTLLIILGLKIKSALWELRLRDYPI PAISFPDTFTTGDVVFAEKMPAPCALHTVYVPFVSSAQRSPYNDANTIYGLHIIRTIN SVKTYFNIRSMVTSSSSARITWGKSLQPGYESLMLWFDFLTKPLIDPSKKLGFWDKFR YLVHGKWIYEFSEESEIHLNIKGSHDPYKITDDGAGLAFCWSGGTTIYVHNSTDPKEF LKIESQRFQLAVPDFAKVSKFDKVFMKLDGRVIWTLGLLFEQGDISKAGDEERFLPNR PHYEIQLMNPDGVADLDHHDTYKGFRTSFIHMSFGVYSSEHGSINSLYLAPYALTHFF KWWNLFHTYTSGPIRQGRLFTDVLQNKTKFGRSLFTIAYQLHLKRLMVTHIYRHITTQ YDLEKDRKITFTGLKGRFDSLKIDLHQKRVKLTHTNQKLNKSKPVWKFKMSRGEIDCA EADIRILSTLFDQEAVKEILTSGLDGILEDEPSRPITPQDVEYLRESDWYDYEDYIDL NQVPLGSSLPLKLEAIPLLYSPRISYFRKINDDGYVLAYPFGTEESHNCLIGKNHPEL TQEKLATERKREIEEQLKLLHITLSELQSNKGGGSVSGNSERYARELKAEVAELNHRL HTVNTILSDLKISETIPGGNTDGDSSSSLSDTDVNLENAPPIQNRISLLRTNTVESFV SMRKASTMQVESTYDNRFMVHNIELKIDNKIRHHLLEYASSAFERKSMRFAVTYKSVT ILKELLGNVLTGVRTSVEDYGSILEDDLASNSEFIEHFEKLIREVPSDDFDYVDNYLF RLISPQVQIKSDVERNAAVILAARDIEMGIIDIVQVYGKSGKRIPVDVDTIVETRYSA VSKDIQLFTLFKKDLEGPEGRFFHKNGYGSDKESDIWPPWIPLEMCFDGSLLDKHVFL KRRSMFLTYVAPNPLFFSANDTSAFSYDSRFRIAFPGLVLTSDCQQYCAVYAIAEDLL SFGSSLDEKVEKLSRILFTDEVRNNLENLDVSVVTALQERIKELYYTRAYLKLHEPRL FMKSGQELTFDIQTSTLKLTLLMTAIKKTYDRMGSGNRVIQKRLRWQVGTDELIWELY DESKTPFVTIGLGPSTFIRSETSDGTNSNKVSISSLQCFNQQENPVYTELLAPFYENS SYNKNAPMVEIFWILGPSVGGISDLQDLIVSLQPLIFKMDHKTSEKLMNYLFPKIEQT SIEPNSPELVPRSSTSSFFSSSPVLRHSLSNGSLSVYDAKDVDSWDLRSIQSKEGIKK HKGDHRKLSASLFVQPDYNINEMVKRSGTFFNVKSIIIRKTLMSVCYKGSHSLLTDVN NLIVRVPVLKYHNKLWSREEFFTALKRDVVRIVLQHLGNIIGNKFLPHKKENKKKTSM EIHRLLSPDSQNRDNSHILEVEGHNSFYSSTHSSDIRSINSDETYNENDGNGVKPFYP VTSEFSKNK YPR118W MSLEAIVFDRSEPENVSVKVLDQLLLPYTTKYVPIHTIDDGYSV IKSMQVRGAPAIAIVGSLSVLTEVQLIKHNPTSDVATLYSLVNWESTKTVLNKRLDFL LSSRPTAVNLSNSLVEIKNILKSSSDLKAFDGSLYNYVCELIDEDLANNMKMGDNGAK YLIDVLQKDGFKDEFAVLTICNTGSLATSGYGTALGVIRSLWKDSLAKTDKADSGLDN EKCPRMGHVFPLETRPYNQGSRLTAYELVYDKIPSTLITDSSIAYRIRTSPIPIKAAF VGADRIVRNGDTANKIGTLQLAVICKQFGIKFFVVAPKTTIDNVTETGDDIIVEERNP EEFKVVTGTVINPENGSLILNESGEPITGKVGIAPLEINVWNPAFDITPHELIDGIIT EEGVFTKNSSGEFQLESLF YPR119W MSNPIENTENSQNTSSSRFLRNVQRLALNNVTNTTFQKSNANNP ALTNFKSTLNSVKKEGSRIPQFTRESVSRSTAAQEEKRTLKENGIQLPKNNLLDDKEN QDPSSQQFGALTSIKEGRAELPANISLQESSSAKEIIQHDPLKGVGSSTEVVHNSVEN EKLHPARSQLQVRNTESETDSGKKRPISTIVEQELPKKFKVCDENGKEEYEWEDLDAE DVNDPFMVSEYVNDIFEYLHQLEVITLPKKEDLYQHRNIHQNRDILVNWLVKIHNKFG LLPETLYLAINIMDRFLGKELVQLDKLQLVGTSCLFIASKYEEVYSPSIKHFASETDG ACTEDEIKEGEKFILKTLKFNLNYPNPMNFLRRISKADDYDIQSRTLAKFLLEISLVD FRFIGILPSLCAAAAMFMSRKMLGKGKWDGNLIHYSGGYTKEELAPVCHMIMDYLVSP IVHDEFHRKYQSRRFMKASIISVQWALKVRKNGYDIMTLHE YPR120C MGENHDHEQSIKRNSMIYNENERQLCNSNLKILQNKRALSKNDS SSKQQVQDSKPRRALTDVPVNNNPLSQNKRIVAGSKAAKVRREENIRPIVSAVQKRQI YNDRTAAEQEEEEEEEGEDDDAASIVNKKRRIDAEGVSEIVGWQDLDYVEKDDTAMVA EYSAEIFAFLYRRELETLPSHNYLLDKTSKYYLRPSMRTILVDWLVEVHEKFQCYPET LFLSINLMDRFLAKNKVTMNKLQLLAVTSLFIAAKFEEVNLPKLAEYAYITDGAASKN DIKNAEMFMLTSLEFNIGWPNPLNFLRRISKADDYDPVNRNIGKFILEYAYCCHQFIH LPPSTVSAMAMYIARRMTNRNKNELWNGTLQHYSGGIDPIHDEAFQSLCIDLVKDIAS SKTHLDSLILKYKKPRYGSVYFQTFKWCTSEMHSNFQNLFNLK YPR121W MVIILLGLCTLGFPRTAFCPSIMTNSTVSINTPPPYLTLACNEK LPTVMSIAGSDSSGGAGVEADIKTITAHRCYAMTCVTTLTAQTPVKVYGAQNIPKKMV SQILDANLQDMKCNVIKTGMLTVDAIEVLHEKLLQLGENRPKLVIDPVLCAASDSSPT GKDVVSLIIEKISPFADILTPNISDCFMLLGENREVSKLQDVLEIAKDLSRITNCSNI LVKGGHIPCDDGKEKHITDVLYLGAEQKFITFKGQFVNTTRTHGAGCTLASAIASNLA RGYSLSQSVYGGIEYVQNAIAIGCDVTKKAVKVGPINHVYAVEIPLEKMLTDECFTAS DAVPKKPIEGSLDKIPGGSFFNYLINHPKVKPHWDAYVNHEFVKRVADGTLERKKFQF FIEQDYLYLIDYVRVCCVTGSKSPTLEDLEKDLVIADCARNELNEHERRLREEFGVKD PDYLQKIKRGPALRAYCRYLIDISRRGNWQEIVVALNPCLMGYVYAVDKVKDKITAAE GSIYSEWCDTCASSFCYQAVLEGERLMNHILETYPPDQLDSLVTIFARGCELETNFWT AAMEYE YPR122W MSLREVTNYEVSFYIPLSYSNRTHKVCKLPNGILALIISDPTDT SSSCSLTVCTGSHNDPKDIAGLAHLCEHMILSAGSKKYPDPGLFHTLIAKNNGSQNAF TTGEQTTFYFELPNTQNNGEFTFESILDVFASFFKEPLFNPLLISKEIYAIQSEHEGN ISSTTKIFYHAARILANPDHPFSRFSTGNIHSLSSIPQLKKIKLKSSLNTYFENNFFG ENITLCIRGPQSVNILTKLALSKFGDIKPKSAVKERSISIRTRSFRRSKSLKKRQDSS KNDYSDLKTFKILNTTWEKKYKNTMCFQQFPECNSIFINSNKVPIMRLLFPVSDKNTR FTKDDIKIYSHLWCELFGDESPGSLSYYLASKGWLTGCFAFTSEFAIGDIGLILELEL TNSGWENIKRITTIVLNRLLPSFYVMNIDYLITFLKEQNLIDLVSFLYQSSEDLPMEE CSKLSGILQDDLECLTPPNIFKGFKSLIEIDDPNIEKYENTKANIQWWTGQAIKFQNF LKSFMNHDNMRLLLLGNIKSGNIFDKMKNKSDICTDFFYEFEYYTANVHLASDNKFHS NSSYEFNFPTGNLFLPDCVSDPLKLQQLFLECSLKSKFATLRPQIYSEPTRTKPQLVS ENQNYEMWILKEDPNFASDNKSVVSFEVLGLGIKPSPEATIHLEVLAQALFIITSSFL YPALRIGYTYEIASSSKGNVTLRFTISGFPEGVFTIVKTFVDTLKLIATDPTFLSKDT LRKARILVRNKYKNASSDNCVKLASVGLLIVLEKYIWTLEDRINALELTELESFEKFC FLFWRNPKHLVLFMQGSLEYADAINRYLNNNFTQHLKISNEGSKPTIRLYPPPSTKDL DQGTNAFISYNGHQDDPNNSIVYFIQTAQRDDIKNLTLTFLTEYLFSLTLVPDLRNKK QIGYIVLGGLRVLTDTVGIHITVMSGSSGHNLETRINEYLSYLQLQVLNRFTEFDFRR ILLEPFLNLLKQNSTKQFEGSAGPVDLLNEIVANVQNGDNYTLNNKQMRQHRKVRNKI AEGRLNFQEDHEMIDISFLQKLTLKKYLAFFESKISIYSAQRSKLSIMITSPMAEKEI ASRKMFLQLEAFLKINGFAIKNEDLKKIVEHSKGNPILLVKNLFTYFRRRNEVFKLGT VVLQEILKIIGMNLKQRYGSILGFSSQDGEGQEIEKFWNNDTSPIVPLQELPEPNFFR KAAF YPR124W MEGMNMGSSMNMDAMSSASKTVASSMASMSMDAMSSASKTILSS MSSMSMEAMSSASKTLASTMSSMASMSMGSSSMSGMSMSMSSTPTSSASAQTTSDSSM SGMSGMSSSDNSSSSGMDMDMSMGMNYYLTPTYKNYPVLFHHLHANNSGKAFGIFLLF VVAAFVYKLLLFVSWCLEVHWFKKWDKQNKYSTLPSANSKDEGKHYDTENNFEIQGLP KLPNLLSDIFVPSLMDLFHDIIRAFLVFTSTMIIYMLMLATMSFVLTYVFAVITGLAL SEVFFNRCKIAMLKRWDIQREIQKAKSCPGFGNCQCGRHPEPSPDPIAVADTTSGSDQ STRLEKNNESKVAISENNQKKTPTQEEGCNCATDSGKNQANIERDILENSKLQEQSGN MDQNLLPAEKFTHN YPR125W MLKYRSLPIKRAIHHPAPGITPISPRIMVSRLRVIPSFNLKFNR WNSSVPESSKKELKTTDGNQESASKVSPVKEKEKVPFKVKMQKALRHYWDGSKLLGLE IKISSKLLMKSAAGYPLTRRENLQLKRTTQDIVRLVPFAAFLIIPFAELLLPFALKLF PNLLPSTYESSKKRENKLENLRNTRKLMSEIIKNNKSHFKPNNISEEQKALFNRFYTH VRATGVPESRQQLIEVARLFTDDTVLDNVTRPYLIALAKYMNLQPFGTDVMLRYRIRY KMLELKKDDLSIYYEDAEQLSLSELKTACASRGIRSVDVEPSVLYSNLRLWLNMRLKD KIPSTLLIMATAYNYGNVQSKESLYDALCDVLIGIPDELYHEVKVNVVKEDEASAKQK LKQLREQEEIMKEEEQQEENAIVSVKDELSLDDQDKNIDAAAPDVKPHDTKPIGEAAA IKEK YPR127W MSVADLKNNIHKLDTGYGLMSLTWRAEPIPQSQAFEAMHRVVEL SRERGHKAFFNVGEFYGPDFINLSYVHDFFAKYPDLRKDVVISCKGGADNATLTPRGS HDDVVQSVKNSVSAIGGYIDIFEVARIDTSLCTKGEVYPYESFEALAEMISEGVIGGI SLSEVNEEQIRAIHKDWGKFLTCVEVELSLFSNDILHNGIAKTCAELGLSIICYSPLG RGLLTGQLKSNADIPEGDFRKSLKRFSDESLKKNLTLVRFLQEEIVDKRPQNNSITLA QLALGWVKHWNKVPEYSGAKFIPIPSGSSISKVNENFDEQKTKLTDQEFNAINKYLTT FHTVGDRYEMA YPR128C MLTLESALTGAVASAMANIAVYPLDLSKTIIQSQVSPSSSEDSN EGKVLPNRRYKNVVDCMINIFKEKGILGLYQGMTVTTVATFVQNFVYFFWYTFIRKSY MKHKLLGLQSLKNRDGPITPSTIEELVLGVAAASISQLFTSPMAVVATRQQTVHSAES AKFTNVIKDIYRENNGDITAFWKGLRTGLALTINPSITYASFQRLKEVFFHDHSNDAG SLSAVQNFILGVLSKMISTLVTQPLIVAKAMLQSAGSKFTTFQEALLYLYKNEGLKSL WKGVLPQLTKGVIVQGLLFAFRGELTKSLKRLIFLYSSFFLKHNGQRKLAST YPR129W MSQYIGKTISLISVTDNRYVGLLEDIDSEKGTVTLKEVRCFGTE GRKNWGPEEIYPNPTVYNSVKFNGSEVKDLSILDANINDIQPVVPQMMPPASQFPPQQ AQSPPQAQAQAHVQTNPQVPKPESNVPAAVAGYGVYTPTSTETATASMNDKSTPQDTN VNSQSRERGKNGENEPKYQRNKNRSSNRPPQSNRNFKVDIPNEDFDFQSNNAKFTKGD STDVEKEKELESAVHKQDESDEQFYNKKSSFFDTISTSTETNTNMRWQEEKMLNVDTF GQASARPRFHSRGLGRGRGNYRGNRGNRGRGGQRGNYQNRNNYQNDSGAYQNQNDSYS RPANQFSQPPSNVEF YPR131C MTTIQPFEPVDLFKTNNVNLDILTENFPLEFYFEYMIIWPDLFF KSSEMTVDPTFKHNISGYMMAKTEGKTTEWHTHITAVTVAPRFRRISLASKLCNTLET MTDVMPHEVNFIDLFVKCNNQLAIKLYEKLGYSVYRRVVGYYNSAEDGYPDTLKKVDD NKDAFDMRKAMARDRNRSVRPDGRSHKCYPHDVRF YPR132W MGKGKPRGLNSARKLRVHRRNNRWAENNYKKRLLGTAFKSSPFG GSSHAKGIVLEKLGIESKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVSLLALWKEKKEKPRS YPR133C MSTADQEQPKVVEATPEDGTASSQKSTINAENENTKQNQSMEPQ ETSKGTSNDTKDPDNGEKNEEAAIDENSNVEAAERKRKHISTDFSDDDLEKEEHNDQS LQPTVENRASKDRDSSATPSSRQELEEKLDRILKKPKVRRTRRDEDDLEQYLDEKILR LKDEMNIAAQLDIDTLNKRIETGDTSLIAMQKVKLLPKVVSVLSKANLADTILDNNLL QSVRIWLEPLPDGSLPSFEIQKSLFAALNDLPVKTEHLKESGLGRVVIFYTKSKRVEA QLARLAEKLIAEWTRPIIGASDNYRDKRIMQLEFDSEKLRKKSVMDSAKNRKKKSKSG EDPTSRGSSVQTLYEQAAARRNRAAAPAQTTTDYKYAPVSNLSAVPTNARAVGVGSTL NNSEMYKRLTSRLNKKHK YPR133W-A MFGLPQQEVSEEEKRAHQEQTEKTLKQAAYVAAFLWVSPMIWHL VKKQWK YPR134W MGLPEVNFLRKNCILVELKLFYQTVYPPKELYWNNRITAELSTF SNIKYARPTFAVNNGTFQRTRPKLDLVLASSDIRKLATVLFNLKALIMNTKGEEPTLT TMTSVQTNEEKNDNLEQKYSSLLDRWNGKVEVHDSPFLQLQRDSNLLFAERPVRYVST TEGEGVDISSEEFFRLEEEQCRRNYDVLVDEHSTPSVGMKDGQYGPNIIHFEPSLYHT YSSLPMSMKFWLNGLEDDETTMMNIDEKSAENLDILLHGFKGFSNKRVKG YPR135W MVSVIDKLVFDFGGKTLVSLAPDNNTLCVANKNGLTKILKTNNP EEEPETLDSSKLVSSIKCYSNSHFLMTTMQGDALRYNIDSSQEELLARFALPLRDCCV IHSGKMAVFGGDDLELILLELDDETHKKHAIKIDEQVSQISYNSQMNILAVSMINGKV QIFSLTSTIPNKVHELNDYIVANSYDDTHRDKILSNMMDDIDKDNDNDLSETADPDEN NVADPEFCAANRICTRVAWHPKGLHFALPCADDTVKIFSIKGYSLQKTLSTNLSSTKA HFIDLQFDPLRGTYIAAVDLNNKLTVWNWETSEIHYTREFKRKITNIAWKIQADSKTL DLVLGTWSGSIAIVQNLAESVVSNIPDQSVAESSTKHGLFVDSESDLENLEGNDDINK SDKLFSDITQEANAEDVFTQTHDGPSGLSEKRKYNFEDEEDFIDDDDGAGYISGKKPH NEHSYSRVHKTHSFPISLANTGKFRYMPFSPAGTPFGFTDRRYLTMNEVGYVSTVKNS EQYSITVSFFDVGRFREYHFEDLFGYDLCFLNEKGTLFGQSKTGQIQYRPHDSIHSNW TKIIPLQAGERITSVAATPVRVIVGTSLGYFRSFNQFGVPFAVEKTSPIVALTAQNYR VFSVHYSQFHGLSYSLSELGTSSKRYYKRECPLPMSLPNINSDMKKDANLDYYNFNPM GIKSLFFSSYGDPCIFGSDNTLLLLSKWRSPEESKWLPILDSNMEIWKMSGGKETTDI HVWPLALAYDTLNCILVKGKHIWPEFPLPLPSEMEIRMPVFVKSKLLEENKAILNKKN EIGADTEAEEGEEDKEIQIPVSMAAEEEYLRSKVLSELLTDTLENDGEMYGNENEVLA ALNGAYDKALLRLFASACSDQNVEKALSLAHELKQDRALTAAVKISERAELPSLVKKI NNIREARYEQQLK YPR137W MSDVTQQKKRKRSKGEVNPSKPTVDEEITDPSSNEDEQLEVSDE EDALESEEEFEGENPADKRRRLAKQYLENLKSEANDILTDNRNAEEKDLNNLKERTID EYNNFDAGDLDKDIIASRLKEDVAEQQGRVFRYFGDKLLISEAKQSFTRVGENNLTCI SCFQPVLNKYTFEESSNGDKNKGRLFAYTVSKDLQLTKYDITDFSKRPKKLKYAKGGA KYIPTSKHEYENTTEGHYDEILTVAASPDGKYVVTGGRDRKLIVWSTESLSPVKVIPT KDRRGEVLSLAFRKNSDQLYASCADFKIRTYSINQFSQLEILYGHHDIVEDISALAME RCVTVGARDRTAMLWKIPDETRLTFRGGDEPQKLLRRWMKENAKEGEDGEVKYPDESE APLFFCEGSIDVVSMVDDFHFITGSDNGNICLWSLAKKKPIFTERIAHGILPEPSFND ISGETDEELRKRQLQGKKLLQPFWITSLYAIPYSNVFISGSWSGSLKVWKISDNLRSF ELLGELSGAKGVVTKIQVVESGKHGKEKFRILASIAKEHRLGRWIANVSGARNGIYSA VIDQTGF YPR137C-B MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPD PFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMNTWDTDKYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDTGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSHYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAVSEAIPLLNNLSH LVQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYY IETKKNIADVMTKPLPIKTFKLLTNKWIH YPR137C-A MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YPR138C MARGDGHLWTETYDSSTVAFMILGAALVFFMVPGLGFLYSGLAR RKSALALIWVVIMATLVGILQWYFWGYSLAFSKTATNNKFIGNLDSFGFRNVYGKISD DSTYPELIYAIFQMMFMCVALSIIAGATAERGKLFPHMVFLFVFATLVYCPITYWIWA PGGWAYQWGVLDWAGGGNIEILSAVAGFVYSYFLGRRKENLLINFRPHNVSMVTLGTS ILWFGWLLFNAASSLSPNMRSVYAFMNTCLSATTGGMTWCLLDYRSEKKWSTVGLCSG IICGLVAATPSSGCITLYGSLIQGIIAGVVCNFATKIKYYLKVDDSLDLLAEHGIAGV VGLIFNALFAADWVIGMDGTTKHKGGWLTHNWKQMYIQIAYIGASAGYCAVVTAIICF VLGKIPGVHLRVTEEAEALGLDEDQIGEFAYDYVEVRRDYYQWGVDTDALHTTCNGAN SASETNPTEDSQNSSLSSATVSGQNEKSNNPKLHHAKEA YPR139C MEKYTNWRDNGTGIAPFLPNTIRKPSKVMTACLLGILGVKTIIM LPLIMLYLLTGQNNLLGLILKFTFSWKEEITVQGIKKRDVRKSKHYPQKGKLYICNCT SPLDAFSVVLLAQGPVTLLVPSNDIVYKVSIREFINFILAGGLDIKLYGHEVAELSQL GNTVNFMFAEGTSCNGKSVLPFSITGKKLKEFIDPSITTMNPAMAKTKKFELQTIQIK TNKTAITTLPISNMEYLSRFLNKGINVKCKINEPQVLSDNLEELRVALNGGDKYKLVS RKLDVESKRNFVKEYISDQRKKRK YPR140W MSFRDVLERGDEFLEAYPRRSPLWRFLSYSTSLLTFGVSKLLLF TCYNVKLNGFEKLETALERSKRENRGLMTVMNHMSMVDDPLVWATLPYKLFTSLDNIR WSLGAHNICFQNKFLANFFSLGQVLSTERFGVGPFQGSIDASIRLLSPDDTLDLEWTP HSEVSSSLKKAYSPPIIRSKPSWVHVYPEGFVLQLYPPFENSMRYFKWGITRMILEAT KPPIVVPIFATGFEKIASEAVTDSMFRQILPRNFGSEINVTIGDPLNDDLIDRYRKEW THLVEKYYDPKNPNDLSDELKYGKEAQDLRSRLAAELRAHVAEIRNEVRKLPREDPRF KSPSWWKRFNTTEGKSDPDVKVIGENWAIRRMQKFLPPEGKPKGKDD YPR141C MESLPRTPTKGRSTQHLSTPSPKNDILAMNGHKRRNTTTPPPKH TLLKPQRTDIHRHSLASQSRISMSPNRELLKNYKGTANLIYGNQKSNSGVTSFYKENV NELNRTQAILFEKKATLDLLKDELTETKEKINAVNLKFETLREEKIKIEQQLNLKNNE LISIKEEFLSKKQFMNEGHEIHLKQLAASNKKELKQMENEYKTKIEKLKFMKIKQFEN ERASLLDKIEEVRNKITMNPSTLQEMLNDVEQKHMLEKEEWLTEYQSQWKKDIELNNK HMQEIESIKKEIENTLKPELAEKKKLLTEKRNAYEAIKVKVKEKEEETTRLRDEVALK QKTNLETLEKIKELEEYIKDTELGMKELNEILIKEETVRRTLHNELQELRGNIRVYCR IRPALKNLENSDTSLINVNEFDDNSGVQSMEVTKIQNTAQVHEFKFDKIFDQQDTNVD VFKEVGQLVQSSLDGYNVCIFAYGQTGSGKTFTMLNPGDGIIPSTISHIFNWINKLKT KGWDYKVNCEFIEIYNENIVDLLRSDNNNKEDTSIGLKHEIRHDQETKTTTITNVTSC KLESEEMVEIILKKANKLRSTASTASNEHSSRSHSIFIIHLSGSNAKTGAHSYGTLNL VDLAGSERINVSQVVGDRLRETQNINKSLSCLGDVIHALGQPDSTKRHIPFRNSKLTY LLQYSLTGDSKTLMFVNISPSSSHINETLNSLRFASKVNSTRLVSRK YPR143W MGSKHRVDTKDKKRTRKNAEFGREKRNSGNQELSNEPEKDTIME GDEAEEDEQNSSSDESSKIIDNEQSDAEEDDDEEEEDDDFPRKKKSKNSKHDDGSTGF SAAVNAILSSHLKAYDRKDPIMARNKKVLKQSESEKLEYKAKKALLAEKKKLLGKARK TDIIPIASGEDRSENIRKVLEKETALRKIAQKGAVKLFNAILATQVKTEKEVSENLSE IKNKEEKKELITEVSKEKFLDLVKAAAGSDNE YPR144C MVLLISEIKDIAKRLTAAGDRKQYNSIIKLINELVIPENVTQLE EDETEKNLRFLVMSLFQIFRKLFSRGDLTLPSSKKSTLEKEQFVNWCRKVYEAFKTKL LAIISDIPFETSLGLDSLDVYLQLAELESTHFASEKGAPFFPNKTFRKLIIALWSSNM GEIEDVKSSGASENLIIVEFTEKYYTKFADIQYYFQSEFNQLLEDPAYQDLLLKNVGK WLALVNHDKHCSSVDADLEIFVPNPPQAIENESKFKSNFEKNWLSLLNGQLSLQQYKS ILLILHKRIIPHFHTPTKLMDFLTDSYNLQSSNKNAGVVPILALNGLFELMKRFNLEY PNFYMKLYQIINPDLMHVKYRARFFRLMDVFLSSTHLSAHLVASFIKKLARLTLESPP SAIVTVIPFIYNLIRKHPNCMIMLHNPAFISNPFQTPDQVANLKTLKENYVDPFDVHE SDPELTHALDSSLWELASLMEHYHPNVATLAKIFAQPFKKLSYNMEDFLDWNYDSLLN AESSRKLKTLPTLEFEAFTNVFDNEDGDSEASSQGNVYLPGVAW YPR145W MCGIFAAFRHEDVHRYKPKALQLSKRIRHRGPDWSGNAIKNSTI FVHERLAIVGVESGAQPITSSDGEYMLCVNGEIYNHIQLREECADYEFGTLSDCEPII PMYLKHDIDAPKYLDGMFAWTLYDAKQDRIVAARDPIGITTLYMGRSSASPKTVYFAS ELKCLTDDCDTITAFPPGHVYDSKTDKITRYFTPDWLDEKRIPSTPIDYMAIRHSLEK AVRKRLMAEVPYGVLLSGGLDSSLIASIAARETAKATNDVEPSTYDSKARHLAGIDDD GKLHTAGWTSLHSFAIGLPNAPDLQAARKVAKFIGSIHHEHTFTLQEGLDALDDVIYH LETYDVTTIRASTPMFLLSRKIKAQGVKMVLSGEGSDEIFGGYLYFAQAPSAAEFHTE SVQRVKNLHLADCLRANKSTMAWGLEARVPFLDREFLQLCMNIDPNEKMIKPKEGRIE KYILRKAFDTTGEPDAKPYLPEEILWRQKEQFSDGVGYSWIDGLKDTAEAVISDEMFA SPKAEWGSDIPTTKEAFWYRLKFDALFPQKTVADTVMRWIPKADWGCAEDPSGRYAQI HEKHIE YPR145C-A MSTAFRKIKLIFKKSDSQYPQNYRAEIKSRNKNTVITRHDLLIA HEMKQRASLERSNSIRNLQSQGKRRSDSKESRKL YPR147C MTVKEYTKSKLPCSILNIKPTVTKSGEDAPLLVWIPGNPGLLYY YQEMLHHLHLKHPDWEILGISHAGMTLNAHSNTPIFSLQDQVDHQVEVINNFSCKNRK IIIMGHSVGAYIVQKVCLSNKLVGSVQKVGLVTPTVMDIHTSEMGIKMTAALRYIPPL AHVVSLFSYIFFYWILSEGFSRFIIDKFMGCGSTGYQAVLSTRIFLTHRQFVRQSLGL AAQEMEEITTNWEFQDRFINYCEENGISIWFLFSSNDHWVSGKTRSHLSDYYKDKVKQ ERLKIDVTDKIPHSFVVKHAEYAINAFF YPR148C MSGYFSGFSLNKITDSIATAAHKTQDTLNNALANANVNLNDPQT RLSIKSRTRFVQESLGTVSDISKLPPQYQFLEKKSDSLEKVCKRILLVSKTFEVEGYD YPPNLTESISDWWSLNKDGWFGSKKSESSTKKKGSNHDDAFLPRSFAQAISKAAVDCE CEFQNLEHNEKAELKKKKESIKTAQTTEAQGADHNEEDEEDEEDEEDDEDLSNLIKVF DSWSTCYKNIDEGKAEMDSMMVKEFNKKLETLINQDFKKVHDLRKKVEESRLKFDTMR YEVKAKEAELEAKKAEATGEAHSKDVSAKDISANTTTSFDETPSTEDEKPKSEGAEEE SKKEANEPTVDDVADRKEDLKSNKVNDEPPIEESEDNKLLEKLEDEFVSNTTAAVETM EEITDSSEILGLIKLFQNFQLVYFRQCVQEVEANLKVLNGLEI YPR149W MLALADNILRIINFLFLVISIGLISSLLNTQHRHSSRVNYCMFA CAYGIFTDSLYGVFANFIEPLAWPLVLFTLDFLNFVFTFTAGTVLAVGIRAHSCNNSS YVDSNKITQGSGTRCRQAQAAVAFLYFSCAIFLAKTLMSVFNMISNGAFGSGSFSKRR RTGQVGVPTISQV YPR151C MILLKRTKIRGVSVSFVSLQRRTHSRLVNPIRQQHQQITKQRSS KILKNAHFYDFRSLPKVPTTQYLEARELTRDILYSGYRPVMYPVKENPLFRDKKRKSL QTLLTMNEKTNAEAKTIDEKKHKNILFGERGTGGIMSGGVNGTWKYNPTVPNELLPFN WWSTSSMGMEYFPEWKNVPPYMMRKLKPFDKALQMRLTHKSKKKMK YPR152C MRGEWQEFKTPAGKKYYYNKNTKQSRWEKPNLKKGSNLESNAKE SQTERKPTFSLELVNGWHLIIYNDGTKLYFNDDSKEFKNDISQEDDSRCRSLIESLDK EKLVLLIGVARGYTMREEDIDKILESCNEEIHLFKRNQDEVERKDEISEEAGDVKSPL QESHTGLVSGYGSSSGEEDEEEDEEEDEENEEQIVNQDISIIDDLNRIDTDDIDERNI FFELFDRYKLDKFSTWSLQSKKIENDPDFYKIRDDTVRESLFEEWCGERSGNATAEES DSEDNSEDDSEVLEPTKYHYLAQIVANAGTIAPDTIPQDIRKQQKALYKAYKIKEYIP SKRDQDKFVSQLLFYYKTFDLEQRKEIFCDCLRDHERDFTGAVESLRQDKELIDRWQT LLKAPADSSSIEDILLSIEHRCCVSPIVVTEPRYYVVGILEKTVVWVRWLAAEVGPSS RFTPVGAGNEPINPE YPR153W MRSFVTNNDIPVGYVTPKFPSLYWPINNSKYNTAFLYYISDIWK FSLYWTLIFNGAFYVTAGVYASLTHRKKAGSVWIFVMYVLYGGVQGLTTGTVMGFLIG AIYRSGLFSMSTWVPLCCAVVQILFDVVLSYSMVGSVM YPR154W MSASLINRSLTNIRTELDFLKGSNVISNDVYDQINKSLPAKWDP ANAPRNASPASLEYVEALYQFDPQQDGDLGLKPGDKVQLLEKLSPEWYKGSCNGRTGI FPANYVKPAFSGSNGPSNLPPPPQYKAQELQQIPTQNSAASSYQQQPFPPPSTNYYQQ PQQQPQQAPPPQQQQQQQQHQSSHSHLKSFGSKLGNAAIFGAGASIGSDIVNNIF YPR155C MIINRRILKSFEEISHSLEESLREVAFDSQQQLIQDVREENEEL SRLQDQLQLIRSIVEKICISIKTDNIDSYCSVPFDLLYNICKDIADPSSFEDGDLQYL VSQAIFEYIILLCYYSVTNECVQGLPAVYEAEQYYKTVSDSILKSFLYCLQNSVSTIR LLSQTVLKDVNKKKLSHQKWSLKALSVDLLEKIRPRINKFMVIRNFRFVGLPKKPIEI ASLVSDIPRGIVHERLDMVTQSSKYYTIKLGQLITEFDQQPEENGMFTEVHLPNYERR LKSLQDFFGLAMSDSNLLDVIRCSAKFHKDHPLRRFTKPSILTRYWPSILLCLLYGPS SVMSLWNSRYFIQDFIKTNVVDFAKGLILNWLWAPLKQVWSTVKHDEGSAISVTSQET LNSDMDSLTRMIVSFVVDNSDSTSNSPIDPILLSTKVEHGDLTEFMEIYETQLHHPIK NIATGGLVRSLLIQLQKTKVDGSMALNGIDKMLKSQQLVFGVVALSPALVILYSSIVA LKRFVKLGNVWSNEKRYREQISISLNNVERVLNYSKQGADADEEHLNQGLLVIEVSNL YKLGSFLIPRSRKKEWFRDVEELVDTNLDSGAHINVVNRIYHVYGRFLIH YPR156C MNRQESINSFNSDETSSLSDVESQQPQQYIPSESGSKSNMAPNQ LKLTRTETVKSLQDMGVSSKAPVPDVNAPQSSKNKIFPEEYTLETPTGLVPVATLHSI GRTSTAISRTRTRQIDGASSPSSNEDALESDNNEKGKEGDSSGANDEAPDLDPEIEFV TFVTGDPENPHNWPAWIRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAI LSVSLMVIGFSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGSLLACRF LCGVWSSSGLCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRM DLIFWVNMAFAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMG EMMRACLLRPLYFSVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGL MFIPIVIGALWALATTFYCENKYLQIVKQRKPTPEDRLLGAKIGAPFAAIALWILGAT AYKHIIWVGPASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAF PLFTIQMYHKLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSIE YPR157W MHCVLARILLWFLIVDLSVIRALVLPPLKDYDPLEPLMKRDMAM GQRNRFKVDGQLPPILNSTDVTDDQRSLHTPGEIPSYVINHCPLVHLYSEEKYWPSDI AEYVQNFQIKDKNGNSISTHENLTLHDLKAEYHVDLFGNKTETHIPSSEVFLTSLDDF DKDPKWLLGHLPEYGTGYNSKAPAILIVVDKGNGWVDAFWFFFYPFNHGPFIMGHGPW GNHVGDWEHSLVRFYKGIPKYLWMSAHSSGTGYRYEAVEKFKKLRKRKQQDSDDGGDT ILERPLIFSARGTHANYASAGQHAHDIPFFFMPLSDFTDRGPLWDPSLNFYSYTFDGK TVTPSSEREESLGLDWLHFQGGWGDQQLPARDPRQKWCVAQWKYIGGPRGPLFKKLDR LNLCGGVKKWNFWNGGCPARRLIKKAEGLDSESTDLMGDNCGVLLYRIRPKWLRGILR FLMWRGILCSLMEFFTN YPR158W MAAACICQPNLLEINVSDGPLDMIRKKRKIQQPQLRPPLRENKC QPHFSVRKVNQSYIISLHKEITCQLIAEIVKQKLSRIWEKVYIPSYELISDKDGNQIY VEQSVDENRLTSEIMEKLDPNNIDIEAIEILFDDYHLELSRLTNGIIISSANDHFYRE FSFNNIIDDNFKICGTSMSADSFDKIYGVMWIEVPFNGNGLQNDSAVNRVSTSHNQIE ELNDIEQEIRAFNISRSNQESIIKKEVSRRLNGR YPR158W-B MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRPITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWV SKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWF SAIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRG IPGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRL TASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHT EDSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLA PMSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEK RIIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFP DPFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRS LEPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQL LKMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQ SNTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRL KKSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKD LNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKI PKLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFR FDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAIS DASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLS HLVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVC YIETKKNIADVMTKPLPIKTFKLLTNKWIH YPR158W-A MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYD KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRPITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY YPR158C-D MESQQLSNYSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHS DDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQD NTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVQYGDFYWV SKRYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNE SDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKS APSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSE YTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFS AIEFSTIVRNSLASPKSKKSASQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGI PGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLT ASYHSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTE DSKPISEINLRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAP MSQSNTHESSHASKSKDFRHSDSYSNNETNHTNVPISSTGGTNNKTVPQISDQETEKR IIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDPPPEPPTELSD SFKELPPINSRQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSL EPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLL KMKTWDTDRYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDTGMQS NTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLK KSHYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDL NANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIP KLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRF DLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISD ASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSH LVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCY IETKKNIADVMTKPLPIKTFKLLTNKWIH YPR158C-C MESQQLSNYSPISHGSACASVTSKEVHTNQDPLDVSASKTEECE KASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWS FYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADS DMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELT FLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQ YNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNM TVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNN SKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY YPR159W MPLRNLTETHNFSSTNLDTDGTGDDHDGAPLSSSPSFGQQNDNS TNDNAGLTNPFMGSDEESNARDGESLSSSVHYQPQGSDSSLLHDNSRLDLSQNKGVSD YKGYYSRNNSRAVSTANDNSFLQPPHRAIASSPSLNSNLSKNDILSPPEFDRYPLVGS RVTSMTQLNHHGRSPTSSPGNESSASFSSNPFLGEQDFSPFGGYPASSFPLMIDEKEE DDYLHNPDPEEEARLDRRRFIDDFKYMDKRSASGLAGVLLLFLAAIFIFIVLPALTFT GAIDHESNTEEVTYLTQYQYPQLSAIRTSLVDPDTPDTAKTREAMDGSKWELVFSDEF NAEGRTFYDGDDPYWTAPDVHYDATKDLEWYSPDASTTVNGTLQLRMDAFKNHGLYYR SGMLQSWNKVCFTQGALEISANLPNYGRVSGLWPGLWTMGNLGRPGYLASTQGVWPYS YESCDAGITPNQSSPDGISYLPGQKLSICTCDGEDHPNQGVGRGAPEIDVLEGETDTK IGVGIASQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAVSTLNVTW YEFGEYGGYFQKYAIEYLNDDDNGYIRWFVGDTPTYTIHAKALHPDGNIGWRRISKEP MSIILNLGISNNWAYIDWQYIFFPVVMSIDYVRIYQPSNAISVTCDPSDYPTYDYIQS HLNAFQNANLTTWEDAGYTFPKNILTGKCTSSKFKLSS YPR159C-A MATLDFTTKPLALVIYMSVVLLLMVGVPLLFSS YPR160W MPPASTSTTNDMITEEPTSPHQIPRLTRRLTGFLPQEIKSIDTM IPLKSRALWNKHQVKKFNKAEDFQDRFIDHVETTLARSLYNCDDMAAYEAASMSIRDN LVIDWNKTQQKFTTRDPKRVYYLSLEFLMGRALDNALINMKIEDPEDPAASKGKPREM IKGALDDLGFKLEDVLDQEPDAGLGNGGLGRLAACFVDSMATEGIPAWGYGLRYEYGI FAQKIIDGYQVETPDYWLNSGNPWEIERNEVQIPVTFYGYVDRPEGGKTTLSASQWIG GERVLAVAYDFPVPGFKTSNVNNLRLWQARPTTEFDFAKFNNGDYKNSVAQQQRAESI TAVLYPNDNFAQGKELRLKQQYFWCAASLHDILRRFKKSKRPWTEFPDQVAIQLNDTH PTLAIVELQRVLVDLEKLDWHEAWDIVTKTFAYTNHTVMQEALEKWPVGLFGHLLPRH LEIIYDINWFFLQDVAKKFPKDVDLLSRISIIEENSPERQIRMAFLAIVGSHKVNGVA ELHSELIKTTIFKDFVKFYGPSKFVNVTNGITPRRWLKQANPSLAKLISETLNDPTEE YLLDMAKLTQLGKYVEDKEFLKKWNQVKLNNKIRLVDLIKKENDGVDIINREYLDDTL FDMQVKRIHEYKRQQLNVFGIIYRYLAMKNMLKNGASIEEVAKKYPRKVSIFGGKSAP GYYMAKLIIKLINCVADIVNNDESIEHLLKVVFVADYNVSKAEIIIPASDLSEHISTA GTEASGTSNMKFVMNGGLIIGTVDGANVEITREIGEDNVFLFGNLSENVEELRYNHQY HPQDLPSSLDSVLSYIESGQFSPENPNEFKPLVDSIKYHGDYYLVSDDFESYLATHEL VDQEFHNQRSEWLKKSVLSVANVGFFSSDRCIEEYSDTIWNVEPVT YPR161C MSDNGSPAVLPKTEFNKYKIGKVKSTPAIQRDAKTNLTYIKLRK RSSEKVYGCTVFQNHYREDEKLGQGTFGEVYKGIHLETQRQVAMKKIIVSVEKDLFPI TAQREITILKRLNHKNIIKLIEMVYDHSPDITNAASSNLHKSFYMILPYMVADLSGVL HNPRINLEMCDIKNMMLQILEGLNYIHCAKFMHRDIKTANILIDHNGVLKLADFGLAR LYYGCPPNLKYPGGAGSGAKYTSVVVTRWYRAPELVLGDKQYTTAVDIWGVGCVFAEF FEKKPILQGKTDIDQGHVIFKLLGTPTEEDWAVARYLPGAELTTTNYKPTLRERFGKY LSETGLDFLGQLLALDPYKRLTAMSAKHHPWFKEDPLPSEKITLPTEESHEADIKRYK EEMHQSLSQRVPTAPRGHIVEKGESPVVKNLGAIPRGPKKDDASFLPPSKNVLAKPPP SKIRELHQNPRPYHVNSGYAKTAIPPPAAPAGVNRYGPNNSSRNNRFSGNSTAPNNSR NPVNRFHPETNVSSKYNKVPLPLGPQSRYQGNSNESRYKNSPNDSRYHNPRYVNKPET NFNRQPQKYSRQESNAPINKNYNPSNGSRNMAGDHHQGSRPSHPQFPISPSQGQHQLT SKPIEKKNGSFKDERAKPDESKEFQNSDIADLY YPR162C MTISEARLSPQVNLLPIKRHSNEEVEETAAILKKRTIDNEKCKD SDPGFGSLQRRLLQQLYGTLPTDEKIIFTYLQDCQQEIDRIIKQSIIQKESHSVILVG PRQSYKTYLLDYELSLLQQSYKEQFITIRLNGFIHSEQTAINGIATQLEQQLQKIHGS EEKIDDTSLETISSGSLTEVFEKILLLLDSTTKTRNEDSGEVDRESITKITVVFIFDE IDTFAGPVRQTLLYNLFDMVEHSRVPVCIFGCTTKLNILEYLEKRVKSRFSQRVIYMP QIQNLDDMVDAVRNLLTVRSEISPWVSQWNETLEKELSDPRSNLNRHIRMNFETFRSL PTLKNSIIPLVATSKNFGSLCTAIKSCSFLDIYNKNQLSNNLTGRLQSLSDLELAILI SAARVALRAKDGSFNFNLAYAEYEKMIKAINSRIPTVAPTTNVGTGQSTFSIDNTIKL WLKKDVKNVWENLVQLDFFTEKSAVGLRDNATAAFYASNYQFQGTMIPFDLRSYQMQI ILQELRRIIPKSNMYYSWTQL YPR163C MAPPKKTVKKMDLTSFLNDDTFGSSWAEEDVDLNKITIPIETAN ANTIPLSELAHAKNNSNNTRSGGFGGSFGGRSRLDPALGGGSSDRREEYPVPDAPPYR AVINNIPWDITPEGVQAWVEDGLVKPEAVEEVVLPKNLRDPTRLKGNAFVTLKERADL VAVLKFNGTKLNERTVYVSVAAPRRGGGADVDWSSARGSNFQGDGREDAPDLDWGAAR GSNFRGPRREREEVDIDWTAARGSNFQGSSRPPRREREEVDIDWSAARGSNFQGSSRP PRREREEPDIDWSAARGSNFQSSSRPPRREREEPDIDWSAARGSNFQSSSRPPRRERE KEEPALDWGAARGAQFGKPQQTKNTYKDRSLTNKKTTDEQPKIQKSVYDVLRTEDDDE DEEAEKQNGDAKENKVDAAVEKLQDKTAQLTVEDGDNWEVVGKK YPR164W MLGLRTHGLDRYEHYIRRPSDFGKLELQDWLNHKSFRVSPNLLI DSSTTREWNEPELFYQNTEDETWVRPCVGPKLEPSMMMLRYHDSNIGQMPQFCYPISS PINFKPVLKYILQERSELSDGFPQKYNTLIGSLFDIDKNPETLDDSDIEALDDIEMSS DSGNVKEPKIELQALEEIQQKHFSLIVSNNGIFQTGSTSITYIQSGISGSIAIKPNNV AILILLTQPSGHLLSILPLDDGKETYLLQYWNLGQKGQWNIIKHQNEKQFVLIHKELG ICKFFEFHLPFTFQLVNNLTLTDSVIMNGSFFPTNYTDLDPYFIIFITAIRYERIVYF VIEWNNNEIKKKEVYQLTVFDGEKTNMTIPIGLNACLVETPLKFSLVSANQIMSGETE FHSFQLKALKGIKSFFPAPLLLLKLQELHPHTFKKFQYCTIISSSTGNICFCVTERST IVNGNLKFYELTRFKGLKSISPLPSNPINLDSRSSSYVLVVISFSRTLELTLSLEDLR CLDKKDVIKPLKNITFKHTIDSSTEENSQILAFTSSKFYNTHTGSNINDTRNSQVWLT SPNAITQPCIDYKLRKTHQLIHLKQFQIFRHLRIWKCKNLDIALLQRLGINQSNTESS LIFATDAVSNNRIFLLDLTMTTTIDNDDPVQGLINIEDLLCDTENETILLNFTKNNLI QVTRDTIYIDPIGGDKELRKISPGWEFENVTYNDGILIVWNAGLGCVSYIENIDAVDE SGALVSNLSSSKGMSKFFKQLGTVTSVNFQIKESTDDPTKYDIWILLPDCVIRTPFSD WISDSLDFSDVYILSVQQALINGPYFCSLDYESYFEVHTLQNNCFKKGSRCTSRVNFQ GKDIKFRSFGVNQCLAFSAFEIFVINLTPIHDSRELDFYKLKLPHLGNNNSILEVCPD IENNQLFILYSDGLRILELSYLTSNNGNFLLKSTRSKNKKFLYLDKINRMLVLNQDLR EWECIRLSDGKAVGLDSQLLKDDSEEILEIKELPIATEDNPLEKKTVLLISFTSSLKL VLLTAAKNKISNQIIDSYKLDNSRLLNHLVITPRGEIFFLDYKVMGTDNEMSFNKLKV TKHCIDQEERNNTTLRLTLETRFTFKSWSTVKTFTVVGDNIIATTNMGEKLYLIKDFS SSSDESRRVYPLEMYPDSKVQKIIPLNECCFVVAAYCGNRNDLDSRLIFYSLPTIKVG LNNETGSLPDEYGNGRVDDIFEVDFPEGFQFGTMALYDVLHGERHVNRYSEGIRSEND EAEVALRQRRNLLLFWRNHSSTPKPSLRRAATIVYEDHVSSRYFEDISSILGSTAMRT KRLSPYNAVALDKPIQDISYDPAVQTLYVLMADQTIHKFGKDRLPCQDEYEPRWNSGY LVSRRSIVKSDLICEVGLWNLSDNCKNTV YPR165W MSQQVGNSIRRKLVIVGDGACGKTCLLIVFSKGQFPEVYVPTVF ENYVADVEVDGRRVELALWDTAGQEDYDRLRPLSYPDSNVVLICFSIDLPDSLENVQE KWIAEVLHFCQGVPIILVGCKVDLRNDPQTIEQLRQEGQQPVTSQEGQSVADQIGATG YYECSAKTGYGVREVFEAATRASLMGKSKTNGKAKKNTTEKKKKKCVLL YPR166C MGNFRFPIKTKLPPGFINARILRDNFKRQQFKENEILVKSLKFI ARNMNLPTKLRLEAQLKLNALPNYMRSTQIKNRCVDSGHARFVLSDFRLCRYQFRENA LKGNLPGVKKGIW YPR167C MKTYHLNNDIIVTQEQLDHWNEQLIKLETPQEIIAWSIVTFPHL FQTTAFGLTGLVTIDMLSKLSEKYYMPELLFIDTLHHFPQTLTLKNEIEKKYYQPKNQ TIHVYKPDGCESEADFASKYGDFLWEKDDDKYDYLAKVEPAHRAYKELHISAVFTGRR KSQGSARSQLSIIEIDELNGILKINPLINWTFEQVKQYIDANNVPYNELLDLGYRSIG DYHSTQPVKEGEDERAGRWKGKAKTECGIHEASRFAQFLKQDA YPR168W MNGNSTNNEQLQQELATTQDQVASIIESFVELGVSIYDFPGTPE ATKGMITNLQRNVDRLYKLNVRSNDPQSSLSKVDIPLEVVQYIEDGRNPDIYTREFVE AIRRSNQYQRGKMHGLKQLRDSLADKIVDEFPELKEPVEDIIKRTSPIDNVSNTH YPR169W MAKSKKKTDVVDSTNLPILELLSLKAPIFQSLLHPELPIIITGF GTGHIVCHRYDPAKLQSHLDRRRRIDTATTGKDAKKGVCPWIRLDIDLETGDLKFVDI EEQQQQKQTGKDEDLGVKTLWKTKRHKGSVRAMCFDSKGDNIFSVGSDNVLKKANTMT GKVVKKVNLSSLFNSEEKKNDKFTKLCASQTHPFILIGDESGNIHVINSENLALSNSI RSIHFGDSINDIFHFDKRSAYKFISLGQTTLAYFDVRDKDAKPNVAGNEDGKILISDD QEDEVLCGCFVDPEVADTLLCGMGEGIVTVWKPNKNDLEDQMSRIKISKDESIDCIVP TLQDDNCVWCGCSNGNIYKVNAKLGKVVEIRNHSELDEVSFVDLDFEYRVVSGGLENI KIWELSSDDVEENASVESDSDEPLSHSDEDLSDDTSSDDETTLVGLSKEELLDELDKD LKEDHQEEKESNSKSVKKRKIMKENNKKKDLYEHGIKKFDDL YPR170W-B MRPVVSTGKAWCCTVLSAFGVVILSVIAHLFNTNHESFVGSIND PEDGPAVAHTVYLAALVYLVFFVFCGFQVYLARRKPSIELR YPR171W MTKYERDPELVNFLSKVEDLNSKRYSNIPSSKPAGEALSPVRSH NSGEYRRADMMTGKNVEGCDNLAYRSAYNYEMTFSPKKTHYSLSELNLERITPRPDLE GSASQKEKKFLISEEDYLLLQKLKASQTYNDSNADKNLPSFEKGPRMPSRGRPRPREK EIITIQYDFELPGRADIPSSSSSSSPPPLPTRRDHIKITDGNEEKPLLPTRPNKAEVT ESPSSRSIKPDAVVPERVKPAPPVSRSTKPASFLSSLEDNKLTKAKSYNSEMETPKTT VKSSHIDYLDSIQLKPTTLSPTMKNKPKPTPPSPPAKRIPRSESFIKSMLNSNLTTTS KPSLPEKPQKLRNANLAAHKTKPSIPPKKVELNIVLPELRPVETSPTKQNFENSIDLP KLRSSNRNIKKEEEDSIPEAIKGIQNLKKTKQQKPAIPQKKSFLTNNSKNTTLKNGDD INKLNDEIEALSLRNNLKKRPPTAPQRKISLPEALRKVELMKKSKTEPVLESSNELSI NAKLDAIIASRNLRASNTLPELSGVNTNIATSDKYTTSRDETVKETKPLVHPNKNRTR GPRRKLPTRV YPR172W MAWTSTLPAHLLNLIKNSKYVHVATCSKDCIPSVALMNYIYVPG EKLFGQTDNKNDYIIFVSPQDTQKFYNIKENPKVALLFHDWIIANNLSVGKESISGTP TPTSIPHEEQRQSELLNLLQELNQAELNQMSASIGGETEIVNPESEESKYYKDLILKA NPDAKAFIFEKNTAVVKVRIDNARVSNNENRTMFLSKGKS YPR173C MSTGDFLTKGIELVQKAIDLDTATQYEEAYTAYYNGLDYLMLAL KYEKNPKSKDLIRAKFTEYLNRAEQLKKHLESEEANAAKKSPSAGSGSNGGNKKISQE EGEDNGGEDNKKLRGALSSAILSEKPNVKWEDVAGLEGAKEALKEAVILPVKFPHLFK GNRKPTSGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESEKLVKQLF AMARENKPSIIFIDEVDALTGTRGEGESEASRRIKTELLVQMNGVGNDSQGVLVLGAT NIPWQLDSAIRRRFERRIYIPLPDLAARTTMFEINVGDTPCVLTKEDYRTLGAMTEGY SGSDIAVVVKDALMQPIRKIQSATHFKDVSTEDDETRKLTPCSPGDDGAIEMSWTDIE ADELKEPDLTIKDFLKAIKSTRPTVNEDDLLKQEQFTRDFGQEGN YPR174C MGIQEKTLGIRKERKLVVVPRERNHVRHASQRTRSKNYKNISKK RAQQHAFGFNIAKTLAKIQAFVWGSPADEEEESVVPLSKNSQDCVPLQWQAKFAQLRQ QLHSTQKELQFVKEKCHLLQSVLDDANIDQRYLESRRDMKNIERDNLKPTENLPPSPV RAVNPLVTSSPIHMSPLQSRQRPVSSLQPPKGPNFYAKYPKLPQTNILRESPTEDSVP HAE YPR175W MFGSGNVLPVKIQPPLLRPLAYRVLSRKYGLSIKSDGLSALAEF VGTNIGANWRQGPATIKFLEQFAAVWKQQERGLFIDQSGVKEVIQEMKEREKVEWSHE HPIQHEENILGRTDDDENNSDDEMPIAADSSLQNVSLSSPMRQPTERDEYKQPFKPES SKALDWRDYFKVINASQQQRFSYNPHKMQFIFVPNKKQNGLGGIAGFLPDIEDKVQMF LTRYYLTNDRVMRNENFQNSDMFNPLSSMVSLQNELSNTNRQQQSSSMSITPIKNLLG RDAQNFLLLGLLNKNFKGNWSLEDPSGSVEIDISQTIPTQGHYYVPGCMVLVEGIYYS VGNKFHVTSMTLPPGERREITLETIGNLDLLGIHGISNNNFIARLDKDLKIRLHLLEK ELTDHKFVILGANLFLDDLKIMTALSKILQKLNDDPPTLLIWQGSFTSVPVFASMSSR NISSSTQFKNNFDALATLLSRFDNLTENTTMIFIPGPNDLWGSMVSLGASGTLPQDPI PSAFTKKINKVCKNVVWSSNPTRIAYLSQEIVIFRDDLSGRFKRHRLEFPFNESEDVY TENDNMMSKDTDIVPIDELVKEPDQLPQKVQETRKLVKTILDQGHLSPFLDSLRPISW DLDHTLTLCPIPSTMVLCDTTSAQFDLTYNGCKVINPGSFIHNRRARYMEYVPSSKKT IQEEIYI YPR176C MSGSLTLLKEKHIRYIESLDTKKHNFEYWLTEHLRLNGIYWGLT ALCVLDSPETFVKEEVISFVLSCWDDKYGAFAPFPRHDAHLLTTLSAVQILATYDALD VLGKDRKVRLISFIRGNQLEDGSFQGDRFGEVDTRFVYTALSALSILGELTSEVVDPA VDFVLKCYNFDGGFGLCPNAESHAAQAFTCLGALAIANKLDMLSDDQLEEIGWWLCER QLPEGGLNGRPSKLPDVCYSWWVLSSLAIIGRLDWINYEKLTEFILKCQDEKKGGISD RPENEVDVFHTVFGVAGLSLMGYDNLVPIDPIYCMPKSVTSKFKKYPYK YPR178W MSKYIALENLPVDLQHKGATQNESTADILKQLPHERLQAVLEKI PEEDLEVRRLLSILKKPEVVENEDVQQRRIRLAEILMVDEIDLENINNMENINGEEVD EEDDEDFFTPATSELIFARRFLINYSLERSRKRLQKEMERHQKFNTRQELLSRRTELQ RMANLELAGSQLVSTKPISAVSLSTDDMVVATGSWAGDLQVLNSQTLQPLTQKLDSHV GKIGAIDWHPDSNNQMISCAEDGLIKNFQYSNEEGGLRLLGDLVGHERRISDVKYHPS GKFIGSASHDMTWRLWDASTHQELLLQEGHDKGVFSLSFQCDGSLVCSGGMDSLSMLW DIRSGSKVMTLAGHSKPIYTVAWSPNGYQVATGGGDGIINVWDIRKRDEGQLNQILAH RNIVTQVRFSKEDGGKKLVSCGYDNLINVYSSDTWLKMGSLAGHTDKIISLDISNNSH FLVSGGWDRSIKLWN YPR179C MDLLRILDTKPIPTIVDATTLGISGNTSGDYWLPTTMSLYQKEL TDQIVSLHYSDILRYFETSHYKEDVILESMKTMCLNGSLVATHPYLLIDHYMPKSLIT RDVPAHLAENSGKFSVLRDLINLVQEYETETAIVCRPGRTMDLLEALLLGNKVHIKRY DGHSIKSKQKANDFSCTVHLFSSEGINFTKYPIKSKARFDMLICLDTTVDTSQKDIQY LLQYKRERKGLERYAPIVRLVAINSIDHCRLFFGKKFDKNSREYLENVTAAMVILRDR LGTLPPDLRPIYSQKLHYLVEWLENPTVPWPLPDIYPLKQYTSMDVERSLLTEVHFKK SDDQLEDAFSNCSKKRGRHGANKAASSTVAGIEDNITPSFYSTKRLKNDYYTNPLKQD MTQLTGITTADNSSNVNYHLSSGIITHKLIQSMGEVYMDICVQKQELDDYSCLDDLQN DHLKFFSNEDEKIIKEYETVLRTNNENLNRSHELEVENNLKFSQIETLEKDIETLKGS LMAQGETLSKLKDAFVKTDNVQDEIEKEERVSVSRDTEKKYMEQEIKRAVDAIRENEE ETHKLNEKQNGLESELKLKFEKSEISTKELNEKIGFLKKELKLENDLNEELVGQLSKT MDNLENLTIPRVRTQNGNTKKKSRAKKPGNV YPR180W MDMKVEKLSEDEIALYDRQIRLWGMTAQANMRSAKVLLINLGAI GSEITKSIVLSGIGHLTILDGHMVTEEDLGSQFFIGSEDVGQWKIDATKERIQDLNPR IELNFDKQDLQEKDEEFFQQFDLVVATEMQIDEAIKINTLTRKLNIPLYVAGSNGLFA YVFIDLIEFISEDEKLQSVRPTTVGPISSNRSIIEVTTRKDEEDEKKTYERIKTKNCY RPLNEVLSTATLKEKMTQRQLKRVTSILPLTLSLLQYGLNQKGKAISFEQMKRDAAVW CENLGVPATVVKDDYIQQFIKQKGIEFAPVAAIIGGAVAQDVINILGKRLSPLNNFIV FDGITLDMPLFEF YPR181C MDFETNEDINGVRFTWNVFPSTRSDANSNVVPVGCLYTPLKEYD ELNVAPYNPVVCSGPHCKSILNPYCVIDPRNSSWSCPICNSRNHLPPQYTNLSQENMP LELQSTTIEYITNKPVTVPPIFFFVVDLTSETENLDSLKESIITSLSLLPPNALIGLI TYGNVVQLHDLSSETIDRCNVFRGDREYQLEALTEMLTGQKPTGPGGAASHLPNAMNK VTPFSLNRFFLPLEQVEFKLNQLLENLSPDQWSVPAGHRPLRATGSALNIASLLLQGC YKNIPARIILFASGPGTVAPGLIVNSELKDPLRSHHDIDSDHAQHYKKACKFYNQIAQ RVAANGHTVDIFAGCYDQIGMSEMKQLTDSTGGVLLLTDAFSTAIFKQSYLRLFAKDE EGYLKMAFNGNMAVKTSKDLKVQGLIGHASAVKKTDANNISESEIGIGATSTWKMASL SPYHSYAIFFEIANTAANSNPMMSAPGSADRPHLAYTQFITTYQHSSGTNRIRVTTVA NQLLPFGTPAIAASFDQEAAAVLMARIAVHKAETDDGADVIRWLDRTLIKLCQKYADY NKDDPQSFRLAPNFSLYPQFTYYLRRSQFLSVFNNSPDETAFYRHIFTREDTTNSLIM IQPTLTSFSMEDDPQPVLLDSISVKPNTILLLDTFFFILIYHGEQIAQWRKAGYQDDP QYADFKALLEEPKLEAAELLVDRFPLPRFIDTEAGGSQARFLLSKLNPSDNYQDMARG GSTIVLTDDVSLQNFMTHLQQVAVSGQA YPR182W MSESSDISAMQPVNPKPFLKGLVNHRVGVKLKFNSTEYRGTLVS TDNYFNLQLNEAEEFVAGVSHGTLGEIFIRCNNVLYIRELPN YPR183W MSIEYSVIVPAYHEKLNIKPLTTRLFAGMSPEMAKKTELIFVDD NSQDGSVEEVDALAHQGYNVRIIVRTNERGLSSAVLKGFYEAKGQYLVCMDADLQHPP ETVPKLFESLHDHAFTLGTRYAPGVGIDKDWPMYRRVISSTARMMARPLTIASDPMSG FFGLQKKYLENCNPRDINSQGFKIALELLAKLPLPRDPRVAIGEVPFTFGVRTEGESK LSGKVIIQYLQQLKELYVFKFGANNLILFITFWSILFFYVCYQLYHLVF YPR184W MNRSLLLRLSDTGEPITSCSYGKGVLTLPPIPLPKDAPKDQPLY TVKLLVSAGSPVARDGLVWTNCPPDHNTPFKRDKFYKKIIHSSFHEDDCIDLNVYAPG SYCFYLSFRNDNEKLETTRKYYFVALPMLYINDQFLPLNSIALQSVVSKWLGSDWEPI LSKIAAKNYNMVHFTPLQERGESNSPYSIYDQLQFDQEHFKSPEDVKNLVEHIHRDLN MLSLTDIVFNHTANNSPWLVEHPEAGYNHITAPHLISAIELDQELLNFSRNLKSWGYP TELKNIEDLFKIMDGIKVHVLGSLKLWEYYAVNVQTALRDIKAHWNDESNESYSFPEN IKDISSDFVKLASFVKDNVTEPNFGTLGERNSNRINVPKFIQLLKLINDGGSDDSESS LATAQNILNEVNLPLYREYDDDVSEILEQLFNRIKYLRLDDGGPKQGPVTVDVPLTEP YFTRFKGKDGTDYALANNGWIWNGNPLVDFASQNSRAYLRREVIVWGDCVKLRYGKSP EDSPYLWERMSKYIEMNAKIFDGFRIDNCHSTPIHVGEYFLDLARKYNPNLYVVAELF SGSETLDCLFVERLGISSLIREAMQAWSEEELSRLVHKHGGRPIGSYKFVPMDDFSYP ADINLNEEHCFNDSNDNSIRCVSEIMIPKILTATPPHALFMDCTHDNETPFEKRTVED TLPNAALVALCSSAIGSVYGYDEIFPHLLNLVTEKRHYDISTPTGSPSIGITKVKATL NSIRTSIGEKAYDIEDSEMHVHHQGQYITFHRMDVKSGKGWYLIARMKFSDNDDPNET LPPVVLNQSTCSLRFSYALERVGDEIPNDDKFIKGIPTKLKELEGFDISYDDSKKIST IKLPNEFPQGSIAIFETQQNGVDESLDHFIRSGALKATSSLTLESINSVLYRSEPEEY DVSAGEGGAYIIPNFGKPVYCGLQGWVSVLRKIVFYNDLAHPLSANLRNGHWALDYTI SRLNYYSDEAGINEVQNWLRSRFDRVKKLPSYLVPSYFALIIGILYGCCRLKAIQLMS RNIGKSTLFVQSLSMTSIQMVSRMKSTSILPGENVPSMAAGLPHFSVNYMRCWGRDVF ISLRGMLLTTGRFDEAKAHILAFAKTLKHGLIPNLLDAGRNPRYNARDAAWFFLQAVQ DYVYIVPDGEKILQEQVTRRFPLDDTYIPVDDPRAFSYSSTLEEIIYEILSRHAKGIK FREANAGPNLDRVMTDKGFNVEIHVDWSTGLIHGGSQYNCGTWMDKMGESEKAGSVGI PGTPRDGAAIEINGLLKSALRFVIELKNKGLFKFSDVETQDGGRIDFTEWNQLLQDNF EKRYYVPEDPSQDADYDVSAKLGVNRRGIYRDLYKSGKPYEDYQLRPNFAIAMTVAPE LFVPEHAIKAITIADEVLRGPVGMRTLDPSDYNYRPYYNNGEDSDDFATSKGRNYHQG PEWVWLYGYFLRAFHHFHFKTSPRCQNAAKEKPSSYLYQQLYYRLKGHRKWIFESVWA GLTELTNKDGEVCNDSSPTQAWSSACLLDLFYDLWDAYEDDS YPR185W MVAEEDIEKQVLQLIDSFFLKTTLLICSTESSRYQSSTENIFLF DDTWFEDHSELVSELPEIISKWSHYDGRKELPPLVVETYLDLRQLNSSHLVRLKDHEG HLWNVCKGTKKQEIVMERWLIELDNSSPTFKSYSEDETDVNELSKQLVLLFRYLLTLI QLLPTTELYQLLIKSYNGPQNEGSSNPITSTGPLVSIRTCVLDGSKPILSKGRIGLSK PIINTYSNALNESNLPAHLDQKKITPVWTKFGLLRVSVSYRRDWKFEINNTNDELFSA RHASVSHNSQGPQNQPEQEGQSDQDIGKRQPQFQQQQQPQQQQQQQQQQQRQHQVQTQ QQRQIPDRRSLSLSPCTRANSFEPQSWQKKVYPISRPVQPFKVGSIGSQSASRNPSNS SFFNQPPVHRPSMSSNYGPQMNIEGTSVGSTSKYSSSFGNIRRHSSVKTTENAEKVSK AVKSPLQPQESQEDLMDFVKLLEEKPDLTIKKTSGNNPPNINISDSLIRYQNLKPSND LLSEDLSVSLSMDPNHTYHRGRSDSHSPLPSISPSMHYGSLNSRMSQGANASHLIARG GGNSSTSALNSRRNSLDKSSNKQGMSGLPPIFGGESTSYHHDNKIQKYNQLGVEEDDD DENDRLLNQMGNSATKFKSSISPRSIDSISSSFIKSRIPIRQPYHYSQPTTAPFQAQA KFHKPANKLIDNGNRSNSNNNNHNGNDAVGVMHNDEDDQDDDLVFFMSDMNLSKEG YPR186C MGGEVLNNEGMPLAELKQETIPISRSESSESLNSLTSTRSSSSN RPKTYFCDYDGCDKAFTRPSILTEHQLSVHQGLRAFQCDKCAKSFVKKSHLERHLYTH SDTKPFQCSYCGKGVTTRQQLKRHEVTHTKSFICPEEGCNLRFYKHPQLRAHILSVHL HKLTCPHCNKSFQRPYRLRNHISKHHDPEVENPYQCTFAGCCKEFRIWSQLQSHIKND HPKLKCPICSKPCVGENGLQMHMIIHDDSLVTKNWKCHICPDMSFSRKHDLLTHYGSI HTEEDIPLELKYKISDIQQLVQDHGVQLGNSKHSNEQDEEKISNRLRKRRKLTENNNV EFLQNEVDLEKRLESGENGLNLLLNTVGRKYRCFYNNCSRTFKTKEKYEKHIDKHKVH ELKLKILQEKEENKTLVDQNHKEPFIIQKETQSAGDK YPR187W MSDYEEAFNDGNENFEDFDVEHFSDEETYEEKPQFKDGETTDAN GKTIVTGGNGPEDFQQHEQIRRKTLKEKAIPKDQRATTPYMTKYERARILGTRALQIS MNAPVFVDLEGETDPLRIAMKELAEKKIPLVIRRYLPDGSFEDWSVEELIVDL YPR188C MDHSESLTFNQLTQDYINKLKDAFQMLDEDEDGLISRGDLTKIY ATLGKTLTDEEWSKMVPDNDTSTAEVGEEGVSFPIFLSIMGKNLSQFPEREELEESLK AIGRGHDLNVPLNEVIDSLKEAGFENPEEEFAKLFKLFTTNQQATEERTFRGKLFLDS ITD YPR189W MSDIKQLLKEAKQELTNRDYEETIEISEKVLKLDPDNYFAHIFL GKALSSLPASNNVSSNRNLERATNHYVSAAKLVPDNLLAWKGLFLLFRTTEVVPDILS YDEYFDLCGQYADALLKQEQSQVELINDIKLLKKTHPDCQKAFYQHLKPGSLMAETIG RHLSTPQDALLNLIKILSNIETTEIGKTLSQNRLKLKASDPDYQIKLNSFSWEIIKNS EIDQLYNQLVNILADDQKRSEIENQWLEYRIKVLKSMPLDVKKDFFTKVKEMVEDMVL VNHQSLLAWQKYFEWTDYEDLDNMDAPLIIKYFKKFPKDPLAMILYSWLSSKLSKYDI KSLESANKPPEGHKKTEKETDIKDVDETNEDEVKDRVEDEVKDRVEDEVKDQDEEAKE DEEEDLDDIEIGLLEEEVVTVLTENIVKCKNNILAHRILCQYYLLTKEYEAALPYIKN GISLIAYNIKDLGVHLPLTKREFSLDLATVYTYVDAPKDHNAALKLYDNILSGDFSNI QAKMGKGIIFIERKNWKDAMTLLTQVHEQSPNNLEVLSELSWSKAHMGYMDEALAGLD TVIKGIKGMDLRSIDFRALNLWRQAKVYIMKHASINDAKQENVKCAFKLLIQSIKILD TFAPGFSTLGDIYCHYYKDHLRAFKCYFKAFDLDAGDYTAAKYITETYASKPNWQAAS SIASRLIKGEKAKAELRSNNWPFRVVGIAHLEKQEESDSIEWFQSALRVDPNDVESWV GLGQAYHACGRIEASIKVFDKAIQLRPSHTFAQYFKAISLCDVGEYLESLDILEKVCQ EAATEESFQIGLVEVLMRCSLDLYSQGFLLKSVSIAKDTIERIKIIISELKCENQQVW IYLSQVLRLFIWIESKVDTLPVESLVSIFENSQFSGSEEIDSVDNIKIDTLLDSTTDD NVSIACKFLILASKYSVSDQKFTDIAGTVRASYWYNIGISELTAFITLKEPQYRDAAI FAFKKSIQLQSNTSETWIGLGIATMDINFRVSQHCFIKATALEPKATNTWFNLAMLGL KKKDTEFAQQVLNKLQSLAPQDSSPWLGMALILEEQGDIIGSSKLFAHSFILSNGRSK AAQFMYAKNVLENHINNGDDERDIETVEKLTTASIALEQFFKKSPDSQFALQCALLTL ERLHHYENANELANRLIGILEKKFEKTQDERELFNFAIIKGQFARIHLGLGNFELSIE NADLSQGIISESSDEKSMKTKISNHICLGLSYFFLNDFDQTLNQFQELLSISKDSKHL VVLIAKVLYDVGESDTKEIALQELTEYIATSGADLLVTLTIAAMSILDDKREDLSIIL EELKALPLSKQIIDKHKDAPYLIEEITKRLYRNDTGKQVWQRSAYFFPNNLKVWERLD KNIQRRIASNGQNKVTAEEMSKLYCESKNLRSIQRGMFLCPWNVTAVKALNECF YPR190C MDELLGEALSAENQTGESTVESEKLVTPEDVMTISSLEQRTLNP DLFLYKELVKAHLGERAASVIGMLVALGRLSVRELVEKIDGMDVDSVKTTLVSLTQLR CVKYLQETAISGKKTTYYYYNEEGIHILLYSGLIIDEIITQMRVNDEEEHKQLVAEIV QNVISLGSLTVEDYLSSVTSDSMKYTISSLFVQLCEMGYLIQISKLHYTPIEDLWQFL YEKHYKNIPRNSPLSDLKKRSQAKMNAKTDFAKIINKPNELSQILTVDPKTSLRIVKP TVSLTINLDRFMKGRRSKQLINLAKTRVGSVTAQVYKIALRLTEQKSPKIRDPLTQTG LLQDLEEAKSFQDEAELVEEKTPGLTFNAIDLARHLPAELDLRGSLLSRKPSDNKKRS GSNAAASLPSKKLKTEDGFVIPALPAAVSKSLQESGDTQEEDEEEEDLDADTEDPHSA SLINSHLKILASSNFPFLNETKPGVYYVPYSKLMPVLKSSVYEYVIASTLGPSAMRLS RCIRDNKLVSEKIINSTALMKEKDIRSTLASLIRYNSVEIQEVPRTADRSASRAVFLF RCKETHSYNFMRQNLEWNMANLLFKKEKLKQENSTLLKKANRDDVKGRENELLLPSEL NQLKMVNERELNVFARLSRLLSLWEVFQMA YPR191W MLSAARLQFAQGSVRRLTVSARDAPTKISTLAVKVHGGSRYATK DGVAHLLNRFNFQNTNTRSALKLVRESELLGGTFKSTLDREYITLKATFLKDDLPYYV NALADVLYKTAFKPHELTESVLPAARYDYAVAEQCPVKSAEDQLYAITFRKGLGNPLL YDGVERVSLQDIKDFADKVYTKENLEVSGENVVEADLKRFVDESLLSTLPAGKSLVSK SEPKFFLGEENRVRFIGDSVAAIGIPVNKASLAQYEVLANYLTSALSELSGLISSAKL DKFTDGGLFTLFVRDQDSAVVSSNIKKIVADLKKGKDLSPAINYTKLKNAVQNESVSS PIELNFDAVKDFKLGKFNYVAVGDVSNLPYLDEL YPR192W MSSNDSNDTDKQHTRLDPTGVDDAYIPPEQPETKHHRFKISRDT LRDHFIAAVGEFCGTFMFLWCAYVICNVANHDVALVAAPDGSHPGQLIMIAIGFGFSV MFSIWCFAGVSGGALNPAMSLSLCLARAVSPTRCVVMWVSQIVAGMAAGGAASAMTPG EVLFANSLGLGCSRTRGLFLEMFGTAILCLTVLMTAVEKRETNFMAALPIGISLFIAH VALTAYTGTGVNPARSLGAAVAARYFPHYHWIYWIGTLLGSILAWSVWQLLQILDYTT YVTAEKAASTKEKAQKKGETSSSSAVAEV YPR193C MSNTSEDNITVRFVTENDKEGWQRLWKSYQDFYEVSFPDDLDDF NFGRFLDPNIKMWAAVAVESSSEKIIGMINFFNHMTTWDFKDKIYINDLYVDENSRVK GAGGKLIQFVYDEADKLGTPSVYWCTDESNHRAQLLYVKVGYKAPKILYKRKGY YPR194C MSETVKDKVIIDEKVSTKGTVDYAEGAEYSERLSNHSSDFSQWY TDEQILHFMKKLGYENRTLYDIPEDVAYILKKMPELTLEDSFKILKDSIIYFKDDENI PHDQYEEWKRLVDLEDLDSKEGIDEYDSFDIRAFASAIKFHSPYQEVRAVVDPEDDPT IPVETFRAYFLAIIWSVIGSGFNEFFSHRVVSISLNTPIIQMFLYICGKAWAKTIPCW TITIRGRKYGINIDKPWTQKEQMFSTLLYAICQGAFYTHYNILTQKLFYHSAFSFGYQ FLLSLSVQFIGFGFAGILRKFVVYPARALWPTVMPTIAINKALLGKEKHESGMSRYKF FFLTFFIMFIYNWFPTYIINILNTFNWMTWIKPSNINLANITGGVTGLGINPISSFDW NVISFNSPLVYPFWSYLTQYLGCILAALIVIAVYYSNYMSCQYLPIFTNSLYTNTGHS FKVTEVLDSDNKLDVKKYQSYSPPYYSAGNLVSYGAFICAYPLMITWSFIVHSKLLFN AFKDWALNLWAMRKLKSWVTMFKSDYRALDDYDDPHSNAMKNYKEVPDWWYFAILIGS LVVGIAVVEHYPTNTPVWGLFVCLGFNFVFLIPTTILQATTGYSFGLNLLIEMVMGYA LPGNPIAIMILKAFGYNIDGQADNYVSNLKIAHYCKIPPMALFRGQCVIVFIQIFVNL GVLNWQISNIKDFCTPHQNAKFTCPDAVTYYNASVVWGAIGPKRIFNYIYPIFKWCWL IGACIGIFFGVWKRWGKFYPRYFDPMLFVGGMLNMSPPYNLMYYTSGMIVSYISQYYM KRHHLNLWEKYNYVLSAGFSTGLVLSAIIIFFAVQYKDTAFNWWGNTVPYAGADGVGY PLKNITDTANGYFGYAPGHYP YPR195C MNSLIPLLVEASTYIVRGESSISIAIGIGPQASRSVPYHILCRG CDGTVTTFRTWHTQPLGPCNTIIIGRKGNETTGGAEQRRQQHLTSDSATKASLVGFCG LYYYFRK YPR196W MSIVRQSCDCCRVRRVKCDRNRPCDRCRQRNLRCTYLQPLRKRG PKSIGESNLERAAEIQMVTVNNNIMAAPVMYKKVPKKVIDQCLRLYHDQLYVIWPMLS YDDLYKLLEENYEDCSTYWFLVSLSAATLSDLHTKIEYKKGFFFAGEQLCNLCMSSRR FFDDLSNSDIFRIMTYYCLHRCYAQFADTRTSYRLSCEAIGLIKIAGFHREETYEFLP FGEQQLIRKVYYLLLMTERYYAVYIKCVTSLDTTISPPQPEIVTDSRLSLDSFLEVIK VFTVPGKYFYDALATNSVNGSYTEDSLKRIWNELHISSLDIEPYSYGYIDYLFSRHWV RTLAWKLVLNKKDMRMNFFSNTNATHIPVEIAKDMLQDTLLTPIDLYDVHGPVIPMKA LEIANALVDVVSKYDHNMKLEAWNILCDVSKFVFSLKHCNHKMFQRFSTKCQSALIDL PISRPLRLNDDSKDEVDIIP YPR198W MKSTLSLTLCVISLLLTLFLAALDIVIVVTLYDTIGIKFHDFGN IGWLVTGYALSNAVFMLLWGRLAEILGTKECLMISVIVFEIGSLISALSNSMATLISG RVVAGFGGSGIESLAFVVGTSIVRENHRGIMITALAISYVIAEGVGPFIGGAFNEHLS WRWCFYINLPIGAFAFIILAFCNTSGEPHQKMWLPSKIKKIMNYDYGELLKASFWKNT FEVLVFKLDMVGIILSSAGFTLLMLGLSFGGNNFPWNSGIIICFFTVGPILLLLFCAY DFHFLSLSGLHYDNKRIKPLLTWNIASNCGIFTSSITGFLSCFAYELQSAYLVQLYQL VFKKKPTLASIHLWELSIPAMIATMAIAYLNSKYGIIKPAIVFGVLCGIVGSGLFTLI NGELSQSIGYSILPGIAFGSIFQATLLSSQVQITSDDPDFQNKFIEVTAFNSFAKSLG FAFGGNMGAMIFTASLKNQMRSSQLNIPQFTSVETLLAYSTEHYDGPQSSLSKFINTA IHDVFYCALGCYALSFFFGIFTSSKKTTISAKKQQ YPR199C MAKPRGRKGGRKPSLTPPKNKRAAQLRASQNAFRKRKLERLEEL EKKEAQLTVTNDQIHILKKENELLHFMLRSLLTERNMPSDERNISKACCEEKPPTCNT LDGSVVLSSTYNSLEIQQCYVFFKQLLSVCVGKNCTVPSPLNSFDRSFYPIGCTNLSN DIPGYSFLNDAMSEIHTFGDFNGELDSTFLEFSGTEIKEPNNFITENTNAIETAAASM VIRQGFHPRQYYTVDAFGGDVLLSAMDIWSFMKVHPKVNTFDLEILGTELKKSATCSN FDILISLKHFIKVFSSKL YPR200C MVSFITSRQLKGLIENQRKDFQVVDLRREDFARDHITNAWHVPV TAQITEKQLNQLIKGLSDTFSSSQFVKVIFHCTGSKNRGPKVAAKFETYLQEEDITSK FESCILVGGFYAWETHCRESNLKLIVSG YPR201W MSEDQKSENSVPSKVNMVNRTDILTTIKSLSWLDLMLPFTIILS IIIAVIISVYVPSSRHTFDAEGHPNLMGVSIPLTVGMIVMMIPPICKVSWESIHKYFY RSYIRKQLALSLFLNWVIGPLLMTALAWMALFDYKEYRQGIIMIGVARCIAMVLIWNQ IAGGDNDLCVVLVITNSLLQMVLYAPLQIFYCYVISHDHLNTSNRVLFEEVAKSVGVF LGIPLGIGIIIRLGSLTIAGKSNYEKYILRFISPWAMIGFHYTLFVIFISRGYQFIHE IGSAILCFVPLVLYFFIAWFLTFALMRYLSISRSDTQRECSCDQELLLKRVWGRKSCE ASFSITMTQCFTMASNNFELSLAIAISLYGNNSKQAIAATFGPLLEVPILLILAIVAR ILKPYYIWNNRN YPR202W MEIENEQICTCIAQILHLLNSLIITFSDDDKTETGQSFVYIDGF LVKKHNNQHTIVNFETYKNKMKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFES IPTEVRSFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVISVMVGKNVQKFLTFVEDEPD FQGGPIPSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFPCPG TLSRNSECQAERQLYDAYFH YPR203W MRTFTDFVSGAPIVRSLQKSTIRKYGYNLAPHMFLLLHVDELSI FSAYQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDVLHVCCPS YPR204W MADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPY TVLLANCMIRLGRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWEN IVECTFRTNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEA VADAALQRIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHK IWKKVESQPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKL GAAEKVSRTKEFVTDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRL RDGGLCYLLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGS RTDLSADTVELIERMDRLAEKQATASMSIVALPSSFQESNSSDRCRKYCSSDEDSNTC IHGSANASTNATTNSSTNATTTASTNVRTSATTTASINVRTSATTTESTNSSTNATTT ASTNVRTSATTTASINVRTSATTTESTNSNTSATTTESTDSNTSATTTESTNSSTNAT TTASINVRTSATTTESTNSNTNATTTESTNSSTNATTTEGTNSNTSATTTASTNSSTN ATTTESTNASAKEDANKDGNAEDNRFHPVTDINKESYKRKGSQMVLLERKKLKAQFPN TSENMNVLQFLGFRSDEIKHLFLYGIDVYFCPEGVFTQYGLCKGCQKMFELCVCWAGQ KVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKYFSVKRGEIYSQIQR NYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQY FLNWDEKKCREEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQ LRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFCNKTFRQKLEYLLEQISE VWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDILLCRDSSREV GE Q0045 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLHGNSQLFNVLVVGHAVLMIFFLVMPALIGGFGNYLLPLMIGATDTAFPRINNIA FWVLPMGLVCLVTSTLVESGAGTGWTVYPPLSSIQAHSGPSVDLAIFALHLTSISSLL GAINFIVTTLNMRTNGMTMHKLPLFVWSIFITAFLLLLSLPVLSAGITMLLLDRNFNT SFFEVSGGGDPILYEHLFWFFGHPEVYILIIPGFGIISHVVSTYSKKPVFGEISMVYA MASIGLLGFLVWSHHMYIVGLDADTRAYFTSATMIIAIPTGIKIFSWLATIHGGSIRL ATPMLYAIAFLFLFTMGGLTGVALANASLDVAFHDTYYVVGHFHYVLSMGAIFSLFAG YYYWSPQILGLNYNEKLAQIQFWLIFIGANVIFFPMHFLGINGMPRRIPDYPDAFAGW NYVASIGSFIATLSLFLFIYILYDQLVNGLNNKVNNKSVIYNKAPDFVESNTIFNLNT VKSSSIEFLLTSPPAVHSFNTPAVQS Q0070 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLHGNSQLFNVLVVGHAVLMIFFLVMPALIGGFGNYLLPLMIGATDTAFPRINNIA FWVLPMGLVCLVTSTLVESGAGTGWTVYPPLSSIQAHSGPSVDLAIFALHLTSISSLL GAINFIVTTLNMRTNGMTMHKLPLFVWSIFITAFLLLLSLPVLSAGITMLLLDRNFNT SFFEVSGGGDPILYEHLFWFFGHPEVYILIIPGFGIISHVVSTYSKKPVFGEISMVYA MASIGLLGFLVWSHHMYIVGLDADTRAYFTSATMIIAIPTGIKIFSWLMNPFSKDKNK NKNKKLIRNYQKMNNNNMMKTYLNNNNMIMMNMYKGNLYDIYPRSNRNYIQPNNINKE LVVYGYNLESCVGMPTYTNIVKHMVGIPNNILYIMTGILLTDGWIDYTSKKDLDKKTI MEINCRFRLKQSMIHSEYLMYVFMLLSHYCMSYPKMKIAKVKGKSYNQLEFYTRSLPC FTILRYMFYNGRVKIVPNNLYDLLNYESLAHMIMCDGSFVKGGGLYLNLQSFTTKELI FIMNILKIKFNLNCTLHKSRNKYTIYMRVESVKRLFPMIYKYILPSMRYKFDIMLWQK KYNMIN Q0065 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLHGNSQLFNVLVVGHAVLMIFFLVMPALIGGFGNYLLPLMIGATDTAFPRINNIA FWVLPMGLVCLVTSTLVESGAGTGWTVYPPLSSIQAHSGPSVDLAIFALHLTSISSLL GAINFIVTTLNMRTNGMTMHKLPLFVWSIFITAFLLLLSLPVLSAGITMLLLDRNFNT SFFEVSGGGDPILYEHLFWFFGQTVATIIMLMMYNDMHFSKCWKLLKKWITNIMSTLF KALFVKMFMSYNNQQDKMMNNTMLKKDNIKRSSETTRKMLNNSMNKKFNQWLAGLIDG DGYFGIVSKKYVSLEITVALEDEMALKEIQNKFGGSIKLRSGVKAIRYRLTNKTGMIK LINAVNGNIRNTKRLVQFNKVCILLGIDFIYPIKLTKDNSWFVGFFDADGTINYSFKN NHPQLTISVTNKYLQDVQEYKNILGGNIYFDKSQNGYYKWSIQSKDMVLNFINDYIKM NPSRTTKMNKLYLSKEFYNLKELKAYNKSSDSMQYKAWLNFENKWKNK Q0060 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLHGNSQLFNVLVVGHAVLMIFFLVMPALIGGFGNQKRYESNNNNNQVMENKEYNL KLNYDKLGPYLAGLIEGDGTITVQNSSSMKKSKYRPLIVVVFKLEDLELANYLCNLTK CGKVYKKINRNYVLWTIHDLKGVYTLLNIINGYMRTPKYEAFVRGAEFMNNYINSTTI THNKLKNMDNIKIKPLDTSDIGSNAWLAGMTDADGNFSINLMNGKNRSSRAMPYYCLE LRQNYQKNSNNNNINFSYFYIMSAIATYFNVNLYSRERNLNLLVSTNNTYKTYYSYKV MVANTYKNIKVMEYFNKYSLLSSKHLDFLDWSKLVILINNEGQSMKTNGSWELGMNLR KDYNKTRTTFTWSHLKNTYLENK Q0055 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLHGNSQLFNVLVVGHAVLMIFCAPFRLIYHCIEVLIDKHISVYSINENFTVSFWF WLLVVTYMVFRYVNHMAYPVGANSTGTMACHKSAGVKQPAQGKNCPMARLTNSCKECL GFSLTPSHLGIVIHAYVLEEEVHELTKNESLALSKSWHLEGCTSSNGKLRNTGLSERG NPGDNGVFMVPKFNLNKVRYFSTLSKLNARKEDSLAYLTKINTTDFSELNKLMENNHN KTETINTRILKLMSDIRMLLIAYNKIKSKKGNMSKGSNNITLDGINISYLNKLSKDIN TNMFKFSPVRRVEIPKTSGGFRPLSVGNPREKIVQESMRMMLEIIYNNSFSYYSHGFR PNLSCLTAIIQCKNYMQYCNWFIKVDLNKCFDTIPHNMLINVLNERIKDKGFMDLLYK LLRAGYVDKNNNYHNTTLGIPQGSVVSPILCNIFLDKLDKYLENKFENEFNTGNMSNR GRNPIYNSLSSKIYRCKLLSEKLKLIRLRDHYQRNMGSDKSFKRAYFVRYADDIIIGV MGSHNDCKNILNDINNFLKENLGMSINMDKSVIKHSKEGVSFLGYDVKVTPWEKRPYR MIKKGDNFIRVRHHTSLVVNAPIRSIVMKLNKHGYCSHGILGKPRGVGRLIHEEMKTI LMHYLAVGRGIMNYYRLATNFTTLRGRITYILFYSCCLTLARKFKLNTVKKVILKFGK VLVDPHSKVSFSIDDFKIRHKMNMTDSNYTPDEILDRYKYMLPRSLSLFSGICQICGS KHDLEVHHVRTLNNAANKIKDDYLLGRMIKMNRKQITICKTCHFKVHQGKYNGPGL Q0050 MVQRWLYSTNAKDIAVLYFMLAIFSGMAGTAMSLIIRLELAAPG SQYLHGNSQLFNGAPTSAYISLMRTALVLWIINRYLKHMTNSVGANFTGTMACHKTPM ISVGGVKCYMVRLTNFLQVFIRITISSYHLDMVKQVWLFYVEVIRLWFIVLDSTGSVK KMKDTNNTKGNTKSEGSTERGNSGVDRGMVVPNTQMKMRFLNQVRYYSVNNNLKMGKD TNIELSKDTSTSDLLEFEKLVMDNMNEENMNNNLLSIMKNVDMLMLAYNRIKSKPGNM TPGTTLETLDGMNMMYLNKLSNELGTGKFKFKPMRMVNIPKPKGGMRPLSVGNPRDKI VQEVMRMILDTIFDKKMSTHSHGFRKNMSCQTAIWEVRNMFGGSNWFIEVDLKKCFDT ISHDLIIKELKRYISDKGFIDLVYKLLRAGYIDEKGTYHKPMLGLPQGSLISPILCNI VMTLVDNWLEDYINLYNKGKVKKQHPTYKKLSRMIAKAKMFSTRLKLHKERAKGPTFI YNDPNFKRMKYVRYADDILIGVLGSKNDCKMIKRDLNNFLNSLGLTMNEEKTLITCAT ETPARFLGYNISITPLKRMPTVTKTIRGKTIRSRNTTRPIINAPIRDIINKLATNGYC KHNKNGRMGVPTRVGRWTYEEPRTIINNYKALGRGILNYYKLATNYKRLRERIYYVLY YSCVLTLASKYRLKTMSKTIKKFGYNLNIIENDKLIANFPRNTFDNIKKIENHGMFMY MSEAKVTDPFEYIDSIKYMLPTAKANFNKPCSICNSTIDVEMHHVKQLHRGMLKATKD YITGRMITMNRKQIPLCKQCHIKTHKNKFKNMGPGM Q0075 MLMLLMMILTNNKVFMETLYYYLMFNFQLMSPFGVPVPGPAPET KDIKNLYESIMNNYINILNKYTININKDNINKLKFLDNYTEEEKGYYLSGLFEGDGNI YTRCFSITFSLEDVLLANYLCTYFKIGHITAKYNFNKELTAVKWNIMKKKEQEVFMNY INGKLLTYKRYDQYFKYNFNNRLNIKLLKPKEFDLTLNPWLTGFNDADGYFYTGFQKH KNSQWLKFHLELSQKDSYILDIIKKYFKTGGILKRDYKSGATAYIYKAQSSKAMKPFI EYFNNYQPLSTRRYKQYLLLNIAYLLKLNKLHMLTNSLLMLKELMLLQSVKNMSLEMK NELNNRVKIIINKTHYNNIE Q0080 MPQLVPFYFMNQLTYGFLLMITLLILFSQFFLPMILRLYVSRLF ISKL Q0085 MFNLLNTYITSPLDQFEIRTLFGLQSSFIDLSCLNLTTFSLYTI IVLLVITSLYTLTNNNNKIIGSRWLISQEAIYDTIMNMTKGQIGGKNWGLYFPMIFTL FMFIFIANLISMIPYSFALSAHLVFIISLSIVIWLGNTILGLYKHGWVFFSLFVPAGT PLPLVPLLVIIETLSYFARAISLGLRLGSNILAGHLLMVILAGLTFNFMLINLFTLVF GFVPLAMILAIMMLEFAIGIIQGYVWAILTASYLKDAVYLH Q0105 MAFRKSNVYLSLVNSYIIDSPQPSSINYWWNMGSLLGLCLVIQI VTGIFMAMHYSSNIELAFSSVEHIMRDVHNGYILRYLHANGASFFFMVMFMHMAKGLY YGSYRSPRVTLWNVGVIIFILTIATAFLGYCCVYGQMSHWGATVITNLFSAIPFVGND IVSWLWGGFSVSNPTIQRFFALHYLVPFIIAAMVIMHLMALHIHGSSNPLGITGNLDR IPMHSYFIFKDLVTVFLFMLILALFVFYSPNTLGHPDNYIPGNPLVTPASIVPEWYLL PFYAILRSIPDKLLGVITMFAAILVLLVLPFTDRSVVRGNTFKVLSKFFFFIFVFNFV LLGQIGACHVEVPYVLMGQIATFIYFAYFLIIVPVISTIENVLFYIGRVNK Q0120 MAFRKSNVYLSLVNSYIIDSPQPSSINYWWNMGSLLGLCLVIQI VTGIFMAMHYSSNIELAFSSVEHIMRDVHNGYILRYLHANGASFFFMVMFMHMAKGLY YGSYRSPRVTLWNVGVIIFILTIATAFLGYCCVYGQMSHWGATVITNLFSAIPFVGND IVSWLWGGFSVSNPTIQRFFALHYLVPFIIAAMVIMHLMALHIHGSSNPLGITGNLDR IPMHSYFIFKDLVTVFLFMLILALFVFYSPNTLGQNMALLLITYVINILCAVCWKSLF IKYQWKIYNKTTYYFIIQNILNTKQLNNFVLKFNWTKQYNKMNIVSDLFNPNRVKYYY KEDNQQVTNMNSSNTHLTSNKKNLLVDTSETTRTTKNKFNYLLNIFNMKKMNQIITKR HYSIYKDSNIRFNQWLAGLIDGDGYFCITKNKYASCEITVELKDEKMLRQIQDKFGGS VKLRSGVKAIRYRLQNKEGMIKLINAVNGNIRNSKRLVQFNKVCILLNIDFKEPIKLT KDNAWFMGFFDADGTINYYYSGKLKIRPQLTISVTNKYLHDVEYYREVFGGNIYFDKA KNGYFKWSINNKELHNIFYTYNKSCPSKSNKGKRLFLIDKFYYLYDLLAFKAPHNTAL YKAWLKFNEKWNNN Q0115 MAFRKSNVYLSLVNSYIIDSPQPSSINYWWNMGSLLGLCLVIQI VTGIFMAMHYSSNIELAFSSVEHIMRDVHNGYILRYLHANGASFFFMVMFMHMAKGLY YGSYRSPRVTLWNVGVIIFILTIATAFLGYCCVYGQMSHWGATVITNLFSAIPFVGND IVSWLWGGFNMEDPYYSNMMLNKSVLCWNIFIWMMNYYIIQLIIYNNMIWNKNNMVKM FIMRRKLAVINMYMYMKLIIQRTYSYYMNNTIIYDKNHKLNTDNPIYAYIGGLFEGDG WITISKKGKYLLYELGIEMHIRDIQLLYKIKNILGIGKVTIKKLKMKDGTIKEMCKFN VRNKNHLKNIIIPIFDKYPMLTNKHYDYLYFKDNLLKDIKYYNDLSYYLRPIKPFNTT EDILNKNYFSSWLIGFFEAESCFSIYKPMNKKMKTASFEVSQNNSMEVMLAIKSYLKI TQNIYTDKFNNSRMTTKSINGIKNVVMFINNNPIKLLGYKKLQYLLFLKDLRTITKYN NYFKIPPKY Q0110 MAFRKSNVYLSLVNSYIIDSPQPSSINYWWNMGSLLGLCLVIQI VTGIFMAMHYSSNIELAFSSVEHIMRDVHNGYILRYLHANGASFFFMVMFMHMAKGLY YGSYRSPRVTLWNVGVIIFILTIATAFLGYCCVYGQMSHWGNMNIASNMFNMMKTIYM MMLMLLIYIFYTIMMRQMMKTKEYTMLIKSMDYINKNKYMINLNMTNKKDMNNNIGPL NMNILSIIYGSMLGDGHAEKRKGGKGTRIVFQQEYCNINYLYYLHSLLANLGYCNTNL PLIKTRLGKKGKIRQYLKFNTWTYDSFNMIYSEWYIKNMSGKGNIKVIPKSLDNYLTP LALAIWIMDDGCKLGKGLKFTTNCFSYKDVQYLTYLLHNKYNIKSTITKGNKENTQFV IYVWKESMPILTKIVSPYIIPSMKYKLGNYL Q0130 MQLVLAAKYIGAGISTIGLLGAGIGIAIVFAALINGVSRNPSIK DTVFPMAILGFALSEATGLFCLMVSFLLLFGV Q0140 MKLKLLNMILSMMNKTNNNNNIIINNTLDSLMNKKLLLKNMLLD MNNKKMNNMKRMLNNNNMNPAGANPVVHRIGPAGNINNKLQHLNNMNNWNTQIYNYNK NMEIMNTMNDKLINKLLYKMMTLKLNNMNINKIIMSKTINQHSLNKLNIKFYYYNNDI NNNNNNNNNNYYMNMMNKLMNIMNNNMNNNLCNILSYYYKKKVTIEPIKLSYIYLNSD IFSKYISLNDMDKYNNGILTNYQRMLNNIMPKLNDHNISMNYINNINNINNNKYNNMI NLLNNNNNINNNNNYNNNNNNYIGNINNIYNNMTIDNIPMDILMYKYLVGWSIKFKGR LSNNNGRTSTTNLLNGTFNNKKYLWSNINNNYKLNYIPSNHNLYNNSNINKNGKYNIK VKLNFI Q0160 MKNIKKNQVMNLGPNSKLLKEYKSQLIELNIEQFEAGIGLILGD AYIRSRDEGKTYCMQFEWKNKAYMDHVCLLYDQWVLSPPHKKERVNHLGNLVITWGAQ TFKHQAFNKLANLFIVNNKKTIPNNLVENYLTPMSLAYWFMDDGGKWDYNKNSTNKSI VLNTQSFTFEEVEYLVKGLRNKFQLNCYVKINKNKPIIYIDSMSYLIFYNLIKPYLIP QMMYKLPNTISSETFLK Q0250 MLDLLRLQLTTFIMNDVPTPYACYFQDSATPNQEGILELHDNIM FYLLVILGLVSWMLYTIVMTYSKNPIAYKYIKHGQTIEVIWTIFPAVILLIIAFPSFI LLYLCDEVISPAMTIKAIGYQWYWKYEYSDFINDSGETVEFESYVIPDELLEEGQLRL LDTDTSMVVPVDTHIRFVVTAADVIHDFAIPSLGIKVDATPGRLNQVSALIQREGVFY GACSELCGTGHANMPIKIEAVSLPKFLEWLNEQ Q0255 MIKWTMINIYLLLMFLIIKNNNNNNNYNNITKYNKDMDLYSIQS PYIKNMNIIKRGYHTSLNNKLIIVQKDNKNNNKNNLEMDNFYKWLVGFTDGDGSFYIK LNDKKYLRFFYGFRMHIDDKACLEKIRNMLNMPSNFEETTKTIMLVNSQKKWLYSNIV TIFDKYPCLTIKYYSYYKWKMAMINNLNGMSYNNKDLLNIKNTINNYEVMPNLKIPYD KMNDYWILGFIEAEGSFDTSPKRNICGFNVSQHKRSINTLKAIKSYVLNNWKPIDNTP LLIKNKLLKDWDSSIKLTKPDKNGVIKLEFNRMDFLYYVILPKLYSLKWYSRKEIDFQ LWKTTMEIYMKGLHNTTKGSNLLKLINNNINKKRYYSNYNISKNIIDDVLNMNTIYNY KLPYRMNSDIQRLNSMNNNNTKFINVGVFVYDLNNTLIMTFTGYRPAATYFNCSKHEI AKYIKNGNVFMNKYILKNILLD Q0275 MTHLERSRHQQHPFHMVMPSPWPIVVSFALLSLALSTALTMHGY IGNMNMVYLALFVLLTSSILWFRDIVAEATYLGDHTMAVRKGINLGFLMFVLSEVLIF AGLFWAYFHSAMSPDVTLGACWPPVGIEAVQPTELPLLNTIILLSSGATVTYSHHALI AGNRNKALSGLLITFWLIVIFVTCQYIEYTNAAFTISDGVYGSVFYAGTGLHFLHMVM LAAMLGVNYWRMRNYHLTAGHHVGYETTIIYTHVLDVIWLFLYVVFYWWGV