-- dump date 20140620_024507 -- class Genbank::CDS -- table cds_translation -- id translation YP_002972839.1 MLHLVPAAMFDHYLGISRLLAGQLDFRSAIRSVAAEVAHIIPHD HLDVCVLLEGGNYHTAYETGIETAWGGLAGAPVVNSPIRALLWGEVDFLLADDAMTDP RFHFEGAFKRPIVEQSLRSRLHVPMKVQGTIIAALSCSSHRAGVYTMEDIERARIIAD LLTPYFFALQAAEQAQRSAIVEAEARAREEGLRQGALKLTEALEQERQRIGMDLHDQT LADLTRLARRIDRLSRHGEVAPETLEPISRSLQHCMQDLRQIIEQAKPSVLQLFGLAQ AIEHHLDRSTRDSGSGIEWGLVDETHGALERLEPTVSVALFRIAQEAINNAVRHAAPL AVMVRLEADEERLSIEISDDGTGLTKARGRIGGGIDNMKTRARLISARFTTGPGHNNR GTVVRVVLPLVPNHPAIGPN YP_002972840.1 MTIRKMLLASAAIACAAMPVSAFAETSAKKIALSNNYAGNSWRQ AMLTSWGKVTGEAVKAGTVAAADPFTTAENQATEQAAQIQNMILQGYDAIVLNAASPT ALNGAVKEACDAGITVVSFDGIVTEPCAWRIAVNFKEMGRSEVEYLSKKLPDGGNLLE IRGLAGVFVDDEISAGIHDGVKQFPQFKIVGSVHGDWAQDVAQKAVAGILPSLPDIAG VVTQGGDGYGAAQAIAATDRKMPIIIMGNREDELKWWKEQKDAKSYETMSVSIAPGVS TLAFWVAQQILDGKEVKKDLVVPFLRIDQDNLETNLANTQAGGVANVEYTQADAIKVI ESAK YP_002972841.1 MDDVLKAVIAVDGAKVSFGAVRALDGVTLRVMPGECVGLVGHNG AGKSTIVSVINGGLTPHEGGVASDGERLERYGINAARARGVRCVFQELSLCPNLSIVE NTRIMHRHLGGFGWRRRAAQIIETSLDAVFPGHGIDSSRAVGDLSIAERQMVEISMAF SDAGIAPRLVILDEPTSSLDASLARQMLDHVRRFIATGGSVIFISHILHEILGTSDRI VVMKDGRVVAERPAQGFDHHGLVEAMGTVAKEETKRSAREQSIAPLILSHQAKGLPFA ARKGEIIGLAGLAGHGQTELLLALHAAQSSNWLPQRDPLVTFVAGDRRLNGVFELWSI LRNFSIASLGDLSRRGLILAGEEEAKGADWKRRIEIRTPDMDNRILSLSGGNQQKVLF ARALATRAPVVLMDDPMRGVDVGTKQEVYAIIREEAARGRTFIWYSTEMDEVRLCDRV YVFREGRITAELAGDAVNEKNIIAASFEGVAA YP_002972842.1 MTFRLSSDALRLAIPALSLTLLLAAVFWLQPRAMSYVGLNLLFN LAVPIALATIAQMIVMAVNDLDLSMGAFVSFVACVTATFLRDAPVIGVLILAGAIATY AGLGVVIYLRNLPSIVVTLGMSFVWGGLAVLLLPAPGGQAPDWVRWLMTVKPPLAPMA IVASIVIALVAHLLVMRSSLGVLMRGIGGNQRSVERAGWSIVGARAAAYGLAGLFAVL AGIALVGLTTSADANIALRYTLLSIAGVILGGGEFIGGRVSPIGAVIGALTLTLAGSF LSFLRISPDWQIGAQGAILIIVLALRLMLNRLEKREKRR YP_002972843.1 MTPLLRLFRKPWIWSWLAAFVVWFLTIMVTFGASTLGLSQAALT FAAFSVIVGIGQMFVITLGPGNIDLSVPATMTLAGTVALKLMNVENGMILPGLLVAIV IGLVVGLGNYALIKALRIPPIIATLSMSFIVQSAAIWTNRGLRIKPPSLLAEFTTSNT LGVPNVAIVAFLISLLAWFLLEKTIYGRWISAIGQSMPAARMAGIPVDGTRFVTYLFC AVLASVAGYLLACFSGGAALNMGTEYLLMSIAVVVIGGTAVAGGDSNVPGIWGASLFM FLVVSMLNTYGFGAGIRLIMTGLIIISVIMLAGGRRAGMR YP_002972844.1 MAEASIYEIHDPRFRQMIVTSAGLDELYSGCRWAEGPVWFNDAN QLLWSDIPNQRMLRWTPESGVSVYRQPSNFTNGHTRDRLGRLISCEHGARRVTRTEID GSITVLADRFEGARLNSPNDVAVKSDGSIWFTDPTYGIMSDYEGYRAEPEQPTRNVYR LDPTTGALAAVVTDFIQPNGLAFSPDETILYVADSAASHDESLPRHIRAFDVVDGNRL TNSRVFCLIDNGIPDGIRTDVNGNLWSSAADGVHCFDPAGKLIGKIRVPQTVANLTFG GPQRNRLFIAATRSVYSVYVAVSGAQVP YP_002972845.1 MTDHSLKDTQAANPSASGPAGALFEGQVGAHYLLTLLAESDPRG LPGVTAERVELQRAGEGHPLDDVIVRGTTRTGLPAVLEVQVKRTIRFAPADSVFRDVV EQLARAFLTLDVSNLHHQFAVATERTSFKITGPYQDVLRWARELSSADTFIERINRKN VGNDDMRAFIATVRGHLGACGCTNDDMTVWQILRRFQILTFDYDAPGSQSLELATERC RDVLEPDEAPRASAFWKVLTESVIRSAASGGEFDRVKLVTELACVDGFRLRGTRRNRA PRQTLQNSSALAAEALRRDIGGATLARTAQLDIVRGSRDHGRYLEIRGGPGVGKSGIL GMLVKEMLTEASAMVLTPERTPSGGWLVFKAALGLEGSPQEFLSDLASDGGAVLFIDS LDFFQDSGKKATVIDLVRSAVDVPSFQVIVTARTDFDKEEPNWLPAETLERLGRAPPI TIGELGPEELEELRKAAPSLRALLSDDHPARSISQNLFRLSRLLEVQGSTQELRSEVD LIERWWKTGDGVSEGRRDRARLLADLSEQTLIGADHVVTRSLPATVESLIASETLVEI GLDRVSFRHDVLREWGVAAILSDDLSRLDNLPLSQAAAASLVRGVELGARFALERATD GQPWVEYLDRVSVAGSHPSWRRWSLLAILRSEIAPILLDRAATSLFENEGSLLGELIR TAKAVESRPFREMLAQAGITLPVSVPEGFYAAANPSWATLVYWLLNRKEELPLELVPD IVELLQNLGASMLFLDPLTPKMANVLADWLDEIDEARDFEVYTKGGPRSRFSGVFSYH DLEKLGDDMRRAFALMAARVPERAQSHLRSVLARTHNDSTIQNILRFRGMFAKVAPSE LAELTRTGLVPSPSEDDDYEMSSRERIFTHLDLDFLPSSPGQGPFWDLLSEAPRTGLD LIRDLVNHALAKLKKGRTPGDGVTLVLPSGPRFFPWEKTYTWSRGTSGFHALESGLMA LEAWAHARLDRGEAPEVVIADILGPDGSPAAFLLVAVDILISHWPKTVALAMPFLACP QLLSLDRDRQGHDALPGFDPLGLGALRRKEPMGVVRLADLNKRPSRSVPLDFLIGNFA HRDGADVSGLRDLLQLVAAQLGPPKPDDTFAAPRFMTCHALNKIDLANWHPADGGWVY RSPPDEEQHLAALHAEQASELQDLNISLAVQNALEDPSKSSAELAEQALLYAKRLENA PDNSEQNEIVDRRNAFSSAAMIVARDGSDRLLDAHEEWVRKVLNDTFADGRRDVGASQ RDGIRYNPIAIAVVGLIHLWRRRGTDTDRDSLLHLAGRDTPEAAHGFGAALPVVRQLD GRMIPALLRCALQAQIRRSLRWDAPEEEKEADQVDFHRRVKDAIVAECAWLDGGTEPG WPVFPRNDIHVRRGIRIGDSANNRPASRRNHRKESMYTQSAALWLRLLTLDAVSNDLT WLPAFVDAYAEWTAEANGAGLERTADVDVRLNEWNNAFFSLFVRSMVSLDVGRAEAQT KHAIDVPDRSFYDILAELNPPIDISYLNGHGLSVSVVVKLREILADRLMQSTGWRREH DRTELSVEMRIGPAIAAMFFNEYNALTKSRCYLYAKGVALIDPFLPKLSELISTGPVP FTAMLTMNLLEVSPLARHMPFFLSSALVWLTRQPTNTDLWVEAGLGIRLAAWIEKIVS IDASQLATGNQLRAKIDDVLARLVRAGVPEAHRIERQIAQSFR YP_002972846.1 MKLAHINLVARDAEALAAFYVNVMKCELLRAPKMLSGEIVSRGN GLPNAEIYTIWLKFPELERPFLEIHEHTVTHHRDQPRVNEPGYGHLAFQMEDISDVLS KIIQAGGAQIGEVTDFGTSEKPYLIAYARDPEGNVLELEQLSTDR YP_002972847.1 MIFPSNRVRIMVATKPVDFRKGHDGLAALVKNELHKDPFTGTVF VFRSRKADRLKLIYWDGSGIVMAYKRLEEHTFTWPSIKDGLMTLTHAQFEALFAGLDW RRVHAVQTRTPEAIE YP_002972848.1 MKNGSFSMESRLEFLTTRKPGREGHRHWPDEVKARIVSESLRPG TTVNEVAQRYGLRANSLSTWRTMARQGKLVLPAPEDAVEFAAVIVDPPVSEPPPKAIG RPEIVVGSVTIRLEEGASASRIAAIARALAAGT YP_002972849.1 MSNPYKQIFAAPGAKGFSAAGFFARLPIAMAPIGIVAMLSQAHG EYWLAGAVSATYALTNAVISPQISRAVDRLGQTAVVVPTTIVSVLAFIALITATNQNW PVWTLFASAFLAAAMPSIPALMRARWTELFRNRPELNTAFAFESAADELVYIAGASLS VGLAVALFPEAGMMVSTAFLALGTAAFIVQRGTEPKVRHVAGAASVGSAIRQRPVQVI TLALIFVGAIFATAEVSVVAITKELGQPNAASLVIGVYALGSFLVGLTLGALNLRIPL HRQLLIAVAILALTSLPLLVAGSSVTLLAIAVFVSGIAISPTFITAFGLIERRVPESV LTEGVTWVMTGIGIGMALGAFVSGWVVDSYGPQSGFWVSAAAGAATVLTIALGQRTLS GECSGGEDCAVLEPAQ YP_002972850.1 MRKPRQEMIAETRGKLIAAGRRAFGTIGYAEASMDDFTGEAGLT RGALYHHFGDKTGLLQAVIIEIDAEMTDRLNEVSAAAPTRWQGFVDECSAYIEMALEP EIQRIMFRDGPAVLGDISQWQNTPGCIAALSRSLDRLKADGEIIDIDTETAARLINGA SSHMALWIANAENPEAVSKRAVAGFKAMLGSLRRQQGGV YP_002972851.1 MKKFDNIRAWRRLARGAMVMAVGPMLLFPAGYLVPASAADVTSE GPATPIEADETIRPFQIHVPQSQLDDLRKRIAETRWPDKETVSDTSQGIQLSRVQDLV RYWGTDYDWRKAEAELNALPEFITTIDGVDIQFIHVRSRHPNALPVILTHGWPGSTFE FIKAIGPLTDPTAYGGKAEDAFDVVIPSIPGYGFSGKPTELGWGPDRVARAWDILMKR LGYAHYVSQGGDHGSVISDALARQAPKGLLGIHLNMPATVPGNLTKAVNSGDPAPAGL SAPERDAYESLSTFFGRNAAYGAVMVTRPQTIGYSLSDSPSGLAAWIYEKFAQWSDSE GIPERVFSKDEMLNDITLYWLTNTGASSSRFYWENNNNNFSSDAQKTKEIKIPVAISV FPKEIYQAPESWSKQAYPTLHYYHRVDMGGHFAAWEQPQLFAEELREAFRSVR YP_002972852.1 MLKASVTIVILAAGKASRMGEGGKHKLLAEFDGVPLVRRSTLTA LAADAACVIVVTGHRRSEIEAALDGLALTFVDNPDYASGMASSLIAGFSSRDADSADG ILVMLADMPGISTTHLDALISAFRHAGGEAVVRAVSQGKPGNPVILPCSLSQAVLRLE GDVGARDIIATSGLPVLDVDVGDAALLDVDTPDEVLAAGGAMN YP_002972853.1 MDQTLAPITAPIPVRASSTDDPAELLRFAIDAHRRGAAALATLV DIRGGAARALGAHMAVAADGRFCGYVSGGCVEAAVASEALLAMAEGRDRTVKFGDGSP FFDIVLPCGGGITVAIHVLKDVGALREVLDRLERRQAAGLAYSPERQTLEAVDPLSRA CWLERDFLSVYRPRTRVVLSGRTIEAQAVARLAEASGYDVIVKGRGEAGRVAADIIDP FTAVVLLHHDLDAEAAILATAIASPAFYIGALGSSRTHHRRVERLTALGFRRDDIGRI KAPIGMFGPTRDATSLALSVLADIAAARLVAYA YP_002972854.1 MQFDTPATTNPIDNLKVVGQPIHRIDGQLKTTGRAMYAYEWHDP NMSYAYGYPIGAAIAKGRITSMDVSAAKRAPGVLAVVTTLDIGERKKGKFNTAKLFGG DEVQHYHQAIAVIVAETFEQARAAASLIKVDYAEEKGVFDLGMAKDSAVKPDESQQPD TAVGDFEAAFRSAPVTVDASYTTPDQSHAMMEPHASIAAWNGEEVTVWTSSQMIDWWR SDLATTLDIDKEKIHLMSPFIGGGFGGKLFLRADAVLAVVGARAAKRPVKVALPRPFL MNNTTHRPATIQRIRIGTERDGKITAIAHESWSGDQPGGQLETAVNQTRLLYAGANRM TAMRLATLDLPEGNAMRAPGEAPGLMALEIAIDEIAEKVGIDPVQFRIVNDTQVDPEK PQRPFSQRNLVGCLTLGAERFGWGERGRPGSRRDGNWLVGMGVAAAFRNNLVLPSGAR VKLDREGVVTVETDMTDIGTGSYTIIAQTAAEMMGVAIDKVAVQLGDSRFPVSAGSGG QFGANSSTSGVYAACVKLREAIAKKLGFNSEDVTFENGEVRSGNRSVPLAEAAGPDGL VGEDTIKWGELTETHQQSTFGAHFVEVGVDIATGESRIRRMLAVCAAGRILNPITARS QVIGAMTMGAGGALSEELAVDTRRGFFVNHDLASYEVAVHADIPHQEVIFMDETDPMS SPMKAKGVGELGLCGVAAAIANAVYNATGVRVRHYPLTLDKLIGGLPDVA YP_002972855.1 MRAFTYERASSVETAAKAAASNPEAKFIAGGTNLLDLMKLEIET PTHLIDVNGLGLDKIEPTPGGGLRIGALVRNTDLAAHETVRRDYGLLSRALVAGASGQ LRNKATTAGNLLQRTRCPYFYDPNQPCNKRQPGSGCSAIGGFSRQHAVVGTSEACIAT HPSDMAIAMRALDAVVETVKADGSRRAIAIADFHRLPGDTPDIETVLERGEFITAVLL PPPFGGKHIYRKVRDRASYAFALVSVGAVIQPDGTGRVAVGGIAHKPWRIEAAEAELP KGARAAADVLLAGARPTEQNRFKVRLVERTLGAVIAEARG YP_002972856.1 MEQFMPSQTQLELSRRDLLISSAAAIAVTGAVAPAAAQPPGNDM AYTSKVSFTVNGQNRDLEVDNRTSLLDALREHLHLTGTKKGCDHGQCGACTVMVDGHR INSCLTLAVMHEGDQITTIEGLGQPGNLHPMQTAFVKHDGFQCGYCTPGQICSSVAVL EEIKANIPSHVTSDLTAEAAVTAAEIRERMSGNICRCGAYSNIIDAISEVAGIKA YP_002972857.1 MMLFGLIIIPYSLSKQVTKRDDRMPTPFYWNELNTYDFAGLSPE TTIAVLPIASTEQHGPHLPIATDVAIATGMLAELKRQRSEDLDILVLPTQEIGKANEH IYGPGTLSLSAELLIPVWTAIGAKVAEAGLRKMVIVNSHGGNVDIMSIVARELRVRQQ MAVVSTQWGRFGHPEGMVSDHESKYGIHGGDVETSLMLHFRPELVRMDKAQNFASKAE GMRQQSKFLQPLPPHSLAWIAHDLNPLGVVGDASIATAEKGEAICRHQVKGFVELLRD LKAYPLSNLYVK YP_002972858.1 MLHSRRLLYINEIARCGSIRKAAARLNVASSAVNRQILALEEEI GAPLFERLPRGLRLTAAGELCIEHIRDVLKNYERLEGRIRSLKMQQAGKVRMVTTVGL AAGPLPEIIARFLSEHPRVFVQLRNDTGGTTASPVVSGEVDIGLGFNIPATPGIRTLG NFDIPIGVVLPPGHHLIGPGPINLADVTQEKLVLAQPGTSLREVINLAFARLPISVEP VLETNASEMLKQLVKCGTGLTLLNPLDVITECRRGELVFRPIAEPHARYQQMKLFARA RAPLDAATSLFVEYLLAELLGLVQELQAKGHIPPDVKPAAEPISHRDSKADRP YP_002972859.1 MLKAQTKMQFLGCLGLASMLAAASPALALDKVSYGTNWLAQAEH GGFYQAVADGTYAKYGLDVTIVQGGPNAANSALLISGKLDFYMGGPQGEISAVEQGIP LVDVAAIFQKDPQVLIAHPDNGVDKFEDLAKLKTLFLSKDGYLTYFEWMKANFKGFKD EQYKPYNFSPAPFLADKESAQQGYLTSEPYEIQKQAGFEPKVFLLADNGYSPYSTMIT TTQATIDGKPDVVQRFVDASIEGWYNYLYGDNTKANALIKKDNPEITDGQIAYSVTKM KEYGIIESGDSLDKGIGCITDAHYKKFFDEMTAIKVFKTDTDYTKAFTTKFVCKGAGI ALKK YP_002972860.1 MSLAETKAAPSKEARKRPLVVMQSVSKVFSSGTTALSGMSLTVE SGEFISLLGPSGCGKSTALRIIAGLGDITAGKIDWPSSRINSKGLPEGDIGFVFQEPT LMPWKTVFGNVYLPLKLRGISKAEARGRITEALATVSLQDFADAYPRELSGGMKMRVS IARALVTKPKLLLMDEPFAALDEITRQKLNDDVLRLWKATGITVIFVTHSVFESAYLS NRIVVMKARPGRVHADFPLTTSLERDSHYRTSEQYRQACEKASRSLIEAMGGSEDH YP_002972861.1 MSVESIETAEAASPPGSRPANARRRELALRIAVPFLVITVLIIA WELYVVLSDVPPYILPGPGAVAAAFINDWGTLAPALWVTTKITFISLMLALIGGVGFA IFLVQSRWIEIAFYPLAVILQVTPIVAISPLILIYAPSTQVALLICAFLVAFFPILSN MVQGLKSVDHNLINLFELYGASRWQTLLFLKLPAAQPYFMTGLRIGGGLALIAAVVAE FAAGSAGAGSGLAFRLLEAQYRMNIPRLFAALLMLSMLGVAIFGLTTLIAWLSLHRWH ESSIKREN YP_002972862.1 MTYSFISPPNAARFVLSNATVPAVTVEHVDVPVTEGLATVDIVI SDGMVAAIRPAGAAPADYARIDLKDGMVWPCFADIHTHLDKGHIWPRQANPDGSFMGA LDAVRADREANWSAADVKRRMEFSLRSAYAHGTSLIRTHLDSLAPQHRISFEVFAEIR DTWKDRIALQAVALFPLDAMASSAFFADLVTTIRQNGGLLGGVTRMGPELVWQLDTLF RTAWEHGLDIDLHVDETDDRGAETLKAIAEAVLRNGFEGKVTAGHCCSLARQDEDTAA RTVELVAKAGIAVIALPMCNMYLQDRYPGRTPRWRGVTLFQELAAAGVATAVASDNTR DPFYAYGDLDPVEVFREAVRILHLDHPLDTAARVVTTSPAAIVGRPDKGRIAAGDPAD LVLFSARRWSEFLSRPQSDRVVLRRGKVIDRSLPDYRELDNVVGA YP_002972863.1 MADYQKIKKELEGIAVEDNPALVRQKSRDFYWYSPVLKAQLDNV TADLVVTPKTEEEVIRTLKVAYAHGVPVTPRGAGTGNYGQAMPLSGGIVLNLAAMDKI KEIHPGRVVCEPGIVLAQLDKQTKAHSGQELRFHPSTAQTATVGGFIAGGSGGVGSIT WGGLRDLGNILRLRVVTMEAEPRVLDLTGWDLQKVSHAYGTNGIITEIEMPLAPAYDW VDVLVGYDDFMEAVRFSDALAKCNGILVKEIAPIAAPIPYDYFTRHKPYIRQGQSIVV LMIAPHSMDAFLAFTAAQKGEIMFRSDKVESMRGIPHAYELAWNHTTLRALKVDPSFT YLQVQYPGPDHVAKVRKMVEIFGDEVPGHLEFIKFDGQIQCSGLPLVRYTTEERLEEI IKIHQDNGCPIFNPHRYTLEEGGMKRTDAVQLAFKQETDPKGLLNPGKMIAWDNPDFD FNAGKNYLFPGLAAVMEAS YP_002972864.1 MRVLVLHSHPVEESYGKALYRQTVESLEKAGHIVDACDLYEEQF DPVLSRHDRLVYHDYPENLKLVGSHVERLKAAEGLVICTPIWNFGFPAILKGYFDRVW LPGVSFELVNGKVESRLRHIRKLAAVLTYGATPFRAFAAGNPPKKIVKRVLRAQINPV RPVTFLAHYDMNNCTPETRSKFLARVGGAMERF YP_002972865.1 MKKIFNPASVRRPFGNYNHGLLVPPGAALLVTSGQLGIGLDDTV PSDITAQAELCFEAIKAILEEAEMSFADVIRISGFVIRREDFPAYMAVRDRYTLDPKP VSTLLVIGGFTRSEFLVEVEVTAAKIF YP_002972866.1 MKRPSIKQALILKLSIISLFMVGLSYISLSTISTLRANTEQIGT FWMQRLVTAREIKDDFLDLKLVYAQYLLEDTAEERNVGQQKIDAAGAALDTVVGEYEK GVRTERGRELINQIKPELAKYRALAEQMIASENDGKTPEAIRLLKENMEPQAELMNKA VADLVAFILGQAEGFVAASGASAQSAFMLTATIATLAVLLAVAGIFFAISGIANPIRS IASAMRRLSDGDLDSDIPYAGRTDEVGEMAGAVEIFRQNALNVVRLEKEAAESRSESE AARAAAQQRAEREAEQLRFATTTLGGGLRRLAAGDISFQLSEQFAAEYEALREDFNAS LRQLGATIGAVLETVHSIDNGTGEIASAAQDLSKRTEQQAASLEETAAALDEITSNVT MSTKRTDEARNVAKEADISAQRSATVVSEAEQAMRRIEDSSQQISNIIGAIDEIAFQT NLLALNAGVEAARAGEAGKGFAVVAQEVRELAQRAAQAAKEIKGFIQKSSTDVENGVK LVLETGTSLKSIGEYVIQINQLMDAIATSAREQSIGLAEINTAVNQMDQATQQNAAMV EQSTAAVASLSSEAGRLRDLVNQFQLDGDRGAADGQRSGRAFEGNSPIHLVASRRVIQ R YP_002972867.1 METNGALQRPTLIADHPVAHQTVNLDGLVGDGPFSYCRGKLLDS PPQTGIVVSCRDLCDRAIFDEIIGRYAQKFPRSDRRAIVSMWTLYYFSMLTIAPSVHL FVHHIGLPLEIDRLSLVCTDQTGEPEAFLMSGRPEVTTDPAGELHRLMLGHAEPVIAA IAANAGVAPKLLWNNVAAYLSWILKEIAHRHEPLLVEGGLALLEEAQWPGGGRNPMFG MIRIARQQCGLEFVRRKVCCLRYNLPGVGGCGEACPLPEGRH YP_002972868.1 MARVFLNVSNNVSRIYNDSLFVATAILLIGVAASPALAQSASTD GSATTLEPIVIQGASSDSKSDRTSVAAKNSSAATKINTPLVETPRSVSVTTEREIEQR GAQSIIEAVRYSAGVTTGPNGFDPRFDQIFIRGFNVTTVGDYRDSLRQPYINYGMFRT DPYQLQRVEVIKGPVSVLYGSGSPGGLVNKISKLPTEEPIHEVGISYSTKDRAQAMFD FGGPISEGNDDFLYRIVGLARHGDTNFDIADDRYFLAPSFTWKPDEGTTFTLYGLVQA DETDSNVGAITTLDGRILDIRQSDPDYDYQKVKQQQVGYQFEHEFDNGLTFRHNLRYS HLDLRARYLGVSSWTGTVAHRNASSIRDEMNVFQVDNQLEAKFDTGPIAHTMLFGLDY TNLQSNWGYGIGAVNPAFDFDIANPTYGVSGATPAYNFIVADADMRQVGVYAMDQIEA GNWRFNLGGRQTWVNQTRDTTYTSLGLNASEDVSKNALSWQTGALYRFDNGIAPFVSY ATSFDPVTNRSASGKILEPTEGEQYELGVKYQPPGTDILLSAVAYHIVEKNKPVLANP LTLAYNSLGEVTGTGIELEARAAVADGLDLIAAYTYNHSEVTGGGENEGNTPAVTPSH VASLWANYTFQEMNPFNGLSVGAGVRYVSENWTDTANTSKNPSGFYVDASAAYDFGAV DKKYEGLTAAFNIRNIADERDTVCNEGFCYLGQGRNITGTLKYRW YP_002972869.1 MILIDTNVISEPWKPTPDSQVLAWIDAQAVETLFVSAITVAELR FGIAAMPSGRRKTVLYDRLEEEVLPLFSGRVLSFDMAVSKSYSELMARARVAGRAIGK ADAYIAATAAANGFIVATRDTSPFEAAGLNVINPWYAPT YP_002972870.1 MQAMAAVTIRNLSEETHRALRVRAAHHGRSTEAEIRDILETAVR PAERVMLGSLLASIGREAGLSNNDVDNLQLVRDKAPAEPMSFE YP_002972871.1 MAKTKTDMREAVMAAAKATVQSHGYSALSFRELAKEVGIKSASV HYHFPTKGDLGAALARRYTEEGAAYLAELLATSNDASWCMGRYAAIFRAALANDNRMC LCGIMSAELDDLPAEVRTEVDRFAQMNVGWLTQILSRARPSSGEQNLQEWAMAIFAAM EGAQLVARGCRDIGIYDRTIRAYRTTGLIP YP_002972872.1 MAPEKTLPGNSNKFRRIVIQVQSGLPAYGYYAGSLTGVVDEDTR AALSQMQKDNKLKVTGTVTTEVLNAFGIAAR YP_002972873.1 MRFAQPLLQEPNETGWLEFKVNNKDPREIGEYVSSLANSAMLAG RDRAFLVFGVEDRSKERVGTELRLQKLKQGNEDFTNWLSRMIEPRVLIEVLDFSCDGL AYSVIAIEPSYERPVKFSGVEFIRIGENKKKLAEFPEHERALWIATGRRRFETAVAAS NVTTEDVFALLDPDPMFDLTGEPRPKNQEEVTRKMADRGVVLDNLEGRFDITNLGAIM LARDVTAFPSIAGKAVRIVKYTGRDKSRSDFEQEGKKGYAVGFTSMMKFLMERLPKDE RYIDGVRRMVPHFPETAIREVIANALIHQDFMATGVGPVVEIYDNRIEVSNPGNSLIS TDRILDERRSRNEKLAATMRSFGLCEERGGGLDKTLIEIEAEHLPAPDFISSENSMRV VLFAPKGFNQMSKAEKMRACFFHCILRWLTHDYMSNATLRERFSLPAEEYQAVSTIIA ESIKLGRIAPADPDQGRRNARYVPYWAV YP_002972874.1 MSPAIVEQVERLLGGRTRDIRFNGELARLFGERSWPRTAKIIRA WMVWVIVLDVLTLGLNAILLPTETVMSMLWPASILPPAALVAAVAFLRPHALWVQGVF LLSAVFLILLSVAMVGVNTGGEFYERHLTIMLFVAVTAIIIFPIPLGWAMAIGASALG IYLVFQLRNPAIEVGSALAGTLFFASGVAATVVARRTATIFAQKTFLLELRDRSRLAE LTDANSQLELLARTDPLTGVANRRSMMETLHYFWSEDLKRTSGAAMLMCDIDDFKHLN DNLGHAEGDRCLVKVAGIIQSSMRDERDQVARYGGEEFLVFLPGSDEQEAKVVAERIR SRVEAASLPNPTSRVVAYVTVSIGVATMMQDSELVSAEHMQRQADAALYLAKKTGRNR VVVHAAKVDQASD YP_002972875.1 MTLKSMKPLTRAPLLHVSVQESLRAYIDDNGLAPGTLLPAEGEL ATQLGVSRNSLREGIKALESLGVLDTRRGVGIFVKAFSFGPLLDNLAYGLGGALRQIE EVLEIRRTLEVGLIGKTIDVIGEEDIAELRATVNRMRAQAERGETFAEDDQLFHRLLF RCQDNETLVRLIDVFWLAFYKASDFVNLENADPMATWRDHAAIVDAIEAKDLEEARRR LDRHYEGIARVIANNKTSSNVGGTHEKTV YP_002972876.1 MKRLSRLSVIALGALLSTAAVPALVVSGVAIEAQAATLSGGFDV GPGGFQGNFNPLAATAGFTWLSIYYEPLITYDEKLQKVVGALASSYEVSSDQMTYTFK LVDAKWHDGKPFTAKDAKFTMALAMDAKTGSVLAARLKGISSVETPDEHTVVIKLSAP SSSFPDTMTKVMMLPEHALSSIPADQLTKNTWWSTAPIGTGPFKFTKYVSDQYVELAA NTDYRGGKPALERVINRYFANPAAAIAALRSGEIQFTYVDSNDVPTFKDNKDFQVIEG NSFVVNYLGFNHESPLWKDVRVRQAVMYAINRDAIIQSLYGGAAKPANCAYVAEQLIP PDIDSYAYDPEKAKQLLTEAGWDQINGGKQITLLTYYTTPLATNVLAAVQAMLAQVGI NIVPRAVDAPTYNSIVLNATPDIAQFQLVYAGLQNGPDAGSINVGLNEKQIPPAGPNV ARVRMPDLTKALDSALAEPDSTKRDAAYQDVCKVMNTNLPWATLWVANRYGIVSTKVK DFVWTPAPGGGPYQANPQKWSIAE YP_002972877.1 MLVALSMLIFLLLRLTPGDPIDAYIDPNLPMSPSDLADLRRSLG LDQPLPVQYLGWLQQAVTGNLGYSIKRLDQPVLGLVLSRIGPTVLLMGTALAFAIVAG ITFGVIGAVRRNSLADLSLSVVALAGISSPAFLSALIGLYIFSVRLHWMPSGGMLTPG EEFSVGDLLHHLILPAALLSVAQAALIMRYMRASLLEVLTQDYVRTARAKGVREFWII SKHALRNALLPIVTLIGSTIGLAIGGAIFIESVFNWPGMGLLLVDAVQTRDYPVIMGA TLVIGACVIVVNLLTDITYAVVDPRIKVG YP_002972878.1 MLARSSERRSPGPFSRAFSRFLLNRAAVAGVCIATPMLLLILSY PLWWAFRPNDIDLLAMNSGPTATHWFGADGVGRDVFARVLEGGRISLLVAVASTALSA VIGFLFGATSALAGRWTDAVSMRFVDLVMTLPPVIFLLVLASIAGTGIWPTVLVISLL SWPLLARMIRSRLLELRERDFVMAARGMGAGIGHLLFRHGLPNSIDILVVYATLQIAN AILLEAGLSFLGLGIAPPAASWGNMLNAARSTAVLEQYPWQWLFPGAALILAVLAINF IGDGLRDAFDPRAELN YP_002972879.1 MTKFKGVVPPVVTPLNPDLTIDYPSYSRVLEHLIGAGCHGVFVL GSTSEVIFHDERTRREIIEHSAKVVNGRVPLIVGVIDPTTDRVIAHAKVAKAAGADAV VVTAPFYTVTSQSEILDHFRYIRDAVDIPLIAYDIPVCVHVKLQRQTVVTLAREGAII GLKDSSGDDGNFRYALLDLAEQKDVFLMTGSEIVVDTALLMGAHGVVPGIANVDPHGY VRLWDAAQRGDWIAAKKEQQRLCRLFEIVWVAQGRVSGGAAGIGAFKTAMRSLGIIDS AVMPRPRASLNEAETARIDEILRATGLLN YP_002972880.1 MEQTAEPVLDIRGLRTIFRIRGGEITAVNNIDLTVAAGETLALV GESGSGKSVTSLSVMRLLTRNIGVIAAGSIRLATGNGVVRDLVSLDEESMRRIRGDDI GMVFQEPMSSLNPVFTIGDQIAEPIRIHRGSDRKAAMNAAVTLLESVGIPDARRRAGQ YPHELSGGMRQRATIAMALACDPALLIADEPTTALDVTIQAQILDLLLKLQRERGMAM LFVTHNLGVVAEIAHRVAVMYAGRIVEEGPVGEVFRNPKHPYTMGLLASMPRLGDAAR MKQAGEKLAAIPGMVPSLMNMPSGCAFSPRCKFAIDACRVAVPALEQINPQHRSRCIR WQEI YP_002972881.1 MSEPLLSVRDLSKHYTSRGTRLNILQGISFDIGKGEVVGLVGES GSGKTTIGRSVLRLVEPSSGSVRFDGTELTALSASALRRQRPRMQYIFQDPFASLSPR MTIGEILTEGLKIQGIGTARGRLERAQSALVKVDLPADAINRYAHEFSGGQRQRVGIA RALTLSPEFIVADEPVSALDVSIQAQVINLLRDLQQQLGLTMLFISHDLAVVEYICDR VIVLYLGRIMEIAPSADLYARPQHPYTRALLSAIPSPDPDARRNRQILKGDIPSPANP PSGCVFRTRCPSALDACAGAVPQLREIAPGHFKACIRDDLN YP_002972882.1 MNAKTPRPAISGNWASLLLPIAADDSIEFDKLGEEIDILIDAGV DGIYSNGTAGEFHNQTEAEFDSIQAMLAERCKASSTPFVIGACQPDPLIMLNRVRRAA ALDPRAIQVILPDWWPLTDAEAVDFLKRTAEAADRIPLILYNPPHAKRVLAPRELGMV CAAVPEVIGIKLADGDASWYAEARRHLSGLSLFVPGHHLATGTKEGVAAGSFSNVACL SPRGAQAWTVSMRNEIDPALDLEGRIGAFMDAHIVPFRQEFGYSNAALDKLLSAIGNW GPVGTRLRFPYRSIDMAEAVRLRRIARSELPDLFH YP_002972883.1 MDKRQPSKSDIVQSVDVCIEVLLNVAKNPDIRLTEVAQNLGETK PRILRMLRTLERRGLVRKSDTGTYRLGTTAIILGTAASTQVDLVRIANPILEEVGQKA NETTQLRIIDNGESLCIAKFEPMRDLRVQAMIGRRRPLTAGSYKVLLAYLHPQVQLQM IPETLPRLTKRTITDRGKLVAELDKIRRQGFCVSYGEVSDQLVSVSVPVLAFDGSVIA AVNVGAPAFRTQKSDVDRFIVLLKEASSKISARLGW YP_002972884.1 MAAKILTRAAIGLLGTIAIPAMVAAQETPLTIGMSTTPTTLDPH EDSSAPNNATSRHIWDSLINLTGTSANAPELATEWKVVDPTHWEFKLRKGVKFHDGSE FNADDVIASLLRARDKPSQSFASYTRNIVNVTATDPYTIVVETKVPDPILLNSVSRIR IISADCKEAPVQDFDNGKCAIGTGAYSFVSYSPGSNLTLKRNDSYFAGPSHWSNVTLR FLPDDGARLASLLSNEIDIVETLPADGMARVEASDNLQVINGLSSRFVYLGLDVSRDV SPFVKAADGSDLDKNPLKDERVRRAMLMSINRPAIVDRVMQKNGTVADQFVTQGYAGY SEKVEKVGYDPAAAKALLAEAGYPDGFSLTLHGPSGRYVKDAEVLQAVGQMFTRIGIK SKVEVLPWSMYSEAYSKGTYSTYFGSWGVNTGETTNPTVALVATRDEKKGTGRYNGGG VSDPKIDEVLAKASSTLDEAARAPLLEELSTETFNNLWLLPMHYENVVLGAKKTVSYT PRGDKYTLAYDVKPAD YP_002972885.1 MQELGTGEAVARAKAIYDYGQTAIFASQADPRLHMVLYVPPTAA DGRKLDLLVAVHGTGRTSAIDFRDGFAEFGLYNDCAILCPIFPVGVLGDGARSGYKFL EEGDIRYDQVVLAMVAEMADKYRQDWSKFAMFGFSGGGHFVHRFTILHPEKLWAASIG APGSVTLLDPTRDWWVGIRDLEARFGKAFRPEDLAKVPVHMIVGDADLETWEITHKPG GKYYMDGANDAGKTRPERLAALASSFRKAGVDVTFDRVPGVSHDRMKVLGNVKAFLAK VLEGRHAK YP_002972886.1 MRNATIVAPQPEAVEAGAEVLERGGNAIDAALACAFVQGVVDPQ MAGIGGFGSMHVYMPKKGVHEILEFYARAPLKAAPDMWLDKIKHQSRDGFGYVLEGNI SDIGYLAVCTPGSLKGYETALRDYGTFDWADLIKPAIRLACNGFMIRNHMQWYWAKDQ SNDGFANTLDKLRFSETGRKVYFHDDGTLKNVGDLLVNKDMGETLERIARSGGSDIFY HGELAEQIAGDFKANGGLIDRQDLARYELSKVEPVWGDYHDHRIATSPPPGSGFPMLE LLHIMEQFDVGSLQHGSADHVRILFEAMKRMTIDKDAHMGDPAYVDVPYAKLLSKEHA KAHAGAIKAGEIASVSRLDGSQRDTTHISVIDKDGNAVAMTHTLGSPSGAITDGLGFM YNGTMSRFNPLPGKPGSIAPGKRRPSSAAPTIVFKDGEPSIVIGAPGGSYIAPAVAQC LMNMIDFDMSVLEAVSAPRIVGVSNTIDICNRIRHSVEDELRAQGYQVARSPQTYAFA AVHAIKIDGGISKGAADPQRDGMAISVA YP_002972887.1 MVTSIIRRLIQALFVVVAMTTIVFIGVNVIGNPVDILINPDANQ AERALVIAHYGLDQPLWKQYLLFLQGLLHGDFGNSFVYGRPALDLILERLPATLELAI TALGIALLFGLPLGLYAGLYPNRISSKLIMSGSILGFSLPTFWVGLMLIMVFSVELGW LPTNGRGPTQELLGVQWSFLTADGIRHLLLPAFNLALFKTSLILRLTRAGVQEVLPQD YVKFARAKGLREGRIISVHVLKNLMIPVVTIIGLEFGSLIAFSVVTESIFAWPGMGKL IIDSINLLDRPVIVAYLMMMVLLFVVLNFIIDICYTLLDPRVRLEGKA YP_002972888.1 MAEPQTQDTSAPTPEPSRLSKGIANFFSSPAATIAFLTLVIICC LALFAPWISPQNPYDLMQLDIMDGRLPPGSESMTGLVYHLGTDSQGRDILSGILYGLR TSLLVGVLSAFAAAIIGTSAGLFAAYMGGRTETAMMRLVDLQLSFPTILMALMMLAVL GKGVPNVVIALIIAEWATYARTVRGTALVEREKEYIEAARMLRLPGWRILFRHLLPNC LAPVIVIATMQIARAIGLEATLSFLGLGASVTEPSLGMLISTGYQYLLTGLYWISFFP GIALLVTIAAINLVGDRLRDVLNPRNMS YP_002972889.1 MTPLLQVSNLVTEFGGGRGRPSLCAVNDVSFTVERGKVLGLVGE SGSGKSVTGFSIMRLLDKPGRVVGGRVIFDGADIAKYSDEEMRQLRGKRIAMVFQDPM MTLNPVLTVGTQMVEAVRAHERVSKDAAQQRARDALALVGIPSPEERLAAYPHQFSGG MRQRVAIAIALLHKPDLIIADEPTTALDVTIQSQIISEFQKLTEQGNTAVIWITHDLA IVSRLADEIAVMYAGRLVETGPVGKVLTDPHHPYTAGLIASVPSQNRRGEPLHQIRGM TPAIDRLPQGCSFRTRCDRATDGCLVMPALMPEGDRSFRCIHPVTKEVA YP_002972890.1 MTATAAPLIEARNVSQRFGAKPDLAAKIALKLKLAKPAPIVHAL DDVSLSIRPGEVVGLVGESGCGKSTLGRAIAGITSPSQGQILWKGMDRSAMSKGEQHQ FGLASQMIFQNPMAALNPRMTVEELIWEAPRTHGLARSTERDAYVDRYLMLAGFDPAM KKRYPHQFSGGQRQRVNIARALAVQPKFLVCDESVAALDVSIQAQVINLFMELRDKLD LTYLFVSHDLGVVEHISDRVAIMYLGRIVEEAPVEEVFRRPNHPYTKALLAEVPRIES GKRQFRPVDGELPSPINPPKGCHFHPRCPFAMDRCRMEVPVKKEIAPGHLSACHLNDE YP_002972891.1 MPWTRRNIVLGGLALLGAGAVRKPAFAAASSFFDGTIVDNGVTF RRTNFAKIDKKWHRQVVKYFSGEPIGTVVVDTRHHFLYVIMENKTAIRYGVGVGREGF KWFGRATIDSKSLWPRWTPPPEMRKRHPELPEFVAGGSPKNPLGPRAMYLHRDGVDTG YRFHGTLEPWSIGKDASSGCIRMFNEDAIDLYQRCPIGTAVQVLPHIADQAESAAQVS QTTPVE YP_002972892.1 MRNITLMSAPTGFVRRLAAAAMLLALAACSTTDIASVEEPAAAP MTGQTNDPAPGFENVAMGSEEDFILNVGRRIYFKQDSATLDPVAMATLDNQAAWLNRN PSWLLKLQGFADDSGSAAKMETLSQKRADAAMAYLVSKGVDARRMWAKGYGNDREVRD CTERSCKVQNRRVVTNLRTQPDAA YP_002972893.1 MEGGEKRQTEKTMAEVSESKAGQDEKRRRRSRKGEARRAEILSA AMRRFAEDGYQNAAIGDVARDVGLSLPGLLHHFPTKVDLLLAILDKRDLESESFIGPY RSDLRGLLKGMVGVFRRNAEMIEVIRTFAILNAESLMKDHPAKAWFLNRVTELQDDIA ATFERAVADGSIDGKIDGRAMAAELIAVMDGLQMLWLRDPTRFDMVGGLEAYISRLLA SLGLED YP_002972894.1 MRDYAKERPEHTEKYVGENDLFLEIFHGHSDPGQSARPPLLFVH GAFTGSWMWSKYISHFTSAGWNSYCVNLRGHYKSRSMDFTKILFEDYLEDIRLVISEI VEECGTPPIVIAFSMGGILSQKLAEGVKIAGLVLIDTSICRQVHAEAPYRDLALRMPG LVVPAPVRDEQISTDETFEDIEFQRKYLSMESAKAFSAFSFHFGAEGISIEGEKITCP SLVICAVNDESDDHRGLATARHIGSEYLGLQGTTHTGLLVGQRYYEAVSRIMVWLARF DGMQ YP_002972895.1 MDSLASVIAFVHAVEQQSYVAAARVGGVSPSAIGKAVARLESRL GVRLFNRTTRSISLTEEGAVLYERYKRIIDDMDDAEATISRSRERPRGRLRVSVPHIV GHHLLMPILPVFAEQFPEIELDIDFEDKVIDLVAEGLDVVVRSGELADARLIARHLGD QHFVVCGSPDYLKRHGRPETPDDLSQHACIHFKYPSSGRVAPWAFRRPCERLPLPRGL TLNNTDAGLRAARDGLGLAHLPVYVAEPHMRTGSLVPVLTSFMVPYGSLSLVWPSNRQ LSPKVRAFVDFVVANFAARPDAFRPASGL YP_002972896.1 MTLDKAVLQRLAVRADVTDYVRIISRAHAATPLGMGFGKTRFSS PRDKFRLLYLAQDPATAIAETIVRDRFQGKAERLILREEFDRYSIAVIRNPKPLFLLD LRYEGANLLGVSTDAVRARAQASGRRLSQEIYDRTNVDGILYMSRITNKQCVAVYDRA TASIEADSPAWDLIRLSALGPILNALHLTVIDRGMISNR YP_002972897.1 MAGSHVQLDRARQAQVADRVAAKVADVLKADATFESGSVALSAR IAGAAAAAIVDLPVSVRRELSKRQGELARRIRGLVETFSDAPDGGKIALEIPKAVEPS RGEGLGKIATAEEGERLLGELAVARKLEDWAGPVAGANELQREFGIARSTLNRWQHAG EVIALLKGTRKHVYPIEQFIDGRPAKGIGTIAALVSNQRVAWLWLSQPNPMLGGRRPI NLLKQDHADEVVDAAQTYFAAQ YP_002972898.1 MPLTIAQRLDRLKVRIAELAHWRDRQSAAIDGWTFEGEPIEHHQ DWPHRQGVVHFAVIAEAPEAWPLEDIRLQLDLGGESLITLSYPDGETETFGLDPYHQE FPVKGRRFSIATETVARFPFGEPNRAPRLNKARFIWLDGPAHRMHLLLKQVAEAIEVL GEHEVVPHLMDAAEHALRSLDWPSDTAAYISRTSGAVMQQKIWELPELEVNPAGLTDE QSGSAATAFEALTARLKELQKRFPPNGELLLTGHAHIDLAWLWPYRETRRKMRRTFNT ALSLMERSDDFRFNQSTAHYYAQMEEEDPELLDRIKQKVAEGKWETVGGMWVEPDTNM PTGESLARQVLYGQRYFEKTFGTRHTVCWLPDCFGFSGALPQILRQGGIDSFFTIKVN WSETNHIPSDLFWWKGLDGSQVLTHTFDNPMQGYNGFVQADCYVPTWKNFRGKTQHDT SLLAVGYGDGGGGVTPEMVEREVQLRDFPAIPQARWGTVKSYYEQAHRTAGEKNLPVW DGEIYLELHRATLTSQSGVKRKHRQAERALITAETISSLAHMLGADRPKSLEADWRVV LKNEFHDILPGSSIREVYQDAEQELGGVIERAGTEQANALQALSAKLPKGGVGDALVV VNPSLAARPLSATLSDGTVVAAADLVAPLSVAVFDKGSLKPAGGLKAGPDRLENDYLV VGIGKDGAVSSLIHKATGREAVDGSANQLWVYPADKPRNWDAWDIDADYAEKAVRLEA PDSVTLVEDGPHRAAIRVVYRYRNSSVTQTYVLTANARRLDIETTIDWHDRRTLLRTL NPVAAQARKATFECAFGIVERATHTNTSWEQAMFEAVAHRFVDISEPDFGVALINNAK YGHSARGNVIGMSLVRGPIYPDPLADEGEQSFTYALMPHEGAWHEGGVLDEAIDLNQP LVSAEASGLSAGTFAPLAITGIPVAFSGLKPAEEGDGLILRLYEPAGRRGRLALGLPS GWAASQPLNILEEPMERKGPADIMPFEVRTWKLQNG YP_002972899.1 MVSIISVAKVAGVSNKTVSRVINGEPYVTEETRERVERAIRDLG YVPNMAARQIRSSRSNTFGIIADYVSTTPYSVDIVRGIQDWANANGKTILMANTGGAS EQETKIWKMFQSHRIDGVLYVTMYHRIVDPEIGDVGIPTVMINCRPKTSELLPSIEPD DYQGARDLTRYLLERGHRKIGYIRLNPILLGAELRLDAFRKTTGDFGLSESDLSIRLG MEGPVGAEKNYVFAAATEMLQQRDRPTAIMSGNDEMAIQIYIAAMALGLRIPEDVSIV GFDDFRTVSLALRPELTTAALPYYDLGLQGAELLNSVVAGSEACPSSRVMSCNLVERL SVSSL YP_002972900.1 MKNLLGASALALVFITATANAETINILVEGGGEMLQKAVAEKFT AETGIKVNFTTVPYQGVFDKFSAEIASGSSAFDVVTIDVVWNAKFASHVEDLSALFTD AVRADLPPVLLADAKVGDKLIGMPAWANAEIVFYRKDLFDKAEEKEAFQAKYGYPLAP PKTWQQWRDIAKFFTRDTDGDGKTDFWGTDTIGTFSEEWMAHVLQAGSPGVILDKDGQ VIIDNEAHKKALEFYIAPHCIDHSVPENVNEIGWGEAQNLFYQGKTAMMKFWAHAYKM TPPDSKVSGKVGVVPMLAGDAGIAAVPGPWYNVVPSTSEHKDAAKKFISFAIANNALG IEAPLGLAATNSAYRSYSGKAGYEHFPPLLETLSAPATQGRPINEKYQEIVDEAVLPA IQQALTCKADIGEVLTEAKETIEDILN YP_002972901.1 MSGEDRFVLCMLAPAVAILGVLVAYPVGLLVFDSFFKVDTITPH IREWVGLQNYVDALTSKRVMESALRTVQYSLFALFFEFTFGFCAALIFSALAGQSRWH RTIFALPLMVPPIVAGLLWRFLLVGNIGILNYGLVRLGLISEPDAIAWLSSEDIVIYS VSFADIWLTTSFVALVSYAGLTNIPKDLLEAARIDGANALKRFWHVTLPLMRPVIAVV VIVRGVDAAKTFDLIWIQTQGGPSHASEVFSMNIYQRMVRFGDLGEASASGTLFLIVM MLLAAVAYWKIWRPVHA YP_002972902.1 MRRAPRPNLSGILINAAALVLVLSYALPYIYLLMTSIKPAADVQ QIPPSFFPAVVSFENFREVLRSSTLPRAFVNSLTVAVLTTALSLSVAVPAAYVATQYR RRITTIFLLFALVTRMVPSVALGVPLFQLLKSLGLLDTIPGLVLAHTSAAVPLALLLM SAFFEGIPKELEEAARMDGCTRFQAFRKIVLPVMTGGIAVTALFTFITSWNEFLYALL LTSEATKTAPIVIAEYNSVYGLAWGAMTAAAVLYSLPAIIVTLALQKQIVGGLTFGAV KG YP_002972903.1 MAGIELRNINKVYGNSFHALHDLSFDIRDGEFMVFVGPSGCGKS TALRMIAGLESITSGELKIGDRLVNDVDPKDRDIAMVFQSYALYPHKTVRENIAFPLL MAGLPKTEIASRVDEAARILELTTLLDRRPALLSGGQRQRVAMGRAIVRKPAAFLMDE PLSNLDAKLRVQMRAEIASLQRKLNVTTIYVTHDQVEAMTMGDRVAVMKGGVLQQVDT PQNLYNRPDNVFVAAFIGSPSMNLYEAVLNGRTLTLGSNSLEIPDRVFEGRPSLKGAS NRQVIVGIRPEHMNDAAIRPSSAEISAPVTLVEALGSESMVHLNIDATRVDAGDPDAV ADIGNEKAAVARFSPKSTVRAGDIARIAVDADELHFFEPDSRTSIW YP_002972904.1 MVSENFYDVTQYPSGNPYEDIGSVINSIIADIKSRQAVPDQNDG GKPGAVIYIPPGDYRLVTQVVIDLSYLKIVGSGHGFTSSSIRFNTPADELAQWREVWP GGSRVCVDMSPDAADSEAAGAAFYVKRTGNPRISSVEFADFCIDGLHFMDDGSGQNDA ENTYRNGKTGIYVGSANDSFRITGMGLIYLEHGVTVHDADALAIDNNFIAECGNCIEL KGMGQASRIANNFVGAGYRGNSVYAENYGGILVSSNNVFPRGASSVYFSGVVRSSITG NRFHSFYPGMLVFAANCCENLVSSNHFLRDREPWAPMQKYDNGLDDLFGLLRIEGSNN SVIANHISETIDTQYIKPLAAKPVIINVVSGSGNYIANNHIVATTEMSQINDAPNSAC FSTQVGALLSTDNLKALEVTAVRVQRGSVRNTVLDSGRVEQVEMDRTVNAFRGTPVPG QSSAEFH YP_002972905.1 MANQMDRQDGPLMQQNLFLPSGYHLEFWAAGDGFFRIDIDGKTV WQVRSFAIDPEFFKYHHREACEVTIVTNAPDAVLWAYGYQPQTVHETGITVFEFSQKG ILQRTGAEIENWLRSDPDRPALHFSPIRHWMNDPVGLCKIDELWHLFYQFHPSGSDWG PMHWGHATSRDLVNWLHMPVFLHPEQNLWRLGATGGAFSGNAFRDRDGSLMFFYTERL PAYDLFEGYREIQKIARPDRRMIKAEGIVTVLEERPDGVEHDFRDPKVWWDDAANAYR MILGASIEGDPAVLLFGSADGLDWQYLRPLYRAPANFRQEGARAVECPDFFRLEEKWV LVMGFVGHVEPRTRRHNLLYALIGEFIDDCFVADMPEMQLLDFGTDYYAMQSFEAGGR QIAFAWLFNWEYRRPEGSAYSGEMSLPRVLSLSEDGKRLRMLPTIEVDDHFAADPIVE KQCGKFSLPMAPIEIRLSGALEGSKVVATQGGELAFEVCVAGGILSIRLAQDDGSIHY VAELADGKDLRLFHDCGIVEIFADGGTVCGTRRGYANINPDYLEISSSASTRVLERDA KRCCAGAR YP_002972906.1 MLQVRKKLLITATAVIVVAVSSSSCTTSSNDSMQTGSVGYTGAD YSLRPACRDGFGNDHPCSY YP_002972907.1 MRYLRSGPRRLQTPDMGAPRTKMVGLRSGEIADRNIRVILEAIR RHGPLTRMELGRHSGLTGPGITNILRRLAEEKLITSNRRNGLGGGATATEFALRPEGA FSIGVKLRQRRGEAVLIDLSGQVHDRVYIELDPADKVGLVHAAVRDMVDRHAALPIIG LGIAANDWTEDQSDQIAAMSTIARPYVENECTASLLAERTIGSSGREGGLAMIIIDDD VQAGFLIRGIPYSGVHGRAGSIGEMLTGPDNVQLNTVVGFESLRSRIGDQAFTSLLKG EEISSPLLSQWIREAAGHLLDPIIAMAGFLAPSVVMIGSDLPQGVIEALIHQLSIERL DTSTRPLLTPWISPMKPASFSGGGVALGAALLPFLNTLLLPPASA YP_002972908.1 MEDKMRLLILGTGGMANQHAANFKAIEGVSVVGGVDVVPERLAA FCTEHGIANHFTTLEEALAWGEFDAVANVTPDRIHHPTTLQAIAAGKHVFCEKPLATD AIKAMEMTEAIERSGKVGMVNFTYRNSPALQKGRQMVLAGEIGQVRHVEASHLQSWLV GRHWGDWKSESKWLWRLSKKHGSNGALGDIGIHIVDFASYGSGLDVAHVFARLKTFDK TPGHKIGEYDLDANDSFTMNVDFTGGAIGTIQATRTAAGQMDQLRLRVYGETGSIEMI YDTGKSTLRACLGEDVHTATWRDVPFDPVETNYQRFVQAVRAGRTQEPSFRRAANIQK VLDKALASDLSHADEALA YP_002972909.1 MKSATVSAFALSTMLFSASTSFAQELATKDRIGLADAPKSLVVR LTNDSPNNSDPAIAEGYQKLFVDFIKKHPDWKLQMQFMSSDIGTEQAKMLEQAKAGNA PDCAAVDSFVLSQFMVNKVLADFTPYFSKEEVDDLFPFIRSGITDKDQTVRAWWWDTD LRVLYRNKSIVADAPQTWDDLKKAALASTKEGMEGVLFNGGRWEGTTFDWLANYWALG GKLVDDSGKPVFGEGENKEKFLKALNYFKDLVDSGAAPKRVSTIANYDDLNAAAAAAT TALFIGGNWQYAQLKATLDEDEFNNWTFSPIPGPSADQRSTGTGGWTIASFSKDKDKV EMCANLAREVYMGPANALQQQLPTRKSLFDKYEVFSTEANKTFAKALVDGQARPGVPI YPEISNQIQIMMGDVLSGTKKPEDALNAAFNAALEAYKRL YP_002972910.1 MSPIAIEADSPPQSRTFMRRFAGAPLPWIMPVIVVIGIFYLYPV IDVFRLSFTNATLIGDNQDYTLGSITNALSSPQLPDILWATLIFVGGSVIGQQILGLA VAVVVIRGEKRGLFGTTILRTTALVAWVVPGIAGGIIWQMLFSEAPYGALNSILRLMH MPVVAWLSDPAIAPWSTLISNIWRGTAFSMVVMYAALKSIDPSLYEAAEVDGATASQQ FFFVTLPQLRAAILVNMILITIQTVNTFDAIITLTGGGPGRATEVISLYVFNIVFRNY DLSGGSVLSVLMLIISLGLAFIYASFLPKEEEQ YP_002972911.1 MNGRTGTRLGDAMSYLFMLVMFVFFAGPLTYLLSMAMRDKREIY RGAARYIPNNPTIDNFITVLNNSYFPIYLWNGLKLAALSGLGVLIVALPAAYAFSRFQ FRGKGLSMMGLLLFQMISPLVIMVPLYRYMNRLGLLDTHFAVVMVYIALGVPLATWLL KSSVDGIPRSLDEAAMIDGCNRFSVFWRIILPLSAPGIASVFIITVIAGWSQFLVPFL LLTKNDLMPIGVGIFNFRGMQTDSSIQLLAAACLIAVVPAIVAFLSLQRLILGAMTSG AVKG YP_002972912.1 MNQTLGARLSPDLTGANVEDAGEHNRAIVLRCIHRQAPISRAEI ARRTGFTKPAIARIVDRLLDEGLIMEARRRHGLRGQPAIELEINPDACFAIGINIDRD HLTILAVDAVGNVRARVHHEKRFILPAEFLQLTADAISHFQRSRLIDDARLAGIGLAM PDWLGEISLLGKPKAYQEWTAFDVRAALENLTQHPVFIENEANAAALAELDYGLGAES SSFFYIAINPCPGGGLVLDGNGHRGAMGLSGEIGWLPIADGGDGKAHKVQLLGEIFSL FFLYDFLAKHGVEVSVPHDLLTLDAHGKRLVSQWLKEMSAHLAVAVKHIGMVVDPDAV LVGGRLPIRIVDELLRYVHEHLDAEDTNLPSLHRASLGEDASAMGAAVMPMAAALMLA SADAAQRTRSPLKNMDRLNS YP_002972913.1 MKIGFYTSTFNDRPFEEVVDFAASAGFDAIEIDVGGHIKTPDRV EAAVALARSRNLFVSSITYFGNQLDADRVKREALRERTAEFAGAIGEAGVPIFVIFPG RDDTASDEANYDDFADFANGLIAKTQSYGLTFAIENWPGPKDNFIGTTPKGWQELFQR IRDPRFGLEFDPSHLIRIGVDPYQAMEAVKDRIAILHAKDTAIDKESLQAVGYHGKGW WQYKLPGLGLIDWPRFLRQARGGGFDGTLSIEHEDAAYGWPGRDLAARKDGERLGLDY LRNVLSGL YP_002972914.1 MAHVSVNNARKDYGAFKAIKGVSVDIGDGEFVVLVGPSGCGKST LLRMIAGLEGITSGQIQIGKHIVNELAPKDRDIAMVFQNYALYPHMTVAKNMGFSLRL KRMPRTEIDQRVGNAAKILGLESLLERYPKQLSGGQRQRVAMGRAIVRDPAVFLFDEP LSNLDAKLRVQMRSEIKELHQRLQTTTIYVTHDQIEAMTMADKIVVMKDGLIEQSGSP LELYDRPNNLFVAGFIGSPAMNFISGNMTEDGFRTADGLLLPSERRPADAAIYGIRPE HIRLDPGGIEVTTVVVEPTGSETLVIVRLGTQTLTCVFRERIRAAPGEVLRIAPIHDA VHLFAGNEQRITSGEAPLN YP_002972915.1 MDKPWKISRGPIAATELDVEKANAINTLLIRPVGVLPAKAGDPV LPFAVGLFNELRPLLKPDAGVTTLRRATAAYVHGRRYYFASAQPDSMRHDLDGEPVEP LSPEDRLVAQNRFLSLKQATGKADAPEQTAPVPAPLLSKSEQIRAALLGKRNAVS YP_002972916.1 MRVFSSIDELRHTLDALKRQGRTVGLVPTMGYLHAGHMELVSRA RAENDIVVVSIFVNPLQFGPAEDLSRYPRDLERDAAMLRQAGVNFLFSPGVEDMYPRP MLAVVDVPDLGRALEGAVRPGHFAGVATVVCKLFNIVQPQTAYFGEKDYQQVVIIKRM VDDLALPVRVISVPTVRDSDGLALSSRNVYLSDAERRAAVIVPQTLDEAERLVAGGLT DPVELEAKLTAFLSREPLAKPEVVAVRDASTLQPVTSIAEPVVVALFVRLGSTRLLDN RVVSDNRVVGDNRVIGNNRVIGGRSLPGKGVTR YP_002972917.1 MSTAGIQKRLTPVRISAMKGGKPIVCLTAYTTPMARLLDEHCDL LLVGDSLGMVLYGMESTIGVTLNMMIAHGKAVMRGVGKACVVVDMPFGSYQESKEIAF RNAVRILQETGCDAVKLEGGEEMAETIAFLTKRGIPVMGHIGLMPQQVQTAGGYRSVG HSEHETSKIRRDAHAIGGSGAFAVVIEGTVEPLAREVTAAMHVPTIGIGASSACDGQV LVSDDILGLFNDFTPRFVKRYDELGKRISAAAAAYAEEVRSRQFPAAEHTFKRRS YP_002972918.1 MKNLTLKQLRYFEALARDGRFRRAADACAISQPALSMQIKELEQ ELGGELFERNAREVKLTAFGQSVALRIRDILRGVDELADLARAARDPFLTRLRIGIIP TIAPYLLPAIINDLNRSFAGIQIEVRETQTSKLVHELAQGQLDTAIVALPVSEPSLTE LELFQEEFVLVRRPEDEGKPVPEREALREMRLLLLEEGHCFRDQALSFCKIGPARPRE VMEGSSLSTLVQMVSAGIGITLIPEMAVPLETRSAHVSISRFQSPQPSRTIGMIWRHS TPMAKQLVQVSEAVRRSADSMREQYIAR YP_002972919.1 MDNPTDSAGKCPVPHGNTPRSSRSNRDWWPDQLNVQILHHNSGR ADPLGQAFDYAEEFKKLDLDGLKKDLHALMTDSQDWWPADFGHYGGLFIRMAWHSAGT YRITDGRGGAGAGQQRFAPLNSWPDNVNLDKARRLLWPIKQKYGNRISWADLLILTGN VALESMGFKTFGFAGGRADVWEPEELYWGPEGTWLGDERYSGERELAEPLGAVQMGLI YVNPEGPNGTPDPLASARDIRETFARMAMNDEETVALIAGGHTFGKTHGAGDPSFVGV DPEGGELEAQGLGWTSKFNTGVGRDAIGSGLEVTWTQTPTQWSNYFFENLFAFEWELT KSPGGAHQWQAKNAEASIPDAYDASKRHLPTMLTSDLALRFDPVYEKISRRFLENPDQ FADAFARAWFKLTHRDMGPKVRYLGPEVPAEDLIWQDVIPAVDHPLVDDKDIADLKEK VLATGLTVQELVSTAWASASTFRGSDKRGGANGARIRLAPQKDWDANQPAQLAKVIGV LEGIQRDFNAVQTGAKKISLADLIVLAGAAGVEKAAAAGGNAVSVPFTPGRTDASEAQ TDAHSFAALEPRIDGFRNYVNGKRHQFMKPEEALVDRAQLLTLTGPEMTVLVGGLRVL KAGAPEHGVFTSRPETLTNDFFVNLLDMGTQWVPLAGKEGVYEGRDRKTGAAKWTGTR VDLIFGSHSQLRAFAEVYGQADTKEKFVRDFVAAWTKVMSADRFDLV YP_002972920.1 MMKHKPFLLTFAALAIGVACPICDAVAAAQPIFWNALRPADQPK ETVPLSGKAVSSPQGETLAWHEEEHPIELTGFVLPIEQDGDLVYEFMLVPWSGACSHM PSPPPNQLVRVVPEEPLHLARMYETVTVTGNLRPGLDQAQFFMIDGDRVLTYGYSISH AQVATATATTDPDLLSLSPFGILPR YP_002972921.1 MHALSFVIFEPVLLLFGKKVTAAPSNRPQGRVTVSHRAMDGGRI RIEVSDTGPGFRPNASPGFSPRSIGWERNGSARIEPVSGSGCRNI YP_002972922.1 MTFGKSLFALVILAGVAAPAGAFAESASRDLKVEEANRKLVVEF YDRFFNKHDIAAAAVVADDYRQHNPHVPDGKKPLISFFSGFFKDNAQSRAEIVRSAAD GDLVWLHVRATNGADDPGQAIVDIFRVKDGKIVEHWDVIQAVPNEAANKNTMF YP_002972923.1 MTEVSMLKETDEPKQQPVAGCPIRGVLDRIGDQWSLLTLEALED GKKRFNELLREIGDISKQMLSKTLRHLEQDGFVRRTVYPQVPPRVEYELTELGQSFLI PMKTLIGWAEQNHPAITSARQRHDNA YP_002972924.1 MQYQAVVRKFGPAQDVVELEQAALPPLARDQVRVRLLARAINPS DIITISGAYSGRTTLPFVPGFEAFGVVEQCGEEVHGLSPGTRVLPVRSAGGWQEFKDT DPGWCLRVPDELTDFEAATSYVNPMTAWLMLHAKIGLRPGMRIAINAAASSIGAILIG LANAAGVEPVAIVRSEGSLERLRGRVEAIIIDREESDLVAGLAGRHGLDAVLDCVGGA RATILADALRAGGRFLHYGLLSGQSIPNSFWATHPDISFSYVHLREWVHSEAMDDVQH AYSKVAAHIVSKVIETEIREVFPLESVRQALQSALPFRTGGKVLLA YP_002972925.1 MSVDDRPLASFRVSMRDIDIYGHVHNSRYLDYCEDAVVEFLRQR QILSHFRHTSSGVAYHVKKAEITFHSPIDLDDVVEVKVDVEKIGRTSLSFAIELFRQR DGAHCASAHFVWVCVGLSDSRPTPIPDATRAALSPG YP_002972926.1 MPIHAQLLRHATETPDKPALVIDGHSLGYGELYVRAKAIYRFLQ DLPRSNRRTLDLPGVEKLAALSLGNHIGFAEYFAAATAFPNACAVIDPIVPAERIERI IERLAPDVLIVDDDAGPSAEIARRLGIPVVAAGAEAFELAAAEGEAELPADTDKIFLI GFTSGTTAEPKAYYRSREQWRRSLDRGRVVFELEDAPSTMCPGALAHGLALYALVEAL DAGATFHTARKWEPEAVARTLCSWQVERLIAVPTHIAGIARAWAGEPALTSLRDVLTA GAKLDRNGVESMRRLFPKARIREYYGASEIGFMTVSTLVGSEIDFPIERVGQAYPGVG ISIRDPDENDVGTDVAGTIFVDSDLIADGYLWGDDGQAFRVTEAGATVGDLGELDANG MLRVIGRAGGMMISGGNNVYPAEVESALKACPGVEDAVVFGLPDAYFGQRIVAVVSGE TIDPKILADHCAQILGKYKIPKQFYHIRSWPMTSSGKISRGQVERAVVSGDNLVLRLS A YP_002972927.1 MSYAFQPDEDWQPVVVAAYRSPIGRAFGSLATVAAEDLLAPIMH RILLETGIAPDTIDDVLVGNAAGGGGNIARLAALTAGLPMSVPGVAIDRQCGSGLEAI IMAARLIQAKAGACFLAGGVESVSTAPWRVERPKANGMPPRFYGRARFSPETIGDPEM GIAAENVARQFGISRQRQDEFALRSHRLAVAAAEAGIFRPEIVEISTGHGPIERDECP RASTSLEALANLRPVFLADGSVTAGNACPLNDGACLVLVMSRGMARSMGVEKGLAFID SAAAGVDPNLLGIGPVASTRKLLKRQPELSLLDIDAIEFNEAFAAQVLASLDQLAIPA DAINKDGGAIALGHPFGASGAILVTRLYSQLIRNTGEVGAPGATALAMIGIGGGIGLT AMFEATQLS YP_002972928.1 MIKRLNFMAHRSSGIDGLVAVEGWIAKSFDPKLLELVKVRVSQM NGCAHCLHMHRHDALKLGETDDRLLLLNAWPESQLFTTRERAALAWAEALTQIAKSHA PDDVYEEAHANFSDDEFVALSIGVAMINAWNRLAIGFRLQHPADHKRVAA YP_002972929.1 MKIVVIGGTGLIGSKTVERLRNKGHELLAASPNSGVDTITGKGV AEALAGAQVVLDLANSPSFEDKAVLEFFKTSGRNLLKAGAAAGVKHHIALSIAGMERL QGSGYMRAKMAQEELIKSSGIPYTIVHSTQFHEFMAGIAQSGTVGQTVHLSPAYVQPI ASDDVADFMAEVALGTPVNGTIEIGGPEKVRLTEIVTRLFKATNDPRQVVADPHARYF GVELEDNSLVTGAKARLGQIHFDDWLSRQPPQKKSA YP_002972930.1 MLRKMISAAALAIVLAAGTQAHAAGGDAKVSVVFDQKLPNVPGK SMRGVLVEYAPGGSSPGHTHPASAFIYATVLEGAITSKVNDGPEKVYKAGESFPEFPG DHHSVSKNASKTKPARLLAVFVLDTDETELTIDDK YP_002972931.1 MTAPHPSKTHIGNHALHPETQMLNYGYDPELSEGAVKPPVFLTS TFVFKTAEDGRDFFDYVSGRREPPEGKGAGLVYSRFNHPNSEIVEDRLAVYERTESGV LFSSGMAAIATTLLAFVRPGDAILHSQPLYGGTETLLAKTFLNLGAAAVGFADGVSEG SVQKAAAEAMAKGRVSVILIETPANPTNGLVDVAMIRRVADMIGARQGHMPIIVCDNT LLGPVFQRPIEHGADISLYSLTKYVGGHSDLIAGAVLGRKAVIKQIKALRGAIGTQLD PHSCWMLGRSLETLQLRMERANSNARTVADYLRDHPKVEKVHYLPYHDPDSPAGRTFI AQCTGAGSTFSFDIRGGQPASFKFLNALQIFKLAVSLGGTESLASHPAAMTHSGVPAD VRQRIGVLESTIRLSIGIEHPDDLIADLELALQAA YP_002972932.1 MPDVFHPKRFSIAAALMAAAIGALVLMQAPERRAVAPPEHTNRA GDDRGRSASTPEAIPFSGLRDVFWRVFHEVLADRVTLIAAGVTFYLLLALFPAMAALV SLYGLVADPTTISEHLRELAGLMPSGAFDLIANQIKELVGRRDATLGVTFFVGLGIAL WSTHSGTLAIFDAMNVAYEEEEKRGLIRLNLIGLCFTLCAMLLTVVMVVLVGVMPVIL SYLWLDQFKEHMALLMRWPLLLLVVAAAVTSVYRFGPSREPAKLRWMTWGAVLTTIAW AAMSLGFSFYLDHFANYNATYGTLGALIGFLIWIWLSVVILIVGGELNAELEHQTAKD TTTGAPLPMGARGAYVADTLGETRS YP_002972933.1 MARPPAKWRCPGAAVVSHRCNTDEGGELLVVDCAEYGQFGDEYS GTDLANGRHGQQQITAFGHVGLGLDAQRWRHGLGRARPCGRRRSRHQHCDGLRRMFQA ALLGDRHVDELAAAGDTRSKREPQSSKWGIGAGRIALAKWRMTRASSWTVLAKRRLAL AKSRTRRGLMTAAAMPAAGLVDISRSSAVGQLEISATLLIGERRRAGQAQGFL YP_002972934.1 MSACRRTRGTIPAALINYYFEREFLFAESGRAPFARVAAQQVVR GQPLAWPYRLRGKVIVPNRFVCDIRVAARLEFAALRHYLVMD YP_002972935.1 MPTALFTADELAVIRRAYAHQVVATAATTNPRIEAAFATVPREN FLGAPPWQIANLGGGSPPPLLRLGSYQDVLFALQSEKGVNNGSPSLHARLLAELDVQI GGDRIVHVGAGTGYYSAIVAELVGTSGHVHAVEMDPDLAAHAQAALADRANVSVVNAD GSQWPQHAVDAIYVNFAVARPAEPWIERLRPGGRLVLPLGVPRQDRPPKSGRHASHGA ALRIERGDCGFAARWIGTAYFVCATGGLTTDDNEIDALTAAFKRGGIEFVKSLLWKTE PRIGRCWHIGDQWALCYDDV YP_002972936.1 MHIRRAVSADAEELSSLLNEIILAGGTTALETPLSAAEFADWFI DGEFPLTCHVAERDQSLVGFQSLSLYGDPPKGFADIATFARLNPRTPGVGSALFPATR AAAEEFGLEFINATIRADNFSGLGYYAKMGFETYDRLVQVPLQDGTSVDRIKKRFRVG ARHHTIPDA YP_002972937.1 MSGLSIRNVKKSFGTVDIIHGVDVEIADGEFTILVGPSGCGKST LLRMIAGLEDITAGQISIDGRVVNNLQPKDRDIAMVFQNYALYPQMTVSQNMGFALEL AGVKRPEIEQKVGEAAAILGLQPLLDRKPAQLSGGQRQRVAMGRAIVRDPKVFLFDEP LSNLDAKLRVKMRAEIKALHQRLKTTIVYVTHDQIEAMTMADKIVVLHGGRVEQIGSP LELYDRPRNIFVAGFLGSPAMNFLEGTLEGAGNPVLSLPGGSRVTLSRAPANAANRPL TLGIRPEDITFGGENGVDAVVKVVEPTGSETHVAVELEGRELTWVVRERVELVPEQPV KLSFETAKVHFFDRQTQQRLNA YP_002972938.1 MSDATVEKWGVFEASFDGPSSGNPYLDVAFDAVFTHKSRAVRVP GFYDGNGTYRIRFMPDIEGEWSFLTRSRTAALDGKTGALTATEPSAGNHGPVRVRNKF HFAYADGTPFLSFGTTCYAWTHQPLDMQKQTLETLKKARFNKMRMGVFPKDYPYNVNE PLHACFERGADGKEDFDRPNPASFRHFEKQVAALCQLGIEADIIIFHPYDRWGYADMS AKQDFRYVEYLAARLAAYRNVWWSLANEYDFLIDTKPMEQWDRYFHILEENDPYQHLR SIHNGDVTANFDHRKPWVTHTCIQNPDVKRTQEWRDAYGKPVVNDEPEYEGDILQSWG NLNAQELVHRFWITMARGGYAGHGETYSHPEDLIWWAKGGELRGEAWKRIAFLRDLLE ADVKHGLEPLGIIGEWPWSRVSGARDGDGDFRLIYFGEHQPVIWSTGLPVDSDDYDVD LIDTWEMTITPVEKVAAPVPHPTRHGSVVRGGKPDAAFGVKIPRKPFQALRVRRKR YP_002972939.1 MTAVPTDQTAVHLQVEPSSMKRDPILIGLWITLVIVALVWVAPF VFIVFTSLKTPAAVTGTGAFVPPTELAFENYSAAWSRGNFANSFFNSVIITVIKVPLG LFLSAMAAYALAKIKLKITKALLLLVVFGTMIPFQVMLAPLFTLVNSLGLIDTYPGVI LPYIAFGVPYQVFILHGFFKSIPKELSEAALIDGASHFIIFRRIFLPVCLPVLAALLI LDFVSTWNEFAMALVLLQDQHMWTLPLGLMSFQGQFSSNYGQLNAAIVMTVLPATIVY LIFQRYFVSGLTSGAVKG YP_002972940.1 MSLRQSTHDPRVQALILLVPALAIYAVFALYPMLNVVILSFQKW NGLDPQRQFVGLANYSAIFTKDPVFWVAFRNTVIWTLMSLIFPPMIGLLLALSLNQKI FGRNGLRAIFYLPVIIAPIAVATMWKWMYDPFFGLFSQLLTSWGMQGWINDWLGNRDI ALYSVFVAYLWQTVGFSMVLFLAGLQNVSQTLVEAARIDGAGRWKVFKHVTLPALRPT ITIVLVLSVISSLKAFDIVYGLTGGGPAQSTQMLALWAFTQAMQIFDFGRGAAISVVL LLITMAVVIPYLKWTQKHEEVES YP_002972941.1 MLTLSAKLKTASIVAIAVASLSATPVLAEDITLWTLNFDNNAAN TALKKVATDFEAANPGTHVEIVQRAVDEHKTALRVAAGSDKGPDIYFSWAGLGLGGEY VKAGLSLPLDKYYAEYKWSDELLPSAAAFADLYPGGKHGVPFTFKGEAVYYNKKLFEQ AGIKEEPKTYEEFLAAADKLKAAGIPAFTFGGTVNWHVMRLMDVILETKCGAEKHDAL KAMTLDWTKEPCATDSFAEFAKWTKDYTLQPFMGIDNKQSYSLFTAGRAAMMLEGDWL VSQLNGSGANLDDYGIFPFPTNTDRLYGFAEYNYISTKSKSPDVAAKFLDYFLSTKVQ QDLLGQLSSTSVNKNVQYANQKPLEAEWLGIFQKYGKVYMNGDQAFPLDVTTEYFRVI NDVASGNTEPADAAKQLQSFIASRT YP_002972942.1 MTFTTEDLQRMFAGIAEDIAAESDHLCALDGAIGDGDHGLAMDA GCQAAAKAVGELDAGIAPTTAFNVAAKAFLNAVGASSGPLYATAFMRAGAAAKDKQTL SDDDFLRVFAAMAQGIQERGKAEPGEKTMVDAWRPAADAALDAWQSGKPLPQCFEAAE RAARSGCETTSKMTATKGRASRLGDRVIGHIDPGAASAVIIIAAMAKFAQHHDG YP_002972943.1 MKTKKLINAGADAVDEMLQGVLAAHPRHLYAVADMPRAIIARNG PRKGKVGLVIGGGSGHEPTFLGFVGKGLADAAAIGNVFASPPPDPIIACAKAVDGGAG VLFMYGNYAGDVMNFDMAAEMLALDEIEVRTVLTTDDVASAPADQRDRRRGVAGNVFI FKAAGAACDLLYSFDDVERAARHANARTYTMGVALSPCSLPQTLRPNFLIGEGEMEIG MGIHGEPGVAREPLRPADAVSDELMDSILREMKADRGDRVAVLVNSLGSTPLMELYIM MRRVKSRLDDAGLVIHTSLVGNYCTSLEMAGASITVMHLDDELQRLIDHPCDCAMFVR YP_002972944.1 MKVDAFQLYGTRLVETPPVRLRAGKLEADLANGNLRTIRYDGTE VLRAISYLVRDPDWGTYSPVIVDLRIEQSDNRFAVAYRARCEGPDDTRLVIDVRITGS ADRLDFEAEAITETGFETNRCGFCILHPIVGVAGSPATVEHVDGRKVATRFPDVIEPW QPFKDMRAITHAIMPDVQAECRMEGDTFEMEDQRNWSDASYKAYVRPLALPWPYQIAA NQPVRQKTSLVIRDIGGSTRHPPAAASGGAIKLELGARTGTMPDIGVIVTPEEADATL SAKSVLSEIAPQELLFHFDPSAGHGVDALTQFAMLAAAHLGRSTLEIALPCTSSPSSE VAEIAHQMRLAEFRPDAIMISPSVDRQSTPPGSTWPECPPLDEVYTAARAAFPGIRIG GGMLSYFTELNRKRVPDGQLDFVSHCTNPIVHAADDLSVMQTLEALPFITRSVRAIYG DRPYRIGPSTIPMRQNPYGSRTMDNPSGARVPMANRDPRHNGRFAEAFALGYAIRVLD AGLECLTLSALSGPFGLIAGPAEPTEQGGRRPLFNTVRTLSRLAGASWQACVSSSPSE VLSFVARDAAGARLHVVNLTGEERKVDCDACRPADSGKEFLLAPFATVVLPLAD YP_002972945.1 MTELKGALIGCGFFAVNQMHAWNDVRGAGIVAICDRDPERLKIV GDQFGIDRRYGDSQALFADGGFDFADIATTVESHRFLVEMAARNKVPAICQKPFAKTL SDAKAMVAACRNAGIPLMVHENFRWQTPIQAVRKALETDAIGTPFWGRFSFRSAYDVF SGQPYLAEGERFIIEDLGIHTLDIARYILGDVRSLTARTKRVNPRIKGEDVATILLDH ENGATSVVDVSYATRLSREPFPETLIELDGSEGTIRLTQGYGLEITNARGTTTTDVSP KLLSWASRPWHNIQESVHAIQQHWVDQLERGAEHSTSGADNLKTFALVEAAYDSAARG QTIDVGAMLR YP_002972946.1 MMAATSTTLAPKGANGSALLTLMKLRTFIALFAVIIFFAIFAPN FTSTANMILMSKHVALNAFLAMGMTFVIITGGIDLSVGSIVGLCGMVAGGLILYGIEL PIGYTIFFNLFEIVLITVSIGLLIGLINGLLITKLNVAPFIATLGTLYIARGLALLSS DGQTFPNLVGRPEYATTGFDFFGAGRILGLPVSIWILIVLALLAAYVARSTPIGRHIF AVGGNERAARMSGIRVDVVKIFVYMFSGLCAAIVGVVISSELMAAHPATGESFELNAI AAAVLGGTSMSGGRGTIGGTIIGAFVIGILSDGLVMMGVSSFWQMVIKGLVIIIAVVV DQAQRRLQQRVTLMQMAKAG YP_002972947.1 MTTGEAEKDDIILRLDDVSKVYSGIVAVKRANLELRRGAVNVLV GENGAGKSTLMKIIAGVERPTLGRIILDGKPVSFDSPAHAQANGIGMIFQELNLFANM SVAENIFTTREITRGILGIDHKAQVQKANAFLKRLDAGIEADAMVEDLPIGQQQLVEI AKAMSLNARILIMDEPTSALSAAEVEILFKVIAELKAQGVAIVYISHRLEELMRIGDY ITVLRDGQVTGEAMVRDIDTRWIVRSMIGSDAKDFAKSVDHAVGAEVFRAENISLPRP TGGLSVNDVSLSVRAGEILGIYGLMGAGRSEFFECVIGRHTHSTGKIFIDGKQVRARD TTRRIRRGLALIPEDRQREGLVQVLSIASNLTLASLGRFTRLFHIDRGAEKNAVRDAI RDLSIKAPNLDFEVTSMSGGNQQKVVIGKALMTNPKVLLMDEPSRGIDVGAKADVFRT MRRLAANGLAILFSTSDLEEVMALSDRIAVLSNGQLVAVFDRNEATEEAIIAASAKGH GHQGKLAS YP_002972948.1 MLRMKGALLAAVVAAALPGCKIIKTPTAEEKAAAAAKTAFDPNA KVEAIWQSEAVPYFEKRAGDLKDVMQLATSSPDAAGEKYGNPRKQSSSPWTYAVKITG KVVAVDTASRAATLDVDADGDGKADAKVQIGPALRGTALRDTLEFVNFNEFKNQIEWA QFGKAFNEKANTAFLSAVPREGLAGKTVTAIGAFPLPKSGELPLITPSELKVGP YP_002972949.1 MKLTRRLTLAAFAGALALGTALPAFSADLIAIITPAHDNPFFKA EAVGAEAKAKELGYETLLMTHDDDANKQSEMIDTAIGRGAKAIILDNAGADASVAAVK KAKDAGIPSFLIDREINATGVAVAQIVSNNYQGAQLGAQEFVKLMGEKGNYVELVGKE SDTNAGIRSQGYHDVIDDYPDLKSVAKQSANWSQTEAYSKMETILQANPDIKGVISGN DTMAMGAIAALQAAGRKDVIVVGFDGSNDVRDSIKSGGIKATVLQPAYAQAQLAVEQA DAYIKNKTTPKEEKQLMDCVLINADNAGKLETFALTN YP_002972950.1 MTKIALFGAGGKMGYRLAKNLKGSRFEVRHIEVSDAGKTRLKND LGVDCVPVDIGLDGAEVVILAVPDTAIGKVAAGIVDKLTSGTMVIALDAAAPFAGHLP KRDDLTYFVTHPCHPPIFNDETDMQAKKDHFGGLFAKQHIVSALMQGPESAYALGEEI AKLIWAPVMRSHRVTVDQLAMLEPGLSETVCASLLVVMRQAMDECVARGVPEQAARDF LLGHMNVLGAVIFKEVDGVFSDACNKAIEFGIPALMRDDWKKVFEPQEIAESIRRIT YP_002972951.1 MTAMDGPLVSYYGDDFTGSTDVMEALASNGVSTVLFLDIPTPEL LARFRDCRAIGVAGTSRSETPAWMQEHLARAFEWLNSLGADICHYKVCSTFDSSPEIG NIGKAIEIGRSVFGQSVVPVIVGAPQLKRYTAFGNLFAAYQGRIFRIDRHPVMSRHPV TPMDEADLAVHLSKQTRLPVLLADLVAITAPDADARIDELASASEGILLIDVDSEATQ EAAGRQLLRATGRSGSFVAGSSGVEYALLSAWRRSGFIGNSGAEFPDIGPVDRLAVVS GSVSPTTERQIRTAVDNGFESIALDPLALVSEHGEGAVDAAVEAGIQMLRQGKSVILY TALGPCADRGADIDRVPGARHRLGSALGTILRRLIESEGLSRAVVAGGDTSSHALRQL KIDALTTLLPLPQTPGSPLCLAHGDHQPTNGLQIALKGGQVGTDEYFVQIRDGRKH YP_002972952.1 MIITLTYRIETPGSVEAMAEKIASDQSTGTFVPVPGETEELKSR VAARVLAIRPLEGASHPTWPEVGPGTPLHRADVDIAFPLDAIGTDLAALMTIAIGGVY SIKGMTGIRIVDMKLPDAFKSAHPGPQFGIPGSRRLTGVEGRPIIGTIVKPALGLRPH ETAELVGELISSGVDFIKDDEKLMSPAYSPLKDRVAAIMPRILDHEQKTGKKVMYAFG ISHADPDEMMRNHDIVAKAGGNCAVVNINSIGFGGMSFLRKRSNLVLHAHRNGWDVLT RDPGAGMDFKVYQQFWRLLGVDQFQINGIRVKYWEPDDSFVSSFKAVSTPLFNASDCP LPVAGSGQWGGQAPETYKRTGRTVDLLYLCGGGIVSHPGGPAAGVRAVQQAWQAAVAD IPLETYAKDHPELAASIAKFSDGKGA YP_002972953.1 MMTQPLEQIVRRKLSDEVFDRLERMITSGELQPGDEMPSERVLM ERFGVGRPAIREAMQSLANKGLVSISHGERAKVLRLTAKSIFRQVDLTAKIMLSQSSD SLEYLKSARIFFERGMAREAAQRSSASDIADLRNIIERQRMSLGRAEEFIDADMEFHT RIAQISGNPIYIAVSEAMLAWLKEYHTEMLIWTGKEKFTLAEHDEIVDRLEANDVDGS ETALLKHLERSRALYAK YP_002972954.1 MRMKLVAASLFAIGMATSAFAQSNPAPAGATIDKNQADQGGGAT SDTKTKKPMATDSTTTNSTTSGTMKMDKTKCPNPVNNSANLQTQGGTSNATPMDEACA AHNN YP_002972955.1 MPAQDQRIVHNLLLKALPAEAFDLLAADAQAVELPLRHVLVESD QPNEHVCFVESGLASMVATNAEDEAVEVGHIGFEGMAGLHVVLKTNTTPNRTFMQVAG SGLLVSVETFGRVLAAFPAVNDLFLQYMHCCDIQLAQSALANGRYNMHERLARWLLMC HDRLNGNDLPLTHEFLALMLGVRRSGVTNELHVIEGMRAIRSTRANVKVLDRERLEEI AAGSYGIPEREYERLIGLPIRRSARA YP_002972956.1 MDQYSFPSDEDEIHRHIVESALDYAIFAMDLDGTVATWSAGAEN LFGYSASEMIGQNGVIIFSFEDQLAGAPLKEKRLALTTGRADDNRWHVRKDGSMFWAS GLMMPLRNDVGGIYGLAKIVRDRTLTLQQDEALRASEERLQLILKSAIDYAIFSFDQD GRIISWNTGACRIFGYPEDEILGQDARILFVPEDRTEGHVALDREMETALERGRAENE RFHLRKDGSTFWGSGLTMPLRAHHQRAGYLKVLRDDTERHFADEHQQIMLREMSHRVK NSLTLVTAMLSMQARSAEQQEVGEALRDAEARVGTIAQVHDQLWRQPNIETVELADFL SSLCLRLQQAASKHTVSVDADACVIDADRAIQLALLVNELVTNAFKHAYSDISGTVTV SARATADEIRLEIADDGKGFPDGFSVSKNDGKSLGMKVVRVLVQQLRAELHIENRRPG ASFLIRLPRNP YP_002972957.1 MFSPVESRRLYRQVADQIRMMIASGELAVGQRLPAERDLAEQLS VSRPTVREALIVLEVEGLVNIRMGSGIYVVRQHAATVAGAEREPVEGPFELLQARALI ECAIAEEAAGRAKPDDIALLDEALTRMGGVVNDAIAVLDADRAFHTGIAAIIGNATLI RVTGEMFDMRMTPYFARLASHFEGPTTWRSALDEHRAIRDAIAAGDAAGAKAAMRAHL TMSQKRFSESFGEEFSGEEERGRNKRPSKGTAKT YP_002972958.1 MKSRLATILCTAAVIMASSAIAQAQTVLKWAHVYETSEPFHTDS VWAAEEINKRTDGRYKIEVYPASQLGKEADINQGLKLGTVDIIISGSSFAARDYKPIG VTYFPYIFRGPDHLIAYTKSDVFKRLAKGYEDKTGNHIAAVSYYGTRHTTSNKPITKC ADMQGLKIRVPDVPAYLAMPRACGANTTPIAFAEVYLALQNGTVEAQENPLTTIEAKK FYEVQKNIILTGHIVDHLNTVVSKTRWASLSDEDKKIFGEVMQEAAERTSKTIAGKEN SLIATFKEKGLNVAEVDKADFEKTVMEKVKFEDFGYEKADWEAIRAIQ YP_002972959.1 MSQEIHTQITAEEIGHEFEGHAPTANVSDYAIEDWITLSVFWLM AGCVFLQFFTRYVLNDSYAWTEEIAINCLIGVVFLGSVMCVRTSRHIQVDVFYHYMPA GLARVLATFVDIVRIGFFAYGCHLMWRYVDIVADEQMVTVDLPRNIVFYSVLVAFVLM LIRAVIVFIANMRRGYSVLERPEEFQTVED YP_002972960.1 MLLLLGSFLVLMLIGVPVAISMAVASVLYIVLYGVAPDIIVAQR MIAGVESFPLLAVPFFILAGNLMNSAGVTGRIYSFAVALVGWMKGGLAQVNIIGSVIF SGMSGTALADAAGIGTIEIKAMKDHGYPVEAAVGVTAASATLGPIFPPSLPFVIYGMM ANVSIGALFMAGILPGIVMTLLMMITVAAFAYWKRWGSDAPFDVKQLLSAGMEIVVVL AVPLAIYLLMQAGVSMNVAAGIALALLLALDWYFRFSAVMALMTPVILIGGMTMGWFT PTEAAVAAVLWSLFLGLVRYRTMTPSTLAKASFDTIETTASVLFIVTAASVFAWLLTV SQAAQLLSDAILSITDNKWVFLILVNLLMLFVGCFLDTIAAITILVPILLPIVLKFGI DPVQFGLIMTLNLMIGLLHPPLGMVLFVLSRVAKLSVERTTMAILPWLVPLFLALILI TFVPAISLWLPQQLGLLR YP_002972961.1 MRTRVARLYQKGDLRIETVAVAALGPGEVLLKMAAAGICGSDLH YYQDGGFGPVRVREPIIPGHEASGTVSQAGEGVDLKAGTLVAVNPSQPCGHCEYCEKN MPIHCLDMRFMGSAMRLPHEQGMFREWMVVPAKQCFAVGAATTAAEAACSEPLSVCLH AASRAGDITGKRVLVTGAGPIGALTVAVASYFGADEIVVTDLADAALDRAKAMGASRT VNVSKNAQALAEFEAGKGYFDLVFECSAAAPAIRGAIAAIRPRGTIVQVGVTGDVPIP LNAIVGKELQLHGTQRFHEEFGTAVELISSRKIDVRPIISHSLPLEQATAAFTLAGDR AAACKVQLTF YP_002972962.1 MDDGIVSEIGNPDRKTNLSLTLRRRILTMELPPGAVLDEVALSE EFGLSRPPVRELMRQMAGEGYIELEANRAARVSSMSYQSLHDFFLLAPMIYITANKLA AENGTRGQLDVLKQVQQSFRKAVDNCDVEGRVFYNDQFHRQIGEMAHNVYLVPSLRRL QIDHARIGKVFYKHPNTPRMQEELELATQQHDEMIEAIGKRNPDAAGELARLHLELSR RNMAMYAAPEGLETPTL YP_002972963.1 MSDFQFPGLNLAITTPFDDAGRIDYGRLEYNVERYLAAGVRNFL FSSGTGMHVYLSKEESEELIRRGAQIVNGRAKVIAQTSALLVEDVVERTIRARDAGAE GVMVLPPFFEGPTDDLGIVDFYSEVAKAGLPVIGYNVPQAVGVAVTPELLDKLSEIPG FCAVKDSSGDLGKQAALIRTGRFVMNGADPLVPYALYAGCDGLIWGGANFAPKTCVAL VEAAAEHKWDEVRALWKILEPSMGLIWEGDYVQSVYAAADLVGYGAGVPRKPLRGLAP EKLPAIRRSLETLMEREAI YP_002972964.1 MTQAARNVFVASRLPKRAGVSGWVAMLAPRTPRPALTGPVIADV AIIGGGFAGLSAARRISRLDPTARVAVLEAGIVGEGPAGANSGFIIDLPHEVSSDDFS GESEARFRQSVLIQRSAIALATEMATENGWGKEVFDPCGRYSVAISAEGDKHLDDYAQ QLTNMGEDHRLLSAGEIEAVTGSPVYTSGLFMPGTIIVQPAAYIRAVADCLKEPVTLY ERTPALSFERSGTGWLVKTPQGSVQAAKIIMANNGHVESFGFFPQRLLHVFTYASLTE AFDPSRLGGERKWAATPALPMGTTVRRISTGAGDRILVRSRYSYNQSIAVSDAAIQRA GRLHDGKFSKRFPTLGGVGMQYRWAGAMALTRNHVPAFGEIERGVFAACGCNGLGASN STASGIAAAELALGHDSELGRVYARLAAPTALPPQPFTTIGAKIHLAYREWSAGSE YP_002972965.1 MAALDAIDRNILRLLRLDARMSNAKLAAEIGLSPSACLRRIKIM EKSGVIRGYTVLVDTGNADEMIAVIINITLERQTEDYLDRLEAAVRRHPEIRECFLMT GGSDYLLRVEVANAGEFERIHKEILSTLPGVLRIHSSFSIRNVLATRTRGRR YP_002972966.1 MDSDILVSRTRIATATQGATGYLTIDLAALGRNYRKLVSMLASV RAGAVVKADAYGLGAERVGRTLYSEGCRHFFVAQFVEALRLRPALAHDAQIFVLNGLQ PGNEIACAEMGVVPVLNSLAQWRQWSTAARILKRCLPAVLQFDTGMSRLGFPEEERAE LAAALSGSTNVEILFIMSHLASADDMDSDQNGEQIAEMSRIADEFPGFDISFANSGGV FLGDPYHGVLARPGIALYGGAPNAGEKNPMEPVVSLNVAVVQTRTVPAGAKVGYGGAH VTQRETRLATIAAGYADGLPRCLGDRGAVYFKGIRLPIVGRVSMDSATVDISALPEGA LTFGSLVEVLGRHQTLEDIACDAGTISYEILTGLGDRYDRQYR YP_002972967.1 MKVIVLGAGIVGVTSAYQLAKAGHEVTVVDRQPGPALETSFANA GEVSFGYCSPWAAPGIPMKAMKWLFMKHAPLVLRPKLDMAMLSWMARMLSNCTSERYA INKSRMLRLADYSRIALADLRAETGIAYDERMQGTLQLFRTQQQLEASAKDVKALAAD GIPYEVLDRDGCIRFEPALKHVRDKIVGGLLTPKDETGDCFKFTNALAAKAEALGVRF VYGTTIKALDVEAGRVRGVITDRERMIAEAVVVALGSYSPLLLKPLGIRLPVYPVKGY SLTIPITEASRAPESTVMDETYKIAITRLGDRIRVGGMAEISGYTNDLGLARRSTLEH SVTDLFPGGDVSKASFWSGLRPMTPDGTPVIGATKVAGLFLNTGHGTLGWTMSTGSAR LIGDLVSGGQPEIDVRDLAISRYG YP_002972968.1 MSVNSHIHNDGSLAQQLAVQSMLFRETAHSGTDPDELSVVLSTP NSPIKVVAEGNMPIAYHCNFVSVGEEVTVADCTYEGTILIRREAPSDRMIVFLPMAGN ASFEGMREQIYSVPARGTILEAGRAAGARLFGPRRHFGLFVDQAKITSHLTHMFERTI SGDVDFHPHIDLTTGPGFVLQQLVSSLHRGLSRNGPLQRSPLAAGSLCDAAIYLLLET CPNRYSNELALPAPAPAPRHVKWAIDFMQEHVAEPISLNDIAMAAKVSVRTLQQGFRQ FRDTTPMSYLHDLRMAAAHRDLLESDRKQVIADVALRWGFSHLGRFAAEYRKRFGQLP SQTLKR YP_002972969.1 MTIDKDALHAEMTAWRRDLHAHPEFGFEERRTSAFVAAKLREFG FDEVTEGIGGTGVVGTLKRGNGNRAIALRADMDALRINEQAELSHRSQNPGIMHACGH DGHTAMLLGAAKVLAGEGGFDGTVRFIFQPAEEWGKGALAMIADGLFERFPFDEIYGI HNMPGIDIGRFHTRPEAIMSAEDNFEITLTGVGGHAARPHWGNEVLVAACALVTNLQT IVSRRLDPADIAVVSVTELITDGTRNALPGFARILGDARSFRSEISETIEKQMRVIAE GTAMTHNIKADVVYTREFIPLMNDPSLTEEALSVARDLYDASNVAIASKPMTGSEDFA QFLTRVPGCFVFLGNGEHSPPLHNPTYDFNDAGLLHGANFHAGIVRRRLQTS YP_002972970.1 MTARSIDAARLLWRIRTLGEIGRDSDGRLVRLAASDAEKLGRDQ FVVWIEDAGLAVAVDRIGNIFGIWKPDGVADEAPLLLGSHIDTVIGAGIYDGCYGALS GLEVIETLKAEGLAPSRPIVVAAFTNEEGARYAPDMMGSLVYAGGLDVDAALATIGTD GTILGQELERIGYAGEHEPGFLRPHAYIELHIEQGPVLEREGIPVGAVEDLQGISWQR VTITGDANHAGTTPISMRRDAGHAAARVVIFLRERAKASNTPTVATVGCMRFEPDVIN VIPSRATFTVDLRDPDEDRLREEETALTNFLEILSTEEQVGISVERLARFEPVKFDQG IVGLIEKAARDRGLACRRMTSGAGHDAQMIARIAPSAMIFVPSIGGISHNPREYTADE DLVAGANILLDVVRQLAKEGLPA YP_002972971.1 MFLLNTTADYRLSLDPRDEKALGIAAAEEVERYLAFRDEHVVTP LVALPGLAREIGVGAIHVKDEGHRLGLGSFKALGGAYAVIRLVLEQAQQKLGRAIDMA ELHSPDVRAVAQGMTVACATDGNHGRSVAQGAQLTGARAAIFVHSGVSNERVSAITRF GAEMIRVEGTYDESVREAARIAEAKGWIIVSDTSWPGYERIPGLVMQGYTALVREALR QMPEPPTHVFIQSGVGGVAAAVAGHLAIALGDRRPVFTVVDPARAACLFETARAGRPV AIAHGEPTVMAMLECYEPSLVAWRILSRAADAFMTVDEADAIEIMNRLARPISGDPAI VAGESGGVGLAAAIKAAADPAIKTALSIDQRSRIFVVNTEGATDPGKYEEITGLLPAV VAAKIQEGALI YP_002972972.1 MSKRVQTSRLDAFDRKILAILQRDNTTPQRTIGEAVNLSAPAVQ RRIKRMREEGIILADVSVIEPHAVGQAITIFVEVEVISETAKQIEHAKKEFAATAEIQ QCYYVTGEADFILVVVVSSMADYEALTRRLFFGNNNVKRFRTFVAMDRVKVGLGVPVE YP_002972973.1 MDQLSKTNAPVLENFWMPFTANRQFKATPRLLAAAEGMYYTDID GHQVLDGTAGLWCCNAGHGRKKIAQAVERQLATLDYAPTFQMGHPIAFDFAAKLAANA PGGPDAKLDRIFFTGSGSESVDTALKIAIAYQRAIGQGTRTRIIGREKGYHGVGFGGI SVGGLVNNRRVFPQIPADHMRHTLDIGRNAFSKGLPAHGVELADDLERLVQLHGAETI AAVIVEPMSGSAGVVLPAKGYLEKLRATADKHGILLIFDEVITGFGRLGTPFATDYFG VVPDLITTAKGITNGTIPMGAVFASRRVYDGLMVGPDNAIELFHGYTYSGHPVACAAG LATLEIYEEEGLLTRASELAEYWQEALHSLNGLPQVVDIRNLGLVGAVELAPRDGAPG TRAYDIFVDCFEKGLLIRVTGDIIALSPPLIIEKDQIDTIVAILGDALKRAA YP_002972974.1 MSVDIGNRLRHLRIAHKLSQRELAKRTGVPNSTISLIESNASNP SVGALKRILDGIPIGLAEFFAFEPERPRKAFYAAEELVEIGKGAISYRQVGENLFGRS LQILKECYQPGADTGKVPLVHEGEEGGIVLSGRLEVTVDDERRILGAGDAYYFESRRP HRFRCVGPVPCEVISACTPPTF YP_002972975.1 MKIDSIGFVGTGAITEAMVRGLLTEPAYASEIHVSPRSAHIAAT LAEEFAAVRIAKDNQDVVERSDMVFLAIRPQVAEEVVRALSFRDGQMVVSLVAATERQ ALSEWIGADVHLVQAIPLPFVAGRQGVTAIYPPDTAVAALFDTLGTAVQCQSRKQYDL LAAASAMMSTYFGIMEQVAVWLERSGLEKAKGQAYIAPLFASLAQKANSPGNEPFSAL SREFATKGGLNEQVLSDFEKKGGVAALTAALDGVLARIEGKN YP_002972976.1 MIMKRSLSFFRFARSRTRHLVRDRSAASGVEFALVLPILVMLLF GTVDLGHALTVSRKIDEIASSTGDMISQQGSWTKSDVAKLLSGASFILQPYETTGLTI TVAVDDIAKSGSATVNWSAALNTSALNSGAASAIEVPSEIQDDGVQVVLTRVQYTLTT PVSAFFSNFTGQNGYSFDRHYFNRPRVGDKITYK YP_002972977.1 MRRGKRFASLRRLFGDRKGVAAIEFAILALPLFIMIFGIIEVSL MFFVNSALDASVHKISRMIRTGEVASSKITLADFKARICNDMLLSFSCSSGLLVKVIV LSDLSSAASTDPIDDSGNLTVTETYDIGKGSDYILVQTFLPWTAVVNFFSLSSAKLSD GRYLLGSSVLFRNEPF YP_002972978.1 MTYLTVVFLRSGLSYSLARVFKTLRGLGRDRGGNVAIVVALTLV PMIVAVGASFDYIRTYNVRQRMQSDLDTALIAAVKEIDTDDAVALKQKVSDWFHAQVE NSYTLGDINIDTSNHKITATASGTVPTTLMRIANIDTVDVSVASAVKGPATSYLNVYI VIDTSPSMLLAATTAGQATMYSGIGCQFACHTGDAHKIGNKTYNNNYEYSTAKNIKLR ADVAGDAVKDVLALIDTSDSNHQRIKVGLYSLGDTLTEVLAPTLSTDTARNRLTDASY GLTSATSKAATYFDVSLTTLKQKVGTGGDGTASNSPLKLVLLLTDGVQSQREWVTDKV TWSNNKAISGTYWNKVAPLNPAWCAYLKNQSATMAVLYTEYLPLTSDWGYNATVGSTM ASANWKGTYGGIMQSGVSTSITRRDYIPYALSDCASSKSLFLSASSSTEITAGLSALF TQYLASVRLTQ YP_002972979.1 MAFHAERIAYVRPEVGTRPALIRNLSSVIVQQP YP_002972980.1 MTAIPVTAERHRQQMSILSDTDLVLLAKAGDELAIRAIVQRHNQ RLFRTARAVIRNDAEAEDIVQAAYIKAFTSLATFRGEAQLSTWLTRITLNEALSRVRA RRNTTGLEEIDMQTTAPGGEVLQFPSSLSATDPETELSRSQARHLLENAVDELPDDFR AVFVLRDIEGMSTEEAASYLGIRPETAKTRLHRARRMMRQSIEKQLSGAFSALFPFDG VRCAFMADRVIAALGNEMS YP_002972981.1 MGSLMKSLRLPLLSALLWAGNGVASAADYQITITGMKFGSPPAE LRVGDVIVWRNDDIFRHTATARDKSFDIDLPPKSEARMTVAQAGAVDFYCRFHPAMTG KLDVRP YP_002972982.1 MLKRILGATLMAASLGTASIAADAKPTDPQIAHIAYTAGQIDVT AAEQALKKSKNADVIAFAKTMERDHKAVNDKALALVKKLKVTPEDNAVSQSLSTQATK ELTTLEALDGAAFDKAYVENEVAYHKSVNDALANTLIPSAENKELKSLLETGLTLFKE HQMHAEHLASMTK YP_002972983.1 MSLHLKRIYEPKDDKDGTRILIDRLWPRGLSKQDAAVDIWLKDI APSPALRRWFGHDPAKWTEFQRRYQNELEKNPSSVEELKRQIRQEDVTLLYAAKDMAH NHAIVLQRFLGKD YP_002972984.1 MTQLSNARASFFELIVLIGLGVAVSAPAASAGQNQCAGRASDII RLAYPGAKNADDAFLFGGATITLPTDDNVDGDPRRVNCRIWPAQPQLTLVAVPLMTEQ SDSENEGDIELLVVDSTNLHVKQRLRLQGLMSDDAIHVESVAFDTARYQLAPGKTAFG LRVTLEGSSRANPFGETTLWLFSVDADGLKPVLDNIVVSENHGEWDTACAGEFDETTR TLSMGSPAKGVFADIVVAEKTTTSVATVGKDGDCQSEDKTTIGKHRLRYDGSKYIVPK DLKRDE YP_002972985.1 MNISLLINGADRAASGGRTFDRIDPFTEKLASRAAAASLDDAAA AVEAAAAAFGAWSKTGPGQRRAILMKAADIMDSKVGEFTRLMIEETGSTAPWAGFNVM LAANILREAGAMTTQISGEIIPSDKPGTLAMGVRQAAGVCLAIAPWNAPVILATRAIA MPIACGNTVVLKASEQCPGTHRLIATALTEAGLPAGVINVLTNAPEDAPEIVAALIAH PAVKRVNFTGSTKVGKIIAETCGRYLKPALLELGGKAPLVILDDADIDGAVNAAIFGA FMHQGQICMSTERIIVDETIADQFVAKLAARASQLPAGDPRGHVVLGSLISLDAAKKM EELIADATAKGAKLVAGGKRSGTVVEATLLDHVTPEMRVYAEESFGPVKPIIRVTSEE EAIRIANDTEYGLSSAVFSRNVQRAMAVAARIESGICHINGPTVNDEAQMPFGGVKGS GYGRFGGKAAIAEFTDLRWITVEDSAQHYPF YP_002972986.1 MLFGKTILVTGVASGIGARTAELAGQMGAEVIGVDVREPASGSA AFIKGDLSTASGVAEIVAQLPVRLDALANVAGLSGNTGVVSTLAVNFYGLRALSEAVA PRLREGGAIVNVASIAGYGWRANLERAKSLTSIEGFPEVAMLVAEHGLKDEEAYPLSK ELLLLWTMRAAHQPLFKNRGIRVNAVSPGPVETPILKQFRAVLGDARVDSDITRVGRA GTSADIAPAVLFLCSDGARWINGANIAVDGGLEASINAEVLGF YP_002972987.1 MSPNATLASTGISIERWTKSSRVALGCMAAVLVLLMFAPAVLGA GAIDRMTALFIYVILAAMWNALAGFGGLVSVGQQVFFGLGAYFTIRLADAGLNPFVAL FAAAIVTGALSIPLSLFMLRLKGGEFAIGMWVIAELAHLLVNLDRLIQGETGTSLISL NVYDGGTRRATIYWLALIAMAALLGALFILMRSRAGAAMQAIRDNEEAATSVGVRVIA TKRLLFVLAAFGIAVAGGLWLATATTFQPKTYFSVQWTAYMIFMVLVGGIGKFEGAIL GAILFFVIETVFGSAGVWYLIGLGATALIFSLYLPRGLWGEIERRFDFQLLPVGYRLK LPGPHKIKWEE YP_002972988.1 MINTLLQGILLGGYYAVIACGLSFMFSVMRIINLAHGSLAVAAA YGLWLLAAKAGIPPFAGLLIVLPVMAAAGWLLQRFILERSARGGTLLPILTTFGLSIV IDNLLFEQFGADTRSLAPFIGNLSYASWQLPGHIYVGKLAVLMMVTAILVLGGLQFFL SRFALGRAIRATAEDPDTAGLVGIDARRVNAVATAITMVTVGIAGAFLGMRATFSPYS GGPQLLFAFEAAVIGGAGSLWGTLAGGIVLGLAQSLGAQLHPQGFLIGGHAVFLLVLF VRLSRFGMPSLDKLGAFLKLRTRLRSPT YP_002972989.1 MSLLSIRDLDVRHGLLQAVRGVSFDLARGEVLALVGANGAGKTT LLRSIAGAHLPFSGRVLLNDEDLAAVPSHKRIAKGIALVPEGRRLFSQMTVEENLLLG KTSGRKGEWSIDRVLDAFPNLKPRRHAKTGHLSGGEQQATAIGRALMSNPDILLLDEV SLGLSPLVVDRVYAQLQALLTSGTTIVLVEQDLARAMSVASRVICMLEGRIVLDRPAA AVTREHVTQAYFGLHRAAGERSAS YP_002972990.1 MLQREAQRRPGGAFLSAKGIHKRFGALVVLENLDFSMGDGEAIG IVGPNGAGKTTLLSVLAGAFPPSEGSITFDGIDVTRRTAAERCRSGLVRTHQIPKPFG GMTTFENVFVAASHGNAASRDEAYERVVDSLSLCGMLGVANRPADTLGLLDRKRLELA RALATQPRLMLLDEIGGGLTDGEASELVETILELRRRGIGIVWIEHIVHILLQVAERL ICMDAGRIIADGEPKTVMSDAEVVKAYLGGTPA YP_002972991.1 MNGIFRNGKFNAASLSRRSFIASTVAGGAALALSGRTAFAQSGD TLKVGFISPRTGPLGGFGETDGYVLELARKALANGLQAGGKTWKVEILDQDTQSDPSR AGQLAKDLINNQAIDLMLAVSTPETINPVADACEAAGIPCLSTVMPWEAWYFGRGAKP GAPSPFKWTYHFGFGVEEFHKAYVSQWNLIETNKKVGVMYPNDADGNAIRTHLAPALA KAGFTIVDPGAYETGTTDFTAQIALFRQEGVEIFNSFPIPPDFAAFWRQAAQQGLTQQ IKICQIAKTGLFPSDIEALGDLGLNIGSAAYWHKAFPYKSTLTGVSGTELADGYETAS GKQWTQQLGASLALLDAGFDALKASTDVKSKEAVAKAISTLKTTTIAGKVDFTSGPVA NVSPGPIIGTQWVKAPEGSKFALDYVVTENATDPNVPVGAKLTAYNG YP_002972992.1 MASIRGANAWQTGRTVFAAKLMINNEAMDASEGATFERIDPLTG DVATIASAGSVTDMTRAANAAAAAFPDWSQTGPGERRRLLNAAADILEARTSELVAAM TGETGATAQWAAINCGLGADILREAAAMTTQISGELIPSGIPGSLAMAVRQPAGVCVG IAPWNAPIILGTRAVAMPLACGNTVILKASELCPKTHGLIGDILRDAGFPRGVVNVVS NAPSDAAAVVDALIAHPAVRRINFTGSTRVGRIIAESSARHLKRCLLELGGKAPFIVL ADADIDEAVRAAAFGAFMNQGQICMSTERIILMDEIADGFVGKFRTKAATLVAGNPKD GNTPLGTLINTEAVRRVRSLVDDALQKGAVLVCGGQANGTLMDATVIDHVTPAMRIYR EESFGPVAAIIRVGSVDEAVTVANDNEYGLSAAVFSADVNAALAVAMRLESGICHINE ATVSDEPQMPFGGVKSSGYGRFGGKAAIDEFTELRWITMASAKRHYPI YP_002972993.1 MATDGGIHHYARGEWHAPSLSHRRIRLQKGLYADFHHFLLLGEG SGELHFDNGEHRPLHGPLLAFLPPQARCDLSISAGTAAHLVGASPQIMVDAIGDKVES YSLRIFTEQRKLVEALDPLTVTEISPLISGFVRELGDPSRSSWMVASAYIRLMLMALW RGSDGERSEQRGQGEVGSILQRYRQLVEAGFRQHRPISDYAAELGVTADRLHSTCQRA LGRSPIQLLHERVVQEAKLRLERSARNIQEISDSLGFRDPTYFSHFFKRKTGLSPAGY REIARASAGSENSMLSSGYADWP YP_002972994.1 MITSLRFRLAAGAVVAVAVALALVWLVLGHLFEEYLEDQYTHEM AAVADALGARLVVDQGLLALTSKPPDPRFENPIGGRYWQISPAGDQPPIRSRSLWDEQ LSQDAFAKELYCGFLQAEGPDGSPILVSIKDMSIGEGTNKRQFKVYAAFSKEEMEAAL ETYHRPLRLMLLATGLLLLLAAFLQGLIGLKPLARLQREVADVRAGRRAHITAKGPSE VNPLVNEINLLLNERETAVERARARASDLAHGLKTPLTVLSHLVEGLPQDRRDTALKQ IELVRQRADRQLQAARMGVEQMATTSVLGIAGKLVNVLSPMTDSKGIDWTIDIDSGMT VQADPADVAEAIGNILDNAVRFAHRRISLSASNDGQRVIVRIGDDGPGVDTRQHKSML KRGETDADFGHGLGLAISSDIAAAYGGELKFGQSPLGGLEARLSLPARSLETAG YP_002972995.1 MRILLAEDDSGIAGHVASSLEREGYRVDVLSTGPEVWEQGETED YAAIVLDLGLPGLDGLSILKRWRSTGVETPVLVLTARGSWMERVDGFDAGADDYLPKP FRTEELSARLRALLRRSRKNKAGTKSANRFTLDEQSRRITFDGRELDLTPLEYRMMSL FIDNPDVVLSPIELASQVQGRDDDAAKNAVEAMIARLRKKTDSEAIETRRGFGYVLPG KRP YP_002972996.1 MIRLADRTKLRPPRQSLVACAASIICSHWQASETGLTMASIRFL LLVLSMAATIAVAGISLATNATAHDGASSSSSGSSGSGSSNSGRGGGDDHGGDDRSGH GGGEDDGGGDDGGGQQGDNWGRGGSDDSDYVRDAVKDGRILSLKSVLQKIEAKRYGKV IDVSVRRFFFRDVYQLKIRDGTGAIRTLRVDAKRGTLLGDN YP_002972997.1 MSAPLQKFLIVSAAMISVTAIPAVLTADNAFSSAAYARGGSDGS GGDDHGGGSGSGNSGGDDQGGRSGGDKSGNGDHGHRGGQDDSADDRGGQSGGDDDHGR KHGRDHAEDGKNGNQPRENRLRPGVVLSVSRESLAGLLNGSLVAVDQLGRRLEIELEN EHGTNVVKAEVHRSDAVRKPGPITNVKVVAP YP_002972998.1 MSEVDVLFASLRQAADPQTVDCIENVVTHGSDRELNRINALAFA DTHHLDEEKTIAAFLHAARIGAFEMTWNVLCPGCGGVLDSGATLKTVVHETYHCALCA AGYEPTLDEMVEVTFTVSPRVRRIAGHDPDRLPPLEYYRQIFFSSGVDLPDDLEARFS RIQLEMIELAPGEKAFVSLQLPAQFVIIFDPVTHSAQFIDVQGEPTDERQTLSMIISR THALNETLTLRPGSLRLTLENHTDRRVVPNVCIAGDELHDLLGRRRPFLTAKRLLTNQ SFRDIYRTDTLDVDQRLKITSLTFLFTDLRGSTALYERVGDLAAFDLVRAHFRVLHEI VATEAGAVVKTIGDAVMATFPSPDRAVAAALKMREAMLRLNAEHGSDDLLLKIGIHEG PCLAVNLNDRQDYFGQTVNIASRVQGLADPNVIMTTEAIVEDAQVSEILRDSGITSAS RMAELQGIGREVRIFALS YP_002972999.1 MATKSPQDDFEGEDAPATPGLDVGRLGDLLGFHLRMAHVAIYRD FAETMEELALTQKQLAVMELVAGNPGASQIDLANTLGTDRATMMALVNRLAARDLIER RPSAADRRRQELHLTKAGHAMLARARALIDRHEQRFIELFSRDELDALLTALKRIYKG N YP_002973000.1 MAHSFSGMASSADCGLVTDDPILYRARVAPDRPALFEIATNRQL SYAELDTRIARCAGLLSDVLGARRDGGRVALLARNSLDSIVLAFACQRAGAIYVPLNW RLNAAELRPILADCAPVLLVHDEEFAATVASLAGADPEMAVISTADGPAGFAARIEAS LPAAPVSADADGACVLLYTSGTTGQPKGVVITRRNAFFAAFNFSVVGEIGPGSVALCD LPFFHTIGLIAVARTTLMLGGTLVVSDRFTPARTLAALADRQRAVTHYFAVPQIALAL RNDPVYSAAALAGLHALFVGGAPLTQALIESYLDDGVALVNGYGMSEAGTVLHVPIDR RAVQDNPGSVGLPAPLLDIRIVGEDGREVDDGETGELWLRGPAVTPGYWNKPQETAAA FTEGWYRTGDLGRREANGFYHIVDRLKDMYISGGENVYPAEVEAALASHPAILDAAVV GIPDIRWGECGLAYVVLRPGAVATGDEIAGHCAARLAAFKRPARILFVEAIPRTASGK VQKYVLRQFHFDETLQRQAL YP_002973001.1 MTEDKSPVLVEFDSGIAFVTLNRPEKRNAMNPALNIRMLEVLDE LEGDERCGVLVLRGAGESWSAGMDLKEYFRDNDDKPRDVTLKARRQSGNWWGRLMYFE KPTIAMVNGWCFGGAFTPLVSCDLAIAAEEANFGLSEINWGILPGGNVTRAVAEVMRH RDALYYIMTGELFGGRKAAEMGLVNEAVPLAELEPRVRKICASLLEKNPVTLKAAKDT YKRVRNLPWDLADDYIYAKLEQMLFLDKTKGRDEGLKQFLDDKTYQPGLGAYKRGR YP_002973002.1 MKIHAAVARAPHMPFSLERLDLEEPREGEILVRVVATGVCHTDI VMRDQHLPVPQPVVLGHEGAGIVERVGPGVAKVKPGDHVVMTFNSCGHCPSCNDHEET YCHEFFPRNFFGSRADGSSGISCEGERVHGNIFGQSSFASHALCHERNIVKVPEDADL ALLGPLACGIQTGAGAVMNALKIEPGKVLAVFGMGSVGLAAVMAARIVGASRIIAVDV NETRLALAAELGATDIVNGKASDAVAAIMALTGAGVDYSIDASGVPAVIDQCVRVLAP RGTCGIVGASPHGATLTLDLTHILSGGRRVRGIVEGDSNPDVLIPLLIDLHRQGRFPF DRLITFYDFADINQAVEDSEKGIVLKPVVRQPAV YP_002973003.1 MNTVTPIATDTSPDVMKALLGRQRASFLRDGPPGIDTRIDRIDR VIALLVDHKDAIAAALSEDFGSRSVEASLLLDVFTCVGSLKYAKAHLVEWLKPEQHEA LFPDAVAEVVYQPKGIVGILSPWNFPYQLALAPLAGILAAGNRAMIKPSEVTPASAEL MAELIAGAFDETEISVVQGGPATGTAFTSLAFDHLIFTGGTAVAHHVMRAAAENLTPL TLELGGKSPVIVGRSGDLADAARRVMTVKTLNAGQICLAPDHVYVPEESVEAFAGHAV AAVGAMYPALKENPDYTSIVNARHHARIQGLIDDARAKGARIVEINPAAENFSQQSAH RMPPTLILDPTEEMRVLQQEIFGPVLPVLPYRDIADVIDRINARPRPLALYYFSQDQQ EEARVLDNTTSGGVTVNDCMSHVTAEGLPFGGVGHSGMGSYHGKFGFLAFSHPRAVYH QSRMVEAEYMMRPPYGDAMRGFLAAAICK YP_002973004.1 MNGIWTQFGGNLSFVCLAISLWAHFSIQFQRRSVTQEKIAFGII AGGASIGSILLAVEVNPGIYIDIRFSPLALAGMFGGPIAAAFAASLAIVFRFWVGGAA MIDGLIAIAVATGIGLAANVWIRKRSPELIDVALLSLALGGALIVLMAVLPTLVHARV LAIIGLPMTVLNCLATVLCGFVLLKTQQWELERSILVTAFSQSPDYLYVKDRNSRFIT VNENMIRLFRFRTTAEMTGLSDFNLISRPRAEEFYYLEQQVMDTGVPLIDSVERVEDR YLLASKVPLKDRQGRVIGLAGVTRDVTERTALERELRKSKNLLSHAMAGMSDGIAMYD SKGFLVFCNDQYRAAFPLSGDARVVGAHISDILRRVAETGERTGIPDADREEWIRSVS ATLHSNKDEEVQLHNGDWRSIRTRLAEDGTAMAVVSDITATKQAEIALRLSAEQLKSL AETDGLTGMVNRRAFDEAFARETAGSARKNTPFSLLMVDIDRFKAYNDTYGHPAGDQC LRVVSRCLRQSVSRPADIVARYGGEEFVVLLPATSAKGAMIVAEQFARLLSQENIVHT GSEFGRVTASIGISCATGATLRINPNRLLSEADAALYDAKTQGRNRILAHSPEERHAI KDVG YP_002973005.1 MDTKFIGKKSAAAGGWGALKSCGKRLLQSGMPISGARTMLKANQ PDGFDCPGCAWGDPEHGSSFEFCENGVKAVAWEATEKRATPAFFGERTVSQLRQLTDY ELELSGRLTHPMRYDAASDRYLPVAWADAFAEIGSILRGFDSPNRAEFYTSGRASNEA AFLYQLFVRVYGTNNFPDCSNMCHEASGIAMRQAVGVGKGTVLLEDFEEADAIFVIGQ NPGTNHPRMLGDLRRAAIRGARVVVFNPIRERGLERFSDPQDKVEMLRGGSTDIASLY LQPQLGGDMAAVRGMAKAVLAAEDAAVEAGLPFVLDHAFLVEHCAGFVEYRAAVEATS WADIEDQSGLSRQEIERAADVYISAERVICTWAMGVTQHLHSVATIREIANFMFLRGN IGRPGAGLCPVRGHSNVQGDRTVGINEKPADDFLDALEKHFRFTVPREHGHNVLAAIG AMLDGSAEAFIGLGGNFARATPDSALVEKALRRLKLTVHIATKPNHSHLMPGEVAFIL PCLGRTEMDLNAAGNSQLISVEDSMSMVHGSAGINRPASPHLLSEVAIIAGIAQATVG SAVVDWAELADDHDRIRDHIEATIPGFENYNARLRKPRGFHLRNTAAHREWDTPAGKA SFSCEALPEETVHQRARKGEGRFALQTFRSHDQYNTTVYGLDDRYRGVYGERQVIFIH PADLKAMNAEAGDRIDVVGEHDDGIERIAENFRFVPYDIPRGSIAGYYPELNVLVPLG SAGVDSDTPTSKSIMVSFRRRQAA YP_002973006.1 MSQEASEADRFLALVAAAQGRDIRLTSVQAGLLVAAELGIAGDS RAFARLLGIAHSLVLRELNALAEREGVLQIVKRDLRTMRVHYTLPPPDEA YP_002973007.1 MTENSPPPFSFRFSGSSFDNMVETLGGAFGAFDAEPVGRAKDFH WGLDFSVCDKAVLLTGYHEAEFQFNIEPTVDTAEYLSIVVPRSGGMGVTYGSSRIAEA GQGKLLLYNNFEPNSVIMHGQSNVIDELLINWPVILQTIGQTFEMPFSGSLDLLPELD LSKPAGRLIGNLTETIISGMRDDGPLLQSPIAMAHMTQALADLVVRLVPHRLSHFLEN RPALIAPRHVRRAIEFMHANIDQPITMPKVAEAAGVSSRALETGFRAFRGTSPAVYLL TLRLRAARQDLLDPESKETMKAICLKWGFFHFGRFSAVYKTTYGEYPSDTRKRVIRR YP_002973008.1 MAGQKMRMPIDELRQLALEACLACGGSPAMAKALVDATLSAACF GRTELGFPHFVDYLTSLRDGRINGDAKPRFNHVLPALIQADADGGIAQLGFDLIYDDF VKCVKTFGISVLTQRNSYTAGELGYYVRRLAQDGLISIAAANGPALMAAAEGGERVYC TNPLAFGVPMPEPLPPVVIDQATSATAFITLAEAAKAQLPIAHGMAIDETGAITTDPV KAMLGALLPFGGYKGGNIALIVEMLSAGLSGAAWSLDAGHFLSGEHPVNAGMTVIALF PAAADSDFPERAKAQLERLRSRGVRIPGDRTMPASVAETDRLDVDIGVLETIRRLCLQ YP_002973009.1 MSKLFPRLFSPIGLRGQTLRNRIVFGAHTANMASDGVPGERHVA YYAERAIGGAAMIVVEPMPVHPAAVLTRGNFLPGDDSVIPHFSKVTTAIKENGAVAIQ QLYHVGAHGDSDNSYHPHWSPSGLPSYHDSDGSHSMSEAEIWETIDGFVQAARRCREA GFDGVEVWAAYLGLIEQFWTPWSNRREDQWGGSLENRTRFSREIMSRIRAVCGQDFII GLAISDEPDHSVALTRDELAEIVALHDELGLVDYVTCGSGGYLDFHKLMPTFLYQEKL GAELAATIRRSVKHALVIAESHIRTPENGETVLGEGAADLVSIVRGQIADPHLARKAG EDRPDDVRGCISCNQMCWGRRSRDYWISCLINPSAGREYQWGGDRFAPAGQQRTVLVV GAGPAGLEAARAAAERGHRVILAEASSRLGGNFLLAGMQPRRAQILDLIGWYERQLTK LGVDIRLNSYVEASDVEAIGADAVILATGSHSPETGFQKALPSVATLPGIEKGNVFTV EAVMARQSRPGKRVLLLDEGGGWRGCGTAWKLAEDGHLVTMLSPDPFIGKELQRTTAD VPLRRTLKTLGVKWLLEVSVLEWHGNGVTLLDHNTGERFFEEGDSLVLATTNAAANWL GDELRSNDRQVVEIGDCAAPRQAPYAFYEGRRAALAL YP_002973010.1 MADHRFGGIKIRHLYKIFGPNPGAHVDAVKKGLSKTDLNEKHGH VLGLKDINVEIPSGRIQVIMGLSGSGKSTLIRHINRLIDPTSGEVLVDGVDVVKMNET ELRTFRRHQTAMVFQKFALLPHRNVLDNTIFGREVQGMERSKAVDVAMGWLERVGLKG FESKYPNQLSGGMQQRVGLARALSNDAPVLLMDEAYSALDPLIRTDMQSVLLDIQKEI KKTIVFITHDLDEALRLGDQIAILRDGEVIQQGTSQDIVLRPADAYIANFVKEVNRGR VIQVDAIMTSLHSGAVPGGLTIASGTTVEDAVRILASEAHDDARVVSPSGEALGLVTF RQLAGAMVNSHEVAPRRDSALSVAL YP_002973011.1 MEWFYKFPHMDDDALRNLKKAIDDGFRAFTRSYGDAIESLFSPL QHFLIAADRFMTQTPWPIITAIILVIAWFGSRSLKIVLGCLVTLLLIGYFDMWDDTMR TVSMIFVCTVLSIAIGIPMGILMARSDRLQRVINPILDVMQTMPSFVYLIPVVMLLGI GKVPGLIAVVIYAIPPMIRLTDLGIRLVDKDVLEAADAFGTSSSQKLFKVQLPLALPT IMAGINQTIMMALAMVVVASMIGVQGLGQPVLKAIANQYFTLGIFNGLAIVGIAIIFD RVSQAYGKRLQKHREIVHG YP_002973012.1 MKKLLASTCLTFGLIGGASFASAAECGTVTIASMNWQSAEVLSN LDKFILNEGYGCEAEITVGDTVPTITSMAEKGQPDIAPEAWIDLLPDVVKKGTDEGRI VQVGSPLPDGGVQGWWIPKYLADAHPDIKTIGDVLKHPELFPAPEDAKKGAIYNGPQG WGGTVVTTQLYKAFEADKAGFTLVDTGSAAGLDGSISKAYERKEGWAGYYWAPTALLG KYEMVKLEAGVPNDAAEWKRCNTVADCPDPKPNAWPVDKIVTLVAKPFSEKAGPEVMD YLTKRSWSNDTVNKLMAWMTDNQATGEDGAKHFLKENKDLWTKWVSPEAVTKIEAAL YP_002973013.1 MSVSLRIGIIGGGGWLGGAIAGSILDAGLVEPRNLSLSYRSEQP RRFPNSFLTTDSQALADCSDVIVLSVRPDDWHALDVDAGGKLVISVMAGIRLDALSQC HNTGRVVRALPNAAAEVAKSYTPWIGASDVTEDDRALVRAIFQACGSEDEVARESDID YLTGLSGSGPAFPALLAAAMMRDAVANGLPAEIARRAVNTVITGAGRLLERQDESPDD VVQTFVGYRGTTAAAIEGMRAAGFDASVAEGLSAAFKKSVSMGDAS YP_002973014.1 MDQALNDTGWRGSQEGWLEAAYHSLLDSGVDSVKILPLAKKLNL SRTSFYWFFKDREELLAGLVARWRDKNTGNIVKQSEAYAESLAEAMLNVFDCWLNNDL FDARFEFAVRSWALQSDEILAEVRQADQLRLEALKRMFIRFGLPEATSDVRARTTYLV QIGYISMQAREELAVRMKRIPEYIAIYTGEVPQQRELDRFFSRHGYRPD YP_002973015.1 MSNDPLLQPYQLKHLTLRNRIIVTSHEPAYPEDGMPKERYRAYT VERAKGGVALTMTAGSAAVSRDSPPVFNNLLAYKDEIVPWIREMTDAVHEEGAAIMIQ LTHLGRRTRWDKGDWLPVVAPSHHREASHRSFPKKMEDWDIERIIKDFADAAERMKAG GMDGVELEAYGHLIDQFTSPLTNELDGPYGGSLDNRLRFCLDVFKAIRQRVGNDFILG VRYTADECLPGGTGKGDGIEISKRLKASGLIDYLNVIRGHIDTDAGLTDVIPIQGMAN SPHLDFAGEIRAATDFPTFHAAKIPDVATARHAIASGKVDMIGMTRAHMTDPHIVRKI IEKREDDIRPCVGANYCLDRIYQGGAAFCIHNAATGRELTMPHIVAKADAKKKVVIVG AGPAGLEAARVAGERGHQVVVFEAANNPGGQIRLTAQSDRRREMISIIDWRMSQCEKY DVTFHFNTWAEADTVEAENPDVVIIATGGLPHTEVLASGNELVVSSWDIISGDVKPGT NVLIFDDAGDHAGLQAAEFLAKAGAKVEIMTPDRSFAPEVMAMNLVPYMRSLQKHDVT FTVTYRLEAVEKSGNQLVAHVGSDYGGIARQQSFDQIVVNHGTIPLDELYFELKPSSS NLGEMSHDQLLSGDPQSVIRNPDGKFQLFRIGDAVAARNTHAAVYDGLRIAKDI YP_002973016.1 MSLRNESLQIFLDAAFVAFDQFAKAPEARRSIRQIFAALERPGA ARVGEGRRLPVCAQLDVALSIDTAYPSLTRLIDGFKGIEPMLEWRQRTKYDHTASDNF VDEHANAMIIGPGGLEERSDLWFGVTLMAPQVRYPDHVHAPEEVYLVLSEGEFQQGEG NWFSPGIGGSFYNVPDIKHAMRSLDTPLFAFWALLAERPAV YP_002973017.1 MKILVPVKRVVDYNVKIRVKPDGTGVELANVKMSMNPFDEISVE EALRLKEAGKAEEVVVVSIGPAKAEETLRTALAMGADRAILVETDDAVEPLTVAKILK AVADAEQPGLIIVGKQAIDDDSNQTGQMLAALLGLAQATFASKIEIGDGKAQVTREVD GGLQTIEIKLPAVVTTDLRLNEPRYASLPNIMKAKKKPLDKKTPDDFGVSTTPRLKVL KTEEPSGRKAGVKVKSVAELIDKLKNEAGVL YP_002973018.1 MTILLLADHDNASLSDQTAKTLTAATKIGGDIHILVAGKAAKPA ADAAAKLAGVSKVLLAESDELANNLAEPLADLIVSLAGSYDTILSAATSVGKNVLPRV AALLDVAQVSEIIEVISSDTFKRPIYAGNAIQTVQASDAKKVITVRTASFASAPDGGS AVVEAIPAVSDPGLSTFVRDALSASDRPELTSAKIIISGGRALGSAEKFREVILPLAD KLGAAVGASRAAVDAGYAPNDWQVGQTGKVVAPQLYIACGISGAIQHLAGMKDSKVIV AINKDEEAPIFQVADYGLVADLFDVLPELQKAL YP_002973019.1 MARVVGRPIKPGADLLLHDDEKPAYIRLHDIGRERRSRPLQEFL NDVGGLMLSAEAPAVASFVAGKVLQKLLKTGKVRPEGGPLPGAPEGLDDAIGHLAKSG RKYEAIAGQFQSLADKLLWRRGRSGPFASLNFGNTHSHAVLVGPGGMEERADLRVGVI YMDRYTRFPDHVQTQPRAFILLSPGEIRLGDSQWFSADIGTVFANDAGESFAIRCTAR PLLAVWCQVERER YP_002973020.1 MDVRAAVAVQAGKPLEVMTVQLEGPRAGEVLVEVKATGICHTDD FTLSGADPEGLFPAILGHEGAGIVVDVGPGVTSVKKGDHVIPLYTPECRECYSCTSRK TNLCTSIRATQGQGVMPDGTSRFSIGKDKIHHYMGCSTFSNFTVLPEIALAKVNPDAP FDKICYIGCGVTTGIGAVINTAKVEIGATAIVFGLGGIGLNVLQGLKLAGADMIIGVD INPDRKAWGEKFGMTHFVNPKEVGDDIVPYLVNLTKRHGDLIGGADYTFDCTGNTKVM RQALEASHRGWGKSVIIGVAGAGQEISTRPFQLVTGRNWMGTAFGGARGRTDVPKIVD WYMQGKIQIDPMITHTMPLEDINKGFELMHKGESIRGVVVY YP_002973021.1 MKTISTENCHGGTQGVYVNRSDVCDCDMTFAVFLPPQAKARKLP VLWYLSGLTCTHANVMEKGEYRRLAAELGMIIVCPDTSPRGDAVPDEPGNWQFGKGAG FYLDATEPPFAQNYRMYSYVVDELPKLIAAEFPVDMDRQGIFGHSMGGHGAITIALKN PGRFGSCSAFAPISHPSVSGWSKPAFQKYLGSDETAWRAYDACSLIEDGHRFPEFLVD QGTADSFLEDGLRPEELRQACETAGISLRLRMQEGYGHSYFFISTFMEDHLRWHARRL GD YP_002973022.1 MTSIAIHPAVDSGFRATDAAFAGGTLVCKCASNPVKVRIKSDIA HNHACGCTKCWKPEGFVFSVVAVAPTESVEVQENGDKLAVVDSTALIQRHACKECGVH MYGPVVREHPFQGLSFVHPERFQESGWTKPGFAAFVSSIIESGFDPAKMDAVRAQLRT SGLEPYDCLNPGLMDYIATWMAKKSGVLAA YP_002973023.1 MAKTPKSNLYEDLKRQILTMELDPDADLDEASLSEKYGLSRTPV RDIFRRLAGEGYIDIRENRGARVIPMNHSTLRNFFLVAPMIYAAIGRLAVQNFKPAQL SDLKQTQERFRSASENMDALAMVLENNRFHEIFGEMSGNVYLQPSLGRLLIDHARIGH TFFRPRNEDMKRRLQVAVGHHDSFIDALGAHDENAVVDLVFEHWELSRENMEMFIAPQ GLKADAFLGGPATQLLEKSS YP_002973024.1 MKFEGIYTPAVTPLDQNGQIDRAGFAAVLESLIEAGVHGIIVGG STGEYYAQSSQERFELAAYARDVIGTRLPLIIGTGATRTEDSVEYAKAAKEIGADAIL VSSPPYALPTERENAVHALTVDRAANLPIMLYNYPARMGVMMGEEYFSRLGKSKNVIA IKESSGDMGNLHLLARKFPHIALSCGWDDQALEFFAWGAKSWVCAGSNFLPREHVALY EACVLEKNFDKGRAIMMAMLPLMDFLECGKFVQSIKHGCELIGLNVGSVRAPLRPLNS EEKRTLQTVVATLKRTVAQITSGANHA YP_002973025.1 MHEPLTASEYKAIAAGLQFPANAFVDGAFRPANSGQTFTSTNPA TGEVLAEIAACDATDVDAAVAKAKQAFDDGRWRLRSPGERKAVLLKLARLLEDNRHEL AVMESLDSGKPVGECQTVDVPDTIHTIRWHAELIDKLYDNTAPVGANALTMIVREPVG VVGCVLPWNFPLLMLAWKIGPALAAGCSVIVKPAQETTLTALRVAELAHEAGIPAGVF NVVTGGGKEVGEPIGMHMDVDMVAFTGSTPTGRRFLRYAADSNLKRVVLECGGKNPAV VLDDAEDLDLVAEQVVNGAFWNMGENCSATSRLIVHSKVKEELLKRIGAYMREWKTGD PLDPANRIGALVSKAHFEKVKSFLDDARKEKLTVTHGGETYGGIFIEPTVVEGVTPAS RLFQEEIFGPVLSVTTFNSLAEAIALANDTNYGLTASVYTGSLRNAIKLSREIRAGVV TVNCFGEGDASTPFGGYKESGFGGRDKSVFAHDNYCELKTIWIDVSERSVDETIR YP_002973026.1 MTRHVIKRLPIDTGVSGWEAISERAFPATALEHDMTADWLIVGG GFAGLSAARRLSQLRPEDKIVVLEAREVAKGPAGRNSGFMIDVPHNLSSGEYSVADEE ATKDEIRQNRLAISFAADVAAEYGLSRETFDPSGKVNAAASERGLGLNDNYRKSLEKI GEEHRVLDADQMREMTGSRYYRGGLYTPGAVMIQPADYIRGLAGGLSSKVAIHEHSPV LELSREGRSWKAKSGRGSVSAPKVILGVNGHIQSFGHFRGRLMHIFTYASMTSAFSQN EFGGDVTGADRWALLPADPMGATVRKITTDGRSRIVIRTRFTYDPSLQVSEKRVAGVA AEQRRSFDARFPGLESLPMEYSWAGALCLSRNHVPAFGEVEEGLFSACCENGLGTVKS TLAGMMAADLAAGAGSPELDRYRNQPEPSKLPPEPFAWLGVNSVIRFQELRAGREG YP_002973027.1 MKTLWKALCAAAMIGVSILPARAEEKTITMGTMSWEDLTPITGI TKKVLEDAGYTVKVTEFSEWGIAYAALAKGDIQVLTSQTDYVAQDYWDKNKNRLEKIS PVSHGLYQGVAVPKYVPIDSLEQLNENADKFSGKIIGIEPGAGLMRDTSNAVKDYGLK LQLVEGSTAAMTAALKSAYDRKEWIAVTIWEPSWMVQKYEVKYLKDPKGVFPPPQSYY WIGHKGFSAENPHAREVMASVYVPIADITAINGAVNEGKTMDQAVQDWIGAHADLIKR WENIKKN YP_002973028.1 MKTVNADINDVLIDCRSLWKVFGDKSAAAMKSIKERGLGKKEVL KEFNCVVGVSDASIEVRRGEIFCIMGLSGSGKSTLIRLLNKLITPSSGKVLVKGRDLA ALSPVDLRQMRARNIGMVFQSVALLPHRTVLENAAFGLEVQGIAKPERNKTAVAALEK VGLADWVSRYPNELSGGMQQRVGLARALASDPEIILMDEPFSALDPLIRRQLQDEFRQ LTKALGKSAVFITHDLDEAIRIGDRIAIMKDGVIIQTGTAEEIILNPADAYVAEFVAG ISRLHLIKAHSVMRSVAEFQQSAPHSDIASLARTTPGADIDELITLTMQSERDAIAVV DNDQIVGVVTPRSLLMGVKGTSTHDLTPASHNWS YP_002973029.1 MDSSGFTDLFDEWTDAALEWVSDNGEFLFDSIRQLLEGLYDGIL WLLQLPPFYLVALIVALIGWRLVNVWFALLSGAALALCFSMGLWPETMSTLALVLTAT ALALAIGIPIGIAAGFFPALDRFMEPGLDLIQTLPPYIYLLPAIALLGYGPATALIAT VIVAVPPAIRLTSLGIRMTPKEFIELGEALGMTPAKMFFKIRLPFALPSIMAGINQSL MMAFGMVVTAGIVGSGGLGETIYGAIRTLDIATSINGAIAIVVLTMVLDRITQSAARL GTGRKS YP_002973030.1 MNPSILQFSPGAFLAPSVDWLNTNLHPLFAAISYLIEAVLSGFE ALLLFVPPYGLIAIVVVLAFVAVGLRAAILAGLCLGFCLLMGLWTASMQTLSLVTVAV LISVLIAFPIGVLCSRHKTLEAMVRPALDVMQTVPPWVYLIPAVMIFSLGRVPAIIAT IVYGIPPMLRLTTLAFNQVPREFVELGSAIGAPPSSVLWKIEIPLARQTLLVGLNQCI LLSLAMVVLAGLVGAGGLGAEVTRGLTRMEMGLGLRAGLAIVAVALLLDRLSRGLLDR DRPRKAG YP_002973031.1 MRNSFFCIDAHTCGNPVRLVAGGGPLLPHVPIGERREIFVRDHD WVRQALMFEPRGHDIMSGAIIYPAYREDCDFAVLFIEVSGCLPMCGAGTIGTVTAAIE EGLVKPREPGRLAIETPAGRVDVTYEEKGGYVEAVRLHNVASYLHDADVEVDVPGMGR LRVDISYGGNYYAVIEPQDNWSGLDGMTASDIVGCSQKLRSALAGICDPVHPDDVRIR GVHHAIWCDRPVNDVSDGRCAVFYGEKAIDRSPGGTGTSARMAQLYGKGRLTVGSRYR HESLIGTVFEGRVEAEARIGPYSGILPSIGGWARVIGHNAIFVDDRDPLAHGFQIR YP_002973032.1 MRPEQHRQADDAAKAAGRLKVGFVLARSFTLSAFALFVDTLRLA SDEQDRSGRVLADWQVIGSTRHLITASCGVQVAPTSDFVDPSKFNYIVVVGGLLTVEN PVDQETIAFLKQADAKKVPLIGVCTGSFILAAAGLMKRHECCVSWLHYKEFRERFPDL NVRSDRLFNLDRQRGSCAGGSSSADMAALLVRKCISRDAERNALEVLQIDKARTSADI QPRRPLYDDYDDARVKAAMITMEQFVDGSMSIQKLAATVGLSRRQLERIFIDKTGMSP AKAYNRVRMERAKSILAQSKAPMIEIALDVGFENASQFTRTFKRTFGQTPSQHRAAVS RAH YP_002973033.1 MLREESDSAELAGPAVFKDERKQAYLNPEGADRPLISPLPATTL DRARRYRLGRLRTKMREWDCGALLLYDPVNIRYAFDSSNMSIWTMHNASRYALILADG PAILFEFEGAEHVNVGLPGIDEIRPAKSWIFFTSGNLIEPRLKAWTDEVADLVSRNGG NKRVAVDRLEPAGAFELRERGFTLMDGQELAERARSIKSTEEIELMRWTIRVCEAGMA RMYEVSEPGRTEREIWAELHFENARSGGEWLETKLLTAGPRTNPWYQECSDYVIKRGE MISFDTDMIGPYGYCADLSRSWTAGHVAMNAKQKELYAAARDQIEHNLSIIRPGMTFQ EFNEVSWRIPEKYQPYRYTLALHGTGMADEWPGILLHPDFDPDFTGIIEEGMVLNVES LIAEAGSESIKLETQALITARGAERLDTFPWEEL YP_002973034.1 MPVARAALHDVWNPEEDDALICLDVQQETHDVKTFTFASREGKR FAFKAGQYFLFDLEHSGDAENRCYSISSSPHRTNAFSVTVKRVPGGKISNWLHDTLVP GATVKANGPLGHFVRPETSGRKLLLLSGGSGITPVMSILREIADSCEPADVVFMHAGR TPQDLIFRDELACIARRLKGLRLHFLPETVAGEPSWPGLTGRISKDYMRLAVPDIAER TVMCCGPAPFMAAARGIAAELGVAASNYLEESFDAAVIDEAEIPAVQEAAARVFQVTF SKQARSIEVTGDQSVLSCAKKSGVRIPSSCANGVCGTCKSKLTSGTVDMNHNGGIRQR EIDAGFFLPCCSRPLSDLVIER YP_002973035.1 MLNKLPSSISALLDARANGHSLPAGLYVREDVFEADIDVFFHNH WICVGLDCDVPEPGDATVIDIGKTSLILLRDDDGEIRVLHNVCRHRGSRLLDPGKSIV SKLVCPYHTWTYELTGELSYAPHMGKDFDKECRSLKPVTFKSIGGLIYVCLSDNPPED IALLEQAMTERLAPYDIRNAKVAFQTDVIEDGNWKLTMENNRECYHCSANHPELCVSF VDLDFGFDPETLSPEDREQAEEHFRLYEERTNAWESDGFPSAAVEQLADCATNFRTQR LIIAGAGESQTHDATAASSKLLGHMTRKDLGDTHLWGHNSWNHFMGDHAVVATVIPLS AGKTLVRTKWLVHKDAVEGVDYDLDKLTDVWVATTDQDADLVARSHAGTLDPAYQPGP YSRFSETNLDKFASWYIDRMRAHGY YP_002973036.1 MHFRRRIPSLTALVTLEAVLRKKSFTTAATELGVTQAAVSRQIA LLEEEFGQPLFVRKHRAIEPTAACINLGATLAKSFADIAEGVEALQSRSQDVLTIGAT VAFSSFWLLPRLAEFRRANPGILVRVISQDSPIALDDGEVDVAIRYGVPPFSDSTVIA SHGDVICPVCSPDHLKRRGDGPLGSADEFIETDVLDRSWYSWAQWFSLTGANLEIKPS LRFNHYTETIAAARAGQGIALGWRMLVGTFLEDGTLVQVDGGELAAEDRYNVIVPVKA KRSNARDLAAAWLTASLHG YP_002973037.1 MDHVNSPQSSVSEEKEARRLQYLTWEHIASDLRHPTHLARKAEL RRSCSAELAETSYIAEHAAIFTESLTMGERSWIAGHALVRGHVILGDDCTINPYACVS GTVTCGHGVRIASHASIVGFNHGFDDPTIPIHRQGVVSIGIAIGDDVWIGANCVILDG ATIGNGAVIAAGAVVTGDIPAMAIAGGVPARVLRSRGSAPTKTGTGDIEDQLVRLGQK AKDQWPDILARWKTRGSYESLEADGIRRPAIRHLCDAIEIAAGFGHLPPDLDAAETVE RLQGLQDRETGLFPEGHSRILGKALRDDPKALYNVLAVGYALELLGSGPRQPVHAVEL EAGELDEWLSALPWSTRAWHAGSVVDAIGTAMYFNAKSFGIRHSRQALFEWLSRNANS VSGLWGEPTAAEGWLQPVNGFYRLTRGTYAQFGVALPHPHASLETVHLNYRNHKGFVA AKYNACNLLDTIHPLLLIARQTDYRRADGEAIARKVISRALDRWRDGEGFPFADGGEP SLQGTEMWLSVIHLAADFLGLSDRFAFVPKGVHRTATVGLGL YP_002973038.1 MAYFSTYFRWLSGRCYCMIAPYANVQHDESTASFSFAEALKSLK TENDAVRRRSSRHGLWIAVAVYVAFALPDRWLIPDVAPVTIAARFVVATIALLVFETL RLANAKTVWLDITCATALLVGYVGWLYPAIATRDVTAMSYYMIFGAIFMMGANLFFSF PFRLSVITSGLVLCAFFITIVEFFPSSETYKLAFGMFYISCFAFTSFVNWRLNVERRN VLLNAAEARHQHWEASERGRSLLELSHTDYLTGIGNRRALDRRLDECWAAWKDERRDF SVFLIDVDFFKRFNDRYGHQEGDRCLTVIANALKAVVGSSDGMIGRYGGEEFIVVMPA ALPKVAMTLAEKIRMEVESLAIAHDERPDDMSTVTVSIGVAFTREKVGEKVERIVREA DLALYNAKASGRNCIRSFDPFLPRPDDHAGKLVPLLAAAIDRKLVSLVYQPIFDVTNG KARAVEALMRLRMPDGTAISPKTFIPVAERSGAILELGRWAIETACRDILMTDRMATV SVNVSPIQLRSPGFAASVADILDRCGVCGSRLALEVTEGLDMDMQSEVLKCIADLRAL GVEIWLDDFGCGFAGLSWLRAIEFQTVKVDRTFLHDCSNPRGLTMLQDMIALIRNRGN TILVEGVETAAQFSLLKDLRIDRVQGFHMGMPVSAELLNAA YP_002973039.1 MAQSLVLDGESLVAPGEIRRIARRVAAPDPGQLIVARAELALSL DVSTRQFKSGVPPHAIIERLAEALHQIRRRFHPEVWDVIVPIAQSHPVAHYLHQDPLT RWSFEKPRGYSGDARLLDFIYGRPEIEDAVLSSSNLGRSIYAYTRNASSSVAVRERRD ILARRVDEIASARPGSTEVLAIAAGHLREGPLSCALSAGAIRRWVALDQDPMSVGTVA RDFAGTSVEAVNGSVKSLLGGRHALGMFDFVYAAGLYDYLPDAVAVRLTKKCVSMLRP GGTFLFANFSPETDVDGYMETFMNWALLLRSEREMGLIASESVTGTDVKVSVWPGSNR SIVYCEIQRPH YP_002973040.1 MFVITGVTGQVGGIVAARLLKAGVPIRAVARNAEKAAEWKDKGA ELALAEMTDADALTKAFAGADGVFLLIPPTFDPTPGLMEVRAVIAALNTALHSSRPAK VVCLSTIGAQAREPNLLSQLGLVEQKLSALPMPIAFLRAGWFMENTAWDIAPARETCV LSSFLQPLDQPYPMVSVFDVGAKAAELLQQEWVGKQVVELMGPEGVTPNDIAAVLSSV LGKPVRAEPVARDTWEQIFTDQGMQNPLPRMRMIDGFNEGWIRFEGEPVKGTTTLDTA MGRLICPGR YP_002973041.1 MFDGRLLNGVSVLAAVIQSGSFARAAESMGLSASGVSRAISRLE GRIGLRLLDRTTRTMRLTDDGARFYEQVAPLLSGIEEAAQTAVGARQAVRGRLRVNVD PYFSRLVLGPRLGAFLDRYPDIQIEIITRNEIGDLVADGMDVAVRFGEPAQRSLISRL LMQTRVITIAAPAYIERFGRPSRPQDLSEHTCIQFQDPLTARPFEWELRWGEEVVSIE TRSRILVNDAGTTLATCLAGIGIAQVFSLGMADYLSNGQLVNLFPDWSDETFPLYAFY PSRQHIPAKVRALIDFCVEIIK YP_002973042.1 MSTTSKVMSRRAVLGGTLAAAALPLVAQGQNDRGPGDPEATDVR IRIGFNKLSLTATLFDNPTARDLVSMLPLNLTIEDYSRNEKIVHLPRKLTEEGSGAFG NEQPGDLCYFKPWGNLALFYADYRWDGLIRLGRFDNGFEPLLVRGEYPVRIERI YP_002973043.1 MSQGIEDKVVVITGASSGLGEATARHLAERGASVVLGARRSDRI ANLAEELSAKGYKAKAVQTDVTDQLQVKTLVDTAVNTFGRIDVMLNNAGLMPLAPLER LKVNEWDRMIDVNIKGVLYGIAAALPHMKAQKSGHIINVSSVYGHVVDPGAAVYCATK FGVRALSEGLRKEVKPYNIRTTIISPGAVSTELLEHISEKDIQAGAKVFVSKIAISAD TFARTVAFAVNEPDDVDINEILFRPTAQPV YP_002973044.1 MSVELTLNETSLTDDTATSWSAVICLTLLTFLLVGLEFLPVSLL TPIARDLSVSEGQAGLAITVSGVFAVVTSLFGNAFLAKIDRKSVFLLYTAVLVVSSLA VALAPNFLVFLVGRSLVGVSIGGFWSLSTAILARLTSDRDLPKAIALLQGGTAFALVL AAPLGSFLGGLIGWRGTFFITVPIGFAALVWQLVVLPRMPATSTVSVARIFGLLRNRT FAIGMAATALAFIGQNALSIYLRPFLEGVTGLELDVLSMVLLGLGVGGLAGTSVIGFA ARRHLLSVLVGLPAALSVLALLLIALGPFAAVTASLLVMWGFFSTPIPVAWNTWMAAI VPGELEAAGGLQVALIQLAIAGGAFAGGMLFDTVGWWSTFLLAACLLAGSAVLAALAG RRS YP_002973045.1 MRRDEFTEMRAFLEVAQERSFTRAASKLGVTRSALSHTISALEA RLGVRLLSRTTRDVAPTAAGARLVESIQPHFESIAAGISALGVLRDKPSGTVRLVCPD DAVELVFRSRLPAFLRECPDITVELIVDNGFTNIVERQFDAGVRLGEAIARDMVAVRI GPDISYAVVGSPGYFAARTVPSTPHDLTDHNCVNLRLPTSGALYAWEFEKDGREFSVR VEGQLVMSNIGPAINAALDGAGLSYVPRDLVRQYCDSGQLKEVLTDWAPTFQGYHLYY PSRRHPSPAFSAFVEAFRYRHR YP_002973046.1 MAAETDIFSELDQFDRKILAALAEDGRLSITDLAARVGLSKTPC QIRFRRLISDGYIEGFKAILNPSKMQLDHIAFVEVKLSDTREDALKSFNDAIKKISEV EECHMIAGRFDYLLKIRTRDIGRYRRVLGERISTLPHVASTSTNVAMETVKEGWDKSG SSFS YP_002973047.1 MHNKEADDDRAPDLLAHYRPLAIRAVAAALTLKRDRPDARPLRE TEYSGPACTDDDQWDDEPGISFIR YP_002973048.1 MLNAAIDPAPCNNPTDGAPFSGFAPPIRPQSELRQAITAAYRRS ETECLPPLVAAARVSEAKRYDIRSTARSLIEALRAKHKGTGVEGLVQEYSLSSQEGVA LMCLAEALLRIPDTETRDALIRDKIAEGNWTSHIGGGKSMFVNAATWGLVVTGKLTST VNDRSLSAALTRLIARAGEPVIRRGVDMAMRMMGEQFVTGETIAEALKRARPLEARGF RYSYDMLGEAATTGADAERYYRDYEKAIHAIGKASDGRGIYDGPGISIKLSALHPRYV RSQAGRVMSELLPKVKALAVLAKTYDIGLNIDAEEADRLELSLDLLEELCFAPDLAGW DGLGFVVQAYGKRCPFVLDYIIDLARRSERRVMVRLVKGAYWDAEIKRAQLDGLDDYP VYTRKIYTDVAYVACARKLLDAPDAVFPQFATHNAQTLATIYRLAGPDFTVGKYEFQC LHGMGEPLYDEVVGKEKLDRPCRIYAPVGTHETLLAYLVRRLLENGANSSFVHRISDP NVSVEALIADPAEIVAAMPVMGAPHAQIASPKALYGNARTNSDGLDLSNEATLSDLAE ALVSTAAAPWHVLPILADGSIDGVTRDVLNPADHRDVVGTVTEVKVEEAARIVRMAAE HAPQWAAVPPAERAACLERAADIMQARIKVLMGIVMREAGKSAANAVGEVREAIDFLR YYAEQARKTLGPSHAPLGPIVCISPWNFPLAIFTGQVAAALVAGNPVLAKPAGVTPII ASESVKILHEAGVPVGALQFVPGSGRLGAGMVGAEETAGVMFTGSTEVARMIQAQLAE RLSAGGKPIPLIAETGGQNGMIVDSSALAEQVVADVIASAFDSAGQRCSALRVLCLQD DVADRTLNMLKGAFRELTIGRTDRLSIDVGPVINDSAKTEIDQHIEHMRGLGRKVDQL PLPESAAAGTFVSPTIIEIKSLADLTREVFGPVLHVVRFKRNGLDRLIDDINASGYGL TFGLHTRLDETIAHVTSRIKAGNLYVNRNIIGAVVGVQPFGGRGLSGTGPKAGGPLYI GRLVQRAPVPPQQDSVHTDLALRDYIVWLDKKGLSGEGEVARGYASRSALGLERELTG PVGELNLYALHPRGRILLVPQTEGGLHRQIAAALSTGNQIVVDAGSLSKSVLADLPSA VASRISWTSDWEKDGPFSGALVEGDRDRVLAVNQKIAHLPGPLLLVQAATSEELASDP EAYCLNWLLEEVSTSINTAAAGGNASLMAIG YP_002973049.1 MKITDLRCAVIGKHPIVRIVTDEGLYGLGEVEFTKSYLKPFVLH FREALIGEDPTDVERVMLKIRQRGSFKPYGAAVSAIEHALWDIAGKAAGVPAYKLLGG KVRDKVRVYNGSIRQKRTGDRPEDYAADVKWMMEQPQNFFMVKQGISFHSNMKDTIED FHYGVTQKKAGYHGAMDQGVISERGFNHMLDCVIAMKEVLGDKVSLALDCGPGWMLPD AIKFARAVEKYNLMWLEDMLTGDYVPWVNPQAYRELTISTSTPIHTGEQIYLRHNFKE LIETQAVRVIGPDPADIGGIAELKWVAERAYMHSILMAPHGTANGLLGLGALINVCAT LPANYIAFEYPSASDPWWEDLVIGLPAQIVKESMVDLLEAPGLGLDIDAEAARRYLRE EDAGFFD YP_002973050.1 MPEADGADEALNRVNTHSKPSDLRITDMRVAEIVGAPFTSVLLK IYTNQGIVGLGEVRDGASATYALMLKSRLLGENPCDIDRLFRRIKQFGGHGRQGGGVS AVEIALWDLAGKAYGVPIYQMLGGRFRDKVRVYCDTDATVPSGTETGRRLKQRMELGF TFLKMDLGLMQIADVPGAVVSPAGSLEGYRSHPDRGPLKTIEERRVRNATYDLHNVPH PFTGLHFSDKGLDFLEQYIAEVREVIGMDIPLAIDHIGHISVQNGIRLARRIEKYVPA WLEDVIPWQYTEQYRQLQDSTTVPICTGEDIYLKEGFEPLLKSGGISVIHPDLLTSGG ILETKKIGDMAQDHGVAMAIHMAESPIAAMAAAHVATATENFMALEYHSADVDWWDDI VTGLPKPLVKDGFITVPDKPGLGIDDVVDEMISQHLQPGVTGIWQPTDRWDDEYSWDR TWS YP_002973051.1 MKITSVRPWLIRSDASYWGEFLFVEVTTDEAVSGWGEITTTTRL ANRALCTILRQIGAALTGEDPARIEYLWHKIFRSFTYMGSRGAAVECVSAIDIALWDI QGKVLGKPIYELLGGPVRDEIALYTHPNQAKFTSNEAVVREIRDIVESGHTALKFDPF PYQGRSGDGQAREQRDGYLDGSMTRKDEREAAELTALIRETAGPDVDILIDAHGRFDV PTAIRLCRSLEEAGQIDWFEEPCPPESLNALRQVREKVSAAISWGERGHTKWDFVPVL ENRLADYIMPDVTWTGGITELKKISALCEAYYIPVSPHDAAGPINVVAGAQVMMTVPN FYKLETSEWNLGKYDHLIDRPLDVSNGSLKLTPKPGLGIEMNRDYLQNHEIELD YP_002973052.1 MAETSDFKAKPPEGIDPIGASSEGASLYQLIREDIIEGRLAANE RLVVTDLARRHGTSTNPVREALQLLRGEGFVTLVPNRGARVRPIDQDFVRDIYEIGVL IEPALTRWFVNMATVEDIAELERIQGLIEENDFADTFRHSELDTAFHTVMYQKHYNRH AAELWWKHREVLRAVSRRFNFTLARRAAILSEHRELIAHVKAGNASEAAELIARHVEG SGRHILEHMRARNAARAG YP_002973053.1 MTRISLGGAAARATVSTALMLSLMSASALGAPVDLSKWSPEYVR SIAGTQDFDTAGDCAKVTPLDYKGRLTFWYQGVFEGDPDLLRQYYKEFFETFRKTYPN IQLEEQALTYNDLLDKFRTALLGNAAPMAVRLQILGGTEFASKGYLEPLKPEDVGYST DDFWPGAMKAVTWEGVTYGIPTNNETMAFIWNADVFKRAGLDPEKAPATWDDVVKYSK QIHDKLGIAGYGLVARKNAGNTPYRFMPQLWAYGGGVFDEATANPTYKQVQLDSPQSK AALQASYDMYVRDKSVPVSALTNQQADNQPLFLAGQLGMMVSHPSDYNVMLDLQKKTT GGDKDKAQTVIDNMRYGLIPTGPDGKRAVVFGGSNIHILKPEYVEGGKVDEPAAKAIS CMWASPEWSLKMAYAGSNPGNLNGFKTKWMKERLDSIKFLDVTTSMLPYGIPFPALPQ SPEIMNIIVPDMLQNALTGAMTVDQAADDAAKKVKDLTDGGL YP_002973054.1 MTILTGKAEARRRLQPDGHGVLRKIWEHRADYAYVLPAIAVMLI VIAYPIYYTIELSFFNTPPGLQLRDKIFIGFDNYTAILTSPVFWTVTSNTLIWTLGST LISFVLGFACALALHRDFVGRGILRAILIIPWVISAVAASYIWKWIYHSDFGIIGAVL VGLGLADRPPNFIDSVSTVLPSLIVVNIWREFPFAMIMMMAGLQTVPDQLLRAAKVDG ANAWQRFWHVTFPHLRNVSTVTILLLAVANFNSFIIPWIMTGGGPSNASHIWITHIYE LAFGRQRWGVASAYSVLLFLILMSFGYFYVRALSGNERKDGSA YP_002973055.1 MSTVAETAPRVKARRRMRIDGWRWGGRIFLVFMMLYTALPMIWM LITSIKSGFAAMQFPPQWWPDQPTLASYQKLLDPQNSVGQDFLRFFWNSLFVSTATTI LSVIVAVPAAYAFSRFTFPGRNFLFFAVLLRNMFPAVIFLVPLFILMRAIGLVNTHSS LVLTYLTFGLPLAIWLLKGFYDNIPVQLEQAARIDGATRFQAFVLIVMPLSTPGIIAT AIYSFIGAWNEYIYAYTFLSKNEQLTLPVGIQRFFSENTTDFPGLMAASFMMSVPVVV LFLVLQRYFVRALTEGAVKH YP_002973056.1 MAHVVLNDLVKTYGSFKAVNNVSLTVNDGEFVALVGPSGCGKTT TLNLVAGLIPITSGDIVIGDRVVNDLDPKDRDIAMVFQNYALYPQKSVYKNLAFPLQM RKLPRDEIDRKVKEAARVLDMTQLLERKPRELSGGQQQRVALGRALVRDPAVFLMDEP LSNLDAKLRVQMRSEIKRFHQDLKATIIYVTHDQLEAVTMADRMAVMNGGYLQQYDSP AQVFAHPVNMFVASFVGSPAMSLVPLEASTASGNTVLISAEGWHFELSPHNAQKVARA TTKKVVLGARHSAIKLHKSAVPGSIPAKAYTVEPTGDVTFVQALLSGAIVNVSVPPTI AVAPDEQIWLEFDQERMHLFDGETEMALKAN YP_002973057.1 MTTKLKITAIKPYPVWVGTRNQMLVKVETDNGIFGWGESGLSGR EKAVAGAIEHYREFLIGRDAMQIGRIWQEVYRSQYFEGGRVLQAAISAIDIALHDIKG KALGVPAHDLLGGKQRDRIPTFASTGDEAEGDVAIERARELRAQGWQAIRFFPIGQNS KDFFEPRESIGATATMLNKAREALGDDVVLGIDYHHRLSVAEAASFCNKLGRGVIDFL EEPIRDETPEAYESLRTMTDIPFAIGEEFASKWQFLPYIERGIHQFNRLDVCNVGGLT EAMKVAGWSEAHYVDLMPHNPLGPVCTAATIHLAAAVANFAWLETRAPETKLGFDNSD FFPVQPRLDGPDYPVSDLPGLGVEVNEEAVKAESFRFWEAPHLKRRDGSVTNW YP_002973058.1 MTRTPDITRPPKDMIDALKEIGAATVAGTLGHMGFRNPHMVGPV PQNRGKSIVGPALTLQFMPQRPDLFTEGEYADPETQLHRHVLYHAQEGDVVVVDARGD MSSGVFGDMMSTYFKGRGGAGIVIDGCMRDRPNVEKLDLPLWLRGWTPNYHVQTSIYP NAVNVPIACGGVTVIPGDIIVADDDGVVVLPVAMATKVIDESQKHHDWEEFSRVKLME GGSLQRYYPLHDDARGEYEEWRKTNRLEN YP_002973059.1 MVRIVAVSLSDIAERAGVSVKTVSGALHGGSARMSDDTRQKIKA IAEELGYVTNLAARGVRQGWLPLVGVVSDGLITSPFATEIVRGLDGAARSAGMAVFAV NHRSGQSIGSVLDEVQQFRPRAVAYAAMYHKDVALPDRLTGAVGVMINCREAAGRVTA LVPDEEGGAREIVRYLLVAGRRRIAFINLPGILAGTLREMGFRAALEEGGIEPVGVLP AVRRSVYSDRAPSLVASHVEALLKSGQRPDAILCGNDRVAMEVYAALARAGLRIPDDI AVASFDNQVELASRLDPPLTTMALPHRAMGRLAMEILLAEQPEPPHLRKLPFHLVERA SV YP_002973060.1 MRILMGNRLLSALLASATLVATAGFAEAKTVIHVMHQGDPGWVK AYGDVATRFEAVNPDVDIEMIYAPHDAYNEKFSAAVMAKQLPDIMELDAPFLANYVWS GYLQAVKPLIDKDLLNDMTDSNIAQGTYPIDKDLYAIGLTDSSVVLYGNKKYLEAIGA RIPKSVDDAWTREEFEGYLEKLSKLEGVKWPIDTFRGYGIKTEWITYAYGPLLESAGC DLIDRKTWKASGTLDGEACVKALTMMQDWVKKGWVVPTSSGTNQFYAEGQPAALAMGG HWFYAEASAAMKDNIVVMPLPKIGDKGVSPNGTWIWGISATSKNPEIAGKFLSFLLKD KEYREYAKTQSAYPGLKSFAAESPLYAEGGPLAVAFEQASKTAVARPPHPAYPTITSA FMQAVDKIFNGGDAQEALTAAAEKIDEDIEDNAGYPPFDEQK YP_002973061.1 MTLQQTSTPALRRPAKRRDNKRLWQEMAMIAPAFLLMAIFLITP FLLSFWTAMTNQPLVPRPTPVRFVGLLNFERVFTDPLFWTSLWNVTRFTVWVLPLQCG LAFLTALLLHQKLPMQNLLRGLFFLPAITSMVVVCVIWGTLFQYPSGPLNQIVGFLSG GTIQPIDWLGDPNWAMFSIVLLSAWQAYGFQMIIYLAGLQGIPEELYDAARIDGASAL RRFWHVTMPGLRPTHVFVLVITTIQAFKLYTQVAILTQGGPNESTETVVHYMVRAGFE EQKLGYASAVSVILFVIVLLIALLQRKLLRRFDV YP_002973062.1 MSDLALTQPVPLAIARGDGKRSLRIVQSLCILVIALVMISPLFM LLIASLKDDRFRILADMGSFRAFWVSDPTLSNYKEVAHFSGELAYSRYLFNSLVILVA TVVSGLVVNSMAGFVLAWGSLRGKAALLALVVALYVIPQESIIMPLVVMMSRAGLTDT FAVQILPWVASPLYVFLFYQFFAQLPKELYEAAEMDGASAFRIYRSIYMPLSLPALAT VSILMGIESWNQYLWPTLVTQTDYARPIAVAIATFFGQDSIYWDRAMAASVLMMIPVL ILYLAFQRWFVSSFVGSAVKG YP_002973063.1 MSLQAKSETSAPETIEAELPEGTVLHLWLKARHAGGEAKLFVAV EGNDIGEPSTHRAGEFEFFAVTLAKGGRATLSYDAAATALSVAYAFRPETVMKEGIRV LHSDARTAAPDVPDSYHFRPPFGWMNDPNGFGRFGGNAHLFYQHYPHEPRWNTMHWGH AVSRDFVRWTHLPMFLFPAAHLSEKDDGRGGAFSGSAIPGSGPEGEEIRVFYTEHVRD RLPEEQIQLSAVSRDGIVAGPSEIVMPLRPEGLNVTTDFRDPYVFKGPDGRWKMLLGS RDRQGGVVLLYETADAQGVDGWTFLGILHREDGFGMTAAECPCMVPLSGKNAETRWAL IFGLLTSRDPATGRRNLTSVTVGGFDGRTFVAEFVQELDFGSDAYAFQAFVDGDEPVG IAWLANWTDFSKKDDFPTAMTLPRRMLLDGDTVLTPPVAAVESLRHRLLDGTALAAGK TVPLGTGAVEIVLDLTAPGAAFDLTFDHPDVDLGVKLDADGLAIVFDARTGMRPPRYV AAGANPSSLRIFLDAGSIEVFADNGRWTGSKRIPSFAAARSATLAGVVAGAGVWQLKL YP_002973064.1 METSLEFLTTRKPGREVHRHWPDEVKAKIVSESLRPGTTVNEVA QRYGLRANSLSTWRTMARQGKLILPAPEDAVEFAAVMVDPLVSEPMPKTAGRPEIILG PVTIRLEEGAPAARIAAIARALAAAT YP_002973065.1 MIFPSNRVRIMVATKPVDFRKGHDGLAALVKNELHKDPFTGTVF VFRSRKADRLKLIYWDGSGIVLAYKRLEEHTFTWPGIKDGLMTLTHAQFEALFAFDLV VSGHA YP_002973066.1 MLDAADLPDDVAALKAMLIAAQAREAAKDSAIASKDEHIARKDE RIERLEKLVEAFKQAAFGRKSEKTDPDQFDLALEDLETAMAVIHAEDEADTPAANRLA KPRAINRGSLPKHLPRVEEVIEPDSLICGCGGCLHCIGEDVSERLDVVPAQFRVIVTR RPKYACRVCTDGVVQAPAPAWLIQAGLPTEATVAHVLVSKYADHLPLYRQAQIMSRQG IDLDRSTLADWVGRAAYELRPVFDALIEDLKRSTKLFMDETRAPVLDPGSRKTKTGYF WALARDDRPWDGGAPPGVAFTYAPGRGGIHAERILQGFSGILQVDGYAGYNRLIAPER VGPDIQLAYCWAHARRKLVEITRNGSAPIAEDGIKRIGELYRIEAELRGLDPQARLAG RQERSAPLIVDTQTWLVHHRARVAAKSPLGEALAYIAKYWDGLQLFLTDGRIEIDNNS VERTIRPIALNRKNALFAGHDAGAENWATIASLIETCKLNAVDPLAYLTATLTAIVNG HKQSRIGELLPWNYRGASKLS YP_002973067.1 MSQAWRDLTNSERQLIELLLAKEFPGAHALRSQLETAKVSAIDA EGSLQFRVSGALANVQQRVPTEGYYFDTEGVDYRPAVNVLLHVVEGKLHELEVYKDDG SAIETSLNAVDISRFHLP YP_002973068.1 MLLEDLRSFLTTGFGPEQAVLVLGAVNTLVNSQRTHDLGREMVI RCLAKKDLFPPGLLPLLESLVGSVGLIPYLSKDVSTFEEQLMLEAHRTPAIGKVDFFH TLQLQIYRELRSKRNVVLSATTSVGKSAIVDAIIASGDHKHLTIIVPTIALIDETRRR IIETFGSRYAIVTHPTQVSSRDKATVFVLTQERALNRDDLQDVSFFVIDEFYKLDLQM EKSIERAVDLNLCFHKLSSNGAQFYLIGPHVDGINGLASKREFLFLPSHFSTIALDIV QYGLPRDGGEREEKLIELCEELTSPTLIFCQSPGSAKRAAETLLEAEGLLPETDLTWP AVEWLEKEYPEEWIVTHALRRGVGIHHGSIPRALQQYMVKAFETGAIKFLICTSTLIE GVNTVAENVIIFDKRIKNTGIDYFTFRNIAGRAGRMRRYFVGRVYVLEEQPEPDTCVI EVAVGTQSETTPMSLLLDLEDDDLTPASKTRVESVTRESPLSLETLRANRRVHIEKQY DIYDAIWRDTSLLGRPLAWRGVPDSPQLLAVCNLIVDYLDSEILKGYQIFSGKQLQAK LYSVENSKSLREVIDDFVEHRRRDASVSDAVELALRFLRKYVGYTFPQSLMAISHIQG DVLRKIGVEPGNYEFYAAKAESLFMERGLFALDEYGIPPETAIRFAPVAREYPTLDSA IELVKRMDLRGVDLTKFERDLIDDLRASLLS YP_002973069.1 MNITPLVDPYAASDAGGVKARQGFTFQDHVAASFLLDMLSDPAL LQVECETGDDIALRWLRNGADVTEYVQVKITDGESNWNLTEICERRAKRVGTSLVEIS LGTDKFPVDALFRFISIRDVNHTLRPLKTPRDRRFQPHVVDALTKLGTQISNKLRGVT SPNGKSVPEWVQACLWQVPGEKEAVQQKNINRIHRLFESEGEAIFASASAKIYEGLVT IARNAGDVSMVDHPAKKAISKQAMKGWWDETIRDARRLNRTFMKVYQVQTTNFFAALH DVQESTIRRSLASYDAEFDGGEWRNLELAKYLAGWIPELILPPRMLAQCNHLQARELL AKTSQALKDKNIDYEDLLSQAMLHAILRHHYSSEPTLCKLHSVSPDQSDCMNGHVVFD PAGDQLWLGHARITVSDNWDAVTTLLSSELDALVHQDFLRKERDLIIQFREPQYLKET TLTKVFQEFAKLDDIVSILNIPVLVAYDSSVLEAGFAADYVEKLKAEVADSYQELKSK LSSKLTDIRVHVFLVPIYDSVQLADNFKRSLEN YP_002973070.1 MFKPANAVQVRVSIDEMKPDVWRRLVLPVHWNLEHLHLGIQAAF NWWNYHLYEFRIGGLRYGEVETLTEDGTDDDPRVFDQKEVRLLDFEQGAVFSYHYDFG DGWRHTVAVEEFLTLAATPRLGSCVAGERARPPEDVGGVLGYERFLEIIADGEDPEYV ETIRWCGGYFDSEWFDLSMVDKDVRNALRSNVKRRLYQPKPKTVPKK YP_002973071.1 MKSEQRRLAAQKAFDRLRRAGLPTEEDPRFLVWIEQWIAGDIEM QEVRERYLAFLREQASELKRRALARGAKLPSDPGPQPIDEIDNFLSDFTKG YP_002973072.1 MIYDKRAVLSAYTSNLLSQGRVVFDGREAIEALGINRGAFLDSA ERLQRRKLLLNPRHDFYIVVPPQFMSLGAPPPTWYIDALMRHEGNSYYVGLLKAAELH GATHQAVMEFQVVAAKRLPKIKAGRNRFVFYYRKDMETVAAGVVDKKTETGSMRVSSP ALTALDILRYSHGAGGLDNIFTVLRDIAPQIDFESLTNLSRVTERPVVQRLGYLLDRL GHGPRLASMRTALEDRGNLPWTELDRKEAGDPDFSTEVLERDPRWRVIVRRYPEADE YP_002973073.1 MIPAQNFVAWGNVAPWADQRQIEQDLIISRAVVAIFTDPFLREA LRFRGGTALNKLHFPAPLRHSEDIDLMRTAVGPIGPVLDALRVVLEPWLGHGQYESSP VAPKLKFRIEAEDGGGVPIRLKVETNISEIEAYDPPIDLPFGVANPWFTGNASVPTFS REEMLATKLRALLQREKGRDLCDLAHGLETFEGLNVEKVADYFGRYVALSGQIITRAQ AEQRMFAKLARPRFLTDMQPLLPTQQAEALTDQTTLQ YP_002973074.1 MSFCSSKRFRIAALAATAIVLGTQIAIAKEPSIGSQWLNSPTSR VEALAVLQTLNANLLSNASATLTLDRWCAAHKLAPEGSKIVAQRVGGQDKPADEHIRE LLTVGPGELIAYRRVRLVCGDRVLSEADNWYVPAKLTAEMNQALKTSDIAFGRAVQAL NFTRTNLSATLLWSPLSEGWDMDGLTAHETSSLSLPPFLLEHRAVLKLRDGTPFSALV ESYTDKVLDFPVPSQ YP_002973075.1 MVGPAAKREAVTHLKAVMGLSERRACQIVSADRKTIRYRSNRPP EVDLRAKLRDLANERRRFGYRRLFILLRRDGEPSGVNRIYRLYREEGLSVRKRKARRR AVGTRAPILVEAKANARWSLDFVHDQFACGRRFRVLNVVDDVTRECLAAIPDTSISGR RVARELTTLIERRGKPDMIVSDNGTEFTSNAILAWSKDHKVEWHYIAPGKPMQNGYVE SFNGRMRDELLNESLFFGLDHARSAIAEWAEDYNHFRPHSSLGYQTPADYAGTIAATG SNAAQDESFAFPPVAHTAPFGVFKAAEALTAAG YP_002973076.1 MKKQRFTEEQIIGVLKEQEAGAKAADLCRKHGISEATFYNWKAK YGGMEVSEAKRLKALEDENTRLKKLLAEQMLDAAALRELLAKKW YP_002973077.1 MKKFILGTAMALVMSTVAHAETVGVSMAKFDDNFLTVLRNGMTD YAKTLSGVTLQVEDAQNDVSKQQSQIQNFIASKVDAIIVNPVDTDATTAMSKLAADAG IPLVYVNRQPVNVDTLPDKQAFVASNEQESGTLETKEVCRILGGKGKAVVIMGELSNQ AARMRTQDIHDVIKTDECKGLEIVEEQTANWDRTQGADLMTNWLSSGIEFDAVIANND EMAIGAIQALKAAGKDMTKVVVGGVDATQDALAAMQAGDLDVTVFQDAAGQGKGSLDA ALKLAKGEKIEKKVYIPFQLVTPANVKDFVTKN YP_002973078.1 MGHYSLSKANQHIVPADDPRRWPRDCYKIQVWKLEEKPSDVNLA LDLYDDA YP_002973079.1 MNLASKIAKSPVFPSIGALLAEARQARGYSLDDLAETTGLTVAE VTALESDEDFDASRIRRTAAALGVLDKIRSTRRAEVVTQLR YP_002973080.1 MTPYFTRQKHAEERLRTALQQMNDAIRDVHKSGIDVDISTLTMH TRRGPMVQVDLKTFSSYGAPPVLRLVEK YP_002973081.1 MKKVQRSFAIEYKSGGRKLNSKPNSIWGNMDLKSVAQDLEDEAM PFMSSTPQAISSEMLVSGEEQARPLLTVPIEHETNASALQETTMADENATMNNADTPA ATAPDVPKKIRKPRAKKVVPETASAAVSLEPAAASNATPGKQKRGRKAKSDEGATSAR RAPVNRAPRAVQAAAASAVAAVDEIADLLQLEEENQRLRKLLAEKLRAENADLRKRLN LG YP_002973082.1 MASPWKLLARLVSPKRKQRQEYGSTDEVKPDVMAIAQPIEPAAK NELGATDRPADERPLLDSRSTAASVDPDHADKAGSTVDDHADIEAAKSAEAADPALPD VANTAARAAPKIFRVGGGQTLKRSMRRTKVEEIAVVLAPSRGAAASDDAINLDEEIRL LRDQLARKLQIQNTQLKRMLQRFER YP_002973083.1 MVVSFVSRDGSRWLPEYLSRINASCIGCGRCFKVCSRDVMHLGG ITDSGDIVDAGDGADDEVERLLMIVDHPGRCIGCGACARVCPKNCQSHITSSD YP_002973084.1 MTRTGEPDVAELMAQLAASAAEIAALKAEKEALSRRVVKLEEEL ALARLHRFAPRSEKHVDRLFNEAEEAADEDDPDHGDDVADLPDTGLPAVESAAGKKRG RRPLPEDLPRERVEYDLPDDRKVCPCCDSQMHRMGEAITEQLHIEVKAKVLQNVRFKY ACRHCDRTGINTPVVIAPMPPQPLPGSIATASTLAFALVHKYVDGTPLYRVAQTFERA GVPISRGALAHWVIGSSERHLHRIYDALRLRLQSQPLIHGDETTVQVLKEKDKEATST SYMWAYRSSDDSEEPIVLLDYQQGRGQVHPQTFLGNYSGILVTDGYTAWRTLHGATHV GCMAHSRRRFVEALKTRKNGGGPPEQALRFFEQLYRIEKQARDQTPDAGETQADCSRR FRQQHSLPVLIALKTWLDNIAPKVVPDTKLGDAVSYTLNQWDYLTRYISDGRIPIDNN ILERDIRVFATGRKSWLFSDTADGARASAVIYSLMLTCRACGVDPLTWLRHVLAELPQ REEAADIGDLLPFNFSKASAA YP_002973085.1 MSDATDELPDDLASALALLAEERARRITAEAEAAIAKAQAASAK ALVSHSEALIARLKLEIEKVRRELYGSRSERKARLLEQMELQLEELEADAGEDELAAE VAAKASTVRAFERKRPSRKPFPEHLPRERVVIAAPASCPCCGSGKLSKLGEDITETLE VIPRQWKVIQTVREKFTCRECEKITQPPAPFHVTPRGFAGPSLLAMILFEKFAQHQPL NRQSERYGREGIDLSLSTLADQVGACAAALKPLHALIEAHVLAAERLHGDDTTVPILA KGKTDTGRIWTYVRDDRPFGGQSPPAALYYASRDRRQEHPERHLKTFTGILQADAYGG YNPLFKVDRDPGPLTQALCWSHARRKFFVLADIATNAKRGSRAAPISPMALEAVKRID ALFDIEREINGLAADQRLEHRRKGSLPLVGELHRWLQTERAKLSRSSPVAEPIDYMLK RWNGFESFLDDGRICLTNNAAERALRGFALGRKSWLFAGSDRGADRAAFMVTLIMSAK LNDIDPQAWLADVLARIADTPISKLEQLLPWNWQPHGLNAQAA YP_002973086.1 MIPVPSGVKVWLATGHTDMRKGFPGLSLMVQETLKRDPMCGHLF VFRGRGGGLIKVIWHDGQGACLFTKKLERGRFIWPSAADGTVVITPAQLGYLLEGIDW RMPQKTWRPTSAG YP_002973087.1 MTKHQIEVITSVERRRRWSQEDKERLVAACLEPGAVLSEIARSA GIHVSQLFRWRRELCQIEEPRTETASTLVPVVVSEAALPASPIPSGPPTPSQSRRKRS DVTIELGRDRRIRVDSDIDTDALGRILDVVLGRR YP_002973088.1 MGPSNEHSSGHRNNFDLLRLFAACQVMFSHAWNWLHLGDPLNGT AAFNLLFSTPGVAIFFVISGFLVTDSYIRSSSAASFFVKRSLRIFPALFVNIALMEFA LLVTGGLNVTGTLQYLSYFTVYILTAARIWAVYFTYEPYTMSGFYGVSDPSGVLWTLT VELTFYLTLPTLLEFWRRWKRAGALVVAVAALGSWVMAQHFNITDRYNPFLSVTVGPT FWIFSMGVLARLYWHRVSRIFEGKLLWWLATHLAITWWVAGTSAAFISINNAAPVDAF RIAILAGLVLSAAYSIPRPNLLRGQDLSYGIYLYHMLVMHTLIGIGWVGHWWLWIVEP VGTVALAALSWALIEKPAMKLRTSLVARRLSVA YP_002973089.1 MNEISLADVSGRVGQEVGRSEWIMIDQSTVDLFADATHDHQFIH VNPERAAAESPFGGTIAHGFLTLSLLSVMNFSGMPKIREQSMGLNYGFDNVRFMSPVK TGSRVRGRFVLSDCRFRGSSMLVTTYEVTVEIENENRPALTANWITIVQFDPKDRPKT SHS YP_002973090.1 MCGIVGIVGRHPVSQRLLDALKRLEYRGYDSAGVATISEGNLER CRAQGKLVNLEMRLKQQPLDGIIGIGHTRWATHGAPTERNAHPHIVDGIAVVHNGIIE NFAELKAELEASGADFETSTDSEVVAHLLAKSCREGMSSQEAMHAMLKRLKGAFSLAI IFQDDPSTIMAARNGPPLVIGHGDGEMFLGSDPITLAPLTNEVTYLVDGDWAVIEKTD FRIFDSEGKVVTRPRHTSMASADRVTKGNYDHFMEKEIYEQPEVIAGALARYIDIASH RAVQVSTEIDFANVSSLVISACGTAYLAGLIGKYWFERYARLSVEIDVASEFRYRDIP LSPHAAALFISQSGETADTLASMRYCKAHGLKVGAVVNISESTIAREADVIFPILAGP EIGVASTKAFTCQLAVLAALAISAGKARGTITEDEERQLVMSLIEMPRIMRRVLRNVR PKIELLSKELSGYTHALFLGRGTSFPLAMEGALKLKEISYIHAEGYAAGELKHGPIAL VDKNMPVIIIAPHDRFFDKTVSNMQEVAARGGRVIFLTDESGAARSKLDTIHTLVLPD VEEIIAPIIFSLPLQLLAYYVAVTKGTDVDQPRNLAKSVTVE YP_002973091.1 MTRTQKEKMLAGDMYNPADEEIQTDLLATGAWLKRYNDTLGSTA EHWNALLLERLGEVGTGAVIRPSFHCDYGFNIRLGAYVYINFNCVILDVAEVTIGAGT AIGPAVQIYTADHPHDPEQRQAGLQLGRPVRIGKDVWIGGGAIILPGVTIGDNAVIGA GSVVTRDIPAGEKAMGNPARLKR YP_002973092.1 MGQFAPTVSPQPLAGDCSQGGVRKIWLRSVVMIIEATGWKERPL RVWHSERLFNSTCYRFRLPAGLVTATFNPLLSNEPLDHANAA YP_002973093.1 MDRRVVITGIGGICGLGTNASSIWKEMRDGRSAISPIITTDLYD MEGTVGAEIKAIPTHDIPRKQLVSMDRFSLLAVIAATEAMRQAGLSSNEQNAHRYGAA MGVGGPGWGTIEETYRSILLDGVTRARIFTAPKGMPSAAAGQVSIYLGLRGPVFGVTS ACAAGNHAIASAVDQIRLGRADVMLAGGSDAPLTWGVLKSWEALRVLAPDTCRPFSAD RKGVVLGEGAGMAVLESYEHAAARGATMLAEVAGIGLSGDAYDIVMPSIEGPEAAMRS CLADAELNPDDVDYLNAHGTGTVANDEMETAAIKRVFGDHAFKMSVSSTKSMHAHCLG AASALEMIACVMAIQEGVIPPTANYREPDPQCDLDVTPNVPRERKVRVAMSNAFAMGG TNAVLAFRQV YP_002973094.1 MADQLTVEIIAAIKNLAQSENGGRIPVAIGEITADSELTSLGID SLALADVLWDLEQAYGIRIEMNTADAWSNLKNIGDVVEAVRGLIAKEA YP_002973095.1 MRFKGLDLNLLVALDALMTERKLTAAARSINLSQPAMSAAIGRL RAYFNDELFVMQQRRLVPTPRAEALAPAVREALLQIQLSVIAWDPLVPAESDRRFRIV LSDFMTLVFFEKVIKRVAREAPGVSFELLHVNDDPEERLRSGDLDFLILPDQFMSATH PSAKLFEDKLVCVGCPNNQQLRGKLSLERFMSLGHVAAMFGRTLKPSIEQWLLLEHGF KRRIEIVVPGFNSIPMLLQGTNRIATLPLLLVRHFEPTVPLQIVDHPLPPLSFTEALQ WPLLHNSDPGNIWMRNIILEEASRIESSAEGCSQEARAT YP_002973096.1 MSAGVRWKITWENDLEPSDHAELSEFFRATYGPTGEFNAKPFET GRSWAGARPERRAIAYDSKGIASHMGLLRRFIKVGDTDLLVAELGLYGVRPDLEGLGI PHSIRALAPALQELAVPFAFGTVRHAMRNHVERFCRDGISNIVTGVRVRSTLPDALPD MPSTRTEDVLVLVFPIGRPMSEWPSGSLIERNGCEL YP_002973097.1 MKRRAYISEVPWSDDRSIYLTFDDGPNPHCTGQILDVLAEHRVP ATFFVLGAYVKDHPDLVRRVAAEGHLVANHTMTHPDLTACDPEAIEREINEANKAIVS ACPQAAVQHLRAPYGAWNADVLSRSMNAGLRPVHWSIDPRDWSRPGANTIVEAVLAAA RPGAIVLLHDGCPPDEVGNCKLTGLRDQTLSALLAIIPALHSRGFSLRSLPQ YP_002973098.1 MTLLETTSIAAVSVYGLLSSAYRSVQVLHARRTLKSETAEITVE ERPFPSVDVIVPSFNESPRVLSDCLASLANQDYLGVLRVYVVDDGSRNRDAVVAEQLA YVGDARFEFIMLPRNVGKRKAQIAAISRSSGDLILNVDSDTTLASDVVSKLSQKMRDP AVGAVMGQLVASNQSDSWLTRLIDMEYWLACNEERAAQGRFGAVMCCCGPCAMYRRSA FVLLLDQYETQLYRGKPSDFGEDRHLTILMLSAGFRTEYVPSAIAATVVPDGLAAYLR QQLRWARSTFRDTMLGLHLLRGMNWYLTLDVVGQNAGPLLLALSVLAGLAQFALTGSV PWWTIGTIGSLTLIRCGVAAYRAKQLRFLGFSLHTLVNVFLLLPVKAYALCTLSNSDW LSRGSGVTASGAVRKQKASEAPMLAAPEATFSGE YP_002973099.1 MNGQSDLQAAVAETLCRGSNLPCISISEAIAPQVGAISSIAIEL VGVTKSYRGKAVVDGLSFNIGSGECFGLLGPNGAGKSTISRMILGMTSPDAGTISVLG AQVPRQARSARARIGVVSQFDNLDMEFTVRENLIVYGRYFRMKAREIEAILPSLLEFA RLENKADTRVADLSGGMKRRLSLARALINDPQILILDEPTTGLDPHARHLIWERLRSL LAQGKTILLTTHIMEEAERLCDRLCVLEGGVKIAEGRPFDLIKEQIGCPVIEIYGGDP QELSLLIKPYARRIEISGETLFCYTPDPEQVRAQLRGHWGLRLLERPPNLEDVFLRLT GREMGKYQ YP_002973100.1 MSGDSVTALPGGSLNWIAVWRRNYLAWKKAALASLLGHLAEPLI YLFGLGAGLGVMVGRVGGVSYTAFLAAGMVATSAMTAATFETIYAAFGRMEGQRTWEA MLYTQLRLGDIVLGEMAWAATKAALAGAGIGVVAAALGYTQWLSLLYALPVIALTGLA FASLGMVVTALAPSYDYFIFYQTLVITPILFLSGAVFPVDQLPIVFQTAARFLPLSHS IDLIRPIMLGHTVVDVCLHVGTLCIYIVIPFFLSTALLRRRLLR YP_002973101.1 MKAMIRRNGVGLSIYMSKKDVEEPVVAVENEDLWGGFILVRHGW LLALPDLPQGTRLPITVQAMKQPDQD YP_002973102.1 MAFKIIASQCTQCGACEFECPSGAISFKTDRFVVDPKICTECRI EFDAPKCRAICPMPNTCVPA YP_002973103.1 MSRGMSTFRITDTAPAACESEATAFGDYPPSSRGSSEPDALAPA IREKIKDHPCFSREAHLYFARMHLAVAPACNIQCNYCNRKYDCANESRPGVASHRLTP DQALRRAIAVANEVPQLSVVGIAGPGDACYDWRKTKATLIPIAREITDVKLCISTNGL ALPEHVDELVDMNVGHVTITINMVDPKIGTEIYPWIFYDGRRYNGIDASRILHERQML GLEMLTERGILTKVNSVMIPGVNDEHLIEVNKWVKDRGAFMHNVMPLISEPSNGTLYG LNGQRCPTPSELIALRDRLEGNTKVMRHCRQCRSDAVGLLSDDRAHEFTISQLPAEAT NDSGKRHAYRKLIERERRGQTLEARGAAIPVSAPSDELLLIAVTTNGGGRVNEHFGHA QEIQIFSVCKKGLGLIGHLKIDPYCLGGWGEEASLNSIINALEGLDLLICSQIGNGPT NKLARRGVRATGAYGGSYIEQAIDAHYSAVLHDDALAAAI YP_002973104.1 MAESDDEKMPKSALRPAEIVGESAALKEVLEIAQIVARSNAPVL LRGESGTGKEFFAKLIHDSSSRREKPFVKLNCAALSAGVLESELFGHEKGAFTGATSQ KEGRFELAHGGTLLLDEIGEISAEFQAKLLRVLQEGELERVGGTRTLKVNVRLVCATN KNLETAVAAGEFRADLYYRINVVPITLPPLRQRDGDIPRLAQKFLQRFNRENGRSLSF APSALDILSKCEFPGNIRELQNCTQRTATLARSDVIVPQDLACEQGRCYSPLLKKAVA ERIGKGAVHGHARDETVSMGQPGGAASFAPETIMGQSGLIGKERLEHAMATAGWVQAK AARLLGRTPRQVSYSLRRHGIERKVF YP_002973105.1 MKAIVKRRVEDKLYQNRYLVDPGRPHISVRKHLFPTPNLIALTQ VCPAKCYQLNDRRQVIIVSDGCLECGTCNVLCGADGDIEWTYPRGGFGVLFKFG YP_002973106.1 MKNERFDAIVIGAGMSGNAAAYAMAMRGLKVLQLERGEYPGSKN VQGAILYADALERIIPDFRDDAPLERHLVEQRFWIMDGASHTGIHYRSDDFNERKPNR YTIIRAQFDRWFSAKVREAGCSVLCETLATRLIQDSSGNVTGVYTDRPGEAIFADVVV LAEGVNGFLGTRGRLREIPKPDSVALAVKEMHFLPEDVIAERFALTADEGCVIEAGGT ISRGVPGLGFLYTNKESISIGIGCLVSGLVAAMERPYDMLEAFKHHPSIRPLIAGSEV KEYAAHLIPEGGYTAIPQLFGNGWVVVGDAAQLNNTAHREGSNLAMTSGYLAGKAIAE IQDRGGSMVKSNLALYKSMLDSSFVIKDLIKHKEMPRFLHANSQSLFVLYPKLISKAA QSFVRVDGTSKIDKEKATSAAFLKARSRWGLISDAVRLARAWR YP_002973107.1 MEAHEAESQSPIVSRASAKKVLPRHFRDNRHVWVFVELERGQVH PVSIELLGEGRKLADKLSVQLAGVVIGTAEGLGNRSAIAEAFAYGADLAYLVESPLLS DYRNEPFTKALSDLVILHKPEILLLGATSLGRDLAGSVATTLETGLTADCTELDVDAD GSLAATRPTFGGSLLCTIYTLNCRPQMATVRPRVMATPSRVTNTIGPIIRHDLMMIEE EIVTKVLGFLPDGQSSKINLAYADIVVAGGLGIGTAENLERVMLLARTIGGEFGCSRP LVQKGWMEVDRQIGQTGQTIRPKLYLAAGISGAVQHRVGVEGADLIVAINTDGNAPIF EFAHVAVVADAVGLLPALTAAFTRRLAPYNQSMQVN YP_002973108.1 MNIVVCIKQVPDSAQIRVHPVTNTIMRQGVPTIINPYDLFALEE ALELRDRYGGKVTVLTMGPPMAKQALEKALAYGADDAVLLSDRHFAGSDTLATSFAIS RAITNLGLRHAPEIVFTGKQTIDGDTAQVGPGIARRLELQQLTYVTKIVSADLHAREI TVERRSEGGTQMLKSRLPCLISVLEGVNAIRRGSVADALRAARAQIPTWRAADAGIVE IAKCGLRGSPTVVKRVFAPTPRAERAIQIEVDDDECGALSDEIIASIFEHQPALEASF RPNGLT YP_002973109.1 MAALRQIAFYGKGGIGKSTTSQNTLAALVELGQKILIVGCDPKA DSTRLILNSKAQGTVLDLAATKGSVEDLELGDVLKTGYGGIKCVESGGPEPGVGCAGR GVITSINFLEENGAYDDVDYVSYDVLGDVVCGGFAMPIRENKAQEIYIVMSGEMMALY AANNIARGILKYASAGSVRLGGLICNERQTDRELDLAEALAAKLNSKLIHFVPRDNIV QHAELRKMTVIQYAPRSKQAAEYRWLAEKIHSNSGKGTIPTPITMEELEDMLLDFGIM KSDEQMLEELLTKEMQATS YP_002973110.1 MSLDYENDGDFNSRLIDAVLSQYPDKTAKRRKKHLGVAKGREAA EQSSDALCETGVKSNIKSIPGVMTVRGCAYAGSKGVVWGPIKDMVHISHGPVGCGHYS WSQRRNYYVGLTGVEAFVTMQFTSDFQEKDIVFGGDKKLEKLIDEVEQLFPLNNGVSL QSECPIGLIGDDIEAVARKKAKEHNKTIVPVRCEGFRGVSQSLGHHIANDAIRDWVFD KKDTHYEASFFDVNVIGDYNIGGDAWASRILLEDMGLRVVGNWSGDATLAEVERAPKA TLNLIHCYRSMNYIARHMEEKYGIPWMEYNFFGPSQIEVSLRNIAAFFGPETQDRAEA LITRYQPLVQAVTEKYRPRLDGKTVMLYVGGLRPRHVITAYEDLGMEIVGTGYEFGHG DDYERTSHYVKKGTLIYDDVTGYELENFVEAIRPDLVGSGIKEKYPVQKMGIPFRQMH SWDYSGPYHGYDGFAIFARDMDLAINNPIWGLYDAPWKEAHCSHACSCGGQDE YP_002973111.1 MPQSAETVLDHAPLFCEPEYRKMFARKKLTFECPHPDKVVSAQS KFTETWEYREKNLAREALVVNPAKACQPLGAVFAAAGFERTMSFVHGSQGCVAYYRSH LSRHFKEPSSAVSSSMTEDAAVFGGLKNMIDGLANTYKLYDPKMIAVSTTCMAEVIGD DLHGFIENAKNEGSVPVDFDVPFAHTPAFVGSHVDGYDSMVKGILENFWKSTERVPTP ALVNIIPGFDGFCVGNNREIKRLLDTMGVAYVFLQDASDQFDTPSDGEYRMYDGGTKI EDVKAAKHAEATISLQHYNTRKTLDYCRELGQTTASFHYPLGIAGTDEFLMKISELSK REIPESIDRDRGRLIDAMADSQSWLHGKKYGIYGDPDFVYSMARFVMETGGQPTHCLA TNGTAAWEAEMKELLASSPFGGGAQVWAGKDLWAMRSLLLTEPVDLLIGNSYGKYLER DTDTPLVRLTFPIFDRHHHHRFPLMGYQGGLRLLTVILDKIFDELDQETMWEGVSYDL TR YP_002973112.1 MSSIETQMRDASGEVFRTTETKETCHNASQGSAAGGGCAFDGAK VVLQPITDVAHLVHAPLACEGNSWDNRGAASSGPVLWRTSFTTDLTELDIVTGDSERK LLKAIREIKEGYAPAAIFVYGTCVTELIGDDIDAVCRHAAQRFSIPVVPVKSPGFGGS KNLGNRLAGEALLEHVIGTVEADDPGLYDINILGEFNLSGEFWLVKPLLDRLGIRVRA CIPGDARYAQVASAHRSRAAMMVCSTALINVARKMEERWNIPFFEGSFYGISSTSESL RRIAQLLVKKGAGFALLHHVETLLAEEEEGAWRKLEVYRRRLEGKRVHLNTGGVKSWS IVHALIEIGMEIVGTSVRKSTARDKERIKQMLKDENHLHQSMAASELYAMLREHKPDI MLSGGRTQFVALEAKIPWLDVNQERQHAYAGYDGMVELARQIDLAIRNPVWAQLREPA PWKQFVTTVRSAEPSNNEICRRGDRWFPLR YP_002973113.1 MARILTQTKTAAINPLKSSQPLGAALAFLGVDGALPILHGSQGC SSFALVLLVRHFKHMVPLQTTAMDQIATVVGGAEFLEKALVKLKARTWPRLIGICTTA VAETRDEDIAPDIFNATGAGLRGRIDTEVVLARTPDFAGAVEEGWSKAVTAIIEAITR PGTQDRDAGRVVILPGSNMTVADVEHLREMVESFGLIPLILPDVSGGSDEAVRDRWIQ ISRGGAKVEHIRDLGAATQCIAVGEQMRRPAEALQGLTGLPYVMFRSLTGLINADRFA WLLAAISRDSAPAAVRRGRMQLQEAMLSGHFHFAGKKVAIACEPDQLLQFAQFFIGMG AVITAAVTTTGHPKVLQTVSADTVQVGDLGDLEQLAADADLLVTHSHGRQAAERLSVP LMRVGFPVFDRIGGQHKLKILYRGTRDMIFEVANIIQASQGLPPARAPADP YP_002973114.1 MGFAFKNELWNNVFVRRQFERGVLSRLFIGD YP_002973115.1 MERKKKSDKYLADPRLRAATVDHRQKDFVSKRKTIFQQLLLDWA YGCDLSYPIQGHEHFAKYEEDDKGAKTLR YP_002973116.1 MKFESQPSYSLGQRTPATREVAAGENSGNVTLVSQSALGAINRG RVLQALYDNGPKSRADLARLAGVNRTTITGIVQPMIEDQLLIEGDASPSDVKGGKPAR PLYFNPDAPMLGAVLLLPGTIQSCLVALTGEIKAVTKAEFDPHGDTEAFIAVMTKTLT ATLSQAQRAPFGIGVASAGMIDSDKGTILTVNLAPVLTGLPLVAILQERFSLPVVIDH HPRALLVGDRWFGPGRGQQNFAAVYTGEVLGGAFFIDGKVYRGLAGSGGELGHSVVQI DGALCNCGKHGCWETVAALPWLRKEAVRMGLPHPRSVTCARLVKETDEGSNAAEELLD RYTRNVAFGIVNLQQTLSLNSYVLHGDVAGGGMKAAELIRRHVKQLVVKRPGQEISIT VNGIGEGHTALRGAAGLVLSSHLKLVI YP_002973117.1 MTIDIGNMSRRDLLKSASVAALVAGAGSLAIPRRGAAQDANTVR VLSVEDPFFFSMKELVPEYEKETGIKVELESLSYDALQSRLVSAFVAKTSDADVIVVD QMWLGQYLDNGWIISLNDFIAKDSEFDLSDFIPEVLYSSNMWRGQIGTLPVAAYAQGV MYRKDVFDKLAIEAPPTKTSEDWTWTKYVDTLKLMEGKSFGGKPLFPTVVCGSQPSPI VHMFTQVSASHGANWFKSFPADPWDFSPQLTSPAWVKSVEVYRQLYKLSPPEAINYVW FDAGTRFAKGDIGMFYWWTPYFYLIKNSGYMTGKKSDVMDKYATAALPKAEGVPQTVS LGGWSLGIPSSSERQEAGYAFIKWATSKTTQKKMALWPDLNYQFSDFARVSLYEDEEV KALYPYLDVQYAMMKQGNGKVTRPPVPGYTAIESVLGLTLNQLLTGSEEPKTGLERAN SLFESILKGNLMIPYQKASYADTLDGAKAQIAKR YP_002973118.1 MHTTTVRSPRAFRPAWKSFIRRNLPYLLIAPSVVMLLALIAYPL LFALRSSFYFWNLQIGPEPLQFVGFENYVQAFNAFDFRAALTNTLILSILGTTLEFTF GLAIALILLKALPGMNVVRALLILPTTIAPIVVGFLFRYLYDPGGGLLSWVLQSLWLP VPAEGILGSPSTALTAILFVDIWQWTPFFAIVLYASLLAVPDEILEAARLDRASAWTI LMRIKLPLIKRTAIIIVMLRFMQIFNTFDTVLVLTRGGPGTSTRTLGYSLYEQGLVNF NIGLVSAMTWITVLIVNVIVALYVFFAFRNEEW YP_002973119.1 MPRRRTSFNTALTYAAGLLFLAIFVGPILWFIALAVRPAETAFT MPPQITFEPNLDAFRHILIDPGTNSPQLVNSLIVAIGAVLLNLPFSVPAAYALSRFKL RGKKNIMLWYLGLLMAPPVAFLIPYFILITRIGLQGSYFSMVLVLQTLTIPFSVWLMK SFIDEVPVELEEAARVDGARWYTIMLRITLPIVRPGIIVTSMFAFVFAWNNAAFPLVL SSRSTATLPIGTLGYFATSGVTWNYIAAAAVLAMIPPMIIFLVFDRYVVRGLTFGSVK G YP_002973120.1 MNKLRMGVIGAGLWGGNHAHTFNVLPETELVGVCDLDEGRALKM KEAYRATQAFTDYQKLISSDQIDAISVATPDFTHTPIILAALKADKHVLSEKPLATTV REAEEIAAAAAKSKGKLMIDFHNRVNPILAQVRDMIQGGQIGLAKHGTARLSNTTFVP FEMLSWAAKSSALWFLGSHLVDVLRFILADEVVRVYSVARSGTLSAGGVDTKDFHVSV LEFSKGTVVTMENSWILSRDNPSLVDFKIEFVGEKGQVQADPTHNGGLRKIVDGGLKY NDYIGITPTGATRIGGFVLESIARFVDSVVRDAPLLADAQDGLANTKTLAAIEESVAT GKAVNIG YP_002973121.1 MASMTFDGIGKTFPDGTVAVANVSFSVANGEFVVLVGPSGCGKS TLLRIAAGLETLNSGRLLMDDANVTETEPQDRDIAMVFQNYALYPHMTVYDNMAFGLQ QRKMPKDKIDKLVRDAAEMLDLTRYLERKPGALSGGQRQRVAMGRAIVRHPMAFLMDE PLSNLDAKLRVQMRGELKLLNQRLGVTTLYVTHDQVEAMTMGDRVAVLKPVFNGEESN LQQIDTPQMLYDKPANLFVAGFIGSPAMNFVRVELTAEAGSLKAAVTGTQISFSVAAK PALSEYIGRQVIVGIRPEMFLVCPASEALFNEQVPVAEALGADTFVFFDIASPPVNVN DAEDTEDFPNKGKNRLVARIPPALTPRPNQHLPLTVDLEKLHWFDPVTGTAIRD YP_002973122.1 MKHVSIVGKFFVIMAIFGVTALGLTFYQSRQMLTVNDGYQGLLD RDASAALRLTQSNRSLETARASISDMVMTRSKEARARAEAGLNDARENFVRFMDLAIQ AVPEQGELPKLKADGFSVLTDTCGAAIAVARGATSEAELAMVQQLYLTLCQPAFAAIS PRFTSVTEELASDAEQKRADVLRVVGDTSVVSLGAAIAALLAVSCFGFITIRSWLVKP IKQMVSTMKVIAEGDLTLSVEGTIRRDEIGSMARAVQIFKDNELRTRDLEKDVEASRG ASEIERTRLADTERQRARDMAEATAGLAEGLKQLAGGNLVFSLDVSFAEDFEPLRANF NAAVVQLAESLRAVSHTTRSINDGAQEIALSAQDLSRRTEHQAASLEETAASLDQITQ NIASSSTRTAEARHVAVEANKSARHSGKVVSSAVAAMQRIEHSSSQISAIVGVIDEIA FQTNLLALNAGVEAARSGEAGKGFAVVAQEVRELAQRSAHAAKEIKDLILNSVDEVSS GVTLVCDTGETLKIIEEQIVLINTQLDAVTVASNEQSATLSEINRTINQLDQVTQQNA AMAEESTAASTALAAEAKRLRSIVAGFQIDIGNGISEQLTDQTNAGTASISSPPRLRL GKGAHPVGTLR YP_002973123.1 MDSLIRRLQAFRLGENESSPDDSQKSESGKGIMLAQKLISTGTL GAANRGRLLQALYDIGASSRADLARVTGVTRGTIGGIVQPLLDQGVLAEGEVIPPNET GGKPATKLWFSKDARPICAVLLLHDRVSACLVSLEGEVYAQNVVYFPKNVANAADAFR IISTCIEKAVAFGKPILGIGVAVAGMINTETGTIVTVGLAPFLDGFPLETELNKRFGV TVCVDQDTRALLVGDRWFGQGRGRRNFASVYIGETLGGALYLDAHLYRGPAGAGGEIG HTTVDIKGRMCQCGRRGCWETIASSKWLRTEAKVRRLPRPLSLDVSRLSTLADKDVPG ARDLIRDYAFNIAVGLANLQQFMAPNFIVIHGDIVRGGGPILRLIQESFHDLVFHRPG DDIALVFGDGECLAALRGAASLLLSELLNFVI YP_002973124.1 MSGFGAPERKTRNAQGACAVEKLAIFGGKPVIPLGRVIPWPAAE KKHLDALRGVVDSGKYHRVNHPIVSDLEQNLARWIGKWQVRAVGSGTAAIHIELDYFK ERGEQVVTAALNWPGAVGPITINGLEPVFVDVDMSVAGIDQQAAAERFNPNVAAVLIT HLFGNNVLVPDGRHAARAQGIAVIDDICQSIAAAKAIVGGSHLDADALALSGNGAKHL GAGELGFVITEDDDLIAHVDRVSLSSSSRSGARIFSPLSQGYNYRPNVFSSSIAKLRV TEMDAQLEIRRDNSNLLWEMISDLAGILPLFDSSDRDHSMLNFPLRIEPEVLGFPPGP AARDFVVKSLQAEGVPICVWLTKPVFEYLPEIRNKWNAVDFPHTVKILDTMFYVSEIA PPNGGELMHLYADAFHKVWSALHKQGPEIAAGAIPS YP_002973125.1 MSFSKKPELLIFDCDGVLVDSELIATAVHIEALAKCGYIISAEE YNDRFVGMTDQQSYSVIESERGLRLPEDHHESVMAEIANRYARDLRATSGVRQALQAI NLKKCVASNSDTTKLCLALIVTDLHKFFWPHVFSASQVARGKPAPDLFLFAAQNMKKP AGSCLVIEDSVAGTQAAVAAGMTVIGFVGGSHCLPGHGEKLAEAGAIKLFNDMAALPQ ILARL YP_002973126.1 MTAETPVTIDYLEGFPNFLSVCTSWAFGQWGCQSYGSYEQTRRE FEAATSGSMPLTLIAIENAVPVGMITLADRDFDGKSNLSPWLKSLYVHPFHRNKGIAA LLIKRLEQEASRLGCKSLYLVTEDARVLYEKSGWQAINYVQTPFGAADLMTKVLPESP LD YP_002973127.1 MQSSNNVAASATLHSSSWRFGHLCGRSCLRASLILTSFFAFGVS SSAEAAALLPVKPSPYLVSAITRNMFKVPEPDDDLVILSAHRGSWEIYPENSAYALQD AWNSQIESVEVDARFTADKEVVLSHDYRIDRVSTGSGFLYNQNFSQLSRTDLRDRHGR VFTDSQGHKAKFLTFSAALDLLAQYVSDDGRGYVMIVDVKAAVDDQDPTDPIELMQHC LDNSRDQGER YP_002973128.1 MDVGTVLNRTTYDPDLIGGLIIVENPDDQNVKDSNYDPHEDSIY DQWNVAPFFIQFEMNQFYKGDGLQAYFDYTDQKQGFATYHESNYYPEGVANSAGKCCF EHNTDPRSTAQGGIVPDYRGDPEMAIVNRTNLITTDLPDVIGDMLRQMGRRNTTKLSR YP_002973129.1 MNYGKRIFLLFSAVGCLAAGVGQSASCIDEANCLRSASGKVSQT LTPKVVPIFGQYPKVYIHDSAAGLDYPVPENTRQAFDFYTNKTSFNFPPHGSVETSQP ASIRPDRGFTVLLVEKTRDNTVPNGGSALSLLSSTSNGAYLSFALGATPNANGQKWSF AKSLLQSGRADTAWWQKPWDMNVVGPTGAFSGTEWIYYTFTPDGKLRIDRFAPYTYLL VFTAYQWDEAVLDSGFPHSAYSSGTPTGRPKSVTFGSVGPWVIGAAAVPGQSSPMIEP VEALPGFEGVTIFSTPLSMKEVIAYQNSLKSSDFLDVDMLPCNSGQYLSGEIKTPCGT ARPGNPPSNVNSVARNEISNKIERQ YP_002973130.1 MATKFRLSSLIPAGLIVERSDESNGVIIVSARAAADRRSCPLCN RMSDRVHSRYVRKIADLPCAGTRVQLRLSARRFICEMTFCRRRIFVERFGELVVPERS RRTARLDTVVHHLGLALGGRPAAAFAKRLMIPVSNDTLIRAVRRRSAASDDALSVVGV DDWAFRRNHRYGTVVCDLEKRKIIKLLPDREIATVSTFLAQHPEIAIVSRDRGGGYRE AAAKALPHAMQVADRWHLMENASAAFLDVVRKSMRAIRTAIGATTINPALLTCAERLQ YDSYLRREDENSTITKLSSDGVPIKEIVRQTGYSRGTVRQIVRGHRTDVFRVRQSSLE AHLPLLDQLWRSGQHNGAELWRQLKCKGFRGCSRVVGEWAARRRRSERICDQQLQKVP SARTIARLMTTARDQLSKADTITIAAIEAGVPALIQARNLIDRFQTMIRRKARTELDP WIADARDSLFAPFANGILKDKAAVSAAITEPWSNGQVEGQINKLKLVKRQMYGRAKLD LLQARLIGAM YP_002973131.1 MLAKNSVLLQSELFAGLDQATAEQFTATAELRTFAADEKIITEG QQASFVYCVMNGFVRLSKSESAGREADICVCEPGDTFGEYLLVGGDSYAYSARSADGA EVALFAVTDLQAFAAQYPVVHRNVMRIMVRHLLGAMDCIAGDRLLTAAQRVASYLISR CSASTTTTRITFRIPYRKRILAGKLGLAPEALSRAFAALAASGVKVRGKAVLIDNVDL LRKAC YP_002973132.1 MNMLIYLIPIALLMGGIGLLAFLWSLKSGQYDDLQGAAWRILAE DETDQREP YP_002973133.1 MTCCTMDAESVLALSTTSFSAEEVRLASQPLGEGLRQLDLSVPD VHCGGCISAIERALLTLPFVKTARVNLTARRVTCVYQEEIETHAADPSEILAAINSAG YRAHLFTPSAPENDKTRNQLLLAIGVSGFAAANIMLLSVSVWSGADAATRDMFHWISA MIAAPALVYAGRFFFKSAWNALRHGRTNMDVPISLAVSLSYAVSLWETVHHGEHAWFD ASVSLLFFLLIGRTLDHIMREKARAAINGLARLAPRGALLINPDGSRRYIAVEEIAAG DEISIAAGERVPVDGIVVSGESDLDLSIVTGESSPVAVASDSEVSSGAMNLTGSLVLR ATRIAKISLLSEIIGLMEAAEGGRARYRRIADRAATLYSPVVHLLALVSFLAWGFLGG DWKQAMLVAVAVLIITCPCALGLAVPVVQVVAAGELFRKGIMVKDGSALERLAETDTV AFDKTGTLTMGSSRLVRVDAMDESATAIAGGLAAHSRHPLSRALVRDTETAPISFDRV TEIPGGGLEARNGADIYRLGNAAFACGTSFVPRTADSPFSEVVLSKNGVDLARFFFDD TLHPGACEAIDRLDAAGLETLILSGDRQTVVDNTAHALGIDRALGSLTPKQKVEECQR LNGEGRRVLMVGDGINDAPALAAAHVSMAPATASDIGRQAADLVFFNDRLDAVPEAIA VARRSASLIRQNFALAIGYNVLAVPIAIAGLATPLIAAVAMSTSSIIVVTNALRLNAL SKRPGMHTRGGIGRSAEVKAA YP_002973134.1 MKTSAQGFTGLHMLLATSAFFGVVIAVNVTMAFYASSSWSGLVV ENTYVASQEFNRKAAAMKAMAASGIEGNLSIKGHEIRYDIHDKRGSPAIVDDVVLNFK RPVGDHEDFLLTLKKAAAGRFEAEHDLAEGDWIVEAISRNGGVVVMHEAKRIDSAELD Q YP_002973135.1 MNLSSAPYPNDIKRVGVEPVNARRNRQPRYAPRKKIFPKRAEGR FRRFKWIVMLITLGIYYLAPWVRWDRGPYAPDQAILIDLCSRRFFFFFIEIWPQEFYY VAGLLVMAGFGLFLVTSAVGRAWCGYACPQTVWVDLFLVVERAIEGDRNARMKLDAGP MSFAKLRKRVVKHSIWLLIGVVTGGAWIFYFADAPSLFVSLFTGRAPAAAYTTVAILT ATTYVLGGLMREQVCTYMCPWPRIQGAMLDENSLVVTYNDWRGEQRSRHAKKALVNGL SVGDCVDCNACVAVCPMGIDIRDGQQMECITCALCIDACDGVMDKLGKPRGLIAYATL SEYSSNMSVATDEGRTAVQPSRVRNEDGTFVPAIRHFDWRIIYRPRTVFYAVAWASVG VAMLVHLTFRERLELNVVHDRNPQYVLESDGSLRNGYTLRVLNMVPTPRDVNISLVGL EGATMRIPEFGKEDARSFTVHAEPDAATTLKVFVTRKPTGAAINEFLFVIEDTDHADR ATYRAAFNAPGDIK YP_002973136.1 MSEKHIDEFSGVETTGHEWDGIRELNNPMPRWWVWTFYATIVWA LGYAIAYPAIPMITDATKGMLGFSSRAELQQNLDQAKASQTTLHDLIAAKTVHEIDSD SALREFAIAGGASAFKVNCATCHGSGASGGPGFPNLNDDDWLWGGDLDAIQATIAQGI RFDGDTDTHASEMPPFADVLDPLQTRQVAAYVWGLTNTPSDPGLAEAGKQVFVDNCAA CHGDDAKGKAEMGAPDLADAIWLKARGEDAIIRQVAAPKHGVMPAWAGRLGDTTVKEL TIFVHSLGGGT YP_002973137.1 METYTAMRHFADSWGLLAMAAFFVGVVVFTLRPGSKQTAKEAAD IPLKED YP_002973138.1 MASILDKHKVLEKNATLLLVGSLLVASIGGIVEIAPLFYLQNTI EKVEGMRPYTPLELAGRNIYIREGCYLCHSQMIRPFRDEVERYGHYSLAAESMYDHPF QWGSKRTGPDLARVGARYSNEWHVQHLADPRAVVPESIMPSYAFLKEQRVTVKDVGMD LKANEDVGVPYNDDMLANAEADIKAQADPNADTTALLARYPKAKTGDFDGDPAALTEM DALVSYLQMLGTLVDFSTYDDATGYR YP_002973139.1 MNYTTETMVITVAAFLALLGAAFGHDHLFAVHMGILCFCLLAGA ALLISKVDFSPAAHRRKVDVSGYFDEVIRYGLIATVFWGVVGFLVGVIIALQLAFPDL NVAPYLNFGRLRPVHTSAVIFAFGGNALIMTSFYVVQRTCHARLFGGSLAWFVFWGYQ LFIVMAATGYVLGITQAREYAEPEWYVDLWLTIVWVAYLAVYLGTILKRKEPHIYVAN WFYLAFIVTIAMLHVVNNLAVPASFLGSKSYSAFSGVQDALTQWWYGHNAVGFFLTAG FLGMMYYFVPKQANRPVYSYRLSIIHFWALIFMYIWAGPHHLHYTALPDWAQTLGMVF SIMLWMPSWGGMINGLMTLSGAWDKIRTDPIIRMMIVAIAFYGMSTFEGPMMSVKTVN SLSHYTEWTIGHVHSGALGWVGMITFGAIYFLTPKLWGRERLYSLRMVNWHFWLATLG IVVYAAVLWVAGIQQGLMWREYNSQGFLVFSFAETVAAMIPYYVLRAVGGTLYLAGGL VMAWNVFMTIRGRVRNEAAIPTTFVPQAQPAE YP_002973140.1 MRCCKNEPPRRGVDSNFDIEPEPAKADIAYDAIRRLLHSYGRVP EQHLREQDLASKLDIGRTPVREALQRLAAEGKIQYIPQRGFFTRPMSENTLLDFYVVG RETLISALNRMRPQVPESWSVSDKLSPDELALRAEAIFSKIAEEAANCEACKIVHRFC FCTHPLRMEITASDLRPAFVKSLGRLSAAMSELGMATDRAQSALMNHLDLEQGAVSRI VQEVNERGLTGFSRPA YP_002973141.1 MNRRDNMHTDKARIDRPEMKDGLLVGERDRDLLPVALNSAAREY DKSQLGL YP_002973142.1 MLAFKDMTAEIDEPNDARMGFRTKARIKTAIQRAAALSGVDDSA FTINAAYQAAMTTIAVHERTFLQPADHAAFFAALDNPPEPTDRLKAAFKRHSETVVSK YP_002973143.1 MPQNEAPKLIIEPLDPLKHDRAAFSCGIEQVDNFFQKTANKLQK SDNVRVFVMTQDAGPVMGFYAINSHAVDYTELPKRFARDRPGHGSIPAAYISMIGRDL KFAGSGYGGDLLIDCLTRIARISDDIGTAIVMLDVLDCGDAERTERRVKLYKEYGFQP LPTNAMRMFIPIATIKKMFD YP_002973144.1 MTPRDLLAWNVKKLRVNRGLSQERLALEAGLERVSISQIERKRV NLGIDSLGKIASVLGCDILELFVKPAMGEQQPENLRRGRRSL YP_002973145.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CALFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLGKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFSSI AHERYLLAVARSNPLAERTEIGIEDLRSEKIIAFNRKNLSYTERYFNEKFEEYDLTRN ITYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRAFELKAVRGIDFRIGLGVAWNKED PTASRDDIVDIARSLARPGR YP_002973146.1 MDILSKRLRERAEQLGISNAEAARRVGLDERRYAHYVAGRREPD LAILVRIALSLGTTPNWLLGVAGHADAVAETTDLLERFANAANGMTLEELQLCIILAE AIVAAKGRR YP_002973147.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CALFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLGKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFASI AHERYLLAVARTNPLAERTEIGIDDLRGEKIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRAFELKAVRGIDFRIGLGVAWNKED PTASRDDIVDIARSLARPAR YP_002973148.1 MSRQQSNQRTDIHSRITNTIIADLERGVRPWTKPWTTGHAASEV SRPLRHNGQPYTGINVLLLWSEAIARGFASSRWMTFRQAIELGGAVRKGETGTTVVFA SSFVRTETTDTGSEVEQDVPFLKAYTVFNTGQIAGLEGRFDDTAPLQDPMSRIGDAGR FFANTGALIRHGGSAAYYAAQRDYIQMPCLDAFRDDASYVAVLSHEMTHWTAAPRRLD RDLSRYAKDRSERAREELIAELGSAFLCADLGIVPELEPRPDHASYLDGWLKVLDHDK RAIFSAAAHAQRAVDYLHSLQPERNEEEAA YP_002973149.1 MTVQPYRLYIERIDPSKNMARFYALSIEPNLFGETSLVRSWGRI GSRGQQKIHVFDSEAKAVDLLLSLLRRKRSRGYLPLQ YP_002973150.1 MIHAIKIKIALANMMRMAARDPLWAIVALITFPLRYAKSVVMGA FGYLLVVFTVYFGIDYLRRVMLAGHRGDVIWHIGDWVVILFAVALLIRLLSVPLITHC GSAMDDTHGSARFAGRREIAPLTKAGSGLLIGRAPGSGGLLRYDGPAHLLTMAPTRSG KGVGTIIPNLLTADRSIICIDPKGENAIIAGDAREKFGPVHILDPFGITGGPSAAFNP MDGVDPGSVDVAEDATTLADALVFDEPGLSGDAHWNEEAKALISGLLLHIVASEPNDR RNLSTLRRYLTLAPETFRAVLDEMQGTGAINGLIARAANRHLGKSDREASGVLSAAQR HTHFLDSPRMTEVLSRSDFRFSDLKANKATVFLVLPPDRLAAYSRWLRLLVAQSLTEM ARTAPSPHPSAPPVLYLLDEFAALGHLAPIERAMGLMAGYGVQLWPIIQDIHQLRATY GQRAGTFLSNAGVLQVFGVNDHDSARLVSDLLGQETVVFNTAARALDSERTGLSFAEQ HVGRPLLTPDEVRNMHARTELLFIAGQRPIVATKLRYYADPEFAGLFTASQI YP_002973151.1 MTVVRKTIGERLAQLEAQRKTLQIRLGKQERAIDTRRKVLIGAL VLHRLEHDRDVQIGAGLAAWLRSELPKFLTRDGDRDLFDDLLKPQLHEPSRGNIGNVG NGSAV YP_002973152.1 MAIYHLSMKPIARSGGRSAVASAAYRAAERLTNERDGLTHDFSN KTGVEHAEIVLPAGSSAYWAMKRSALWNAAERAEKRSDARIAREFEIALPHELSSDQR LALTRAFAEDLANRYGAAVDFAIHRPGEGSDIRNSHAHLMMTTREVRETGLGDKTLLE RENRWLLANHLPPSQLQLKDLRQAWEHLANTHLERAGHDIRIDNRSHLEAGITIEPSE HVGVHATQINRQGGMVSRVRISPQSADRNAETIRRRPEEILKLITNEKSVFNRYDIAR ALHRTINDDAQTFQNAFAAVMASKALVELRPDSSSLRGRDGEARYSTVEMVAIEGAMA TATVAMKTRQNHGVAKRNVDAAIADQDRSIQAGNPSPGQGLSAEQRQAIEHVTGASQI AVVIGFAGAGKSTMLAAARQAWEAQGYRVHGAALAGKAAEGLEQSSGISSRTLASWEY SWQADRGRLNARDVFVIDEGGMVGSRQLARFVDEVRRAGAKLVLVGDHEQLQAIGAGA PFRAIAEAVGHAQLSEVRRQRTDWQKQASIDFASHRTADGLAAYQAHGNIQLKANRDD VLKAIIADYVADRSANPNDTRIAMAHRRDDVRAINAGIRARLQERGELATGSNPSDDK GEELTYQTNNGKRSFARGDRIVFLENDRDLGVKNGMLGEVIAVQPDAIQVRLDGKAPT QDGQRQVIIPVNSYQAFDHGYATTIHKTQGATVDRSFVLASTTMDRHLTYVAMTRHRE AVQLYASLDAFKTERALTEALSRSGVKETTLDYTHDFANRRGMEDRQGQGESEVAPAV ITKEAERIADTTVPKPMQEPRPPTPLAARTIADGGSSHQDPNVDERRDERRVLVAAVK TYAMSVEEVGRSRAMLAFKRDWDAAKQLAPQVFNDAPAAMDVLRGRILDENADPVALA GQLSTLPETIGALAGKTGLFGDNAERKHALLRIDALASHVRQSANTWQRRLAAECGSE RWKREKQDVVEVLGPSRRSEALLRQLDDLPYTDKAKFVERLAGTPEGRQALAEAKDIA TAIETRFGRADPSDLADQLKRVGPDRDGDIERIRQVARLADRSHRAELTQQMELKRSL KRGKSLGLGM YP_002973153.1 MALIDRLLQRMRIVTKVLVFVVPLIVLIAGIGLFGYFTAGTLNG QMTLTRQTIETLSSFQQLRSSLTAFTDLPSAATRDRLIVSISDQEKGAATLDARLADP AQKQQISAVRELGTKMQGGADALWAVSQERANTEQAIDDEVARLFKESQSARQQLDVL QDQANGKEAFVRALLLDASAYKGLGERIAKLRNATTRAATPVDLVKALGSLLPPLVKE AGASEALASEKAQTQIALLKPPLDRLAAMANEGSNLSLDSYAPVDQELQRLEEQFAKL AAANADTAIERFAGMDASISKLRSMVAIVNAAFKSIDDLRLHLSELNRRVDAKARDAV LADLKVLRENAAKLVPLSGRNAALQDLAKKIEPSLAAIEKHTSLLISIADRWQANKQA ATELVATASHTLEQFVSAAQESGKEMSQRSATMSLSAMIAGTVLAIIGGLMLIETLRG PLKRITQTMMRLADGDLNVPIGDGKRGDEIGDMIRSVTVFRDHALEKTRLEELAETNR GRDELEQTRRAAEQARIEAEQSEALTALSDMLGKLADGNLAAVMSEDLAADYVAMART YNHAIDALRLTLSEVRNTTYEIAEGSTNLSGAADDLARRTEQQAASLEESSRVLGELT ASVRTTAENARQTSLSVAEAHRQAEHSAAVVAKAIDAMGAINRSSEKVTSIIGVIDEI AFQTNLLALNAGVEAARAGEAGRGFAVVAQEVRELAQRCATAAREIKGLISTSASQVG TGVQLVEETGQALSSIMEHFTSINGLVQVISSSTTTQYKGIDEVNNAVRDVEHITQHN AAMVEENTAEIHRLRHQVEVLNERISHFQTTDIRKASPAARPPMSLVS YP_002973154.1 MKIGMCMFLWTTSVGRKHEALLRDIKDTGFDGVEIPIFSGTPDD YHRLADMLDRIGLERTAVSAMGDPQMNLIAADNATRRRGIDYMKWAIDCSDALGATML SGPLHSTLGKFSGSGPSGAELKRSVSTQRAIGDHAAKHGITIGLEALNRFECYLFNTM DDLAAHIDAVGHPHIRAMYDTFHSNIEEADPIGAFTRNSDRIVHVHISENDRGVPGRG NIPWAETFQALRASGYDGWLTIEAFGRALKELAAATKVWRDFSETPEAVYREGYRHIR DGWHAAA YP_002973155.1 MHLSTHNWMRAEPLAVTLKRIKKYGYESIEISGEPAQYDVNETR ALLKEHGIRCWGAVTLTLGERNLAARDEGQRARSVDYVKSVITMVSELEGEIVTLVPA TVGKVVPDGTEEEEWTWVVDATKECFAHAQKRGVRIAVEPLNRFETYFFNRAAQALAL ADAVSPECGVCLDAFHLNIEEEDMYEAIRLAGKRLFDFHVADNNRFAAGLGQLDWPKI IGTLKEIGYDGAVTNEFVAPVDRTPASKYPDMVERNPVDIPPEQLKFIQDHGSSLLTE KFYDDQMRITAETILPLIK YP_002973156.1 MRIKTVEAWWIKIPIEASRQHRSDFGRLTTFDSAILRIETDDGI VGWGEGKNAAGSSGTYGTLVHMLNHEIGPKLIDRDPADISTIWEMLYNGVRHETAASS GHAMPEIARRGLSVAAISAVDIALWDILGKSLGVPVWKLLGGRKADRLPAYASGGWES VDRIGEQLRSYVAAGGFKSVKMRVGAMDRALHVSASRVRAARKAIGPDVDLMVDAHGT YTVAEAKRFIQMVADCDLAWFEEPVIADDKPGMAEVRAAGNVPIAAGESEATRFAFRD LAMLRAADIFQPDPAFCGGITEAMRISSLASAFNLRFAPHLWAGAPCFFSGLHLCAAS PASFIIEYSLGANPMIHDLVEDTVSVKDGMVEIPDRPGLGFTINQRVLETHAQRQ YP_002973157.1 MKENSLLSDLAAHLFSNSSGNGRTPSERELAEHFGVSRGQVREA LAILEAMRIVERRAKSGIYLTTTEASVEAMALFARAGVPLDPILIYETVELRKIHEIK AAELACNRATEENYQHLRDILAASEAKLAAGEGLAREDRDFHLEIVRATKNSVFHRVC SVYYVMGEHRLPIYFADAARSRRSHEEHIRIYEALLARDGNLAQALMSAHLQGAESYW KGLIGGPATAA YP_002973158.1 MSFIFSTHPLHPAARSMLEAAGDLRVASAPDPETLLREGRGAGI LVIRAPIPPAYFEDAPALRAAIRHGAGLDMVPMDAATRAGVLVANVPGANASTVAEHV FLVTLALLRRFRLMDRELRQNGWVAGRARSDAAVDLAGRTIGIVGMGNVGKAIFKIAK FGFGLEVVATSRSPESVPDGVRFLTIDELVATADIVVLCCPLTPETTGLLNAGRIGRM KPAAILVNVSRGPVIDDAALVEALRDGRVGGAALDVFATQPLPLDHPYFGFDNVIVTP HLAGLTEESMMRMGTGAASEALRVIKGDLPVNLCNPEVVEHYRRRFPA YP_002973159.1 MAHIELKGITKTFGNHTALKNLNIDIADGEFFVLLGQTGAGKTT TLRLIAGLEKPTAGQIFIDGQDVADWGAAERDVALVLQQYSLYPRYTVRENLEFPLKS RIRRVEPGEIKERVDRVAKTLRIEHLLDRKTDRLSGGEMQRVSIGRAIVRKPRVFLMD EPLSALDAKLREALRTELKNLQMNLGATFLFVTHDQIEAMSMGDKIGVLNNGQLVQTG TPQEIYGNPVNTFVARAVGSPPMNLIAGTLAGGEAVASEGYRLPLGKGHGIATDGRPL TFGIRPEDIFLDSGAPGEARVHDVENHGVEKIVTLRTGERFIHATVPTQTALRIEDEV RFSWNPEKVVLFDAGSGVSLRHAG YP_002973160.1 MTQIELRGIQKYFGAVQVIKDLNLAIADNEFIVLLGQSGCGKTT TLRAVAGLETIDEGDILIDGQPVQHIKASGRDIAMVFQSFSLYPHMTVYENIAFPLRA TRMSRADVDASVREIAGVLRIAGLLARKPSALSGGDMQRVAIGRALVRRPKAMLMDEP IGALDAKLREEMRAEIKRLHIKQGSTTIYVTHDQVEAMSLADRIVIMHEGILQQVGTP EEVYAQPANTFVAQFVGSPVMNMAPATISETGSHTSVQIGDGTTGFEFPAVLANRLSD ARAENGKLTLGVRPEGVLVSREAREGFMPVEAHIIEPLGSHDIVDLKVGRQMIRARTK SGFVPGPGEAVWARIDPAQAHFFNTATGSSLGIRL YP_002973161.1 MTFRALNQKYLEPASQYLMILGIIALCQPWNLFLHRYGMTMTLV GLIAFMVTTKIPRDAQPDEGNHS YP_002973162.1 MAAVQTRSERALNRVAIAAVLVITLIFLAPIYWITSTAFKPRNL ATTIPPTVLFEPELSPFVKLFTKRSQLRGAPSPEEYAAAPWWERMVFDGGEKIVRSGR GEVQPSGYPNRFMNSLIVAITSTVLAVGMGTFTAYGFSRFKVKGEADLLFFILSTRML PPVVVAIPMFLMYRVVGLNDTHWGLIILYTAFNLSFSVWLMKGFIDEIPKEYEEAALV DGYTRMEAFFKIVIPEAATGIAATAVFCFITAWNEYAFALIMTNRRAQTAPPFIPSQV GSGLPDWTVIAAGTFLFLLPVAIFTFLLRNHLLRGMSFGAIRK YP_002973163.1 MATAVMTSLDTKSRAASRGMSDIRIRNLFIIPTILFLIVFNIFP LIYSLGYSFTDFRASSNAPANFVGLQNYRELLNDPFIWSNFAITAKYVIVSVTGQVIV GFGTAMLLNRDIPLKGLLTTLLLLPMMLSMAVVGLFWKLLYDPSFGIINYALGLGSFE WLSNPDMALYAVAITDIWMWSPFVMLLSLAGLSAVPKHLYEAAAIDRAGPFYTFFRIT LPLVAPILMIAIIFRTMEAFKTFDLAYILTSQPTTEVISIRLYKMAFQEWQTGRSCAL AYIVLIMVLAITNIYVKYLNKVKER YP_002973164.1 MRKTMTGLLAGVGLMWACGTSAQAQELTIFWAEWDPANYLQELV NEYEAQTGVKVTVETTPWADFQTKAFTEFNAKGSAYDMVVGDSQWIGAASEAGHYVDL TDFFTKHNLTQVMAPATVKYYAEYPSNSKKYWSVPAEGDAVGWSYRKDWFEDPKEMEA FKAKYGYDLAPPKTWAEMRDIAEFFHRPDQKRYGIAIYTDNSYDGLVMGVENAIFSFG GELGDYQSYKVDGIINSEKNVKALELYRELYGFTPPGWAKSFFVENNQAITENLAAMS MNYFAFFPALVNEASNPNAKVTGFFANPAGPNGEQFAALGGQGISVISYSKNQEEAMK FLEWFIKDETQKRWAELGGYTASAKVLESPEFQNATPYNKAFYETMFKVKDFWATPEY AELLIQMNQRIYPFVTAGQGTAKEALESLAADWNATFAKYGRHK YP_002973165.1 MDVSRQQNETARTERGPRARTRKLMLETATRLMQSGITPSVSEV AEAAEVSRATAYRYFPSQAVLVHAVVDEALGPILSWSSDSPDARTRVADLLATAMPRI DEFEATFKAALKLSLDQWAQRQAGTLGNEPPFKRGHRVDLLKSVTAPLQGTVPPESRE RLAQALSLVFGVEVLIVLKDIWGLTSEGAQSVAEWAARALVDTALRQAESGA YP_002973166.1 MKQIYVVGTADTKGEELAYLAACIEAAGGGVVRVDVGIGEPATA VDVKADAVAACHPDGAGAVLASGDRGSAVAAMGIAFARFLVERQDIAGVIGIGGGGGT SIITAGMRQLPLGLPKIMVSTLASGDVAPFVDVSDIVMMPSVTDMAGLNRLSRVILHN AAQAITAMTHRPAEVTASKPALGLTMFGVTTPAVSAMVERLRADYDCLVFHATGTGGR AMEKLADSELISGVLDITTTEVCDLLFGGVLPATSDRFGAIARKGLPYIGSVGALDMV NFWAPETVPERYSGRLFYQHNPNVTLMRTTLAECAQIGRWIGDKLNLCHGPLRFLIPE KGVSALDIEGGAFFDPQADAALFAALEATVKPTASRRIIRLPLHINDPDFAEAAVAAY RDIANP YP_002973167.1 MPVIPRNTILEKFHGMIAAGVPIVGGGAGTGISAKAEEAGGIDL IIIYNSGRYRMAGRGSAAGLLAYGNANEIVKDMALEVLPVVKKTPVLAGVNGTDPFVL MPRFLADLKAMGFSGVQNFPTIGLFDGRMRQSFEETGMSYNLEVEMIATAHGLDLLTT PYVFNESEAVAMTVAGADIIVAHMGVTTGGTIGATSGKSLDDCIDEITAIAKAARSVR DDVIVLCHGGPISMPEDARYVLERCPGCHGFYGASSMERLPAEAAIRKQTEDFKALAI GAVV YP_002973168.1 MVKDKYFIYPKDVSAFGFDWGKLSLTVAPEVNGASRFSGGVVEL PSGEGHSRHNHPGAEEIIFVISGEGEQMVEDETGNPITRKVASGCTIYVPESRFHSTK NTGGGPMLLFVVYSPAGPELALRDLPDFRLLPPGF YP_002973169.1 MNDNAGQTRQAAVTPFDTAKLDRLMEEAGIDVIVATSKHNTQYL MGGYKFIFFAAMDAIGHSRYLPMVIYEKGAPDHSAYVGNRMEGAEHQNNPFWTPAVHT ASWGTQDAAGLAVEHLKKIGKTGGRIGIEPAFLPSDARDLLADRLDGARFVDATHVLE RLRAVKTPDELAKLRRASELITDSMLATVAAARAGSTKMEIIEQLRREETNRGLHFEY CLLTLGSSHNRAGSPQAWVEGEILSIDSGGNYHGYIGDLCRMGVLGEPDAELEDLLAE VECIQQAAFANVRAGAAGREMIVAAEAELKASPSAAFTDFFCHGMGLIAHEAPFLMTN HPVTYDGIDADKPLEAGSVISVETTMLHPKRGFIKLEDTLAITDGGYEMFGERGRGWN RGGA YP_002973170.1 MPGKKILMLTGDFTEEYEIFVYQQAMEAVGHTVHVVCPDKKAGD ILRTSLHDFEGDQTYTEKLGHNVTINKTFSEAENQLDQYHAVYCAGGRGPEYIRTDKR VQAMVRHFHEQKKPIFTICHGVQILIAVDGVVRGKKVGALGACEPEVTLAGGTYIDLS PTEAYVDGTMVSAKGWTALAAFIRECLKVLGTEIHHT YP_002973171.1 MISTRPKEPSTGTSQIPRANSLAGDVYEAIFNQLMSLKIAPGTR ITVDNLVKEFNVSHTPIREALGRLEGEGLVVKQHLVGYRAAPQITRHRFDELYELRLL LEPAGAAKAAEAMNDEKLAILTEAAGVMTRSDNRDERANYSAFARQDAIFHDRIMEFA GNELIREMLTFQHTHFHIFRLMFHRRVTEEALAEHQTLLDAFAARDAGAAANAMRIHI EHSRDRLLPAFDEI YP_002973172.1 MRLSEAAALALATRLLEEHGAPRDHAALQARVLVTAEMKGHPSH GLYRLPRLVERIEAGVIDPQTKGTQRWRADAVLEVEGASGLGPVVAMAAIERLSPHIP DLGIGLVAVRNANHLGMLAHYVEAIAAMGFVGIALSSSEALVHPFGGTRAMLGTNPIA IAVPTAEEPLVLDLATSLVPMGSIHHHAATGRPIPEGWARDAAGNPTTDPGRAKAGAI APFGDAKGYGLGIAMELLVAALAGSALAPDARGTLDSQSPCNKGDVFILIEPSLAPGL PARLSTYLDAVRASPPASAGKPVLVPGDRARRRRAAASRNGFEIDQRLWEDLNALSHP RILAFEGQRS YP_002973173.1 MSLFAALRLPREILFGVGQRHALASVASRTGRRALVCTDARFSA TPVFAEMIAALEAAGIVVLVHDQTLPDVPQETVAVCVEAARGFEPDMVIGIGGGSCLD MAKCASLLLAHGGALADYYGEFKVPAPVLPVIAVPTTAGTGSEATPVAVVSDPDRTLK VGISSPYLIATTAICDPELTLSCPPGLTAIAGADALTHAIEAFTAMRRPGDPELAQQH VFIGKSDLSDQFALHAIRLLSRSLEKAFVDGSDIDARADVMMGALAAGCAFGTAGTAA AHAVQYPVGALTHTPHGLGVATMLPYVMTYNRRVAGADIAIVGRALGLDPTGVEDDET LADAAIGEVSRLFAAIGISPTLAGLGLPEDRIDWAAEQALGIGRLIKNNPRSFDAAAM RGLVRAAYDGDLAASAL YP_002973174.1 MNVNQHSSHPDHDPFELGAFSRGLYIGGAWRPAAGEGRIEVIDP STEAVIAAVPDATLADAAAAVEAAASAAESWRETPPRKRSEILRRCFELMVERSETLA RLISLENGKALRDARGEVAYAAEFFRWNAEEAVRISGEFGLAPAGGNRIVVDYQPIGI CVLITPWNFPAAMATRKIAPALAAGCTVILKPASETPLTAYALAALYEEAGVPPGVVN VMTTSTPGPVIAAMLADPRVRKLSFTGSTGVGRMLLAEAAKNVISCSMELGGNAPFVV FDDADIDAAIEGLMVAKMRNAGEACTAANRIYIQSGIHDAFAKKFTQRMAALNVGSGV DADTECGPMITRKAVEKIERLVEDAISRGARVLCGGRSLAGRGFFYRPTVLVDVSPAS DMGCEEIFGPVAPLYRFESEAEVIAAANDTEYGLAAYIYTRDIGRGMRVASKIEAGMI ALNRGLVSDPAAPFGGVKQSGLGREGGQHHGIAEFMEAKYIATSF YP_002973175.1 MAADPFRIRDHVADFDAIVDDIRARSLATRRTVAMEANIAYGDR PGETLDIFLPNSAGSDMPIHMFIHGGYWRMFSKEDYSCVAETITGAGAVAAIVDYSLM PTARMGALVGQVLKAKAFLLAHADRFGATSKRFSVSGHSAGAHLATFLFHRSAPSGVV AALLLGGLYDLEPLQTSFLRDEIALSDEEVRRFTPMRHEHDPATRVAIMTGEQETGPF KIQANAFRGILAAQGLDVRASQVADGNHMSTVRDLAVLGTPVAAALRALIANNASEEP KRHTFP YP_002973176.1 MPAPLNRVDTTPELPSTADVVVIGGGIVGVFTAYYLARRGLKVA LVEKGLIGAEQSSRNWGWCRQQNRDARELPMSTKSLDLWERFAIETGEDTGFHRCGLF YLSNSDEELSGWARWRDFARSVGVTTHMLNSAEATERGHATGTSWKGGVFSPTDGTAD PASAAPAVARAILKLGGTVHQSCAARGLDVEGGRLSGVVTEHGTIRTKIAVLAGGAWA SSFCRQLGIRFPQASIRSSILAVSPGASGLPDALHTSAVSVTRRRDGGHTLAISGRAR VDPTPQQFRFARQFLPMFARRWRSLAPGGLEGFRSGHESLARWRLDRPTPMERMRILD PTVDEATIALTRARALELLPALKKTAISATWAGYVDSTPDGVPGIGEIATLPGFILAA GFSGHGFGIGPGAGHLIADIVTGDEPIVDPRPYHPDRFGGSAWGKVADF YP_002973177.1 MKLDRIDVKILYELQKNGRVTNVELAELVNLSPSPCLMRVKKLQ SEGYIEGYSAQINVSKLGQTLTVFTEITLKNHRQIDFARFLAAIEKVDQVIECHLVSG GYDYMLKFVTAGIDEYQTIMERLTDLDVGIDKYFSFVVLKSPIIKAHMPLTTLFPH YP_002973178.1 MSNFIEIRDLKVEATTDSGRRVEIIKGVSLDVAEGEIVALIGES GSGKTTIALTLMGHTRAGCRISGGSVSVGGKDMVTLSEKQRAKVRGTEVAYVPQSAAA AFNPARSIMDQVIEVTRIHQLMSPDDARARAVELFRALSLPEPETIGSRYPHQVSGGQ LQRLAAAMALIGDPTLVIFDEPTTALDVTTQIEVLRAFKSVMKKGGIAGVYVSHDLAV VAQIADRIVVLKGGETQETGTTDEILNNAKHPYTRELLAAFEPKPRGATGPAEPAMAP LLSIEGLVAGYGQRQADGLPLVRAVENVSLKVERGRNLGIIGESGCGKSTLARTIAGI LPAAVGKIVFDGTELHRNARERSRDELREMQIVFQYADTALNPAKSVEDILDRPLVFY HRMDRKARNARIDQLLDMVRLPRNLRHRRPGELSGGQKQRVNFARALAADPKLILCDE ITSALDTVVAAAVIDLLKELQRELGLSYIFISHDLSVVEAICDEIVVMYGGRKVEEIT SLTVKAPQHPYSQLLFSSVPTLDPAWLDGLQQDPELVRAYCRH YP_002973179.1 MTMTSSETTSGRLSGTRFGYRFNIVGAIGFTVILSWALVAIFAP WVIPYPVGEIIDLDYFGPMSRELWLGSDYLGRDMLSRILMGARYTVGISLAAVTIACF SGVVLGMIAAVAGGWLDTILSRFLDALNSIPSKLFGLVVVAAVGSSVPVLIMTLSVIY IPGAYRFARALAVNINAMDFITVARIRGESTLYLIRSEILPNIVGPVLADLGIRFVFI VLLLSGLSFLGLGVQPPYADWGALVRENIGGLPFGAPAVMFPSLAIASLTISVNLLID NLPQKIRDRSTS YP_002973180.1 MNRQVLSLVLSRLFVAVITLVIVSFAVFFATTLLPGDTATILLG QAATPEAVEGLRKAMHLDEPALFRFLRWIVGLLQGDLGTSYANEMPVAALIAGRFVNT LKLAGVTALFSVPIALTLGITAAMLRGTLYDRIVTVITIGVISVPEFMVATSAALIFA VYLKWLPALSFANEVHSLTDLLRVYAMPVITLTFVVSAQMIRMTRAAVIETLNTPYVE MALLKGASRPRIVFRHALPNALGPIVNAVALSLSYLLGGVIIVETIFNYPGIAKLMLD AVATRDLPLIQSCAMIFCLGYLLLITIADIIAILSNPRLR YP_002973181.1 MNDKITNWTRSDDSMVESAIRRGATRRELLHMMLAGGVALSAGG LVLGRAGKALAATPVSGGSLKAAGWSSSTADTLDPAKASLSTDYVRCCSFYNRLTFLD KSGTPQMELADAIESKDAKTWTVKLKNGVTFHDGKPLTADDVVFSLKRHLDPSVGSKV AKIAAQMTGFKAVDKQTVEITLASPNADLPTILSMHHFMIVADGTTDFTKANGTGAFV KEVFEPGVRSVGIKNKNYWKSGPNVDSFEYFAISDDNARVNALLSGDIHLAASINPRS MRLVETQGDGFTLSKTTSGNYTNLNMRLDMEPGNKRDFVEGMKYLVNREQIVKAALRG LGEVGNDQPVSPANFYHDAELKARAFDPDKAKFHFDKAGVLGQSIPIIASDAAASSID MAMIIQAAGAEIGMKLDVQRVPSDGYWDNYWLKAPIHFGNINPRPTPDILFSLLYTSD APWNESQYKSEKFDKMLIEARGSLDQDKRKTIYNEMQGMVAQEAGTIIPAYISNVDAT TAKLKGLEANPLGGQMGYAFAEYVWLEA YP_002973182.1 MSKSLPEFKYLTFDVVGTLIDFEGGLKTCLAEIATEAGTEIDGE QALSLYRAARYSEDADLFPDDLVRVYLAIAPKLGLPTEQKYGERLRDSAKGWKGFADS AAALASLARDYRLVAMTNARRWAFDFFAKELGNPFYAAFTADDTGTEKPDPAFFETVF DYVASEGHSKEDILHVAQSQYHDIGISRELGLTNCWIERRHHEKGYGGTIEPAEFTKP DYHFTSMAGLADAVAAARA YP_002973183.1 MYSNSLIELDRAHLIHPVASYRGHEKLGVRVLASAKGATVTDAS GKQLIDGFAGLWCVNAGYGHESIVEAAARQMRELPYATAYFGLGSEPAIRLAGELADR APGDLNHVYFTLGGSDAVDSTVRFIRYYWHARGQPQRDQFISVEQGYHGSSTVGAGLT ALPAFHAGFGVPFDWQHKIPSHYAYRNPVGDNPQTIIDASLAALKSKVEAIGPERVAA FYVEPIQGSGGVLVPPKGWMKAMREFCRAHDILFVADEVITGFGRTGPLFACTEDEIV PDFMTTAKGLTSGYVPMGAVLMADHVYQTIAEGAGAAAVGHGYTYSAHPVSAAVGLEV LKLYENGLLENGVRAGARLMQGLESLRDHPLVGDVRGRGMLAAVELVVDKVNKTPLPA SAEPARRIFDRAWENGLVIRAFGNGVLGYAPPLCCTETEIDAIVERTRITLDETLEDP DVRRALQA YP_002973184.1 MQTSQIEIVPFGPNHLEAAVALSRQAGWPHRTEDWQLALALSDG MVAVEDGKVVGTVLVTPYKRDCATINMVIVDETMRGRGLGRKLMDAALLAAGDRPLRL VATTAGLPLYQKLGFHETGTVAQHQGLAGDIAVPAETQAATDADLAAIAALDRSAFGA DREGLLSYFAGIGAFAVIRRDGRVSGFACLRPFGRGEVIGPVVAANLGEARKLIEHFI ARRSGRFLRVDTTDETGLSPWLAEQGLAHVGGGITMEKPLVRQAADPAVTTFALASQA LG YP_002973185.1 MAIFLGSIADDYTGASDLANTLTKNGLRTVQTVGIPDPSLALPD VDAVVVSLKIRSVSASDAVTAAASAERWLRQRGAGHVLYKICSTFDSTDAGNIGPVTE ALREAAGGGVVLVTPAFPETGRTVYLGHLFVGGQPLNESPLKDHPLNPMHDANLVRVL TRQSRNAVGLVDLTTIGAGPGAVKMRLDSFRTAGVTAVIADAIFERDLETLGEIALEM PVSTGASGLGLGLARALVRSGRISSGGATTEDAIRPVGGLSAIVAGSCSKATLRQLDI AERSMPVLRLDPERLLAGPDEIAAAISWAGDRISAGPVVIAASAAPETVSRLQSLHGR EASGHAIETATSIITAELVERGVRRLVVAGGETSGAAVDRLAIPAFLIGPEIAPGVPV LRTVGNAQGDMLLALKSGNFGGEDFFTAALAMMR YP_002973186.1 MPVFAANLTMMFNEWAFLDRFDAAADAGFAAVEYLFPYEAAPEA IAERLDRNNLQQALFNLPPGDWASGERGIAALPGRFDALKADVERALDYAEATGVRRL HLMAGIADHHDEGASSCYRRSVTYAAERLAEKGIDLLLEPINGRNMPGYFLNDFGAAE RLIAESGLPNLKLQFDIYHRQIIHGDVTMALRRLLPITGHIQIASVPSRNEPDGEELN YPYLFGQIDRLAYDGFVGCEYIPRDHTLDGLGWFKPFARS YP_002973187.1 MPSLAENSGSAVVAAVIGLGSMGLGMARSMKRAGLDVVGYDIMP AAVDRFIADGGRGAATPAAAAKDADIVVSVVVNGAQTEAVLFGPAGVARSMKPGAVFI SSATMDPAVARDLAQRTEALGRHYLDAPISGGAAKAARGELTIMASGSRQAFDTARPG LDAMAAKVYELGDEAGKGAAFKMINQLLAGVHIAAACEAITFAAKQGLDLDKVYEVIT ASAGNSWMFENRVPHVLAGDYTPLSSIEIFVKDLGIVQDMARSERYPVPLAAAALQMY LAASGAGMGRDDDSSLARLYAKLSGAELPGSTKGLQSL YP_002973188.1 MEFKHQPTVTLAEVAETAGVGESTVSRVLRNHGSFSDKTRERVM AAVEQLGYVPNRIAGTLASTGSRLVAFVIPSLSNIVFPDVLRGASAVLEENRYQAVFS VTDYDPGKEEALAAAMLAWRPAAVMLAGCEHSEGTVKMLRASGCRVVELLDLDGDALD IAVGFSNRAAGRESAAFLLKRGYRRIGYVGHDLNRDTRAGKRFSSFCETLGAHDAPLV AREILAGASSVENGRLGLERLLARTRDLDAVYFSNDDMALGGYFHCLAEGIAIPSKLA IFGYNGLDIGRATPQPLSTIRTPRVATGQMAAQLVVTNAPPQAVDLGFELIEGATA YP_002973189.1 MSDARLREEICRYGRSLFERGLTPGSSGNISLRLEDGGWLVTPT NASLGFLDPARISRLDAEGRLLSGDKPTKEIPLHTALYDTRGSARAIVHLHSTHAVAL TMLPEIDPRAALPPMTPYYLMRAGETALVPYYRPGDPAVADAIRGLAGKYSSVLLANH GPVVAGDSLEAAVFATEELEETAKLYLLLRNLNPRFLSPAQVADLVNTFGLDLPSHHD DDHDGD YP_002973190.1 MTTFRPKYITFDCYGTLTNFQMAEAARDLYGEELDEPRMAEFIK NFAAYRLDEIMGDWKPYAEVVHNSLERTCKRNGVTFRDEAARMVYERVPTWGPHSDVP AGLAKVAKEIPLVILSNAMNSQIMSNVEKLGAPFHAVYTAEQAQSYKPRFKGFEYMLD MLGCGPEDVLHCSSSFRYDLMSAHDLGIKNKVWVNRGHEPANPYYGYVEIPDISGLPG VVGL YP_002973191.1 MWFESYWHDTAPAFAGGAQGPVEGHYDVAIIGAGFTGLAAARQL AKAGVKVVVLEAERVGWGASGRNGGHLNNGLAHSFLSAKSALGVERAVALYKAFDDSI DTIEAIIAEEEIDCSFRRAGKLKLASKPQHFDAIARNFEAVHREVDPDTALLTASDLK SEVGSPFHGAMLSKKSAMMHMGCYVVGLATAAARHGATIFEKAAVTAHRQGNGRHSLT TARGTVTADHVLVATGAYTPSLFNYFRRRIISVGSFLIATRPLTDAEIAATMPGNRTC VTSMNIGNYFRLSPDKRLIFGGRARFSATSDQRSDARSGDILRASLAEIFPQLAGVEI DYCWGGLVDMTKDRYPRAGYVDGVWYAMGYSGHGAQLSTHLGMITADAILGKADLNPI KGLDWPAVPGHFGKPWFLPLVGLYYKTLDRFQ YP_002973192.1 MRFDMFKSFPTIILVFAEGKDRKVIHTAREAAQLLLKEWPTDDG EEFLTAVSICLEVLTGESEPEKLHEAIVRAAYEAGIAAITTDNRLGIFRIYPVSEAR YP_002973193.1 MIALFSSEYHCSVGATAGKNDELTITEALGDPLIAMVMRADGVT SEEFKRLLEAAARELKAKLASHQREERRRDCRNDTEDG YP_002973194.1 MVNYRSKRLSAAASVSVDFPNLVTRSLGRGGRGALFTGNSADSV EEQSPHVTRPAFVVSLEAPDMPAKNEYDPAAVDHHDPFLAPLCYRQRDIALFGDIDFV IIGCGGLGSQIAIQLAALGARRFLLVDADRIDENDLNHLPWACEADLGRLKTDRLATH LAAGFSATVFALPEFAEGASALRLIANYANNPFLILAGGDSRPTQDLLSACLALEAGL PPHLHLGRSANYCMAGPLALVHEDACSVCHCATQVTADDGLRAPQATVDSPLVAGLAV SQIVQKCLSRHSLARGRQWILDLKGDQAKLRSLQRTRM YP_002973195.1 MSASRIQQLADEARLLLKRNPLMASSVSLQRVATRNLLKRRFQY GRTLAAASKLAGCSAGVEALSGYFPDLADGRYTRLPELPPAVAGPVRADPYRSSVLAA WMDALARSLEWQAARPDVQVVGRYLQPDLIASDLELERQTACRLSCGIGLMHIESPAR SRIMQALLRRCMPDYPRPGFPISDDAQLDRIADHLEKAFALIADLDEYGHQRLIAGLH TLYVGVRREPQCSFSSSNELPGSALIVLSSERLRVGDHAATAAQLLHEAGNILLGFYT TSAAASLPGEFQYVSPYKKDLQTLESILHTAYTIPWECALRMACLSTEADLKRRSRKA AFIIAYAARQVPLIDIARKGIERLGGDVLLDLPDIAAIPSWSSRILFLVSQLLAEEPI AHRQAHLAERQRVLDRQAWDIGQMLLRGKEPIDPRMGRREIDDSGDNVSLWYDGKFHV IVKTPDYAMGEDYGHYAATIRGVAPREMEAM YP_002973196.1 MPEPSPINYYYLWHLTALGVVDAEYGSAPPAPPAPDASSARALS DPLITGTVWDAIASAGPLRPARVALIDVGVSPDHPNLATRLDREASIDLTTHRYGARV LEILETTTSFDREERHAFFAGLDIAPLGNIGLSNDDRDYLGDLVAEYAASEGVLRRLY NPESLFASHGTCCAGLIVGEPAVVAEEGTPSLPPEGAFYGNGDELRTSGNRNVIPYFG VDPFSRLISIRTSFEDDVRQFIAAFLYAYLQKADVIVLPRGLPDPKHSIVDPKNELKA DLELWKNQDAANLFTRIAVADQGGPELEPKAAQKGSNPDRPWHVLKQLILAISRHIPI VCAAGNSGESQLIYPASLAADNNGIIAVGAVTVEGFRSGYSNYGEGLTVVSPSDDGEV FNRHQLRLDRLSPFAAQHDYSAFRTREYYYSHFSLLTTDLPGIFGYNHGSDPWSAIVP FGTNPGIGGGYYTPFGGTSGASAQVGGLCALIQRAHKSRHNPGDRLSGPQVKSILKAA SRLDAIVAPGTRQLTADCMNAESEDALDAVYFFGSGLPNARAAVEAALAI YP_002973197.1 MEADTAHESQSKLVLFLNSYKFRIEPDTVLPCEPRDDTGADPLA GASPAAPDAASEPALLPGTGEDAALLAGPMMATMAVASVLNKSVANKSVLNKASLNKN KNKNKNKNKNKSTMDGGSLGVSQLIPSFELRRLHQQPSRNAYTAARRFTWEMLDILPP PGPDYTRLETTVLLSMQRRDRERMRRLPDIQIESTISVGEFTRALYIEDLGGFEQTEG LFQAILTACEYVGLIYKSQFNRQRPNQFEPLLRPLLAVPVHESYPSNHSFQCFSIAFA FSTILPEHPATDELARIAQNVAENREWAGLHYPSDTQAGRDLARRFAPYLHDAFGPLF AAVHDEWV YP_002973198.1 MADWPLDARFSGRQTSVSEAAGAGPVDRMNKEQYPFRMFLLGPF ALVDAGGRSVAPKSKKAQALLAMLALSTRGSRSRIWLRDKLWSDRSDDQAAASLRQAL LDIHKSLGPARDLLIADKNTVWLDMDRLALDTDLVVRTERSADQVTDELLEGIDIRDP EFEDWLALERQNWYRRLDEGQVHDVFEPRQQPSRDIAKHSALLPLTGAPDTSRTGKPP VDIASSGPSGRRVGGDWRWMMALLSPIVVGAGEGGQIAATRFQNLIAKAIIDGLGFGV TDLSFTSPHIEESEQQISLPLCLQLRLTFDGDMVLIELVMKHLINNRIHWLGSQAINR TQFERGEFGIAAALISQAVDQLAYFEEIQATDSRLSQDGLLIDAVNAIFRLSRDDLDN AERRLEEQIQYQPRSSTFAWLSFIRTFQVGQRFNALDAHLIEEAQAYARKALELDPQN SVSLALVGHVHSFLFGEYDYAANLFEKSIRLNPALPLGWDLYAMLHCYAGQPDKAVAM ARWVQELGVYSPHKYYFDTTKCIAAALAGDHAAAISAGEEALRARPNFNSLLRYLASS HAHSNDLGGARHYLQRLEAVEGGFSIDAFRGSGYPLLDTGGGQILIDGLLKAGAKLR YP_002973199.1 MNGLRDSSLLRELGLIDGEWRGAEAGRTIEVIDPATQHVLGTVP DMDGADTTTAIAAAEKAFGPWRAKTNAERGALLEAWHDLMLDNIEDLALILTREQGKP LTEARGEIRYGASFIKWFSEEARRIGGTTIPSPTADRRIVVLKEPVGVSAIITPWNFP NAMITRKVGPALAAGCTVVVKPSDLTPYSALALGVLAERAGIPKGVINIVTGMPAGIG DELMANQTVRKISFTGSTRVGSLLMRGAADSIKRLSLELGGNAPFIVFDDADLDLAVE GAVASKFRNGGQTCVCANRLLVQSGVYEAFAAKLSARVSAMKVGAGTDAGTDIGPMIN KAAIDKIKRHVDDAVEKGASILATADSVPEGDQYAVPMVLGGATTEMQLASEETFGPV APLFRFDHEEEAIRIANATPFGLAAYFYTGSLKRSWRVAEALEFGMVGLNTGAISTEV APFGGVKQSGLGREGAQCGIEEYLEMKSFHIGGLA YP_002973200.1 MKTYKIALLPGDGIGRDVTAAAWAVLEKTARLNGFSLDATSYPW SCDYYLENGSMMPADGIETLRSFDAILLGAVGWPRKVPDSVSLHGLLLPIRKAFVQYA NIRPHRLLPGVQGPLRSDGFDILCIRENTEGEYSGAGGRVHQGTDNEVAVETSIFTRT GVERILRFGFEQARTRRGKLASVTKSNAQKYSMVFWDEITQKLSLEYPDIEVTSYHID AMAARMVMAPDSLDVVVASNLFGDILTDLGAAIQGGLGFAASANINPDRSAPSMFEPV HGSAPDIAELGIANPIAAIWSGAMMLEHLGETAAAARVMASIEATTARGIGAIPGKDK TDAITASVLSALG YP_002973201.1 MTLSFDPNTISLPVGHFIGGRLVPAEAVIDMHRPSDGKAYAGCP LADEALVDQAVETARTALKTSNWGGIRPRERTVALQRWADLIEAEAETLARLEALSST RPVGHLVAGDIAVTAEQIRFFAEFADKEGGDLVPTDNANFGMIMTEPYGVVGAITPWN YPVSMAGWKLGPALAAGNAVVLKPSEMTPFSTLYLAELSVRAGLPAGLVNIVLGDGPT TGNAITGHPGISKVSFTGSTAAGSAIMTNIARTGVKPMTLELGGKSPQLVFADADLEL AAGAIAGSILSNAGQACVCGSRLIVEAKVADALAAALIERLAAIRPGPTWDEATDYSP VISERQIARMDGIIRAAIDDGAECLTGGRRLDREGYFYAPTLISGVTATSPAVLEEIF GPVLTIQTFEDEEEALRLADHPAYGLAAGLFTRDLSRAIRVTRRLQAGTVWVNRYGRS RDHILPTGGYKQSGIGKDLGRDAYLANRKSKSVLISL YP_002973202.1 MFLLKTIDTDPSFAPRESGPLPERLISGSPAFKTWAQDAARGET VHSGVWEATPGETRSIKGETFEFCHILSGLVELTPEGGEPVVYKAGDSFVMKPGFVGV WKTIETVRKIYVTVS YP_002973203.1 MTFLFNSDAKRGAIFAEAFARELPDIAFSMDPKSVKPDAVRFLI TWTVPEDLARYRNLEILFSIGAGIDQFHIDAVPASVKVVRMVEDGIVRMMQEYVTLAV LALHRDLPAYLDQQRRRTWQTIASRQASERRIGVLGLGMLGSAVLERLQPFGFPLSGW SRSPREIDGIRCLSGRDGLDILLATTDILVCLLPLTVETRGFLNAELFAGLPAGAALV HVGRGPQLDHQALIDALDAGHLFGAVVDVTDPEPLPADHAFWNHPKILLTPHIASVTQ PETAAVAVIGNIKRHQAGLDPIGLVDRNRGY YP_002973204.1 MQAIEILERLVGFPSVVGTPNGEIVAWIRHYLQSHGAVVTELPG PEGDRSNLFATIGPKETPGYILSGHMDVVPAAGGGWTSDPFRLRAEADILYGRGATDM KGFLAAVLAAVPALVASPLRQPVHLAFSYDEEAGCRGVPHMIARLPELCATPLGAIIG EPSGMRAIRAHKGKAAARLTVRGRSGHSSRPDQGLNAIHAITDILACARAEAERLTRG PYEPVFEPPYSSLQVGTLKGGQAVNIIPDSCEAEFEARAISGVDPITLLAPLRASAEG LSQLGFQIDWRELSAYPALSLAADAPLAALLSELTGIEPLAAVSYGTEAGLFQRAGID AIICGPGDIGRAHKPDEFILTGELLACQAMVEALGRRCA YP_002973205.1 MSELISESRFVDSFETHIAGIDSVALDQLHALSMTVAWPHRGED WQFLREFGQGIVAIDEIGRILGSAMWFPYDAQFATVGMVITSPRLQTNGAGQWLMGHA LTQVAGRNLGLNATRAARRLYRSLNFVREALVFQCQGEAMSPPDVELPSGAAIRAVEA GDLEAIAELDCVAFGTDRKLLLARLMANSKGIVLTRAGRIEAFSLCRRFGRGHVIGPV VARSDADAIAVVRPHAAERAGAFLRLDTREQGGAFSDFLSRCGLPVYDIVTTMSLGGA WLPVAGRATAPEPKTYALVSQALG YP_002973206.1 MIMDGKPARKIWSQWYAWHPVLPIDDSVFWLETVYRRESPEGFW QYRSFRPELERQQALTCLPVCPGA YP_002973207.1 MKNNADGFSSPACFMHEVDPAYMGLEPEPEVVREWRKEQRRRLI DCRTLLGANEREQRTSLIRHHLDRLLPKIAGRSVSLYWPFLGEPDLRGWMQSAAACGA TCLLPVVTEKRKPLSFRSWCVGEELTRGILNIPVPLQGEERRPDIVIAPVVGFDAQCY RLGFGGGYFDRTLAALHPRPFAIGVGFDFQEIETIRPQDHDIPMDAIVTDGEIRFRKG NGSVAARMFQG YP_002973208.1 MRRFLMPYFPGGDLAQKSAPVTIVANAVFLAAIAFTVSDQELWA KSVILMLGLALAAMFDDARPRLTIALSAVSLAAYLGLRAIT YP_002973209.1 MDLSSIEIFLAVVSDRSVTKAAKAVGRVPSNVTTRIQQLEEDLG VSLFSRDGKKMTLTREGETFFAYANRLMALALEARQAVRPLAPSGTLRVGTMESTAAS RLPAALMQFNQMWPDVSLQLTMGASRDLTRDVLSDALDCALIARLPKTMREEDSSFDA ELKALEMELTFVEDLLIVLPSGHPSIKSAADLRVGSLAALEPGCTYRRIAENWARKSS ALPTSELGSYHAILASVATGNTAGVMPRSVLDLMHWPTPVQTHQLGAVETLLVYRKND RPSAFNAFHEVLSATKGRDVRLTTN YP_002973210.1 MIACLGALLAIGFTGVISGYLFGQGPHLPLIVAPMGASAVLLFA VPASPLAQPWSIIGGNTISALMGIIAAYFIRDPIIATGVGVSLAIGAMSFTRCLHPPG GAAALTAVLGGPVVAGWGFLFPFVPVALNSCILVGLGLLFHKLSKRNYPHVVPKPVEN THQTIDLPSAVRVGFREEDVDAALEALDETFDIDRADLGRLLQQVELQAAIRSTDKIS CADIMSRDVIAIGEASEPDAARHLLLKHNIRTLPVKDPEGRLVGAVGLRELSMSTETI AHAISRPAVARPSDAALSLLPVLTDGRTHAVIIVDDDFRILGLISQTDLLSAVARLLP KEDNAIPAVA YP_002973211.1 MLIERDSNGDFILESSELAQRFGLSLADLRRHIRHGSVVSSVEI GTAEHQGTKRVSLRLGNRLWRAILNDENEVQQEQMTVLRGKSSGGHPR YP_002973212.1 MVQTIKTRSQAPLDSRDLEICQRVFDKIRSEYQVEKDSDEAERT ASIIIELYRQGVRDPDHLQSMVEAARGLFETSER YP_002973213.1 MAAKEIKFSTEAREKMLRGVDILANAVKATLGPKGRNVVIERSF GAPRITKDGVSVAKEIELEDKFENMGAQMVREVASKTSDIAGDGTTTATVLAQAIVKE GAKAVTSGMNPMDLKRGIDLAVGAIVAELKANARKISNNSEIAQVGTISANGDAEIGR FLAEAMERVGNDGVITVEEAKTAETELEVVEGMQFDRGYLSPYFVTNADKMRVEFEDP YILIHEKKLSNLQSMLPVLEAVVQSSKPLLIIAEDVEGEALATLVVNKLRGGLKIAAV KAPGFGDRRKAMLEDIAILTAGTVISEDLGIKLESVTLDMLGRAKKVSIEKENTTIVD GSGAKSDIEGRVAQIKAQIEETTSDYDREKLQERLAKLAGGVAVIRVGGSTEVEVKEK KDRVDDALHATRAAVQEGILPGGGVALLRAVKALDNVKTANGDQRVGVDIVRRAVEAP ARQIAENAGAEGSVIVGKLREKSEFSYGWNAQTGEYGDLYAQGVIDPAKVVRTALQDA ASIAGLLVTTEAMIAEKPKKDAPPPMPAGPGMDF YP_002973214.1 MSFRPLHDRILVHRVDSEEKTKGGIIIPDTAKEKPQEGEVIAVG PGARNDAGQIQALDVKPGDRILFGKWSGTEIKINGEDLLIMKESDVMGIIEPQGEKKQ AA YP_002973215.1 MEEKTNIIKDLSIEEREEILVDIARTLEDTAREAFVEGNTHFAA LSNNMAEAIRVNADELARDDPENAELVLQQAAAMISQFEAVHPYRMVSMAVH YP_002973216.1 MTTFKSSVPPESGTDDARSADTERAETDRKRALETARRNARTAL DRNSGTETPSLKLAREYLSLGGHRRSKIDDNITDVRQWDEDPPEAERFWKERVETLPK DQRKQVEDFLPTINTP YP_002973217.1 MRLEEIMAIDKHEQIRQRAYEIWEAEGHPDGADERHWLQACDEL AGEDEHETLQDLLDEDDRDDAALLQGAGESGDFDRPRARPVQTAVAPVPDVEMTTGEK PFRRKVKKTEGP YP_002973218.1 MQHLDHAIQIALTAHKGQADKTGRPFFEHCQRVALLVSGDETRT VAYIHDVVEKGSGWTLDRLREEGFPPAIISAVNALTRRPDEPDDDFVRRAASNPLALS VKQADLEDNLRQAEQSGKKTEKYQRGLDLLRDIRSG YP_002973219.1 MTTLFVRHEVSDYAAWRKIYDTFSPVQKANGVTAQAVYRAIDNP NDITVTHEFATLEAAQAFSKLDELKTAMRTGGVLGAPTVWFTNKA YP_002973220.1 MPTIMICHDVKDTKHWLASPIRKQVLEPNGVTNIRTFTDSQNSN RVGLVMDVADMEKLMAFMQTKAAADAMASDGVLPETMVFLVQS YP_002973221.1 MVRNDPAFFHHRVFEDHETIIFLSKYPTLPGYCLVCPKEHREDL ARDMSTDEYLRLQEKVHVLSRALKSVFDAERIYVLSLGSQQANSHLHFHVVPLPSGVP LEKQQYHALMAEHGVLQIPDDQMAQLAQRIGEAYRFEFTAR YP_002973222.1 MIYDPARANPLLGNPLKTRDDLAKAVTDLFEPLLPYFSEGGARV RLGAAGAIFDRAAADLEGFARPLWGIVPLVAGGGAFPHWDLYRRGLANGTNPAHPEYW GDLADRNQRLVELAAVGFALALVPEHIWEPLNDGEKKTVAAYLLRARELEFIDNNWKF FRVLIDLGLERVGVAFDHRKTLAYLEELEAFDLGEGWYRDGPVRRVDHYIPFAMHFYG MIYAVLAKGDEARKDRFRDRAEIFASDIRHWFGPDGAALAFGRSQTYRFAAGGFWGAL AFAGVEALPWAEIKGYYMRHIRWWAAMPIADRDGVLSVGYGYPNLFMSESYNSPGSPY WALKFFLPLALPGDHRFWAAEEASQPEFPEPVALKPAGMVAMHTPGNVVVLSSGQQHD KMLGANEKYSKFVYSTRYAFNVEADDRNFSAASFDGMLGLSDDGVHFRMRETLEEALI AGDLLYSRWRPWSDVTIETWLLPENPWHIRIHRIATPRTLSTIEGGFAIERADFNADR SDARDGRAVWYGQTDVSAIVDLSPNPRAGHAMSPIPNTNLIHAKTLLPQLRGNIGAGT IVLVTAAMALPSRENWAKALDNPPARPRLDEVERLFREKGVQVPAFALGM YP_002973223.1 MYLDKFGGTVKLAVAGFTLAAMTAGAAFAQDAVTLKWALWDWDK TAYYKPLIEAYQAKHPNVKFEPMDLGSQDYQQMISTQLTGGSKDIDIVTIKDVPGYTN LVRAGNIADLSGFVKDQKIDPAPFGGLIEELTIDGKIYSLPFRSDFWVVYYNKDIFDK AGVPYPTNDMTWAQFDETAEKLSGGMGTNKTYGALLHTWRSTVQLPAILDGKHTLVDG DYGFLKPWYERALTLQKDGAIPSYAFLKTSNTHYSALFFNGTIGMLPMGTWFVGTQIT KVKSGESKSRNWGIVKFPHPDGVATGTTAAQISGLAVNANSDHKDAALDFIKFVTGPE GAAVIASTGTFPALKTDDVSAKIAATPGFPEDAASKEALKPSKAYLEMAVNPNAAKIE VVLNRVHDAIMTDSTSVDDGLKEMTEGVKAIK YP_002973224.1 MQWLRDMWTREGPGIPKDAPKRTGLALFAEILVREWWEMVKLNI LFILAGLFVVTLPAALAAMARVSVALVEDRNTYLLRDFTEAFLRYFWRATAWGLALAG ALAVCLYAIATYGAGARDNLLLSAPLTIALVATAFVAVLACHLIVLMVMRDLPALRLL RLAALASIVRPLPALAALAFAAGLWLAHVLFYPVSVFMPATFNFSLGMFAVAFGVHRA AVTVLDLPNETRPHREPHRRDAS YP_002973225.1 MASISLKELNKSYGALTVVHDIDLEIADKEFIILVGPSGCGKST TLRMIAGLEEISGGELKIGGDVMNDVPSKDRDIAMVFQNYALYPHMTVYKNMAFGLQL RKVSRDFIDAQVQDAARILDISHLLNRKPKALSGGQRQRVALGRAMVRNPAVFLLDEP LSNLDAKLRGTMRSEITKLHKRLNATFIYVTHDQVEAMTMADRIVVMKDGHIQQVDTP QNLYDRPVNMFVAGFIGAPQMNMLPSTILRRGDGYVAVFDGRELPLPAHFDKSRIAPY EGRELVLGIRPENFHELPPADIAPENLAPLKAIVELAEPMGSEVHLNMVAGGRNLIAR VSPRYRPDIGEEATLVADMTNAQLFDNETERSILY YP_002973226.1 MNAVSSVAPQPITDPEVKAALDLAVEQIRRNLPQFTHASQNHSS VGNFYPAVANDQWTAGFWPGELWLAFEHSGEAVFRDAAQVQVQSFLHRIVNRIETDHH DMGFLYSPSCIAAWKLVGDEDGRRAAILAADQLIERFQPVGQFIQAWGRKGKAEEYRY IIDCLLNLPLLYWASRETGNPKYREIALIHARTTLANSVRPDDSTYHTFYLDPVTGAP VRGATKQGYKDDSAWARGQAWAIAGMALSYRYERIEEYRQTFDRLLAFYLNRLPADMV PYWDLVFSDGDGEPRDSSSASIAACGLLEMADLVEPEPASRYRTLARRMMKSLADHYA VKDPTVSNGLVLHATYSKKSPFNTCRGEGVDECVSWGDYYYMEALTRLSRNWSSYW YP_002973227.1 MKIAGRKVTVRSVAIYAIVIAVTLIMLMPFVWMLSASLKLSRDV FAFPIEWIPSEPQWHNYVDIWTKIPLALFIYNTSKLTIIVTLLQLLTSSFAAYAFAKL NFPYKNTLFLGYIATIAMPWQVYMVPQFLLMREFGLNNTHLALICLQAFTAFGVFLMR QFYMSIPTELCEAARIDGMNEYQIWARIMLPLSKPALSTLTIFTFVTTWNDFLGPMIY LTKTELKTVQIGLRMFISQYSAEYGLIMAASVVALIPVLVVFLSLQRFFVEGIASTGL KG YP_002973228.1 MSLSNSVIATDGAPAIPSRKVAVLSKRQRRIRSALVAYSFIAPN FLGFAVFTLGPILFAFVLAFMHWDGSNAITFAGLDNFWRLFEDKAFIAAFWNTVIYTV ASVPATLICALGLAVLLNQKIAGRDFFRTAMFFPYVASLVAVAVVWNMIFNPEMGPVN MILYTLGLDPKNMPGWAADRHWAMVTVILFGIWKNMGYYMVIYLAGLQGINSELYEAA DLDGANSWQKFIHVTVPQLGPTTFFVTVMLTIQSFKVFDQIYMITQGGPGTSTLVLVY HIYNEAFISWDLGYSSMVALVLFFLVLAVTVFQFRRQREDGE YP_002973229.1 MFSEISGELPDVLGDFTPGAVGSDRLRWSTVPQALRELVIGEAE ETVARAWPLIAASDYREFTETGNRARFEELYFTRRRMLNNLVLGELVEGGARFLRKIV DGIFLIVEESGWQLPAHNAYERSGARLPLPDNSQPVVDLFAAETAALLATVVALFRDE LDAISPEITARVEREIEIRILSPYLGRHFWWMGRGEERMNNWTAWISQNVLLTVFSLK TDQPTRHAVVKNALGSLDAFLKDYAEDGACEEGVLYYRHAALCLHGALTILDAVAAGL FAGVWQQPKIRNMAEYIAHMHVAGRYYFNFADSSAVVEPCSAREYLFGQAVGSKMLAE FAAADRAASNNSHMPGEWNLWYRVQELLAGPTLPAAAPPHPASQRDIFYPGIGLFIAR DEQFSLAVKGGNNGEGHNHNDVGSVTLYKRGRPFLIDVGVETYTAKTFSARRYEIWTM QSAFHNLPTFAGVMQSAGEAFGARDVEVGFDEGSARIALDISDAYPPEAQLHSYRRVV SLLRGRHVEIVDTYDGGKPAVLSLMTCLAPTVGPDRIDLADLGSIFVEGAGEIEIDEI VVEDARLRSAWPEKIYRLRLPFAGRLLRLRIV YP_002973230.1 MELTLKIVDAGGAVLASSIGRDETFLVYRQSYREGDRVVVEVSE PGHVFLSLDGAVQPGLVYMKECAYSLAVPFGDKRKPYSPNAFRGDIHRLSARSTRPDE IVHRRNLALNPWDDHANRALFPHARANVETRGEAVFAARNAIDGEKANDDHGFWPYTS WGINRDPQAALTVEFGRPVRIDEVVFYLRADFPHDSWWEKASVTFSNGKTSCFSLVKS GTAQGFSIEPCIVEWVELHGLIKAEDASPYPALTQIEIWGVEV YP_002973231.1 MTDAAQPFDIPVFVVVPPRVLLLDVAGPIEVLRKANLEQCTVRF TVAYIGPSATVGSSIGLAVTGVAALPESLPDTALVIIAGSADAPMKNSAPGNEQERAD QAAIVAWLRHAIRPGIRLVSICSGALLAAEAGMLDGRDCTTHHGCIEDLARLAPTARV RDNRLYVEDGDRLTSAGITAGIDLMLHIVAEAAGHACALAVARYLVVYLRRGGSDPQL SPWLEGRNHIHPVIHRAQDAVAANPSEDWSVVSLARLSGASPRNLSRLFNEQTGMSVT DFVNRMRVALAREMLAGSRLDMEAVAMRAGFGSARQLRRAWNRLNDGPPSAARSRLPT GS YP_002973232.1 MSGHDTVLLVIDAQESFRHRDYWDENLASAYIDRQQALIDGAEA DGIPIIQIFHVDENGPFSEASGFVSTLAPLRIAPKATFRKRRHSALVGSGLDVWLTEN GVRRILVSGIRTEQCCETTTRHASDLGYQVDYVGEATLTFPMTDATGRTWSAKEIRDR TELVLSGRFARIATVDQALAGRGKSLAA YP_002973233.1 MARIVRYLSGARIFLCLQIAEYDFMINLDGAKNVRP YP_002973234.1 MRLLVVEDNKDLAAWLGKALRQAQYAIDIAHDGEDAEHLLKVAE YSAMILDLALPKLDGLTLLKRLRQSGSKLPVIILTANASLDGRVAGLDSGADDYLAKP FEIAELEARIRAVVRRGQDRASSEITVGNLLFSGGTRQFFVAGEPLQLTPREYAVLEQ LVMKHGITVSKAALSESVFGFDDEADASAIEIYVHRLRKKLESSSVQIATLRGLGYLL RHVQ YP_002973235.1 MSSSLVTKVGAAIARLSHSLRVQLLCWVLMTLFGAIGFNLYDSF WTADATAKLVTDRTLLASARVIAEAVRVDEGGNVQVDVPPSALEMFDTGFGDRVSYQV ITAWGSLVSGFPDLPLPAVQRAGADRAFHGADVRVMMLDHPVVGLPDDGTISVTVAVT HNSQYAMRRQLWLSDFSKQFVLVFVASLVTILGLQRGLAPALRLRDAVRQRGRHRLDP LPSEMVQSELQPLVHALNDHMERVQNQMAAQRRFVSNAAHQLRTPLALISTQASVAAR EADPARRDEALVALRTSTKQISRLASQLLTLSRAEPGSRRPRSDATDLSKAAREILEA HAEEALRRNIDVGLEAVRPVIVDGDATMLREMLVNLIDNAIRYTRPNGRVTVAVGQAD GNAVVTVEDNGPGIPSGEREQVFERFYRIMGTEAEGSGLGLSIVREVVEGAGGSVSLD DAEGGGGLIVTVRLPLA YP_002973236.1 MAHTTLEAGSATMFRPGTSDTEIEASALKAMRQRKTLVRFWQIA ILVFVIGMWELSSNMQWIDPFFYSSPSGVVQRLYEWATEGTTEGSLWYNLWVTMEEAL IGFFAGSITGVVVGIGLGRNRFLSDIFSVYIKAINSIPRVVLAPIFIMIMGLGLPSKV ALAFIMVFFVVFANAFQGVREADRNMIANARILGASDWQVTRTVIIPSAMSWIFASLH VSFGFAIIGAIVGEFVGARFGIGQLISIAKGTFDAAGMFAAILLVMVVTLFAEYIMTL IENRLAKWRPQQHLDTQ YP_002973237.1 MQHDKNEIPAIELINVSRRFVSPTGKSLTALRDFNMTVARGEFV AVVGPTGCGKSTTLNLVTGLARPSAGEVRLMGGPITGIDPRVGFAFQTDALFPWKNVI DNVMAGPLFRGKSRAEAEKSARDWLARVGLSKFLHHYPHQLSGGMRKRVSLAQTFINE PEILLMDEPFSALDVQTRTVMHEELLKLWAERKASVVFVTHDLEEAVALADKVYVLTA GPATVKSVYTIDLPRPRVVSEIRYEQAFIDYCKTIWEDLREEVETSYRRASEAA YP_002973238.1 MRSSRSLFHTVAFSTLLAAASFTTSAAHATDKITIMVGGYEKQI YLPAKLAESLGYFKDEGLDVELLNEAAGVDAENQLLAGAVQGVVGFYDHCVDLQAKGK FVESIVQFSQAPGEVEMVSSKYPDIKSPADFKGKSLGVTGLGSSTNFLTLFMASKAGL KPGDVVTIPVGAGGTFIAAMQQDQIQAGMTTEPTISRMIKTGEASVLVDMRTVEATRQ ALGGTYPAASLYLETSWVDAHKEEAQKLANAFVKTLRYINTHSAAEIADKMPKDFYVG DKDGYIKALDEGKGMFTPDGVMPEDGPKTVLAVLSEFSKNVKGKQIDLAKTYTTEFVK NVK YP_002973239.1 MQMKDRSKGSGSLVTQVGESLRQAILSGQYSVGDKLPSEHELTE THGVSRTVVREAVAALRSDGLVEVRQGAGIFVLGADPALSARKVDKARVASDLEVLEI RTPVEIEAAGLAALRRSPAQEEAIFECHRKILRCIESDQSIRDADLDLHAAIAEATNN PLFRQFLECQGSAIIPQSRLVPESRTAEQTAYRKLIHREHEAIVVAISDRDDQAARNA MRDHLVGSQARYRNLLRDLRSFTS YP_002973240.1 METKVLTAHVPLPLAQKVDQLASRLERSRGWIVKQALMAWIDQE EERRRLTLEALADVDDGNVIEHQSVQAWADSLDSDEPISLPR YP_002973241.1 MEIKWTSKAVSDVSRLYDFLTPVDRQAAVRTVRALTAAPARLLE QPRLGERLEEFDPREVRRILVGRYELRYEIQQSMIYVLRLWHTREDR YP_002973242.1 MRWQFDQKVEGAERDFVGSDNPLTTTILTGHLLQLGHRRIGFIS GPSGLHTADERLNGFMNTMAGAGVDIDPSLVVEGGYTRTGGHAQAMRLLTRRDRPTAI IGANNMTGLAALQVMQEMGFRCPDDVSLAMVDDVPWSNVITPRITMVVQDAQKLGELA AQRLLARIASPEGAAAPPQDFILTPRFVRGESTRRL YP_002973243.1 MKTATLASIALAISISAAHAQTIGVSMSGLDKFRTALLNGVVSH GQTISGLKLVTENANGDKELQKQQVQKLIADKVDAIILAVSDGDLGPQMTKMAADAGI PLVYINNVPSNLLDLPDNQVVVASNEKESGTLETKQVCALLKGKGRVVVLMGEPFHAA ARARTQDISDVIATPDCRGLQIVERQAAYWSSDYADQQMQEWLSAGVKFDAVIANNDE MALGAIRAMKKAGIPMKNVVVAGVDATDDALAAMVAGDLDVTILQSAVGQGAAAVDAA VKLIRKEKVPRENNVPFELVTPENIATYLPKSQ YP_002973244.1 MLHFWNKFGIRAQITSGFVPLILLMSLLTVSAISGMNGLASIFS SYRATAGQSLAISDYSDQLNEIQMSAEAFRSTPTQDVVDRFRAGVKAFDADDPRFAGN KDLQSGLAAIRQDIATYGKAFEQIVALQARRDALISKVTEFGPWTSIALNDVVRSAWR QNDVALLQMTAATLEALNRSLYFSERFVHSDDFAAYDTAQAALAEAVALNEAAAKAAK NELQKKRLMGAGQLMQNYTARLGDMKDVLQASGNIRQTQLSVLAPKISGGFKDLQATV TGAQKTLDGSVDATVASATSTTLVISGLLIVIGLVLSYFVGRLISSAVRNMAQSMEQL ARGEEGIVITGVEHRHELGAMARSLKVFQETGRAKLIAEANADRARLAAEEERLRQEA ERLSDAQVMEHAFRQISVGLDALSKGDLTVRVGEVDHRYVRIRDHFNNSVASLEEAVD AVIRAVGTIRSGLAEISTASNDLARRTEQQAASLEETVAALGEVTRGVNGTAEGASRA QGVVATARTNAEKGGEIVARAIDAMTEIQNSSSKIGNIISVIDEIAFQTNLLALNAGV EAARAGEAGKGFAVVAQEVRELAQRSANAAREIKQLISTSSAQVKTGVQLVGESGLSL EQIVEQVTAMNATVAEIAVAAREQATSLREVSAAGDQMDKVTQQNAAMVEETTAAAQS LTHETESLAELLRRFRTGSGRASEHRHYAMAS YP_002973245.1 MPMGSEHPLRRELHNELHARPSLYFDGDTDVWHVAIVGENAPPQ IPTSLPGLEDVSTTREGNHGIGRIGDGRLKWEAHTEFLTLTFVVPASAEPGSNPPEAF QACCRQIDGKIIAAVRVLVRDEKDGQGPEKPKLDYVASQVGGGDAEVHSNFRLTDSGF VEFLFFNRNLNAYRTGRMVRRFLEIETYRMMALLALPMARETVSKLFVFDRRLDLLIS HMQSAVKVDKALLSEVTKLSSDVLNFSALARHRFGATKAYAEIVASRSSELREERVEQ RQRIGTFIDRRFQPAVRAVHAAERRLDELAERVSLAGDLLRTTVQVQLEDQNASLLTS MEERARIQVHIQQAVEGFSVIAITYYTVGLAKICLESISELGVDPHIAKLAVLGAIPL VLFAVWTAVRHVRKSIAGHSHGPASESH YP_002973246.1 MHDNTITLAASATADGLEARDPSPASDSGRAAALAARFEAIPFT PWHRRARIVMGSATFLDAFDALSLAFVLPILIKLWELSPAQIGWMIAASYIGQLLGAL LFSRLAESFGRVPMAAAATALMSVMGLACALTGNFQMLFLCRLIQGIGVGGEMPVAAT YISELLRAKGRGRYFMLYEMIFPVGLMITGQVGTLLVPILGWTSLFFIGGIPGLVIAY LLYRLPESPRWLIGQQRLDEAEAIILQAEASARKANLDYQQEPQPAPEAPAAAPAPAQ VARQPRSRWSELLSPRFRARTLIAWVLWASSFFVANSLNNWMPTLYHTVYKLELGSAL RAASMTNVAQVAILLVCAFCIDRIGRRTWAIVSFLVGAALLTALAAGGAGQLWSLIVL ATLAYGVVGSINAVLYLYTPEIYPTRMRAIGTGLVTSWLRIASAVGPTTVGYMMGTQG INSVFMMFAIVAAIGAVAAIGMIETGGRRLEETSS YP_002973247.1 MSMSNSAANARETASGVAYARTSDGIGLAYRRGGRPGGPRVVLI HSLALDASVWDRVVGRLEDADIVTMDCRGHGRSDKPAGPYDVERFGDDIADLMGELGW SDAVVAGCSMGGCVAQTFAARHPELVRAMLLIDTTAWYGANAPTEWRARAAKARSEGL ASMAEFQATRWFGDRFRMDHPAQVQHAMSVFTANDIDAYAATCAMLGDADLRRHLGGF LFPVSVVVGEEDYATPVETARDLAAAIPGASLTVLPAARHLTPIECPDQIADAIRSLF KRTNG YP_002973248.1 MAGSKPKIAIVGAGMGGLAAAATLRQVGIDVNVYEQAPKFARIG AGIQMLPNSSRVLRGIGVLDRLQKLAFEPYSHLNRVWDTGEIKRELPMPESLYGAPFL CMHRADLHEALYSVLPPEIVHLGKKLVGLDQTKGGVTLSFADGTKADADAVIGADGVH SLVRDIVVGPDKPIHKGRIAYRAVFDASLMNGGEIQASRTKWWGVDRHIVIYYTAADR SSLYFVTSVPEPADWLTSESWSAKGDVKELRTAYEGFHPEVQMVLNACPDCHKWAILE REPLARWSDGRVVLLGDACHPMTPYMAQGAATSIEDAAVLARCLAGVDNDDIEGAFRR YEANRKPRTSRIQAISSANTWMSGGNEDTSWLYGYDAWNVPLVGENDMALAG YP_002973249.1 MELRHLRYFVAVAEEGSISRAAARLNIQQPPLGQQIRDLEYELG LSLFDRHPKKIVLNAAGAVFLADARDILKRAGQAVENVRRYHKGEAGQLSVGFTSSAS LHVLAPKLLQQFRLSYPLVKIAVEESETYELILALQEGRIDAALLHIDANRFPDLAST VLSEEDMIVAIPLGHPLADERLGPITLKMLEGQDVVVYRRPDGPGIFERILQSLDRAG VTPAIVDEVYRIVAAINLVAGGRGVTIVPTSMQVLHPEAIAYRALAPGQLSPLPLCIA YRRDLKLSIVRNFVALTKDIAAVPPHMRDRA YP_002973250.1 MNSIEQNSRAYAQLKPASLAILCLGVIVAQVDTSVVNLAVQPIG LDLKASVTELQWVVDAYNLVYAALLISGGLFADLYGRRLMFVIGCAVFALASLGCAFA STIAILIAARALTGFGSALLLPASLSLIRVIYRDEKVRARALGIWAGCNGMSLAIGPS LGGFLIRDFGWRSVFFVVIPIALIAAAAARFFVPESADRQGRSFDMPGQLLGIASLTV LTLTAIESLHLPPLWTALLAIAGALLLLLFIIVEKRLEQTALVPISMFSGRQFRGAMA GTAAMTFGMYGTLFLFPLASLSLRRLASVEVGLSLLPMAISFIAISPFSGSISERLGK KRTISAGLALMGLGNLLLGSSFLADWFIAEEVGLLLTGVGMGMATGPLTAVAVSTVAA DRAGTASALINVARMVGATIGVALLGAIFAFLGEAETAFIVAMSVGGSTQLLGSLAAW RLL YP_002973251.1 MKTYLSGAVALALATVSFAWAGAAMAQTKTITFLFTDDDQAYVE RMEALSKEFETANPDVKVNFVSSGYDAVAKQLPVQLAVGEGPDIAKITDWQLAPYYLD MRPYMKDPDGFAKLHGDSLNTLRFPGVNDPQSINGYIASQTFNLPFVNKTLFEQAGEP LPKPTATLKDIVEASARVAKATGAQIPFTMDRSGHRFSGAAFSYGSNYVKDGKFFFPD DAAKRYVTDLYGWTKDGSFPKEMWGAAGGTQYKNMGDEFVNGNVVTYLAGNWMVNPFQ KKIGDAFDWTAISAPCGDAGCYAMPGGTAIVGFKRTKSPEAVAAFIEFLGSEKVQREI AENYVVLTGADIKDPQYKLESKNAKEAMAVFLGSRNSVPQAARDLERLKGSSAIYQLI VQRMSQLIVGELSLDETFKAMNADVDKVNQALAAK YP_002973252.1 MKTECIKQPGEMAPAYSVATGQTITAWAVSGLIASYFPGEPAPA EDRVNYRFINGFVDVGDLPCRKAFWSTMVGRPLLPDTAWPTESLNLPGSNRRVEFTGF WHVPTHLRRWLKGTFRTETARTLNLRLKTCGGVRIWVNGQEAVRFEPFKRNTESATDI GLALDAGDNQILVHTEDLAERDTTWFFELEMLDEEPLCVLLPVSLDQDEVRELEALAR GVRPARDVFVNQPLEIIFGTAPERDLPVEIKVVGHGHERPVLAHSRLTLKAHQSSLTA DDICGIPDGYHGVHMTIGSGTGSVTRVIDAAFMSSISPLSAEASLAARKRQALEYSAR FGANRVGRLIAMMETGHHDQESFDRIIEATLASIDAREDCSDFIMVPLLWLLGAYADR MPDAVIARIRHSARSYRYWVDEPGNDAMWFWSENHVLCFHTSQLLAGLLLPDEVFSAS GRTGRQQAELAGERLGRWFDSVEAHGLAEWNSAAYYPIDFIGLLALERWAEAAVAQRA RAQIDLIFRMIALHTLAGVPAGSQGRAYDKELRAGPLTELAPFAQVAFGTGWLNNGVA ALTMFCAGSYEPPADLAELAPLTRSRSVEARYSQGLESGKLVLFKNEAAQLSTVVDHK TGQKGHQQHVLDIRLAGHPMARLWVNHPGEDDPWGNQRPSYWAGNGILPRVAQHRDVA LLIEDTSDARHAWTHAYIGRDGLDDLIIEDKWLIARSGRGFSALWASNGLELITDGPT AGREARSYGQLCGWAGIVGCGNDDAFKAFVERLCRTTVSFDPGLRRLSLTPPGGPAID LSYADGLSIGGEARPFTHDQPQPILTYDSAASGAGTDGPFYS YP_002973253.1 MNTTSVDNAALLTTIDRVATAFSRLRGIKEGLVTGNSASGIQFD EWDWEVGVGLYGFLRRAISTNDQKALQELVAWYAGQIERGLPPRQINSTAPMLPLAIL VQHVDRPDFRALVEDWAEWLVKELPKTEDGGFQHVVKERLNDGELWDDTLFMACLFLA RAGVLCERSEWIDEAVYQFVIHTRYLSDPVSGLWYHGWTFNGRHNFANAFWARGNAWI TVAIPELFDLVPTLGEKDRRFLSNVLVSQVRSLKAYQRPDGMFTTLLDDPSSPLETSA TAGIAYGILRAIDAGILDKDDRAYAERALAAVLAQIDEEGVVHGVSDGTPMGHDLDFY RRIPNVPTPYGQALTMLLLTEVFLENGQRQ YP_002973254.1 MSPVSLVAIEPAQSDDTVRLQAAIDGLSASGGGRVELMAGIHVC RGLQLRSGVDLHLAAGAILRPVPDYAAYAQTTVSVIAEKSDRGMIVAKDARRISLTGA GRIEAGCDSFIVGDDETVGTFIPAEFRPRVVVFEGCDEVEISSVHICRSPMWTLHFVN CTDVAVRNVIIDNDRRLPNTDGIVLDACRGAVIEDCRISTADDGICLKTSIGPDRVAI GRCENILVRRCSVQSLSCALKIGTETHGDVTNVVFEDCSVSSSNRALGVFSRDGGRIS NVRFSRIAVECRETPDGFWGSGEALTVNVVDRVTERAAGAIENLIVEDITGRMEGAIT VISTSPAGIRNASLARIAIDQQPGQLGTARSYDLRPTNADLSPKADGGGRANAWTRGS DGRVIGLEHYPGGMPAVYVADVTGILMNEVRITRPTPLPQGWNKNDAVFETAAPDGSG AWQN YP_002973255.1 MAELKLSNVNKSYGSVKVLHDVELDITDGEFVVFVGPSGCGKST LLRVIAGLEEVTEGAIAIGGRDVSALSPAERKIAMVFQSYALYPHMSVRKNLAFGLEN LKFKRAEIEARIAEAARMLAIEPYLDRRPKQLSGGQRQRVAIGRAIVREPDIFLFDEP LSNLDAALRVQTRAEITKLHREIKTTMIYVTHDQVEAMTMADKIVVLRAGRVEQVGAP LDLFDSPRNLFVAGFLGSPRMNIIKGKVAGIEEGGVVIDVGNGGKVVSDVDPAGVAVG QAVLAGIRPAHFSRSSEQGLPFIVQYHEGLGTETYVYGNLAGHDEQIIIHEAGHFAPA PGDRILIDAAPGRVHLFDPESGLAFARRPGQGRR YP_002973256.1 MAALKAGALLSQAGETAMRVVEAPINVIERIFGRKRMPWLFLAP NLVLFAIFTFLPIAIAVGYAFTGGTNLFVSERPFVGLDNFRTLLSCGNYLQPGTCQES LFWTAVWNTLWFVAFNVVATLLVALITALILNRAIVARGFFRAMFFYPVLLSPVVIGL IWKWFLDRNGLLNAFFQMIGVPPEIFLLDVGWSRFFVVAVSVWFHMGFYTLILLAGLQ AIPKELYEAASIDAASPRRTLFRITLPLLAPNLLVVFILLMIKSVQIFDEAWVLTNGG GPGTANSFIVQYIYQMAFGSDLRLFGLASAASVLMGLVLLVLTLIQLRIGKRMES YP_002973257.1 MNPISFFKRTRRAGRIDITDILSWVWLIGGTFVVLVPVIWAGLS SLKPAAEITRFPPTLLPRAAVEQTVPGFDKPLSLWKVTIDGQMREMAMVRRIGLKAQM VDPANPGQPVSVDVKGLTPVQKLTIATQNYTDPLTRFNFLTFLKNSVFVTFVATLLTL IVNAMAAFALSKYKFAGDTTVFVIIISTLMIPLAVVMVPAYLVIVGVGLADNLWGVIL PTVASPTAVFLLRQYMLTIPDELIEAARVDAASEFCIFWRIILPLTAPALAVLAIFSV LWRWNDFLWPLIVLNSRENFTLQVGLNAFQGEFSVQWHYILAMTFLSLLPVTIVFLFL QKYITTGIAGTGMK YP_002973258.1 MKYDPLDNVAAHFLRDTQPMRVASRNERDLLRLIWKSPGIERSD LTEPLDLTQQSLHRIVARLHERGMLVFSHSETRRPGPPSPELTLRKDWCLTLGISVNV GSIGLCLMGFGEPMENMEIPQAGSSLSDEMERIEAAVEDILARRGAKRRDVLGVGLAV AGHRMLETAFNCPLPLAHWSLIDLAPLLGKQLGLPVWADNVARTAALAEAIFGVGRDV ADFAYIAHLHGYGGGLVSGGMPFRGNFGNAGEFSVLFGRQDYEERPALGVLLEHLRAK GRMNLTLRDLKNEDLMDWDGVGEWVDRVTPAHNRAINAICAIFDPALIVLGGELPHSL ARMLIERTEFNNLPRHGVLRDVPRLDVAQIIDAPGAIGAALIPLFETVL YP_002973259.1 MISWRSENTARAPEPEASEFAPTQEGTDFESMVRAYSAGYGVFG AKPLSNDRAFAWAADLRTSEAFTVLHSVYQSSWTSRTLDETPQHLAFYIPHSGSFRLS IGKTVVESGPGRLLMANNHEAGDRLILGGPHCSDALFLDWKVVRRMLVSLVEMPILDS LDLEPVVDLATPSGQLIGSLVQTIVQGMRNGGPLLSSPLALAAMSETLANLVIRFGRH RLSDHLEKQKVCLIAPWHVRRAIDYMHANIAEPLTMTMVADGVGVSLRALQTGFRAFR GTSPGGYLRTIRLQAARDQLRDPMNQRSVREICATWGFSHAGRFSIVYRSAFGESPRD TRLQAERLR YP_002973260.1 MPSKARPQFATETVERKVNLKDVARDADVSLSTASHALNGTAPL TIEVRERVLDSAKRLGYLDRRRKKATIATLRVLLLAIPDDAAPESDLNLVSWTILNGL RRECERRGIRIVPFVSTGRRIDGAQVRQIALLERADGIVVLNDDQPELIRSLASPDMP VVIVNGEDPAMLVDTVTPENRFGARLGIEHLLALGHRRILHLTWKGRTTIQRRYDGFS DAYFAAQLPVPDGMIVEAEGYEPRHGEAAINALLDRDPTMKGATAVFCAADNLALGCL KALADRDIRVPEAISVLGFDDIVPGEFSRPPLSTVSVPTDKLGAAALALIEQRLIAND PQRPAHRLELGCHLVLRGSIAAPRS YP_002973261.1 MTAQKKLTRSEQKALRPIQILDAAFEEFVRCGFTGTRVEDIADR VGVTKGTVYVYFETKEKLFEAMINHFSVPFQELLGITEGLSGSVTDRLISILGLLYEQ IAEDRTTRELTRLVIAEGHRFPDLIDRHHDQFIEPIIAKVDALILEGVASGEFREIPV EFSEIVVAPILTTTVLRLIFDDRRVPTPNKEAFLQAYFDLLLNGLLAKPH YP_002973262.1 MRTILVAAAIICAIGLGSCSDAGGPTEPTPREVGTVVAKSEPLV QGGAITGEVRARVQTDLSFRVSGKIIERLVEVGQSVKAGQLLARIDPEEQKADLGVAA ANLQSAEAQQTQAQLAYDRQQSLFRTQVTTRAALDQAQEALLTAQASTKSAQALLETA QDTLSYTELKADADGVITARNAEVGQVAQAAQVVFTLAHDGDRDAVFEVVESAFLRPI DGDGTVTLLSDPTQKIAAKVREISPTIDSSTGTIKVKVAISSDAPIPLGAPVIGRFNY VSQDVIQLPWSAMTSKGGNPAVWIVDPASSAVSARAVDVAGYETGSFIVKSGVSERDI VVTDGTKFLRPGEIVSYVKEASK YP_002973263.1 MSNRLKIVALMMGTALISSCAPQEENKEEAPRPVLSMTVKPTSA SSLSLTGTIEPTIETELGFRILGRMIARNVNVGDLVKKNDVVAAIDPLALELAVRNAQ SDVENSDAQLRNAVTTEQRQRALLESRSGTEASLEEAEQARRTAAAAVAKAQANLDKA KEQLGYAQLRAEFDGVVTATSAEVGQVVSAGQTVVTIARPDKRDAVVDVPQAAAQKLK IGAPFEVTLQLDPTIRTSGTVREIAPEAETATRTSRTKIELSDPPEAFRLGSVITASA TIAADPQIVLPSSAILIGSDGPSVWIVDAPAAKVSLRRVQIDGDVEDGGSVRVTEGLA PGERVIVAGVHKLEDGQAIRIDQEISQ YP_002973264.1 MKSFNLSDWALEHRSLVWYFMIVFILAGAFSYVKLGREEDPNFT IKTMVITAQWPGASAEEVTRQVTDRIEKKLQELESLDYTKSETVAGQTTVFVELLPTT KAKDVAPTWLRIRNMIADIKGDFPTGVVGPFFNDRFGDVFGNIYAFTSDGLTQRQLRD LVENARSEVLTVPNVGKVDVVGAQDEAIYLEFSTRQIAALGIDQQAVIQTLQAQNAVT QSGFVDAGPERIALRVSGQFTSEASLRSINLRINDRFFPLTDVATIKRGYVDPPSALF RFNGEPAIGLAIGMKQGANLLEFGEGLDAQMKRVVADLPIGVDVHRVSDQPAVVDEAV SGFTRALFEAIAIVLIISFISLGLRAGMVVAISIPLVLAITFVVMEYSGISLQRISLG ALIIALGLLVDDAMIAVEMMVARLEAGDDIRRAATHVYTSTAFPMLTGTLVTVAGFIP IGLNDSAAGEFTFTLFVVIAVSLIVSWVVAVLFTPLLGVTILPKTMKSHYEKKGRFAS IFSWLLGLAMRWRWVTIILTVGVFGLSIGGMGLVQQQFFPNSDRPELIIDWNLPHNSS IAETNRQMARFEKEMLADNKDIDHWTTYVGQGAPRFILSFDVQTPNVSFGQTIIVTKG LDVRDKVRTELQGYLTKTFAGTDAFVKLLDIGPPVGKPVQYRISGPDIQKVRDLSQQF AGVMGSHPLLTNMVLDWNEPSRVVKIDVLQDKARQLGVSSEDIATALNGIVEGSTATQ VRDGIYLVNVIGRARASERDSIQTLQNLQLSTSNGKVVPLSAVANFRYELEQPTIWRR DRQPTITVKAAVVGPTQPATIVDQLTPKVEDFQKGLPVGYKVEVGGAVESSADAQGPI AAVAPLMLFAMATILMIQLQSFSRLFLVFAVAPTALIGVVAALLLSNAPMGFVAILGV LALIGILIRNSVILVVQIEHLRSEGMAPWQAVVEATEHRMRPIMLTAAAATLALIPIS REIFWGPMAYAMMGGIVVGTALTLLFLPALYVAWFRIPRDERVQAEAAAKA YP_002973265.1 MTEQRLKESPMGAKAMLVAIGLAMLAGCATRPSPDVLNPVRLPV HLPGHLNASLHSDEAAANHVNVLAATNRSPDTARGGFGSAWADNLTYEQYAFSVPPNR KDTAITYPTARPDPERQFAVIGRKQLAKAAFVQAALGSVQSDGTVGIFVHGYNYSYQE ALFRTAQIAADANIPGSPILFSWPSAAAVAGYVADRDAALSSRSDLDSLITSLSASGK VKRVILFGHSMGGFLVMETVRELKLQHRDDVIGKLAVILAAPDIDVDVFRSQLKDIGR MPIPISLLVSKDDRALVASSFIAGERARVGRLDIDDPVIREAALKERLRVIDITSIQA SDGMGHDRYASLAKFGAQLASFESGKRSTAGEVGAYVFDAAGAAVASPFRLAGRVVGS Q YP_002973266.1 MSVRNPSPSLYNEDLAPAEERKWGAFSIFNVWTSDVHSLWGYYL AASLFLLCGSFVNFVIAIGLGSLVIFCLMSLVGNAGVRTGVPFPVLARASFGTFGANV PALVRAVVACFWYGAQTAAASGAIVALLIRNESLLAFHQNSHLLGHSTLEVICYVIVW SLQLLIIQRGMETVRKFQDWAGPAVWIMMLMLAVYLVVKSGTFSFGSEIPRDVLIEKT KDAGVPGEPGSIAALAAVAATWITYFAALYLNFCDFSRYATSEKALKKGNLWGLPINL LAFCLVAGVTTTAAFTVYGEVLLHPEQISAKFDSWFLALLAALTFAIATLGINVVANF VSPAFDFSNVFPRQINFKRGGYIAALIALVLYPFAPWETGAAHFVNFIGSTMGPIFGI MMVDYYLIRRSQLNVEALYHENGEFRFQSGWHGNAFIAFAVGVLFSSILPTFTTILPD WWGTYGWFFGVAIGGAIYFVLRMGARRNPAFAS YP_002973267.1 MRRPNIKSSLLLIFTGIALLFGLVAYLAVDGLQKTNGSTEEIAT NWLPSVQASQAINLAMTNLRLAYRDHIIAQSDAEKKTREDAIKVAEDSVGKSVDAYLS LASSDHERELIKTIKESVGGYITSSSQLLVLSRANKTEEAGQYLGGEMRSYSDKLKEA TAALVELNVSGSNKAAELSKEAFASIEFELFAAIGFAGLLVLGAVVFVLAGIANPVTG ITAAMRRLAEGDTGSVIPYADRADEIGSMAGAVEIFRQAAITNKRMAIEADENRNRAE ADRLAAQQQAEADASERLRIATSGLAAGLKRLAGGDLAFQLNETFAPDFEALRHDFNQ SVTQLGATLRAISDSIGTIDAGTREISSGASDLAKRTEQQAASLEETAAALEEITANV SNSSNRTEETRTVATEANRSAGVSAEVVSHAEEAMERIETSSQQISNIISVIDEIAFQ TNLLALNAGVEAARAGEAGKGFAVVAQEVRELAQRSASAAKEIKGLIQNSSKEVEGGV KLVRDTGQALKTIGGFITQINHHMDSIATSAKEQSIGLSEVNVAVNRMDQTTQQNATM VQQSTAASDSLAQEAQKLRELIAQFRLDDAVSQSSALRSTARTMAQPAARPAMHAVAA RR YP_002973268.1 MERLKDLDSALAREGGGSTSPPYERPGVVAAAVYQHGQRIRDIR IEEAGEWRSRENAIVWIGLHEPDEVLLHQVQAEFNLHALAIEDAAQPHQRPKLEIYGD AMFIVARTAHMKDDEIIFGETHLFVGRGYVVSVRHGDSSSYLAVRQRCEATPAALAHG ENYILYSILDFIVDNYMPVIEVVQEEVEKLEDLVLREQLEKSDIERLYLLRRKLLRLR NAVVPLVDVCRRYEHIDLPGMDPTLQSLFRDVTDHVRRVQEDIDALREVLAFAFEASV MIGQTEQTAIARKLAAWAAILAVPTAIAGIYGMNFSDMPELKTQYGYFVVLGVIVTLC LGLFGFFRRKKWL YP_002973269.1 MNPSVELCSYPELDDRIVIVRAGDEVDAVFVRTERFNVLIDTLG TPELCMAALNLLEAQTNARPLIVVNSHMDWDHFWGNAAIAGRAPIIAHAAALDRLRAP SAREVLRDKASQESRFRNLDLIGPDITFSASMTLNGGDLTLELIHTPGHTPDHIAVWI PELRVCLAVDAVEYPIPEVWSKNAGDLRLIRSSLERIRDLNARLVIPAHGRTHSPSAV DDNLAYFQALANRVGSMSESQLADPQLGSSSGLRLEDFVLIPDGMASDTAAFYRQCHE TNLGATVQAHHEKLKFA YP_002973270.1 MAAHGTPLLRSTLLSAALSACALCLASWSIETDRSTEHTHGLFE IREGAKRFIAHENAKGHPQWDVLEPNLKTLVPRCAVPLETRWTPKSNGRSKLSVMVTC TAAVPNTVMRRWNVHVAVERKPIQAGSSAPSERAGARTGLDVSE YP_002973271.1 MSFSPNQGVLSTPPRIYYVNPLLLQGIDAWREVFDHAADTGFDR VLTAPLFDRGGERSIFASQDLKRLDPQLSLGSAVENGVGRLAEAARKSGVALMMDLML DGKARDPKVGFHPVDPRRSPLDPAEPMTAMEAESQSRLLEEWTERLRGLAGLGLAGYR ALGIDRIGPAVFKSLISAVREKTDAQFLAWTPGTDFGVRDAVKNTGFDGCFSSMAWWD FDERWFIEEHRVQKPLGWQIAFPEPPFGRRIAHGTESREILERRAVRALRLAASLGGG LMVPMGFEYGAATPLDPTHGNGTGLRGLRHDLAFDISSEIRLANTEIGKEPHALASSL RLIRNANGPVSALLQSAAEDPRSAENVRFILLNRDLRKSAPAPVTALREAGSGFLPVA ADGTVLRLRAGETLVVEGKAPAPITSRPILDVAQAIASPRLAIENILPRVDDGRFPVK RVVGDILTVEADIFADGHDPIAVVLLWRPLDAADWNETEMQLVENDRWRAEFLLERIG RYEFAVEAWKNPFAIFRYELTKKNDARLDLKLELQEGLNLIRSAEVHAGATLNAELKA LGDSLEGASDTERTAILLDAGTSELMNKADNRPFRLRSTASAVDAERKEAAFASWYQI FPRSQSGDPDRHGTFDDVIPRLPAIRDMGFDVLYFPPIHPIGSTNRKGRNNTLKAAPG DPGSPYAIGSEDGGHDAIHPELGEFEDFRRLVDAAGRHGLEIALDLAIQASPDHPWLK EHPGWFDWRPDGTIKYAENPPKKYEDIVNVDFYTKDALPSLWVELRDVVQLWVDQGVK LFRVDNPHTKPFPFWEWLIGDIRGRHPDVVFLSEAFTKPKVMYRLAKIGFSQSYTYFT WRNAKWELEQYMRELTETAPKEFFRPHFFVNTHDINPDFLQNAPRPAFLIRAALAATL SGLWGVYNGFELCEGRPDAKRKEYADSEKYEIRAWDYDRPGNIIAEIRTLNRIRNENT ALHSHLGLTLLNARNDNILFFEKASRARDNVLLIAISLDPHNFQQSDVELPLWQWSLG DGGTLDVEDLIGGHRFKWTGKWQSISLNPEVLPYAIWRIRSTEA YP_002973272.1 MDTMNADSASQPLWYKDAIIYQLHIKSFYDANGDGVGDFAGLHQ KLDHIAALGVNAIWLLPFFPSPRRDDGYDIADYGSVSPDYGTVEDFRAFVDAAHQRNI RVIIELVINHTSDQHPWFQRARQSPAGSPERDFYVWSDTDQKFPETRIIFIDTEKSNW TWDAVAGAYYWHRFYSHQPDLNFDSPLVMEELLRVMRFWLETGIDGFRLDAIPYLVER EGTINENLPETHAILKRIRAALDATHPGVMLLAEANQWPEDTREYFGDGDECHMAFHF PLMPRMYMAIAKEDRFPITDILRQTPEIPDNCQWAIFLRNHDELTLEMVTDAERDYLW ETYASDKRARINLGIRRRLAPLMERDRRRIELMNALLLSMPGTPVIYYGDEIGMGDNI YLGDRDGVRTPMQWSPDRNGGFSRADPARLVLPPVADPLYGFEAVNVEAQSTDAHSLL NWTRRMLALRGRHPAFGRGTLRFLSPENRKILAYLREYEGEVLLCVASLSRLPQAVEL DLSSFEGRVPIELTGMSPFPPIGQLTYLLTLPPYGFFWFQLTADADPPAWRTAPPEQL PDLLTMVIRRSLLDLVDEPGHARILSGEILPAYLSRRRWFGAKDQPLQAARLVSATPI PFADGVVLGELEVVLPNHSESYQLPLTVAWDDAHPSALAQQLALGRIRQGRRVGFLTD GFAVEAMARGILHGLRDRSRTTGRTGTLEFLGTEQLDSLDISDELPVHWLSAEQSNSS LLVGDVAMIKLIRHIFPGIHPEVEMTRYLTRAGYDHTAPLLGEVAHTDSSGRRSTLII VQGAIRNQGDAWNWMLNNLRRGADELVLNDPAVQPDDDVFQSLISFVAMVGLRLGELH VVLAAKTGDEAFSPVVSGDKEVEAMKKAVSGEVAYAMSKLDEREQNADPAIDLLAAPL LERRSELAELAGTLAESCRHTLMTRTHGDFHLGQILVSEGDAVIIDFEGEPAKNLTER RAKTNPLRDVAGLLRSLSYLVATAQLDNDAVIEHDNEVRRKAIARFGRNAEEAFLDAY WQAVSVSKELDMPPDQRRRVLDAFLLEKAAYEIAYEARNRPKWLPIPLSGLTEIVSRL AGVTA YP_002973273.1 MNVERSELLAGIGQDALWALIDGRHGDPFSILGPHQTGGMTIVR AYLPGAEAVDLIEAATGRVVTPFSIAHPSGLFAAAAASRTGYRLRITWPDAVQITEDP YSFGLLLGELDLHLISEGTHYSLSRTLGAVDMSIDGISGVRFAVWAPNARRVSVVGDF NAWDGRRNPMRLRPSAGVWELFIPRLAPGERYKFEIVDAQGTCLPQKADPVARASEAA PSTASIVASSTPFRWTDDGWMKGRSRQDRLEGAFSVYEVHVGSWLRDQKDGNRSLDWV ELSQRLVPYVSDMGFTHIELLPIMEHPFGGSWGYQPLGLFAPTGRYGTPEDFAYFVDR CHGAGLGVILDWVPAHFPTDAWGLARFDGSALYEHEDPREGFHRDWNTLIYNLGRNEV KGFLIASALEWLERYHIDGLRVDAVASMLYRDYSRNEGEWIPNQYGGRENLEAVEFFK HLNSIIHERCPHAMTIAEESTAWPGVTKPPEQGGLGFDIKWNMGWMHDSLSYIEKDPI YRSYAHGTMTFGMIYAYSERFILPISHDEVVYGKGSLLAKMPGDEWQKFANLRSYLAF MWGHPGKKLLFMGSEIAQPGEWNHDGSVTWDVLDRPQHVGIQRLVKDLNGLYADEPAL QFGDFHPEGFEWAAADDAVNSVLGMLRYAPDRASPVLVMSNFTPVPRYGYRVGVPSDG VWIEKMTTDAREYGGSGLVNGAVSTEPVPAHGRPVSLALTLPPLSTIFLQGPSP YP_002973274.1 MSIKADNLIWKIGKKTILDGVSMEAQPGRMLGLLGPNGSGKTSL LRLLAGLKRPHSGRVTLDRSDIGTISRRSIARRIAFVEQHATTNANLRVVDVVKLGRF PHRSMFSGWTKTDEEAVEEALARAGMAEKRDDRWQSLSGGEKQRTHIARALAQSPQEL ILDEPTNHLDIQHQIGLMRLVSGLPITSIVALHDLNHAAMFCDQLIIMQQGRIVASGA PQDVLSEDLLRDVFSVEARIEASPYHARPHIHYLR YP_002973275.1 MTEAGRIFRQLGAVLALLLASFCLIAIAIGVSVGIGDLPIPLAT TFSAVTNRLGWTAVELNRIHETVIWDYRLSRALVAAFCGAGLALSGAIMQSLLRNPLA EPYVLGISAGASTGAVAIVILGIGAGAVSLSAGAFAGAFAAFFFVALLSNGTRGGADR TILAGVAASQLFNATTSYIVTTSANAQQARDVMFWLLGSFGGVRWPEFALVSIVVGIG LIGCLFYARVLDAFTFGDEAASSLGVNVGRARMVLFALTAMMTATIVSMVGSIGFVGL VVPHVARFVVGPLHVRLLPACAIAGAIFMVLADIAARALIPNQILPIGVVTALVGVPF FSIILYRFQRAS YP_002973276.1 MTSLKSLLAACGLSAMIGLAANPSLAGSTTYPLSLENCGAQVTF QKAPERAIGLGQNSAEILLLLGLQDKMAGTAFWPSKVLPQLAEANAKVKLLTVEMPTF ESMLAENPDFVAVALPSLVGPNSKVAKREDFDKVGVATYLSPSTCLSTKDVKDQYGSR AELWNMDLLYKEIDELSQIFDVADRGQALIADFKAREAKLRSSVSRDGKSLSYVFWFS SPSPSADAYLGGKNSASGFIADLLGGHNAISAEAEWPTLGWEGIIASDPDIIVVASLD RNRWELDKPEAKINFLNTDPAVSQIPAVKNKAIVIMDGQAMNPTVRTVYGAEQVAGQL KALGLLK YP_002973277.1 MAFNRKGTLPLSDILKEIVAIFAVYGKSLSPVGPV YP_002973278.1 MQAGDRRKLHCPPAWPPIRHCRLISFPPGRSSIGSIGRISIPSS LDPVPGLPPPTVFRQRQQTFQNSLYRDEPLRGARAETILRNPRRLMVSMAEITTRAIS ELSCIRPLRIVRMHGTGLEALGTDMPSPPAPTDHAAFGPTCSGTMPTGRTVSPTSRVT IPARSALPFRT YP_002973279.1 MAHHASGTVTVREEANSIRTAFLRRATSALVRISANVSAKDLAE ALSAPTDAGSLAQLLSRSDMVGAAINDLDPLVPALARNVEHRQNLVERAGGTMSAEDA GRVLGISRQAVDKRRRAGTLLAVREGRDWRYPLCQFNQGEVIAGISDVVGGFAAAGPW VALDFLLAPDTVLGGQTPLQALRDGDREAVRHLIRIETSHGLA YP_002973280.1 MFDGFTLDAIDTAAGSLRVRHGGSGPAVLLLHGHPRTHMTWGKA ADFLSSGYHVVCPDLPGFGRSYQPGDAPDSSNSSKRAKAHALVELMKRLGHESFFVVG HDRGSLTAFRMAMDHPACVRKLVIVDAIPVIEHLERADWTFARDWYHWFFFAQPEKPE RAISANPLAWYDKLSPRLMGSEAYDDLLDVIRDPGVIHGMIEDYRAGLSIDHLHDSAD RDAGRKVNCPMLCLWSLSDDLEKIYGDPVAIWRSWADDVRGYGIESGHHVAEENPYAL SRAMREFFEAH YP_002973281.1 MNTANLQLKGLIMAMASICDAIVEKELLTSQELNAALSKAKKAI EDDDDHELSGANRAAILFPIRVLQLAEEAGRKGERLTFSDYAKLVGKLS YP_002973282.1 MSNVSRTGKEEINNATSPTTFGKSVESQAQIEDAAQASSDIGGE MLNIYRLEPIAAPDDPRWDNSPGHGTVVVAARTPGDARIVAAARELDFMEVDAAPAED VTTVNASAFRDDKLYTVIEIDRNRRDITRGILEGAVAVDTIRPVQPD YP_002973283.1 MSNQTSEFARDSVAVDSLNGHDHKTMAFDDASALLEVLVAVRRG DFSVRMRSDLTGLTGKVADALNDIIAANQRMAQQLEHVGQVVGRDGRTSTRVRFGLSD GSWSEMEGSINGLIDDLLWPTTAVTRTITAVVKGDLLRTVPLDVDGRPLKGEFLRSAD IVNTMIKQLSVFTSEVTRVAREVGTDGKLGGQAQVPEVTGVWKDLTESVNSMASNLTA QVRNIAEVTIAVANGDLSKKITVDVRGEILQLKEAINTMVDQLRSFASEVTRVAREVG TEGKLGGQALVPGVAGTWKDLTDSVNAMCGNLTAQVRNIAQVTTAVARGDLSRKITVD VSGEILELKETINTMVDQLNGFAGEVTRVAREVGTEGRLGGQAQVPGVAGTWKDLTDN VNSMASNLTAQVRNIAEVSTAIANGDLSKKITVTVSGEILELKETINTMVDQLNAFAS EVTRVAREVGTEGRLGGQANVRGVAGTWKDLTENVNSMGGNLTAQVRNIAEVSTAIAN GDLSKKITVDVKGEILELKETINTMVDQLNAFASEVTRVAREVGTEGRLGGQANVRGV AGTWKDLTDSVNSMASNLTGQVRNIAEVATAVAQGDLSKKITVTVSGEILELKETINT MVDQLNGFAGEVTRVAREVGTEGRLGGQANVLGVAGTWKDLTDSVNSMAGNLTAQVRN IAEVSTAIANGDLSKKITVSVSGEILELKETLNTMVDQLNRFASEVTRVAREVGTEGK LGGQAQVPGVAGTWKDLTENVNSMASNLTGQVRNIAEVTTAVARGDLSRKITVDVKGE ILELKNTINTMVDQLNAFAGEVTRVAREVGTEGKLGGQAQVSGVAGTWKDLTDSVNSM AGNLTAQVRNIAEVATAIANGDLSRKITVDVRGEILLLKDTLNTMVDQLRSFAGEVTR VAREVGTDGRLGGQAVVPGVAGTWKDLTDNVNLLAANLTTQVRNIAEVTTAVARGDLS RKITVDVKGEILELKNTINTMVDQLNAFAGEVTRVAREVGTEGKLGGQAQVPGVAGTW KDLTDTVNVMAANLTEQVRGIVKVVTAVANGDLKQNLTVASKGEVAALAETINNMTNT LATFADQVTTVAREVGVEGRLGGQANVPGTAGTWKDLTGNVNLLAANLTTQVRAIAEV ATAVTKGDLTRSIKVDARGEVAELKDNINTMIDNLRLTTERNTEQDWLKTNLARFTNM LQGQRDLTLVGKMLLSELAPLVGAHQGVIYQVDADERQPILSLLSVYAKGGEAAHPAR LEFGQGLVGQCASDARRILVTDLPDNVVPISSGVFTTLPRSAIVLPVHFEGQVKAVIE LASVGEFTELQLSFLDQLTTSIGIVLNSIEATMQTEGLLKQSQQLAAELQTQQRELQQ TNEQLGQKAQQLEERNVEVEAKNQEIEQARRALEEKATELALTSKYKSEFLANMSHEL RTPLNSILILGQQLGENPDGNLSGKQVEFAKTIHGAGTDLLNLISDILDLSKIESGTV SVDAEEIFVSNLLEMMARPFRHEAENRDLSFSVDVGADVAKSLITDSKRLQQILKNLL SNAFKFTAQGGVTLRVASATSGWSSDHPSLKHAPSVIAFEVVDTGIGIPPEKQRIIFE AFQQADASTSRKYGGTGLGLAISRELANLLGGEIQLRSTPGIGSTFVLYLPLTYVGAG AAAPKTVPSANVVEFAEAAANRRAEKPIEHVEDDRHQIEAGDSVLLVVEDDAHYARVL VDLARDNGFKVLVAMRGSDALALAQDYRPAAISLDIFLPDMLGWTVLSQLKQNPQTRH IPVQIISLDEDRQHGLTRGAFAFMSKPTTPEGLGKALSRLKAYAQPRRKHLLLVEDNE AERLSVTALLGHDDIDITSVGSGSEALDALRQNAADCVVLDLSLPDMSGFDVLEQIRD DAEIREVPVVVFTGRELSAEEDAALHSMARSVVVKGVESPERLLDETALFLHRVVADL PAAKQATLQELHSSDEDLVGETVLLVDDDARNIFALSSVLERRGMKVLTATTGSEAID VINNEPSVAIVLMDIMMPGMDGYETMQVIRSEPRFRRLPIVALTAKAMKGDREKCLEA GASDYLAKPVNTEQLLSALRMWLHR YP_002973284.1 MNPVNILLVDDQPAKLLSYEVILEELEENLIKAQSAREAFEHLL RTEIAVILVDVCMPEQDGFELVSMIRQHPRYQNTPIIFVSAVMLAEPDRLRGYAVGAV DYVSVPIVPEVLRAKVRVFAELYRKTRELERLNVELEARVQQRTAELEASAAQLRELN EELEHRIDQRTREREEALAQLFEAQKLDTIGHLTGGVAHDFNNLLMAVLGSLNLLKKR LPADERSERLVTNAIQAAERGTALTQRLLAFARRQELKPQAVDFFRLFENIEDLLAKA VGPRIEIRKSIPADLAPLLVDSNQLELALLNLFVNARDALESGGAVTVAAAAAEEARP ASLAGGNYIRISVSDDGEGMDEATVSRAAEPFFTTKGVGKGTGLGLSMVHGLAAQSGG SIQISSVRGKGTTVSLWLPVAEAFVKVQPPVELPATEPLKPASRPLAILVVDDDALVR TGTVAMLEDLGHLPQEASSASQALEFFAHGQDCDLVITDHAMPGMTGAELARHLRSSF PGLPIILASGYAEFSEDHGLGRMLRMKKPFTQEQLQAAMDQALSGKVAAA YP_002973285.1 MRSTQQMSITLPLEMAKRVKQRVSNGYYASESEVTREGLRALQE RENAVENWLRTEVTAIYDAYKADIRLPDEAELDWAGIYSPQDIRIFCRRPRLCGPHQD LRKWVRNVPRTPQHPRSCPTRVTHCGFERRVSVAFELSPPNCYPPHPLCRAAV YP_002973286.1 MTFGPASTEEGFLFRLWAPLHESVLLKIEGDDPRPMQAVGDGWH HSTVADANVSTRYCFVLPDGLEIPDPASRFQPQDVHGPSEVVDLSFYRWKTSDWTGRP WEEMVIYEMHIGCFTPEGTFKAAIERLDHLQALGVTALQIMPLSEFPGRYSWGYDGVL PYAPDSSYGRPEDFMALVDAAHQHGISVFLDVVYNHFGPDGNYIPAYAPLFTDHHKTP WGNGINYDGDGSEMIREFIIENAIYWITEFRLDGFRFDAVHAIKDDSSEHLLHALARR VRAAAGDRHVHLIVENEENDSDLLQRDENGEVKLFTAQWNDDVHHVLHITATGETFGY YADYAGDAGKLGRALAEGFVFQGEHMPYRGGSRGKPSGHLPPTAFISFIQNHDQIGNR ALGDRVLASSPADVVKAVAAIYLLAPEIPMLFMGEEWGAREPFPFFCDFDEDLNEKVR KGRREELSRLPGFDADDLLDPTAPSTFAAAKLDWSRLASSELLGFYRMLLDLRHRRIV PLLKGAGAGTAVYRSAGSALAVDWTLAQNRRLHLQANLGAEAVPLVSPQDDGETIFGL GGSDGGDLAPWTVIWNISEA YP_002973287.1 MKPAEFDKLARRHGISPTRPSPDNREVAISAETKRKILSALKID VPGSADPATCALRPKPAAKKIARSFLPDFLSGTRVWGVSLQLYELRSARNWGIGDFED LSDMADLAGSLGADFIGLTPLHAPFLADPDRCSPYEPSSRQHLNPLYIAVERLPGFAC GPELERHLESLRQTDLVDYVGVAQIKLRVLRDLWPAWRQSSVIDDAYDPADFDAFITQ GGNNLRLHALFECLSFSMVERGTGAGWQRWPADFQRFESAAVAEFEREHADDVLFHMW LQWLAHRQLMQAADRARKAGLRIGLYLDLAVGEAVDGSATWSEPDTYVSKATIGSPPD PFAVDGQDWHLAGYLPSAIAAGEMSPFRRMLSAAMRYAGAIRIDHAAALRRLFLVPLG SRPDGGAYVCYPADRLLQILAEVSAEHRCLVIGEDLGLIPKGLQDDLATAHILSYRIL SYEQDEKGFKPADVYPALALACISTHDHQTLAGWWRGADIQARCDHGIVPPDLTKKHL EDRKRERRNLKAALKAAGLELPARLFQARASEETLRELTVSAYRFIARTPSLLAAVRL ADLTDEKRPTNVPGTSDSYPNWKPKLSVLLADLMSSPLLKSVTAAMREERPRE YP_002973288.1 MTSAFILIALALIIIYAGPTLLFICVGYADYMLERRRHMVALKH AVKRRSDEF YP_002973289.1 MLARPAGYAGAAIAALWAACQIGRLYSSTEPFGPELMNVARNLG IFILPALVLLLAGPFRMWFDRFAPLYPFVLGAGILNIYVQDDALAAGLPMIVLVYPFL VIFALGSRPDDHRRGHGRTEHHFFAAWRQGHGERLAIRRGVSQTPLPEAGFANLPLHP FSGKSE YP_002973290.1 MKRSIGFGLVFAVVLTGCTTGAGSYSSAGLAPIPGSITYNGQPR TKLTKSPVGSSFPHTFTDQWGRQVEEIYIIRPDRSLLIAERHYRPVFSLDDD YP_002973291.1 MPRRKSTETTTLDTKGAKIGEPVPKAVIDTNTASVGVPRQSDHD LAEELGALRKQIGTLQQQVLDAARSVKGSAGKAMRQTEAAVKLYPASTLLLVAAVAGA LAFAGRRAALPRHRPQPALRELRDLYDTIRERI YP_002973292.1 MFDIIIRSALDIVGRTERLIEAMRRMLQNDDLDEVEVYELDHEI ERLGDVVFNVDEAVRSLARTVECWPQAALAHGIRRTLH YP_002973293.1 MTLKSSGEKSPHEFIFPVHTRTIAEIRYNSLSRIMTVVYHDGHS CTTSGIQGPAMLRILAQRPLERSPFLLQTVI YP_002973294.1 MTFPPPKTESPAERFEEATAAARDALERLIAAANEAGWGTEEIT AALLKAAQSLSDANKRDPDPADDPSISDAAARQDQIGHGELYD YP_002973295.1 MEDSAHPKRAVTVADVAKASKVSKATAARVLGGYGVVSAKITDQ VMAAAAALEYRPNELARSMSTGRSGIIGVVVGDIENAFFSLAVRGISDAARLAGFNVI IANSGEELDAEKSAVDLLIGKRVDGLIVTPARCDSIDHLHHVRRAGVPLVLFDRAIPE LDVDAVTGDDRDAAIAATRYLIEQGHRRLAYVSAMDAEGGGPTDIGRISNSAVRERVE GFVSVLTEAGLPNPLHYVRLGATDQRQTDGVIKSLLADSAAPTALLASDSLVGLRIFK SLQSLGLSIPKDVSMISFLDADWTSVTVPPITIVDQRVYEMGKLAGERLIARIERTPL AVERLRVRTSLVLRGSVATIGR YP_002973296.1 MKPFLFFPAIMAPLRAGLVTCLLAMGAGSAAAADNPYNLIEPGT ISVGTMGDSKPYTFATADGQFTGFDIELFLNVVSRLGFQKDKVTFTGQEFSALLPSVA NERFDVAVAAIGTTEARKKTIDFSDGYLAGYLSVLTPDAGIKDADGLKGKRLGVVQGT LQEVYAAKNFEGTDLVKFPDNNSAVAALNNGTVDAHFLDYEAAKQYGERYPALKVAVN IPSFDAPAGFVVRKGNDAFRTALNGALHDAMQDGTWKTLYEKWFPGSPMPEQYLPKK YP_002973297.1 MNWLENLRRSFLDWDAMAEVLPSMISVGLKNTLILAAASTVLGV IIGMALAVMGISQSRWLRLPARIYTDVFRGLPAIVTILIIGQGFARIGREIFGPSPFP LGILALSLIAGAYIGEIFRSGIQSVERGQMEACRALSMSHGQGMRLIVIPQGIRRVLP ALVNQFIGNVKDSSLVYFLGLLASEREIFRVGQDQAVVTGNLSPLLLAGVFYLVITVP LTHFVNYIDARLRLGKQGRGSGVASGLVEVSELRAAGPHPTGTAEESTPRFKGGALNI RDLAMAYGDLDVLKGVDLDIAAGTVTCIIGPSGSGKSTLLRCMNRLVEPKGGDILLDG ESILAMKPERLRRRVGMVFQHFNLFPDHTALENVMLSLTKIKKMPRQEAQRIAEARLA EVGLAERRDHRPAGLSGGQQQRVAIARALAMDPEVMLFDEVTSALDPELVKGVLDLMA ALGRQGMTMAVVTHEMGFARRVADQVVFMDEGRIVEAGCPEQIFDNPKSERLKRFLAE VL YP_002973298.1 MNASTKPSKVVVIGGGIFGVSTAVHLARLGVRIVLINDGPLANG ASGRSLAWLNSARKRTDAYHRLRLAGIDRYRTLAVRYPDAPWLCFDGGLTWDADDAGN EIAEIFDYERDLGYHAQWLAPERIAAATPGVDASVVTRQGAIFNPGEGWVDLPSLIAT LVEEFRTLGGEIITDAGRATVDIEGGRARGVITADGMRRDADAVLLAAGGDVPAIVAE AGQHIGDATPVALLVRTKPIRHPLKAVLNTPRVAIRPTPNGGFALDSAWSEEEVSVKP DGSYEIRQSTLEGLLREASRVLEGNPALEVEDYGVGLKPIPGDGEPVFGELPSIPGYF VAFSHSGATLGLIAGELLADEIVTGRRHPLLAEFRPERFSASRR YP_002973299.1 MLKDELGDTGTNKDMRDAVETAPASFEEAGINPDLIRREGFASE AEYRAYIATLGGYASVGDTVEEPLPIYEGHVLEGRLQELREELENLRARLHVIQHQAA TVVTENVRWADASAHAQLGNQPWLKLAGAMAATFVATRGIMRLPLGAVATTALPLVAA AMNRKLAKRR YP_002973300.1 MPDQSIKILTYNVHSCIGGDRKLDPGRIASVIAEAEADIVALQE VDVLRRRTGGVDQAHAIASLLKMQAHFHPALSIAEEQYGDAIITSLPTGAVKAGPLPS IGEQRGAISVEILVGNRKLLVVNTHLGLRGRERIRQMTTLLNPGWLRGTTDEPLPTIL CGDFNAIPSSATYRLAARSLKDAQLAGSAAPRATFPSRYPLMRLDHIFVTDDLIVKQA AVLENRLTRIASDHLPLLAEIGFA YP_002973301.1 MSLKSMIWNGLLVAALCLAVFLLYRIFQQYSLDQIVQSVRSIPL STFCTALLFAAASYLCLSCFDLLAIRSLGKSLPYRNILLASFISLSLGHNIGFAGLSS GAFRYRFYSRWGLTAEDLAKIILFCGVTVGLGLITLGGIAMIVNPGDAGRLLRIDPAS VRIFGLLALVVPALYAGLAFFIRGTLGLWRWSFQLPPFSIAVAQVAVGTINFMLVSAC LHQMLSAFGDVAIFRSVTAYVLANSAILATHVPGGLGVLEATVSYVVPKEASIGALIA FRCAYFFIPLALGTTLLVISEVVFRRRSGGANEAADERAEAQSV YP_002973302.1 MTTFETIFNNPSLPQTKSQRPSDRQKQDGSDLRFQGSAAKTTFL INGNSYFAELARALRQARRTVWIVGWDFNPDIPMEPDKSDETLSDLLHELAAANPQLE IRILVWTLGPIYSDKSLRLLRKKSFPRNDRIDLRFDLQRAVRGCHHQKLVCIDDAVSF IGGMDLTSRRWDTWLHRAKDKLRRDPEGVSYDPLHDVQAMVAGDAARLIGDIARRRWE NATGENHLPLAEDVAFSWPDDLAVSMRDIAVSFALTEPSTAFRAGISDGIAMTLDVIA RARRQLYIEAQYLASFRVADAIAARLQEEDGPEVVIICTRSSHGLIEKLVMGGNRDRV IRRLKRADRADRLRVYYAVVPGPVTPGENGEVEVLVHSKLIIADDELVRIGSSNLNNR SEGLDGECDMLFEAGSDAHRGAIADLRNHLLSEYLGTTPETFAAALMQSGTLIEAVDA LNDGPRGLREFTVELSGSISPISGTAIFDPVRPFTVLNRLGLGALVRRLIRPA YP_002973303.1 MSQRAGSADLPLHGGRVPYWLGDRMTRLGTLITEAIVHHYGRDE FLRRLAHPFWFQSFGAVMGMDWHSSGITTSVLGALKRGLKPRAGELGLHVCGGRGAHS RKTPLELVSIGERVGLDGEGLATTSRLIAKVDSAALQDGFDLYLHGFIVADDGHWVVV QQGMNGDKRQARRYHWLSEGLESFVDSPHAAIEGRSQGEIVNLADRRAERSRRGQLDL LATLGPDRIIREAAALLRAEAPAPEPAEQPMLPHLIMPAHHDVRESDVNMRRLHGNLA AAADRGPADFEELLLVPGVGARTVKALAMVAEVVHGAPCRFSDPARFSIAHGGKDRHP FPVPLKVYDETIAVMKSAVQKGRLGREEELQALKRLDDQSRQMERYVTGPDLKEIIAG EVRQSADFGGRSVFGWEEPPAE YP_002973304.1 MASMTLEDLSSQLKKIDFCMLSTNAGSGRISARPMSNNGDVEYD GDSWFFSYEDSRKITEIEGIDTVSLTFTAPPSLLGKPGIFIAVEGFASLVRDKAAFEE HWVPDLERWFPEGVDTPGIVLIKVSASSIRYWDGEENGEVVLPGSAS YP_002973305.1 MTRLGIRKRGWRAFVFAGLCWTIPVLLLLATSGGHGAGLFLHDW GAWAKFLIAPVLLTLAEKPIGFALDECVSILFRIPLVSSQSIPDTRKALRDAGARTTA GFPELICLGLALAATVFNASTFLGGSAPPWAVGDGSISMTGFWCLAVGNTVYWFLLTR LVWKHVIWCLFLSSVARCHLRLVVTHPDGHGGLGFLSYYQAGYGLFTLAVSSVFAAGV GHVMQRETVTPGLFTAVCGAWLMVVVIYYAVPLVGVAMQVSRLKRKTILLSLSKVTDF ERWSERATLGDNVVGDESEPEVAEFRDVKPVYLASLKTSTMLINKGNVLPVLVPALLP MLVVGASYLPYSQLGPMVKRLLFL YP_002973306.1 MKWIGGFVLFVLMSVVATAQEAPRPQKVDDLVRLLQDPEVRSWL EKAPGPTLAAAQEADAGLATWEAATRSRIDGAVQAIPRIPAEVIAAAARTREDALSHG RLPVFVAFLGLTAVGLFAERLFVRSRRRAEGLQERLLAIGISAAAMAAVYFAFDWPVL PRIVLLVSLLALLAYRAISALLDTAMLTVPARRRTKIFAGAAIVGVAAASLGRPLSVD PSVTAAISFCFSFVLLALAVEGVVSSSERPFRMRIALCLAFVIVWALWCVGLRGLFWL GVYAMALPSVLRFAGQTATGMIPSEPDSTKRVLLVRGSRAVVVAVAVAWVAIVWRVDP NSLVHSDPAVASVAYGLLKSIVVLLLADLAWHLAKSWIDRRLSVQAEAGNDPSQAARR GRLRTLLPIFRNVLAVMVAAIAGLIVLAELGVEIGPLIAGAGIFGVALGFGSQTLVKD VISGVFYMLDDAFRVGEYIQAKSYKGTVEGFSLRSVRLRHHRGPVFTVPFGELGAVEN MSRDWVIDKFRVNVSYDTDIEKARKLAKKIGAELQADSELGPMFIQPLKMKGVEEFGD YGIVLSFAMTTVPGMQTYIPAQGLCQNSRGFPGERHRIRDAVGAGRRRRQGRRCRCGG RDTHAASQGGGRGGVEWPATTIACGSCMAGRSTS YP_002973307.1 MRRRFQASDTVLASFLVAALLLVSGVVGTAVAQEVLPSWNDTAA KTRIMDFVKATTAEGGEGYVVPEDRIAVFDNDGTLWSEQPIYFQFAFMLDRVKTLAAE HPEWQTKEPFKSVLAGDPMGVMKGGEKGIMDLAMTTHAGMTTDQFSKIVTDWFASAKH PKTAKPYDEMTFVPMRELLDYLRANGFKTYIVSGGGVEFMRPVTEKMYGIPPEQVVGS TITTEYAIVGDEPVLNRLPKIDFVDDGAGKPSGINKFIGRKPIFVAGNSDGDYEMLRW VTAKGPSFAMIVHHTDAEREVAYDRKSEIGKLDKALDEAERRNWLVVDMKADWKKVFS FEK YP_002973308.1 MALAFDSATEARRESEGMVWIPGRTFTMGSDHHYPEEAPAHPVK VDGFWMSLTPVTNRQFKQFVETTGYVTVAEIAPDPKDYPGAKPEMLRAGSLVFTQPKA VNGADISQWWTFKFGANWRRPLGGLSDLRGKLDHPVVHVAYADAAAYAEWAGLDLPTE AEWELAARGGLDDAEYAWGDEFAPGGVPMANTWSGTFPTHSMKPKGQERTSPVRSFPP NGFGLYDVIGNVWEWTSDYWSTRHPEPAKHSCCIPSNPRGRDAEASYDPRQPEIRIAR RVLKGGSHLCAPNYCRRYRPAARHAEPEDTSTSHVGFRCVRRTEGEVK YP_002973309.1 MRLPATLFWALACGQAIAAEPFGRAAIEGAEGIVPGQQVHVVVD IFAPDFFTSPPQYPLFEVPAALVTLSDDRAQNLVQTIADVQYSGIRRSYVIVPEKPGS VALPEIAVDLGYSANGSAIKAQVRVALPSFDVAAAPNQAASPFAARNLTVSQSFDRSP ADLKAGDAVVRTIVIFAEDMQAMLIPPVDLGRAAGVAQYVKPPSLTDGVEQRGIGRSV ETGSTRTETVVYTTSAAGGFQAPPVSYQWFDLDAHAAATATLPAVDIVVAKAPSTERI DPKFNPPGEDEGKNAWPVVLLSCAAVLAGFAAIVAWQRGSAIRAWAERRRMSRRNSPQ RRLRRFRTIIRVGPDPAIYRALQDWSLSLGFRSVSDWAEAQGNPRLAAQVTILERRLF RSRDMQLDRGKFADAITLAATNKGRARKSALPDLNPVA YP_002973310.1 MITDFHFLRPWLLLLLVLPAVILWMVSRSGDIRAQWKGMIAPHL LDRLVVDTSGRSRMRPSWLLAPLLATGIAGAAGPTWQREPPPFVEDTAPLIIAVDLSQ TMDAIDVTPSRLERAKLKIKDVIEVRQGARTAIIAYAGTAHLVLPPTEDAALLESYSE ALATRIMPTPGKDTAAALLLGQSLLDKEGVAGTILLLTDGVEAAAVQTLKSSGDGVVI LGIGTSAGGPVKTPDGGFLSDASGARLFPKLDVAGLQAVGREAGADVATITDDDTDVR WIMQRIRSNFAQKQETEGDRWRDLGWWLVVPVAVAMALSFRKGWVVRIAMLLLALRML SPGSAHAEGLIEMWLTADQQGRIAFERGKYDEAANHFADPMWKGVALYRAGKFEEAID AFASIDTAESWYDQGNALLQLSKFEEAVAAYEKALDKRSEWPDAEANLTVARQLLKQQ KEKQEEQPEQPSENPDSVQFDEKGKEGNEGQIDIAEQTSEMWMKNINVSPADLLARKF SLEARRRSP YP_002973311.1 MYQLDLPWLLLVLPLPVLVWWLLPAHRETSASVRLPFFSQVAKA AGVRPTEGSVVTRRTWPQLVCETLAWCLVVLALARPQFVEPPIEKVEPQRDILLALDL SQSMDARDFPGADGKPLARVEAVRQVVADFVGKRPGDRIGLVAFGDAPYPLAPFTMDH ELVRTMIADTVPGMAGPRTSLGDALGLAIKMFGKTTAPEKVLIVLTDGNDTASRMPPL KAAEIAKSKGVIFHTVGIGDPAATGEDKLDTATLQKIAASTGGRYFFGGDQSQLAAIY EVLDQITPEDQKNLSWRPRIELFHWPLLAAVALLAAYYLISGTAAAFRRRAAA YP_002973312.1 MEPEAKLDLMTEMALRSLHDIVVPAPVSWWPQTWGWALVAALLA FTALLVVLRWIRLYRANAYRREALALLEGISSKLRHPATRPDAIRDLAEVLKRTALGA WPREEVASLSGDGWVRFLDAHDEDGTGDTLERLLDDFEYHGAEIVADLPSNVCGDLVI AARKWIEQYHVSA YP_002973313.1 MSDAGVYVSTDELVALEARARDLSFVQKARSHQQLAGRMQSAMR GRGLIFEELRDYLPGDDIRSIDWRVTARTSRPVVRIYSEEKERPALIIVDQRINMFFG SRRSMKSVTAAEAAMLCAWRILGSGDRVGGFVFGESATSEAKPHRSRNAVIAFAEQIA RQNASLRADSKSEPDPQALDTVLSAVANIAHHDHLVVVVSDFDGHTATTQDILLRLSS RNDVICLLIYDPFLLDLPTSGDIVVSGGGPQAELALRTPSVRSSIDAFARNRGRELRA WQRRLGLPILPISAAEETAPQLRRLLEQSAWRQRRR YP_002973314.1 MTARDEILDLGTRIGRSIIGQETMVERLLLGLLANGHLLVEGLP GLAKTRAIKSLAKNLDSELSRVQFTPDLLPADITGSEIYFSEGGKGEFKFQQGPIFAN LILADEINRAPAKVQSALLEAMEERQVTVGGKSYPLPPLFMVMATQNPIEQEGTYPLP EAQLDRFLMHVEVGYPDEASEAAIMRLNRNEENQTHGGAKPPSPEKLNPQGVFDARKE IGTVTVSEPVEKYMVALVFATRYPNRYDKDLARLLQVGASPRGAIGLDKVSRSYAWLK GRDYVTPDDVKAIVHDVFRHRLILSYEAHASNTTPDKVIDRIVELVAVS YP_002973315.1 MSTPIYRRLLAATAAVAMTVTAAAIAPPVFAQQATTAPAAADAS KPNILVIFGDDVGQTNISAYSFGVVGYKTPNIDSIAKSGMMFTDYYAENSCTAGRSTF ITGQTCLRTGLCKVGAPGAPVGLQAGDITIAQALKPLGYATGQFGKNHLGDRDEYLPT KHGFDEFFGNLYHLNAEEEPEAPYWPKDDTEFLKAYSPRGVIKASADGKIEDSGPLTK KRMETIDDETSAAAMDFMDRQVKAKKPFFTWMNATRMHVFTHVRESMRGQSGMLGNEY ADGMVEHDQMVGKILKKLDELGIADNTIVVYSTDNGPNQFSWPDAATTPFRSEKDTNW EGAFRVPAMVKWPGHIQPGQVSNGMMSGLDWFPTLLAAAGDPDVKSRLLSGWKPEGSA SSFRNHLDGYNQLDYLTGKTDKSARHDFYYFDDDGALVATRYDDWKVVFKEQQLPGGF AVWQNPLVTWRIPKLFNLRMDPYERADVVSDQYNDWVIRNDYLLVKGQLQGAAFLETF VKYPPSQRVASFNIEGVRAEVDKAIDQSFKDRGIEK YP_002973316.1 MPRATSLRLIALLVLLAPLCACGHPRGVMQPVALTAATPGTAQV DMLVATTRQPSGDPATLFNGERSPKPSMTDVAVSIPPKREAGTVQWPQRLPPNPATDF AVTRVKQIDTIPEGRAWFRQHIQGGHALVFIHGFNNTYEDSVFRLAQIVHDSGMQATP ILFTWPSRAQLTGYEYDKESTNYSRTALEQALRVLAADPDVKDITILAHSMGTWLAME SLRQMGIRDGHVNAKIHNVILASPDIDIQVFAKQFVEMGDPKPKFTIFVSQDDRALAA SSFITGNVSRLGAIDPSKEPYRSRLEKAGITAIDLTKVKAGDSLHHGKFAESPDIVQL IGQRLMTGQTLTDSNISLGQGVAAVVGGTARTVGTVAGAAVAAPLVIIEQPARKRQPT GTELEDGLHNDRQSKPLTQ YP_002973317.1 MIRKLLVFSVASTFLAGAAVTLLPPLAFAAEGGAGFYLLGSKGP AAAITPPPGVFFSNDIYLYTGDLGGGKVLPTGGRLAVGVEGKAVIEVPTVLWILPEDV AGGHLGLSLTVPVGWKNTDADVTLAGPRGGTASGSISDPIFTVGDPVLGALLGWEAGN FHWQTALLVNVPIGDYQDGEISNIAFHHWGADISAGVTWLDPAIGLDLSAVVGMTFNA ENPATDYRTGNEFHVEWSAVQHFNEQFDAGLVGYYYDQVSGDSGAGASSDFKGRVAAI GATIGWTFKAGEVPISTRIKYFHEFAAENRAEGDAVYLTVSMPLSITKPMNIAAQ YP_002973318.1 MSSGAQAVCESEARSEAERLLADPRLHVSDRHRAFLRYIVDAVF EGRGDAVKAYSIAIDVFNRPASFDPSSDPIVRIEATRLRETLAKYYEQLGDEPGARLD IPRGRYVPVFVERGQPPCPGEDVSDVEEDIVPAAEDRTPSSGSAVKRKGHIAIALASF AAIAMAGGYAVFRAAMPAPLDTQKPFVSLSLNAAQKDTVAGEAVIEDLAVSLARFGTV RLKSDAMTRPGVEPAEQTTYDVRMRYGEDATSVSLWWQLSDAATGEAVWTDQDRRQKG TGTRDDAMRALVYGVSRRIAGPVGVVNTMELRRNLPASTTGNICVLRGEFAAEQRRLA ALKAARPCLEATIAADPADADAMATLARVFMWTGRTTGDDSYFGRGLELANRAATVSP VSTRAALAQLATQYQVGQNDASIAAGRRGVALNPENADLLAKLSMALFLSGHWEEGSR LAKEATDLVGQTIRDANFVMILDAYRQGHYAEAVLLARQVPAADTPTTVLKLAAIARL GDRPVTEQEIAAARLQHPDLDRTVAAMFSGARFDSSLKAALRTGILAAGLKSPELASN GSM YP_002973319.1 MRPPRWNALSSAPATERTDSLKSTGACVRRLNPMSSSAAVHAWR GYGRTATLNLSFTMHGNWIATFSDMTHIRDGLWTGGREVRNGSERHEQRGTGGL YP_002973320.1 MINKTVVAALAITLAAGLPVFAQDAPKPPEMTAQQAKEEVAYAI GLQACLWGFPLRFNALAGAEGVKAGGVAVNDIHKFTALKTAKDRFIVTPNNVTIDGYG LIELREPVVIVVPPLAEPRWYIVQIGDMFDEVAHNVAGTRGPEPGVYLVTGPDFEGKV PGDMKQVEIRTKRAVVGLRVLVRGEADLPKALEAQKGFRLIPLSAYLRDGLAYKPPVE KPDAFVPHSSETGALGYFDELGQAMMAFLSASADSDDELVASFRQIGLSVGAGFQPAL IDEPVKRGLERAAASGSAIIDSKWAAAGNTVDGWKYTFAGGRAGYDPALRAALAKYEL GAQLSDQVIYPNTSVDDTGEKLTGNRKYVLQFPAGGQPPVSVFWNMAMYAPDMLFVDN DFGRYSIGSTTDGLKPEADGSLTILIQNEKPAETANWLPAPKGEFNLTLRMYGPKTDV LDGTYHIPAVRQAK YP_002973321.1 MTPKTGVANAGNLIMTGVLLMLLGDLLFALNDAMGKWLVASFAV GQVLVIRSVGAFIVLGPMILRQGPLALFRVEQKGLQFIRVFMATCDVALFYAAVAYLP LADVMTFYMAGPIYIAALSHFFLGEKIGWRRWLAVLIGFAGVVIALRPSTAMLSLPSL FGLAGSLAFALSLVMSRYLRSTSDTTLVTWQTIAALVTGIALSISHWQPATLIDWSGM LLLGIVATCAHLLITRSLKLAPASLLAPLQYTLLLWAIILGYLFFNDVPDTQIIVGAA IIVVAGLFIFHRKNLKETVPTEAVPPDGH YP_002973322.1 MTFEQLSIFVAVAEREHLTKAAFAIGLTPSAVSSAIRNLETSYG VELFHRVGRRIELTYEGRVFLGEARATLARAKAAALVLSDLGGLQKGELVVFASQTIA SYWLPAMLMRFKIRYPGIDLKLMIGNTTTAAKAVLDGLAEVGFVEGSVDEPALHVQPL AEDELLVVVGPRHPWARGKPIAPAELVSGTKWVMREKGSGTRSAFEAAISNLGIVPGD LAVALQLPSNEAVISAAREGLCATVVSGTVAAPLLTQGLLVKARFPLPSRQFAILRHN QRHSSRASLALETICREDKAAEVQNWDDWAL YP_002973323.1 MIVSASRSLSLPAVLPGLVLCAVVTLSAYLIEQLQMMVFGSHWI ESLVLAILIGIAVRSSICLPQTFIPGIQFTAKTLLEIAVVLLGASLSTAAIRQAGLPL VGGIAVLVALSLVGSFVIGRLFGLSASLATLVACGNSICGNSAIAAAAPVIGAKPDDI AASIALTALLGIGAVLTLPLLHLLLGLSAVQYGVFAGLTAYAVPQVLAATASAGAVST HVGTLVKLIRVMMLGPVILLLGVVHGRRAGGSAVNLRHVLPWFILGFAAMATLRSLDA IPAPLLPGVAAVSAAFTVTAMAALGLSVDVRSVAHTGGRVLAAAALSLLALGALGLCL IGLLNIA YP_002973324.1 MRKFLSTTALAVLASTLFAGAANADAENPFRCKPGEKYVMNVMV SGVEYWFPVYEMFKQAGQQLGCETAYTGTPEYDVNKQIATFDQALAQNPAGILVHPMN SDPFIEPINRAIDQGTAVVTFAADAPLSKRISFVTSDNTREGTYAADAIAEKMGGKGE YAVLENPGQDNHDKRIAAFIARMEEKYPDMKLVGRAASNQDPNKAYQGLMSLVQAHPN IGAVFMPEANSAIGASQANKESGGKILVMCADVNANILDMIKAGEVFGSINPNQGMQG YMGFMLLWLAKHPELIDPMNDAKRVGFNPMSIPFVDNGLSIVTAANADDFYWDKYLKR RGTKGIEE YP_002973325.1 MASVPVLEIRNVSKHFGAVKALTEVSFSLEKGEVHALCGENGAG KSTLMNIIAGVLQPTEGDVLVDGAPVKVTSPAVAQSLGLGLVHQEIALCPDATVAENM FMAATNRRRSPFMNYGRLERDAQVVMNRLAPIDVRRKVGDLSISSQQLVEIAKALTLD CRVLIFDEPTAALTESETQVLFAIIRDLKAQGISIIYISHRMAEIFSLCDRVTVFRDG RYVSTEKVADLTPDDVVRRMVGREITQLYPEKQALAERTDEIILSVRDLGDGSRFTDV NFDLRKGEILGVGGLIGSGRTEIAEGICGLRPVIDGEVQLHGQRLRARSYAQAAQAGV VYLSEDRKGSGIFLDLSIAQNIAVLDLKSLTGPLGLLNSKAEADRAHNLVRRLGVRLG GIDMPVSSLSGGNQQKVAIAKQLAVNPKVILMDEPTRGIDVGAKSEIHRLLRDLARSG IGIVVISSELPELLGLCDRVLVIHEGTIAGEVEGEAMTEEAIMRLASGIGGHNNSKAS EHAA YP_002973326.1 MADATLAAAHQARAPGWKRLGTMREAGLIAIILALCIVMSFASP HFLTLGNFRAMLMSFSVEGIVVVGMTILLIVGGIDLSVGSVVCFSMVLSGSLFLMGLD PWSASLIGILASGLIGCVMGFFVTVVGLNHFITSLAAMVIVRGICLIITKGTPLSLFT LPPSFKAVGQGTFYGVPYVILIFVAVVVLFDFLLRRATAFRKVFYTGSNEKAALYSGI KTNQVKFWVTVLCATLSGVAGVIYMSRFGAATPTFGVGMELNIIAAAVIGGASLNGGS GTILGAILGIALLSVVTSSLILLDVSVYWQDMIKGCILLAAVSIDHFLHKRKAA YP_002973327.1 MPIAKLKPANAPREEIVIARQMHQALVLHFLEGLTQAQIADQLG ISHATVNRLIKRGRQLGLVEIKIKSPVEPLVDMEERLQALGGIGRAVVVPTVSDNPQT ALQAVGEAAARLLLEEITDGDTICITGGKGVSAVVAGLQPPRRFDVEVIPATGCVQGK HYTDVNHVSTLMADRLGGRSYQIHAPLFADDAEQRAMLINMRSVADVFKRAREAKVAV VGIGSILSDDSSYYDLHPSSSTDRAAIERSGASCELLAHLLDDHGQVCDYSLNRSLVS LTLAEFASIPTKIGVASGPNKAGPILSVLRGNHLDTLVTDEATGARVLALANGEGKWA YP_002973328.1 MSEQQLTREIGRSGVSASAVGLGTWAIGGWMWGGTDEAESIAAI QASLDAGVTLIDTAPAYGLGRSEEIVGKALTGRRDKAVIATKCGLVWHTQKGRHFFDQ DGKPVHRYLGRDAILHEVEESLRRLGTDYIDLYITHWQDPTTPIEETMRALQDLRSSG KIRAIGASNVSPDDLNGYIAAGGLDAIQERFSMIDREIEAELLPLTKANGIATLSYSS LALGLLSGTIGPDRVFSGDDQRKGNPRFSVGNRRKATALADAIRPVAEKHGASIAQIV IAWTLAQPGITFALCGARNPAQALDNARAGTIRLNAAELAAIDTAIAAKLTDMDR YP_002973329.1 MKRQEILDGLRRSPKVDVCVIGGGINGISVFRELSLQGLNVLLV EKHDYCSGASSALSRMVHGGLRYLENGEFKLVQESLVERDRLLRNAPHYVAPLPTTVP VFDIFSGLGNGIVRFLGLSRRPSRRGAIAIKAGLSIYDFLTRKRALMPHHQFRGRRTT LAKWPALNPDIKSSATYFDAWVSHPERLGIELLQDGLSAKFGAMALNYAELRLTEDGQ YRVEDQIGGASVAVEPALIINATGGWIDIANQTLFSPEARPAPLMGGTKGSHLIVDNA ALRDALADHMIYYENEDGRICILFPYLGKVLVGSTDIRVDDPETVRCEADERNYILQS LAFVLPDIVIRPEQIVFQFSGVRPLPASTDSFTGRIPRDHFCTVLEPSEGGPPVLCMI GGKWTTFRSFGELAADMSLERLGRQRRVATTDRPIGGGRSFPANAPAWLTQVAASKGL SGPRMGELFARYGTDAETIAGFIAAGPDAALPHAGYSMRELQFLIRCEAVEHLDDLLL RRTTLAVSGELSLDMMDAVLDLLTQEKHWTLAHRTRERDRFLTLLTERHGVDEDMLSA RNEPRSIECDTTAKSG YP_002973330.1 MRHNSKVRMNRLFGGGRCLDVAIDHGVCNEPSFLNGLEDIQAVV KALVSAGPDAIQMNYGQADLLQDVSGKDKPALVMRIDMGNPYNRIRHRDMWAVLQNEA EPLIGAIEMDAACVVVNLFMLPDEPDLFRQCVQNISRVRADCDKYGMPLMIEPLVMQP VTEKGGYMVDGDADKIVTLTRLAREMGADIVKADPTTNAEDFHRVVEAARCPVLVRGG GKEDLRAVFDKSAALMRQGAMGMVYGRNIYQHANPSAVVRGLMAIVHEDARGEQAIAL YQQG YP_002973331.1 MGNYLLGLDAGNTVIKAVIFDRAGKEIAAASEEGHSRMPSPGHV ERGLDELWTNARQVIRACIEKAEIQPEEIAAIGCAGHGNGLYALDRDGAPLIGIQSLD TRAAGLVDEWREAGVGDRTYPIARQRPWPSQTPTLLAWLKRHRSDLFNRIGTVFFSKD FVVNRLTGRRVSEVSDMSGAGLLDLAARRYDKALMEAYGLGDCMDLLPPLIESADIAG TVTEEVAAQTGLAAGTPVVGGLFDVVASALGSGVSRTGSASIIAGTWSINQVVIDSPD LDGPVFMSSTFDRSRYMAMENSATSAANLEWLVREFFEGEHAEGVSPFDACCALAGAI EPTADDPLYHPYLYGAQQDGHARAGFYGIAGWHTKGHLVRALLEGVAFGHRQHIETIR KAGAVFNEAVLSGGGSRSLIWPQIFADVLGVPVSVARSRETGALGAAIAAGTGVGIFA DFGAGAAAMVRTERLYRPDVSLEAHYARRYALYQDIAEAMAPLWRRLTAVPPAAAGAA A YP_002973332.1 MNIRVNDAAIDAGSYDIIIVGAGSAGCVLANRLSADPKTRVLLL EAGGSDRYHWVHVPIGYLYCMGNPRTDWMMRTAAEAGLNGRSLPYPRGKVLGGCSSIN GMIYMRGQAADYDGWRQAGNSGWGWDDVLPYFLKSEDNYRGQSPMHGAGGEWRVEKQR LSWPILDAFRDAAEELGIPKTDDFNDGDNEGSGYFEVNQRGGLRWNTTKAFLRPAMKR PNLRVLTGAETERLEFEGRMVTGVRFRLNGRSHLARAGREVILSAGAINSPKILELSG IGRPDVLSAAGLDVVHELPGVGENLQDHLQIRTVFRIEGAKTLNQLYHNLFTRAGMGL EYMLRRSGPLSMAPSQLGIFAKSDPAVATADLEYHVQPLSTDRLGEPLHKYPAVTVSV CNLRPESRGSVHVSGPNLSVAPEIRPNYLSTVGDRMVATKSIRHARRLMEAGAIAKYR PQEMLPGTEYRTDEDLIRRVGDIATTIFHPVGTCKMGSDTMAVVDSQLRVHGLAKLRV VDASIMPTIVSGNTNSPVIMIAEKAAESILSGV YP_002973333.1 MPTGTVKFFNDDKGFGFITPEGGGQDVFVHVSALQSGGSLREGD KVSFEVGQDRKTGKSKAENVSTL YP_002973334.1 MPPIRNQIIVERLYDTLLLEEPSVMMRMLCGPKVSQMFKILACA IAAATASMLTAGAAHADESAFLKTLAGNWSGNGTVKVRTNAPTIKVTCRFKSDANASS LALNGRCTSLVVFSRVISANLKASGDSYTGSYVGAGTGTAGLGGKRAGNAISLAIKWA KEVNGDRRAQMTIEKTGASGMRLTTVDTDPATGRSVVTSRIDLRRS YP_002973335.1 MRGPTPLISLVLPGRPLSLARNVISTPNAYFWSTPIILALAGFL FVSEAPGVIRDFQISQNPLTLENGDVQNGRCTTRKAVFTDCEARLVYSYGGRDYDTEV EVMFVDFHTGDYETGLVISADHPELATMSLGLDMLWNRIITLTVFAVLLGGMGLGMIF LAIRIWRVKGQLLRPAMLTPVPVEITAFDRKRGVLSITYNDKIADDKTGRSAYTRMKD GEEPLIVGEANGKAIGLAVRHGNTALPVLLDDRLQRVELTDKERTAALAPFAYQQERD QSVPVLIEDTKRTVSIWKRVKFFFGVLLLMVVGVVGFWLWYITTSPTAFQSPGMDINN LMPAPLNEWGCEQLKKRFGQGRAPFGCVADDYTSWK YP_002973336.1 MPPKLFTRISAKLTAMSAAAILMVAILLVAVWVGGTSVGRSSDF GRMQLVISRDLVDAKASLRGMMMGMMELRLAESSAEATKAREYVAKRHESVIKYLTSA SASITLQTNKDRVSKISELTSQWMTEFGGLSTAIDAKLDNSADGSKAASAERSAQVKL LTIADEIGSLLDECVTAAKGKADEAGQQMATASSLAMQVSLGAGCLVVMTLIGSAIFG SRAIARPIGKLTTSMKQLADGDLETIIPFASRPDEIGAMAGAVEVFKQNGIRMRHLNA QETTLHAKSADLSSNISKVVAAAVAGDFTKRIETTYDSPDLNRFAGSVNELVTSVHRG VSETQRVIAALAEGNLTEEMEGEFQGAFGELKRNVNATMEGLRTVIAEVGTAIDTINS GTGELSDAAGDLSKRTEQQAASLEETAAALEEITSAVKNSTDRASEASHMVGEARRST DQSSAVVSDAVAAMGLIEQASGEIGQIISVIDEIAFQTNLLALNAGVEAARAGEAGKG FAVVAQEVRELAQRSARAAKDIKELITRSSGEVRTGVSLVTATGDALSLIQTQVTKIN DHVHSIATAAREQATGLAEVNTAVNQMDQVTQQNAAMVEEATASTSRLAEEVVTLSRL ISRFNVGARNIRNPAAASVTHHHALSPSPHQTLATAVRARHARAS YP_002973337.1 MERRASCSCGALSIIARGNPLKISVCHCAACQRRTGSSFGVGVF FASETTEETGLSSTFRRSGDSGKLIEFHFCPTCGSTVFWKPEFRPGLTAVALGCFDEK RDLEPSQAVYDENRHAWVSIDIPQQKI YP_002973338.1 MTERMIKFDDVEIATQAFGNPAHEPILLIMGAMASMLWWPDGFC QKLADHEFYVIRYDNRDTGRSTIYPPGEPPYAMDDMAEDATRVLDGYGIDRANLIGMS LGGTIAQIAALSNPKRVKTLTLISTTPVGVDTSALPHTTDAYMEHAATGENVDWTDNA QVIEFLVKGTQMIAGSAHPYDERQARAFVERDVKRAQNFVSATNHFMVKGGQPLRSKL AELAAPLLVIHGTADPIYPVEHGELLARTVKGAKLIRLEGGGHEIHLQDWQEITSAIE AHCGR YP_002973339.1 MIKIDNLTVRFGPGQRPVVRDVSFAIEKGTAFGLVGESGCGKST VLRALSGLNANYDGRIELHGEPLSQRRSRPFFRRVQMVFQDPYGSLHPRKTIRSQLKE PLRNQGLDTNSVDVNAVLRSVGLDPALSYRFPHQLSGGQRQRVAIARALMLNPDVLLL DEPTSALDVSVQAEILNLLQDLRVNRGLTYLLVSHDLAVVSHMCSRVAIMEAGEIVEQ VDVGALRRGAVEHPYSQRLLRASRMYGRA YP_002973340.1 MTEPLIDIQNLEIAFGGGQVRAVRGVSFSLGQEKLGIVGESGSG KSTVGRAIMKLLPPTAIVNAERMRFRDVDLLKANEKTMMTIRGRRIGLILQDPKYSLN PVMRIGEQIAETYRFHHPRVSKSKTYRQALDMLEAVQIRDPERVARLYPHEISGGMGQ RAMIAMMLIAEPEVLIADEPTSALDVTVRLEILALLDELVLRRNLGLIFISHDLNLIR NFCDRVLIMYAGRVVEVLEARDLDKARHPYTQGLLASLPTIEDPPARLPILKRDPAWL EEFALEPPR YP_002973341.1 MTISSEHADSVNTGGLRNWLLAPEPRGWFQSSAQQIHQGWRKFS LHPLGLAGLAIILLLIAVALAAPLLTSYDPIVQDMAGRLAAPSASHWLGTDNFGRDVF SRVIYGARTTLYIIMLVTVIVAPLGLLIGTVSGYFGGLVDEILMRVTDIFLSFPGLVL ALGFAAALGPGITNAIIAISLTAWPPIARLARAETLSLRKADYIAAVRLQGATSLAII TRHILPMCIPSVIVRVTLNMAGIIITAAGLGFLGLGAQPPWPEWGSMAASGREFMLDS PWVIAAPGIAIALVSLAFNLVGDALRDVLDPRGSE YP_002973342.1 MASADLTSKSESSRGSTEGGFAEAAPHPALSLLRRLAGRVVAVV TTLLGLLFLTFSMGRLLPADPVLAITGAEVSKDVYDRVYNELGLGQPIWMQFLSYVGK IATGDLGMSATTGQPILTDLLTIFPATIELAIIAMIIGAIVGIPLGITAAVRRGTVID HIARIVALAGHSIPIFWTGLMALFVFYAKLKLVGASGRIDVFYEGLVTPMTGFLLIDA AIQGQWDVFYNALGHIILPAAILGYYSVAYISRMSRSFMLEQLSQEYIITARAKGLGT RKVVWRHAFKNIRVQLLTIMALTFGGLLEGAVLIETVFGWPGLGQYLTRGLQMNDMNV VMGSVLTIGAVFLTINILSDFLYRILDPRTR YP_002973343.1 MLRQVLTTIAITGAMMTAQPSFAASPPNMLVIGTNLTGIRTLDP AQNNARTVSELISNIYDNLVQLSPDDLKTLKPMLAKQWSVSADGKIITLTLRDDAVFQ SGNKVTAEDAAWSIQRVIKMGQVGSTDIALWGFTPENVEKLVRAKDEHTLEIELPQAV NTDLVLYSLAGSSIGIVDKKTVLSHEANSDFGGAWLSANSAGSGPFSLAQWRPNDVAI FNAQPKYWGGKPAMARVVARHIPESGNLRLQLEAGDVDVGQYVSSGDLDALATKKDMV IENVPGLGFYYIALNQKDPDLQKPKVREAFQHAFDWKAISGNIMRYTGFPWQSMIPRG MIGAPGEAAVRYDYDPAKAKQLLAEAGYPNGLKKVLNPSGAATLPFAEALQASARAAG LDLDLVPGEFTPAFRERKFEVLLGNSGARLPDPFAVATQYAFNPDNSDEARLGSYYLW RTGMKVDELNTLIDQSMKERDTEKRTDIFKKMDGIYAGMASPLVIFFQRTDPYVMRAN VKGYHGHTTWSTRWHDVTKE YP_002973344.1 MSIATMRKALTGVSGVPVTAYDGKGEVEPRITAKVYERVAAAGI HNIVAAGNTGEFYALTPQEIRIVHEAAVSGVNGKAPVTAAIGRSLREAIGMAKDAATI GASAVMSHQPVDPFAAPSAQIDYFCNLADASTLPLVAYVRAEGFGVDDIIRLANHGNI AGIKFATTDLMLLSRAIAAADPAGALFVCGLAESWAPTFTAAGARGFTSGLVNVAPHL SLAVHNALERGDFGAARAIVNQLEPFERMRTKFRNGANVTVVKEAVTYSGLDVGPVRV PGLPLLDRHDREELHRLLRGWDAAGNNQIRPHQQDSAKAAG YP_002973345.1 MTAPRIIRPDVRSVSEQPLTVGESPVWDDETGTLWFVDILAPAL VRLSASGKNDRFEMPAAIGALGLCRDQRIVVALQTGVHLFDPVSGDFEFVSDPVGRDI NCRLNDGKVGPDGHFWIGSISESKPQIDEAALFRVGADGSTRTVATKLTSSNGLAWSP DGRRMYHSDSRQCFLQAFDFDPDTGDLGDGRRLRSFTEDEGRPDGATTDRDGFYWSAG VSAGRLNRISPEGDIVEIYILPVAAPTMPCFGGPDLRTLFVTCLSTDRTGRFEAGKVI AFDVDAEGLPPFRFGDHPVG YP_002973346.1 MKRVAVTGAAGRVGTLLRPLLRSHFEHLSLIDLQEPDGLGENET FVRADFTKLDEATAALKDIDGVVHLAGIASGIDMNAILHANVLGTYNLYEAARINKVQ RVVYASSNHATGFYPRGQLVSPLDPMRPDSPYGLSKCWGELVAGLYYDTSGIRSLSIR IGNAGTYPNSERSVAIWISARDLAQLVRIGLSHPLIAATVVYGVSDTEEMWWDNGLAA RLGYQPQDRPRDHARIEEGSEGPVALAFQGGGFCEINHDGTIRMRDAEGLAKSLETVE YP_002973347.1 MVTFAVDAGSNRGATRFSDIIYEKIVGMIADGNFPVNERLPPET KLAADFGASRPVVREALERLRADGLVVSRKGSGSYVRQRPDSSMLKMVPVGSLADVQR FFEFRAGLEAEAAELAARNWQPDDRERIMAALLSIEQCLRDGELGAEEDQALHDAIAM ATGNQFHITMREWFKPHFAIGHSVTRSLSLKRTPEQIRSVQDEHAVIVEAIFAHRETE AHDAMKKHILNARARMFQGV YP_002973348.1 MAKEILSRGVTRRAVLGGMAGVAALSIAGRVSAAGGEAPALAQL AKDGKLPPLAERLPKKPMVVTPFEKVGTYGGSLRRGLRGSSDHNGILRMVGNQSLVRW NLDFTAVQPNLAERWEVSDDATQFTFHLIEGVRWSDGHPFTADDVVFAIEDCVKNTEL YSSTPAQLAVAGKPVTVEKIDDYTVKFTFAAANALYLENLATPLGQHPTLFPKHYCSQ FLPKYNPNIEADAKKAGVTSWTELFRSRCGDIEIPSRWGNVDKPTLDPWVVKEPYAGG ATRVVMTRNPYFWQVDTEGNQLPYIDEINFGISQDVESLMLNVISGKIDIQERHISVL ANKPTLSKNMEKGDYRLLTLVPSASQQCQIYFNITHKDPAMRKMFADKAFRQALSIGI NRQELIDIVYFGQSEPYQAGPRPTHPWYNEKYARQFTEFDADKAGAMLDEAGYKKGGD GFRLRPDGQKVFFSIDVIPTLYPDLVDALELVKAHWAQIGVDMKVNTIERALYYTRGD DNAHDAAVWPGPGGLDPMLDPRDFFAFHPQGSRYAIPWTLWYTSNGARGEEPPESQKK RMKLFDEARSTADLDKRGAIMKQIFDIAAEEFETVGLCLAVGGFGIIRNNLRNVPEKE PDSWSWPNPGPAMPQQFTFTS YP_002973349.1 MLVFIAKRFLWMIPSLFAVSFLAFVLIQLPPGDYVTTYIATLAA SNEIVDQNTAAQLRERFGLGDPMLVQYFKWIWGILTRGDFGISFEWQQPVSDLIWERM ALTLVLALSTLIATWAIALPIGVYSAVRKYSIGDYFFTAFTFFGLAVPSFLLALVLMY VAAVEFGQDVGGLFSPEYENASWSFAKMVDLFSHLWLPVIILAVSSTASLIRVMRANM LDELPKPYVTTARAKGLSEFRLLMKYPLMIALNPFISTIAWLLPNLISGSVVVAIVLN LPTAAPLLLQALMAQDMYLAGAFVLLICALTLIGSLISDILLALVDPRIRLE YP_002973350.1 MADITVTNIPPDRAAVASQWQLIWWAFRRHRLAMVALVVTVLMY IVALLPGFFAINDPYLQNARATFHPPQKLHLIDTENGFSFGLHYYPMKLARDPETLAA IYKEDTTKRVDVQFFGRGYEYSVFGLFRTNIHLIASPDKTTPLLLFGADRLGRDVFSR TVQGSQISLSIGLVGVFFSLMLGIVLGGISGYYGGRIDFFLQRVIDFVLSLPTIPIWL AMAAALPQDWPATLQYMMITIILSLTGWAQLARVVRGRFLSLRTEEFVAAARLDGVRE RRIIFRHMLPSFASHIIASITLAVPAMILAETSLSFLGLGLQPPTISWGVLLREAQNI RSIATAPWLFMPGCAVVVAVMALNLLGDGLRDAADPYNK YP_002973351.1 MTDTPPIAGRPLLQVKNLTVEFPLRTGVFRAVSDLSFSIEPGKT LCVVGESGSGKSVTARSILQIVDAPGRISGGSIILNDQNGGSIDLTSLNPRGRQIRAI RGRDIAMIFQEPMSSLSPIHTVGNQIVEALRLHTRMSKAEARAEAISLLSQVEIPSPE KALDRYAFQYSGGMRQRAMIAMALACKPQLLIADEPTTALDVTTQAEILDLIARLQKA KGMAVLFITHDMGVVAQIADDVLVMHNGVAKEYAPVEQIFHAPKDDYTRMLIGSVLKL EAKAEIRLARPPLDTTAPPILELRNVSMDFGEVKALDDVSISLLPGETLGIVGESGSG KTTMGRSIMRLIDPTSGEILYRRADGDIIDLATAKGQTLAAARRELRMVFQDPFGSLN PRMTVSQIIGEPLLVNGVAKGRALEERVCHLMEQVGLDPRARERYPHAFSGGQRQRIG IARAITLNPRVIVADEATSALDVSVRSQVLDLLMRLQDELGLAYIFISHDIGVIRYMC DRVGVMYKGQLVEIGDAEKVCRTPEHAYTQALISAIPRPDPRDRDHSRRFRYVAPEIL KNGSLQR YP_002973352.1 MKITGIRTFLMHVGQPDPANWASDQRSSSGASKQFGGTRNWLFL KIDTDEGITGIGECSGWPRVVETAIHDLAPLLIGEDPAHTERLWQKMHIAMMGHGMLG TVGGGAMTGIDMALWDIKGKALGVPVWMLLGGKMRDRIPIYSHANTPERALAIKERGI KAIKCGGVADPVRKVAALRDAVGDDMDIAIDLHGPPWLTPADACRLVRALEPYELMWV EDPIAPENIDGYRRIRDAAHVPLAAGERSATIFGERELIEKELVDVIQPDTGRAGGIT QMKKIAAMAEAHHIQMAPHSGSLGPVAEYAALHLLAAIPNALILERLDDDWDGRRQTI VPHPQQVDGLIAVPDGPGLGCDIDEAFVARFPSNGNVSVPQSAGAYNTGTDNEHLYVQ TRQSRRTYFNR YP_002973353.1 MKIDRMRVFMTRDKDRPRVIVALDTDDGLTGWGECYNHGPDKAL PPLLDYLYEFLSGQDPTRVEYLVNLLIQQSRFPPGALGLAAISALDHCLWDLAAKAVN VPVYKLLGGEVRDRIKVYAGVYTAPDAPAARDEFDRLKEGWGFTAFKLSPWRIDMHSN RWGNVVKASADYFRSLRETVNDEYEIAFDAHAKIFEPIAARQLGNALAPYDPLFFEEP LRPENIEAWGDLKQGLNCTLATGESLYNRNEFLRLLQVKGADLIQPDICVVGGISEMR RIATLAEAFFVGVAPHNPMGPLATAVNVHFSAAAQNFRILEYRLPKGQAYVYGGLDIE KREGETRYVVDPYLPKDGYLELRPDRPGWGVEMDEKAMEEEGYIHWQRRVPKRPDGSY AFA YP_002973354.1 MTTHKVGYLIGSLAKGSINRKLAKALVRFAPPELEMSEISFKDL PLYSYDYDADYPPAGKAFKAAIAAVDAVLFVTPEYNRSIPGGLKNAIDWASRPYGTNS FTRKPSAVIGTSPGAIGTAVAQQNLRSVLSFCNSPQMNAPEAYIQFTPGLITDDGEVT NDKTADFLRTFMQDFHVFIARVRSVLPKDA YP_002973355.1 MDVYEAVKSRRSVRGFKDEPVEREVLERVLSAAAWSPSGSNIQP WNTYVMTGASLAELKTSAVERVAHGDAWDKRQYEMYPAGLKPPYGERRSAFGKERYSA LGIAREDWEARQRAAIANWNCFGAPAALFCYIDRDLGLPQWADVGMYLQTIMLLLRAE GLHSCPQMAWSQVRETVAEVLSPPDGLILFCGMSIGYEDPTVSYARTGRAPLDETVTF LGD YP_002973356.1 MNTQSSAAPSPETNAFDNEANFLSAMGNAKRLHILHLLAEGEMS VSVLADEVGLSQSSTSQHLAILREQELVQTRRAAQTIYYSLQSAAATAMLDTLADIFG WHPRSPVERVQAVGT YP_002973357.1 MRVDQPLLRRSAPLSLQCSYEENQPLVIIVDDDASVREALSELI LSAGFQSTSFASTRELLDADILDSPGCLILDVRMPGASGLHLQRHLAENGISKPIIFL TGHGDIPMTVQAMKAGAVDFLTKPVRDQTLLDAVTAGIAMDAERRAEAAIAERNIKRL ETLTQREREVLHEVARGRLNKQIAFDLGISEVTVKLHRSNVMHKMEAASIGELIRAWE TLPAQMRQVGAR YP_002973358.1 MNKTRHVVAIVDDDPRLLESMGDLLESAGYVARSFSSAGSLLVN GLSDLDLLITDIGMPGIDGFELRDLVKKSRPELPVFMITGRHEIADQGRAQGASGFFR KPFDAQALLAAIANALHKSTDGG YP_002973359.1 MTADDHIVFVVDDDERVREALSELLDSHGMRAITFESAGDYVKA DKPDVPACLILDIELPDINGLDLQRQIADRDHPPIVFITGHGDIPSSVRAIKHGAVDF LTKPFSDADLMAAIHAALAEDLEKRSQRAELDMLRRHYLDLTPREREVLPLVVSGLLN KQAAAELGISEVTLQIHRRNVMQKMAAASLADLVRIAERLEIPITHSRRVGGN YP_002973360.1 MLSVWSHRPRLLHLGLFFLAYVLACGFAQSLAIVPGTGISIWPP GGLFVATLILASRRSWPWWILVGCLAEMFSNFLWFYSPVPAAFLIYVGNALGAMVAAW LVNRTLKHPVQLETLREVLTFVILAAGIAPIVSATVGSATLAWFAIQSQSFATAWPLW WIGDATGVLIVAPLALVLFHSWRSKMQFSAAQWVEACVLGLIFLGVAALSLSGYLPFA YIIMPPLLWAAVRFEFKGAAVSLALLALITAVFTITGASQFSGDPESQKHNQIMLQLF LAISAFSALIVAAISRQHQLAVLTLHQNVETLRDRERELSQLVDMVPSHVWRLTPEGE PTFFNRRMVDFLGLDVADSDRPGMSRLEAVLQATVHPDDTAGFRDALRHCLATGESFV MRYRLRRADGLYRWMSSRAEPMRDQAGNTVQWYGLCHDIDDQMRAEEAVRRSERQLQQ MIDAVPVRIWSVEPTGGSTYFNKRYQDHFRAVIANFDSQAEPRIDELLQQLIHPEDAS AVQRTLRNCFEAGSGSAMRFRWLEKDGVYRWAECRVEPRRDDDGTVVQWYGVSLDIDE EVRALEALRDRERELSQLVDMVPVQIRRLTPGGEPVFFNKRLIDFFGLDVGDMDKPGM SRLSSVIHTLVHPDDASRLLETVHHSLASGDPFSIKYRMLRFDGAYRWVDGRAEPLRD QSGAIMQWYVISVDIDDEMRAQEALRDRERELSQLVDMVPSLLWRLNPEGAPTFFNQR LIDFLGLDIVDTEKPGVSRLAALIEAAVHPDDAAGLERALNHSFATGERFSSQYRLRR ADGVYRWVKGSAEPLRDQSGRIVQWYGLSHDIDDQLRVEEALRERERSLWQLVETLPA MIDCAAPDGEPIYRSQQLRDFLGYNLDELDGTGKTRLEGTLDAGVHPDDVAGVKENYS HSLSSGEPYARRHRLRRHDGEYRWVETRAAPMRNAEGVIVQWNVICLDIDGQVHAEED LRRAREGLARASQVASLAELSASIAHEVNQPLAAVVANSHACQRWLMAEPPNMERAQR TVERIIRDANSAADVVSRIRALFKQSVDRRIPSTLSGIVNEVRNLMADEAWRRHVRME VELDDTLPLIAIDRVQIQQVLINLIRNGIEAMDATTGDRVVEIRVRQIGNILQTEISD RGQGIDFPEKMFEPFFTTKENGMGMGLAICRSIVELHGGRLWAEKNNPHGATLLFTLP IETKAAS YP_002973361.1 MKFTVVGASGLIGTRLSEKLRWSGHDVAAASLSLGVDTVTGKGL DVVMAGTEVVVDVTNAASFGDSSALDFFKASTKNLLAAAAQSGVRHYLALSVVGTPHL VESDYFRAKMVQENLIRASGRPYTILRSTQFYEFINGLIDIGAEDNVFRLPPALMRPV AAGDVAAFLAELAAGAPFGDVVEIGGPEQFGIDEIARIYLAANEDERQVIADRSTSYF GVELTDDALIPGAGARMARQTLADWLYQSMGE YP_002973362.1 MQPSLALQDDQEHLPSLLATDAKELSYQLQQHQAKIFPPLSQKT IRTFSPAEAAAFIGIGEGYLRQVAADGHGPDPLANGRRLYSATDMDRIRRVLDERNGT PKYVPARRPGEKLQIISVMNFKGGSGKTTTAAHLAQFMALRGYRVLAVDLDPQASLSA LFGHQPEFDVGEGETIYGAIRYEDPRPIADIVRATYTPNLHLIPGNLELMEFEHETPK AMASGTAETMFFARIGEVLTEIESLYDVVVIDCPPQLGFLTMSALCAATSVLITVHPQ MLDVMSMSQFLTMTSELMSVVEKAGGRTSYDWMRYLVTRFEPNDGPQSQMTGFMRAIF GNRMLHNAMVKSTAVADAGVTKQTLYEVERSQFTRGTYDRALESLNLVNGEIEAHIRS TWGRK YP_002973363.1 MARKNLIEISAPNPARADAVALRDNRPIAGFVPQERSAAPVGGI TKTLGNITEKMERASELERQLASGQTIIELDPGLIDASFVSDRLAIDAAELAQLVEQI REHGQQVPILVRPHPESRGRYQVAYGHRRLAATREIGIRVRAVVRDLTDGQLVVSQGQ ENSARTNLSYIERALFASRLEERSFGRDVIMAALGVDKAALSRMLIVIRQVPLTLVNA IGAAPDIGRRRWLELGERLEKADVEKIIAELSADDARKISSDERFHRALVLATKKTTA PKPTVAKSEVSGVPVMIKKTASGATFVFDGKTAPGFDQFVQERLKGLFQEFNKDRGA YP_002973364.1 MESGYVTTPFGRRPMSLGMLASQHLAETIEPGMKRSKWKLFRAI CEARPALGVTDRALTVLDALLTFYPDDEISEEKGLIVFPSNAQLSLRARGMTPATLRR HLAVLVEAGLILRKDSPNGKRYARRDRAGAIGDAFGFSVAPLLARAVEIESLAAQAVA DRELLRVTRERLTLCRRDISKLITAALEEGVSGDWEGISAMFRTLLARIPRVATAEDL APLLDEMAMLRAEIVNMLERRIKTQKIDANESQIEHHKQNSNPDSNYELEPSFETKQG EKAAADNDPNAGPSDERRLKQQKPSGRMSNRAGGAADSGAGPGLKSFPLGLVLQACPS ILDYGPGGNISNWRDLMSAAVVVRSMLGVSPSAYEEACSGMGPENAATVIACILERGG HINSPGGYLRDLTRRTEKGEFAIGPMLMALVRTNGPTARHAS YP_002973365.1 MTQKFGLSVALATPFDSNGDIAIEAMIEQARRSLAAGCSSVTLF GTTGEGSSIGTQEREHVLASMLDSGLAAKQIIVGVLVDAAEDAAMQAGHALSKGARNI LLAPPSYFKNVSEDGVFQWFSAVFAILGDKARDIIVYNIPSVTMVPLSVSLIGRLRTA FPNVVTGVKDSSGDWPFTEKLLKAHGDLVILVGDERHLAKGVRLGGQGAISGMANFVP REVKLMAEEGKDDPRVEGFVAELLKFPVTAAVKAMVAHLTSDEIWLAVRPPLVSISGE EQAQLTLAFDTLFRSKAA YP_002973366.1 MDGTDEQPTLREKAYESFTRHLLARDVRPGQFVSQRRLVELTGL TLGAIRELIPRLEAEGLIKTVPQRGLQIAHIDLNLIREAFQLRVFLEKEAVALFTRSA SDETIAGLLKQHRDIADAIRNGDGSHELEVHAQAVDWGMHDAFIDALGNTIISNAYRV NSIKMRLISQDRFRIDGHVGPVMGEHLKVLEAIERRSAEDAVNSLVAHINHARDRALR I YP_002973367.1 MSSFLNPTRRGFLAGTAAFGATSMLGVRLASAAVDWKRFAGTTL EVNLVKSPRSEILLKYLSEFEELTGIKVNAEATPEQQQRQKTTIELSSGKPSFDVVHM SYHVQKRQFEKGGWLADISGFLKDPSLTDPSLVESDFAEAGLTFAKDPGGVLRSLPFS VDYWIIYWNKALFEKKGLAYPTTFEELASAAEALTDPSTNTYGFVARGLKNANTPVWT SLLLGYGSSPLGPDGKLRTTSQEAIDAAKLYQRLMTKTAPPGVSGFNWAEAQSAFLQG KIGMWLDGVGFAPPIENPEKSRVVGQVGYGIMPKGPKAQAAGTFGDGLGVVAASQKKE AGYLFCQWAISHEMGARLLQAGAGVPFRQSVLEDAKVREGVKMPGAWLDAVVGSGKIS QLALPVIIPVTEFRDVYGVGLTNMIGGADPETELKAATAQFEPVLAKSEG YP_002973368.1 MASTSIETAAVAKTSKGRSKPDRFAPNYWPFVIPALIVIAAVIV FPWVFTLWMSVNSWTLGQSQVFAGLDNYARLVVDMRFWDSLWHTVLYTTLSVVAPLFL GTLAALIFDTQFPLRGLLRGIFVMPMMATPVAIALVWTMMFHPQLGVLNYLLSLMGIG PQEWIYNQNSVIPSLVLVETWQWTPLIMLIVLGGLAAVPREPYESAEIDGANVWQKFR YLTLPMIAPFLMIAVMIRSIDAVKSFDIIYAMTQGGPGTASETINIYLYNTAFAYYDI GYGSAMAVVFFILIVLLAFVLMMLRQRANWSDGEAR YP_002973369.1 MKRKTLDRIGLLFVALVMISPVVLFFLWMISLSLKYEIDSGAYP PIFIPERFAWSNYLKVFEENNFFLYLWNSVLVTGAATLLALLIGVPAGYGIARLKAEK SAMVIMIARMTPGLSFLIPLFLLFQWLNLLGTLLPQIIIHLVVTVPIVVWIMIGYFET TPMELEEAASIDGATPWQVFRLVALPIARPGIVVAFILSVIFSWNNFVFGIVLASRET RTLPVAVYNMLSFEQVSWGPLAAAALIVTLPVLILTVFAQRQIVAGLTAGAVK YP_002973370.1 MTTSSSSAFDPPPGRARRVLCVGAAVMDTLFRVRSLPNGQGKIL PYDMLQIAEGMASSAAFAVARLGGKASLWGAVGNDATGERIIADLSNSSIDTSGMLRV EDARSAVSTILVDDQGERLIVPFYDAGLHEAVKPVTKKDISAFDAVLVDVRWPKLALR TLLAAAEAGKPAILDGDVAGDGVIEMLAPAASHIVFSQPAAERLSGAAELVKIVGLLK RKFEHAFISVTAGESGCFWFDDRSGEVLHLAAPKVRAVDTLAAGDIFHGAFALVVAEG LPIEETMRFSSMAAALKCQVFGGRTGAPSRAEVCNRLQGWDAPVTRMGGR YP_002973371.1 MEATLALPLLAALVAVALFFDFLNGLHDAANSIATIVSTRVLRP QYAVLWAAFFNFIAFLFFGLHVAETLGTGIIDPAIVTPQVIFAALMGAIVWNIVTWVF GIPSSSSHALVGGLVGAGLMKTGFSSIVWTGLLKTAGAIVMSPAIGFFLALLLILIVS WIFVRQTPFAVDRTFRVFQFVSASLYSLGHGGNDAQKTMGIIAVLLYSQGYLTGGFHV PLWVVLSCQSAMALGTLFGGWRIVHTMGSKITRLNPMQGFCAETGGALTLFGATWLGI PVSTTHTITGAIIGVGAARRLSAVRWGLAGNIVVAWVVTLPAAAIISALCYWLSDLFA YP_002973372.1 MLNWFRKLMPREDRFFDLFAQHAKTVVGAAEALDELLSGTDIEG HCARIVALENEADEITREVLLAVRRSFVTPFDRGDIKDLIQSMDDAIDMMQKTVKTIR LFEQKSFDPLMQEMGKTIVQAAHLIAEALPLLDRISTNAPRLAALAEEVTRVEGRSDE LHEQGLKDLFRRHGASNAMAYLIGSEIYGELEKVVDRFEDVANEISGIVIENV YP_002973373.1 MNKIAFFLSAGLTSLSLSVPAMAATKIQWWHAMGGENGAKLEQI AKGFNASQSDYEIVPVFKGTYDETLTGAIAAFRANQQPAIVQVYEVGTGTMMAAQGAI YPVYQLMKDQGEAWDQSKFIAPVVGYYSDTSGNVLSLPFNSSTPIMYYNKDVFKKAGL DPETPPKTWADVEAFSRTIMKSGAAKCGFTSAWISWIQTENLNALHDKPYSTKANGFG GLDAEFTFNNDLTIRHWGNLKKWQDEGLFKFGGPGGGDNAPPMFYSQECAMYMNSSAG RAGVINNAKAFKVGFAPLPYYDDVITQPLNSIIGGATLWTLKGRPEEEYKGVAKFFTY LQKPEVQADWHQFSGYLPITEAAYKLGQDQGYYEKNPGADIGIKQLTRVTPTDNSKGI RFGNYVQVRGIIDDEFAALLGGKKTAKEAVDSVVARGNEQLRDFQSAN YP_002973374.1 MQTKRTVFPNKLLPYLLIAPQIFVTVVFFLWPAATAFWQSFLRQ DAFGFKTSFVWFENYRRLFADPIYINAFGHTLIFAILVTVLSTAIALLLAAAAMRVLR TSRIYSTLLIWPYAVAPAIAGILWWFMFNPSIGIVAYMLRAMGINWNHLINPDDAMIL IVIAATWKQISYNFLFFLAALQSVPRSLQEAGAIDGAGPVKRFWTIVFPLISPTTFYL IVINIVYAMFDTFGIIHATTQGGPARATEILVYKVYFDGFIGLNLGSSAAQSVILMVI VIALTAVQFRFIERRVQY YP_002973375.1 MVENRPFLNFLAHLVLIIGVTIVVFPVYVAFIASSHGPNDFLSG VVPLTPGSHIIENYSTMLSSGMTSSGAPPIGPMMINSLIMAVGVAVGKIAISILSAFA IVYFRFPFRTLAFWMIFITLMLPVEVRIVPTYKVVADLGMLNNYGGLIIPLIASATAT FLFRQFFLTVPDELMEAARVDGAGPMKFFRDILLPLSITNIAALFIILFVLGWNQYLW PLIITTDQSFYTVVMGIQRMAAVADAEPRWNLVMAAVILAALPPVLVIVAMQRLFVKG LVETEK YP_002973376.1 MATINIIDVKKNYGGVPAVKGINLSVADGELIVLVGPSGCGKST LLRMIAGLESISEGHVEIAGRNVNKAEPADRDIAMVFQNYALYPHMTVRGNLEYGLKN RGTERAEINRRVAEAAEILEIGPMLDRKPRELSGGQRQRVAMGRAIVREPAAFLFDEP LSNLDAKLRVQMRVEIRRLQRRLKTTSIYVTHDQLEAMTLADRLVVMNGGLVEQVGTP VAVYDRPASLFVASFIGSPPMNLVPIDVLRAADSASALALPAGTDMVGLRPDALLVAK PVEPSVRLNATVELLEPIGGESHLHVRLGGRQQTVVLTVPGRPDFAENANIDVFARVG AMHPFNSDTGKRTD YP_002973377.1 MTTVEPRSGPRRSEVQAHRGASAIAPENTIAAFRAAAEQGAEWV ELDVALLADGTPVVIHDVSIDRCSSSKGNLADLTASDLGAIDAGSWFSPKFKGEPLPT LARVVSALGEFGLNANVEIKQHAHHKSLDQLVKVVDQHLKARAAHTRIMISSFDAAAL KGMYEIDPSYELAMLWSKLPADWQDILRSIPAKTIHLDYKALSIGFLEEAVGRGISVR AWTCNDPKRLASFWDVGLTGVITDDPSVYLT YP_002973378.1 MGQRIISSRQREILALIETEGVQYIEELARRYDLTTQTIRRDIN ALCDLGHARRFHGGVDLPVAGSNISLNARAQLNRRAKRLIAKRVAADIGADATVFLGI GSTVRFVAEALRDHQGLTVITNNIHVALSLCDAPSVEVHLTGGLLRHDDRDVVGTDVI RFVEKFYATYAVVGAGALSPLNGLMDFSYSEAQITNALLENSQTQILAADVSKWTRNA SVRVAPFSKLTRFYTDRLPGEAAATNALAESGLDVVTCSEDMT YP_002973379.1 MSYVDLAPSARELQDVRYLFTDIDDTLTTEGKLLPQTYEALWDL SRAGIAVVPVTGGSAGWCEHIVRAWPVAAVIGESGAYCVTRQDGEVVFDYWEDGALQG ERQRQHLQTIKELISQNSRTFRIAHDQVFRLADVAIDIHGQEPYEVEDLASSIRAMGG TVAISSIHINTWIGDYNKRSMSERVLTEMFGIDPDQTAAVTAFVGDSRNDAPMFGFIR NSFGVNNIIPVLSQLQYAPKWVSSQPAGLGFADIAQTILDAAGAGSETSRQLLTEPAV MPARK YP_002973380.1 MAKRSFKSSVLPTILTYLGLAIGLVFSAFPIVWMFFSSLKSNTE IFALPPRLLPDDFTVAAYLTIFNDPVKVRFFINSYFVAGVVTILTLLVAIVTAYGFSR YQFRFKNTLNIFIISTQTVPPITLLIPYFGMVVAFRIFDTYLALILTYMVFTLPYAVL LMTGYLNTLPKELDEAVLVDGGSSWTALWRVIVPVSIPGIVATAVYTFLLAWNEFLFA LTLTKSMDLRTVPIGIQLLMGQHAFEWNEMMAMSVLGSLPLLVLYLVAQRYFLAGMTA GSVKS YP_002973381.1 MNHSATALIAAHPKARRKGWLRYSTLRKLVPYFYVSPATLLLVL LMLFPMVMVFKYSLMDGAIMKKTAAFAGLQNYVTIFENPVFWQSVAQTLYFTIMSVVF HFIIGLAFALLLNTNRVDPLIRSILRVLFILPWLFTAVIIAIIWRLLLDPNGVVNSVL MALHIINFKVEWFSSTRTAIHALTFANIWAGYPLYMVSLLAGLQGISKELYEAAGIDG ANGLQKFWYITIPQLMPIIISIALLDFIWTMQVFPLVWMTTGGGPIYSTEVLSTFTYK LAFSQYEFSLASASAMIILIISMSVTYFYIKHQQRR YP_002973382.1 MNIRKFGVTMRAAVAVWALCATSAFADTTIEFIQWWEPEMPSGA LRGIMNDFEAKNPGIKVTLVSGPYATTRDQIVVGAASGTLSDVVGLDGAWVNGLAKQG AIASMDELMEKAKYDKSQITDIVKVDGKSVMFPLASFVYPVFVNLDISKAAGVDKLPT TRTEFAEAAKKMTDASKNQYGWVLPLSLQSPSGIQNDVMSWVWASGASMLKDGKPDLE NDAVVGTLDYLASLNKEGVISPGIFAKKEQDKVEEFVNGRVGMMVDSLAHVNLIRERN PKLNFGISALPATDGYTGKRGMPYASWGIGISEGSQHKEEAWKLVEYLMSPDVNGRLV SIANAFPGNVHAKPDFVASDPIFAEAFKIFQSGYPANEFVGLPVAEELMRDMNVEVQK MFDGGQSAKDAAANTQKAWLAKF YP_002973383.1 MAKKNYKSMDDFATAAGVSRPTLSKYFDDPSQIKEVTRKRIEAA LKKSHFEPNLFARHLNRKRTRNIGILVPTMSDPFYVQVVSLIELELREKGFWPVQISS HTRPELEAEAVRTLLSLKVAGAIVAPLGAGSRRSALERLSGAIPVVCFDNPAGADLPY VGNDNAQSMAAIVQYLCRSGEPPIFLEIPHLTENAGERQESYRATMAREGHTPLVIDC DAPPSWEFERLGYEQMQRILAKDGLPGKTLLCANDRFAFGAMAAAFASGLKIGRSDAC DVRIAGHDDHPLSRYTCPSLTTMAQNAPEIAAKSVELLLAHIESEDDGTTPATNKVIL EATLVMRESA YP_002973384.1 MTQLDESAKRALAWPGDPQTPVESCTPALARQQYLDSFADIQRP LEDVAEILERHAGAIRLKIWRGRAAPRQGAPALLYLHGGGFVIGAPETHEDICRTLAN MAGAVVVSPDYRLAPEHPFPAAIDDCAATFVWMTEQADALGIDPLRILVAGDSAGGNL AAVVALLARDGQVPAVIGQVLIYPVTDQLQTSDSYLRYQEDFGLTAAAMKWFRDHYLP EPTSRSDWRASPLNVASLAGVAPALVILAGYDVLFDEGAAYAERLSTEAKATTRTWPG QIHGFVSKRRAIPEAQEALEAIAMAWRAMDPALTR YP_002973385.1 MAQTNGMKLRIGIVGCGNISLAYMRNAPLFRGVEIIACADLNAD AAKRRAAEFDLRAADVDSLIDDRNIDLILNLTIPAAHFDVSMRALSAGKHVFTEKPLG VTAAEGRRLVDAAAVKGLMLGSAPDTFLGAAGRHARRQMEAGAIGKPVTGTAFMMGRG MEHWHPDPGFYYQAGAGPVMDMGPYYLTMMVNLMGPIRRVQAVATSGQDERLITAEGP KQGTTFKVGTPTSVLSLLEFDCGAKVTFGASWDVFRHSNHPIELHGTEGSLRLPDPDN FGGSVALSSRGAPWQETDTSGKLFGAVNWPIAAPDRANYRMLGLADLARAIIEGRAPR ASGDLALHVLEVMEAILRAGEAGVAQTIPGIVAQPKELREDEARSLLA YP_002973386.1 MKNAELQIGCQTFTWEMLGTGWSGGPDDLVRAIADGGYAGIEIT DTMIGHYALKPADFARTLTDASLALVSFAFGSASGFTVAEKIASDLDAARRWVDFAAN FPGAMISMGSATVVSDGPRDEKFAIAAECYNHATEIGRSAGVAVAVHPSSHHNTLLFT RNDYDQLFALLDPQVGWVPDTGHILRGGQQIGDTLAAHRDRIRYVHLKDVDAGGTWAM LGEGVCDVPAVVSTVRDAPNFIGWIVVEEESDHAETDPAAAVRANRETLRRLGF YP_002973387.1 MLAEQRRQQIMLELQRVGQARTRQLAEFFEVSEVTIRSDLEIMD AKKQLIKTHGGAIALPSDSPAAAFEERMQRNFDAKRRIAQMAARQIIDNQSIVFDSGS TLLQVAMQMPPVNNVVVATTAMNIAQHLMYRPGLDVHMIGGRVFPSTVSTIVQDSDKA VGGLVAHQAFVGAHAIDQAFDVVDVSEDVARTKRNLVRMARRVVLVADSSKFDVGASS KAFSLSRVDLIITDSNMPHSIRHRLDKLGVEVRYA YP_002973388.1 MGLGTKIRLARLFSNPSGHLFGGAVDHFVGYGNVREGGLADLPG ALRRVMAGGPDYISIQPGAARHLWSEYAGKAALVIQGGCFTADDRIRQLIATPEDAVR YGADALAVAIPVRGATEGEYIRWLTDTVNAAARFEMPVVAHVYPRDFSDGGKIVFTPD EIAYAVRIGFEAGVDVIKVGYTGDFESFRETVATCPIPVVIAGGPKTDTLLGALVQTS EALRAGAKGAVVGRNLWGHGDTTMAARAFKFVIHKGMTPEDAMAAAGA YP_002973389.1 MSRPFQVLGFGALAIDDIIYVDRGLTAGKGKVTKRATDHGGNVA TALVAVARLGGRAGFIGWLGNETTADVAGSELERDGVDISLAPRHADAAPIRSVITVG PDGDRFIAYDDDVLHGTSDALPDDVLMQAPVLLIDGYATHSENVVARARAMGLAVIAD IEWTVGAATDRILALADHLVLPIAFGREYTGEGDPTMILDKLWSGDRSAVVLTDGERG TYLRQRGDATRWHVPAYQVQAVDTTGAGDCFHGAYALALAEGKSPFDCTAYAAAAAAI SVTARGGRRGLPDHLMCLAWMAAENAPVPMPMPGCQK YP_002973390.1 MAVVVLDKICKTYGNSYHAIKDLSLTIHDGEFLILVGPSGCGKS TALRMIAGLEEISSGTLSIGGQDVVDLAPKDRDIAMVFQSYALYPHMTVFDNIAFSMK LAGKNKAERTKRVHEIAKILQLEPLLGNKPAQLSGGQRQRVAMGRAMVREPAAFLMDE PLSNLDAKLRVQMRAEIASLQRQLGVTTIYVTHDQTEALTMGDRVAVLKGGVLQQVDT PKALYHRPVNAFVAGFIGSPSMNLFEGRLAGGRIHLPGFSIPLSGGAFERSPGLSAFE GKDVIFGVRPEDLYDSRLPSGASHPTIPVVVKSIEELGSELIVHLKIDAVRIDSGDPD AVEDLSGAANAVARFEAVSAVETGQSIDLAIDPAKLHFFHPQTHMAL YP_002973391.1 MPAKQERRLRIGVLGAGQIAQAAHFESCTKAANADLYAICDVAE DLRERMAITHGAEKTYDDYDKMLADPDLDAVVIATADAFHVPASIRALQAGKHVLCEK PVGVTIEECLELKAVVDTSGKVFQVGHMKRFDAGLQAAKSFIRDEMGEMVALKAWYCD STHRYPMTDAVQPLIVTSANAKKPSANPKADLRRYYMLAHGCHLIDTARYFAGDIVSV NARLSERAGIWCWFVDVEFASGTLGHLDLTVQVRMDWHEGFQIYGKNGSILGKTYNPW YYKSSEVDIFREADGATHRVLGADGHFYRRQVEGFARTILDGAVMEGADIDDGLASVR AMVAVARSAESGKAVALSDVTGGV YP_002973392.1 MKLGIFAKTFEGTEPVTVLNSVAGAGFSCAQYNMACSGLAPMPE IITEAQARAVGEAARSSGVEIVAVSGTFNMIHPDLAVREAGLRKLATLAERCAGMSTT LITLCTGTRDPIDQWKAHADNDTPEAWRDLLEAMGAAIEIAERYDVDLGVEPELANVV NSAEKAHRLITALKSPRIKIVLDPANLFEVATLDEQRNIVSSAIDLLADRIVMAHAKD RNPDGSFATAGKGVLDYAHYLGRLKAIGFEGSLVTHGLSASEAAGAASFLKSSLGGEA VGAGR YP_002973393.1 MRWAEPFETSDGTILNVDMAGEGASIIFQHGLCGDAAQTDEAFP LEAGFRRVTIEARGHGHSQTGNPEQLSIATFCDDIAAYIEKNLMPPIVIGGISMGAAI ALRLAVKRPDLVKALIIARPAWLTASAPENMAPNAEVGRLLKTLPLGEAKTAFLAGPV GVRLAAEAPDNLASLAGFFSRTPLDVTAELLTRISNDGPDVTDEEVGNLTVPTLVIGH DRDSIHPLSHARALVERIAGARFVQITPKTESRPQYVADFRLAMGHFLKEL YP_002973394.1 MTTPSKTWIADLPKDRLIAEFSVWSADLVHLADDLARVDPHVDI LHIDVADGHFAPAMLFFPDLVAGVRKVSARPIHVHLMVADSILLSQIEQFADAGSDLI SLHVENESVAVEALDLLDRRGVAAGMVLKVDTPVERVERYASRLRFVTLLGTAIGVKG QGLDEKAGARLQQAKQIIAGCGAAHRIVLAADGGIREHTVPLLRQSGAETVVLGSLAF NAPSLDERMAWVRAL YP_002973395.1 MQQVAIGIDLGGTQVRAALVDEQGRILARAAEPTDALAGPDRVL AQICGLTDGLLAASNPASVVGVGVSAPGPLDTVAGVASNIPTLSGFVDFPLKAELQKR FPFPVDLENDAIAAAIGEWQFGAGTGLDNLVYVTVSTGIGGGVVSDGRVVRGRKGMAA HVGHMSVVPNGELCPCGNRGCFEAYGSGTAFARRAQIRAVESSATTIGSDGGAIDSRS VFAAARNGDRLANQLIDEEAEILGRGFTSLIHIFSPDIIVMGGGLSHEFDRLQPGIQG YITQWAMPAFKDVRVMLAALDQNSGLVGAAALAFLTGKVPAVDQI YP_002973396.1 MRLIILGTGGWANTHAMNFSEIADVKIVAAVDTDEVRLRAFALR HGIPLTFTSLDDALAWGEFDAVTNVTPDRAHYSTTMKILGAGKHVLCEKPLAVNYREA KEMADAAAASGKVTMVNLTYRNVAPLQAARKMVLDGRLGAIRHFEASYLQSWLVSKAW GDWTKESQWLWRLSTKHGSNGVLGDVGIHILDFAVFAAGSDVKAAASHLKVFDKSPGN RIGEYDLDANDSFLMMAELENGAAGVIHATRWATGHLNELRLRLHGDKGALEVVHTPE GSTLRACEGPDADKAIWRKIDVEPVITNFQRFANAVQKGQLDEPGFGHAAKLQFVLDH AVKTAGALIEL YP_002973397.1 MPASASTKHLTSIELGRIRRAVAIARLMDTAVRLPVIGVRIGAD SILGLIPAVGDIAGSLIGLFIIDEARRLGIPTQKLARMAVNLGIDAAGGTVPLLGDIF DVYFKSHRRNVGIILDHFGISEDELNRRI YP_002973398.1 MTIPEKMAAVLLTGHGGLDKLVYDRDVPVPRPADGEVLIRVTAC GMNNTDVWVRQGAYGTEDDPSAVSTWRRQGNTLTFPRIQGTDTVGHIVGVGAGVNPAR VGERVMVDFSIYNRDDDSLADIDYMGHGRDGGYAEYMALPAENAHVVATDLTDVELAT FCCAYLTGERMLERARLVAGERVLVTGASGGVGSAIIQLARARGAIPIAVAGPGKEAA MLDIGAEAVVTRGRGDLVEAVHSASRGQPIDVVADLVGGPLFNDLLKILRPEGRYTTA GAIAGPVVQLDLRTMYLKQLELHGSSQGSRVDFRRIVGYIESRKIRPLVGGVYPLSEF HRAQTDFMAKNFVGKLVVVPDAR YP_002973399.1 MLDRSNELTAWDRDHFFHPSTHMGGHARGETPTRVVAGGEGVYI TDTTGRKSLDAFAGLYCVNVGYGRQKIADAIAEQAKNLAYYHAYVGHGTEASITLSKM IIDRAPAGMSRVYFGLSGSDANETNIKLIWYYNNILGRPEKKKIISRWRGYHGSGVMT GSLTGLALFHNAFDLPRAPVLHTEAPYYFRRPDRSMSEEQFSQYCADKLEEMIIAEGP ETVAAFIGEPILGTGGIVPPPKTYWQKIQAVLDKYDILLVADEVVTGFGRLGTMFGSD HYGMKPDLITIAKGLTSAYAPLSGTIVSNKMWQVLVQGSDQMGAIGHGWTYSAHPICA AAGIANLELIDELGIVENAGATGAYFRSELAKAVGGHRNVGEVRGDGLMAAVEFVEDK DDRTFFDAGRKIGPQVASALLERGVIGRAMPQGDILGFAPPLCLTREEADIVVKAAAD TIETVFKNI YP_002973400.1 MTAVFARPAYHDALSRLADRHLLRDLAYVGGRWIAGKSGKSFEV TDPASSATLAWVASLDADETAVAIDAASEAFAGWRAMLPQSRAAILRKWFELMLAAKE DLALIMTLEQGKPLAESRGEIDYAASFVEWYAEEGKRLNAESVTSHLPGAEMIVRREA LGIVGIVTPWNFPSAMLTRKAAAALAAGCTVVAHPSSETPLSALALAELGERAGIPTG VFNVVTGNAATIVGRMCADVRVRAMSFTGSTGIGRLIAAQCAPTLKRLVMELGGHAPL IIFDDADIEKAVEIAVNAKFATSGQDCLAANRIFVQRGIADGFAKAFADRIAELKVGP GLEDGAEIGPLMHERAVAKVEEQVADALARGARLVTGGKRHKAGRLFYEPTLLSDVPA DALIMHEETFGPVAAITAFDTEDEVITRANDTEYGLVAYIVTENGARQMRLGRALEYG MVAVNRVKITGAPIPFGGWKQSGLGREGSRHGLEAFTELKYLCIDTAA YP_002973401.1 MKLDAIDLRILDAVQRDGRITKLALAEKAGLSPTPCWMRLRKLE KAGIITGYHARIAPRRIAPVASVMMEVTLANHRQADFERFERAISAIPEIVACWSVGG GVDYILKIIAPDIDAYQRLVDGLLDRELGIDRYFTYIVTKTVKEDTVLPVSSFTATGE EQAAS YP_002973402.1 MTKWRPDPSQLRRPAYLSLAEQIANAITDGKLTDGTQLPPHRKL ADDLHLSVQTVSRAYDELIRRGLISGEIGRGSFVQTRPREPEPPYLPERLGEVIDLSI LKPVCEQIHLERLRQAFGWLSENLPSSSALSFRPNMVFPRHRAVATEWLARCGLEISP LNISVTNGATSGMTVALMSVAPPGSTVATEAISHHTLVPLSTYLGLHLEGLAIDEEGM IPDALDEACRKGPIRAIFLQPSVINPMAALMSAERRQALATVAAKHDIAIIENDILGP MVENRAPPMAAFAPERTLYVTSFTKITVPGLRIGYLTAPDRYVAAVANRHLVSNWMAT PAMAEIATRWVSDGTAMELVNWQRRALLSRHAIAAEMLAGQPYRAHPQSLHVWLPLSG NHTEDGFVSQARLRGVAIAPGKSFHTTDQGWTPAVRISLGSTTESELRTGLGIVASLA QGNPQELLLAI YP_002973403.1 MLPPIIRIDNIVKKYGPLTVLDGLSMNVMPGEKLALIGPSGSGK TTILRILMTLETISGGHIEVDGEQLYHMPGSSGLVPADERHLHRMREKIGMVFQHFNL FPHKCVLDNVTLAPMLTKGIKRPVAEKRAMELLDMVGLADKAKSVPAQLSGGQKQRVA IARALALSPKIMLFDEVTSALDPELVEEVLNVMRRLASESDMTMLLVTHEMGFAHDFA DRVLFFDRGKIVEEGKPGDIFRNPKQERTQTFLRKIIAAGHRV YP_002973404.1 MKTRYLLSAASLSVLLITAASPASAADDKLEQLKEQGFARIAIA NEPPFTAVGADGKVSGAAPDVARAIFEKLGVKEVVASISEYGAMIPGLQAGRHDAITA GLFMKPERCNAVAYSEPILCDAEAFALKKGNPLKLTSYKDIADNPDAKIGAPGGGTEE KLALEAGVPRDRVIVVPDGQSGIKMLQDGRIDVYSLPVLSIHDLMAKANDPNLETVAP VVNAPVYCDGAAFRKQDVALRDAFDVELKKLKESGEFAKIIEPYGFSAKAAMSTSREK LCAAAK YP_002973405.1 MSVWSGYLTLILEGALVTLELTIMGSALALVMAFLAGLGRLSRF FAVRALATAYIEFFRGTSIFVQLFWVYFVLPFAGLTLTPLQAGVLALGLNVGAYAAEV VRGAVKAVGREQSEACIALNLSRYQRMRHVILPQALPLMLPTFCNNAIELLKGTAVVS LISLTDMTFQAQVVRAQTGNTLVPFATILILYFLMASAISATMRWLERRMARSLDGIR T YP_002973406.1 MEWDWDFVWQIMPTLLEGFKITLLATILGAAVAMIVGLGLAIAR RSPVAGISRTVAFVSEFIRGTPLLVQLYFIFYVLPDIGIRLAPLVAGVIGMGIHYATY TAEVYRAGIENVPRGQWEAAKATNLTTRQAWIHVILPQAIPPMIPALANYFIAMFKET PLLSAITVLELMNQAKSIANSNYRYIEPMTLVGVFFLVISLISVVGLRWLEERYARMD D YP_002973407.1 MTAEIEIIPAGRLPRLEDRPLEKRIGLVILATDHTTEVDFQRMV ASDRLGVYVSRIHYANPVTPENLLKMQPSLTEGAALILPGEALDAIVYSCTSASVVIG DRNIEAAIHAGKPGVPVVTPTAAAVTGLKALGARRISVLTPYTIETSRPMADYFAELG FAIDRFTCLGLTDDREMARIAPDEILAFARQALAPQSDALFISCTAVRAAEVAARIEA EVGKPVVTSNLATAWACLRLCGDDRARPELGQLMTKPYREG YP_002973408.1 MVSTLPVSLEDIHAAARRIAGRVLCTSMVQSASLGELAGAPVHL KLEHHQTTGSFKLRGATNAVLSLSPAERSRGFVAASTGNHGRALAYAAKAEGAVATIC MSRLVPENKVSEIRRLGADVRIIGRSQDEAQQEVDRLVREEGLVMVPPFDDPDVVAGQ GTLGLEIIDTLPEAAIVLVPLSGGGLAAGVAAAVKGISSKTKVIGLTMEKGAAMKASL DARRPVQVEEVSSLADSLGGGIGLDNRVTLAMCRDLLDEVILLTEAEIAAGMRHAYAC ERQIVEGAGAVGIAALLAGKIVGNGPIVAILSGQNVDMEQHRRVINGKAALCGEEGP YP_002973409.1 MSRMIILTEAELRKVIALDRDAVDCVEAAFAALATKAVAMPPIL RLDIPEYRGEVDVKTAYVPGIEGFAIKISPGFFDNPKIGLPSTNGMMVLLSSRTGLVQ ALLLDNGYLTDVRTAAAGAVAAKHLSRENASVAAIFGAGMQARLQLEALTLVRPIREA RIWARDSAKAQSVAAELAAKLGFSVTATPDARGAVTGADLIVTTTPSETPIIEAGWLE PGQHLTAMGSDTEHKNEIDPAAIAVADLYVADSLKQTRRLGELHHAIDGGLVADDAIF AELGQIVAGRTRGRTRNDQITIADLTGTGIQDTAIATLAFTRAGAANAGTTFES YP_002973410.1 MTKPKLKFSLGEYAARLEKTRRAMEAKGVDLLIVSDPSNMAWLT GYDGWSFYVHQAVIVPPQGEPIWFGRGQDANGAKFTAYLKHDNIVGYPDHYVQSTERH PMDYLSGILTERGFGKLTIGVEMDNYWFSAAAFAALQKHLPNARFVDATALVNWQRAV KSDTEIGYMRNAARIVEAMHARIFDKIEVGMRKCDLVAEIYDAGTRGVDGIGGDYPAI VPLLPSGVEASAPHLTWDDRPLKKGEGTFFEIAGCYNRYHLPLSRTVFLGKPTQAFLD AEKATLEGMEAGLAVARPGNTCEDIANAFFAVLKKYGIVKDNRTGYPIGLSYPPDWGE RTMSLRPGDRTELKPGMTFHFMTGLWLDDMGFETTESILITDSGVECFAKVPRRLMVK D YP_002973411.1 MTEIGLRPSPISATVNFAAEGIQHGFLRLPYSRDDSAWGSVMVP ITVVRNGTGPTALLTGGNHGDEYEGPIALFDLARTLKAEEVRGAVIIVPAMNYPAFQA GTRTSPIDRGNMNRSFPGRPDGTVTEKIADYFSRVLLPMADLVLDFHSGGKTLDFLPF CAAHVLPNKQQQEKAFEFVRAFAAPYSMKMLEIDAVGMYDTAAEEMGKIFITTELGGG GTATAKSAAIAKRGTTNVLRHAGIVAGAVDPGPTTWLNMPDGRCFSFAEEGGLIEPVI DLGEAVTDDAVIARIYPTGRTGVAPREIRAGMNGILCARHFPGLVKAGDCVAVVAIVD D YP_002973412.1 MSIGLQQSALERLKVNGPVYEQVVYGKDLIADILPPPLFVVESY MLSFEASKFPELTETNLAKIANLKAAYDDRRAYWKTTRLPQALKDELENDVIAKGDAF WGVMNREIIPALNAKDEDKAHGAIEQLRVAFHSHQDAVEKLVANSDAFLKGEERNAAS EIVTWTIYAGAAGFGSFGLLLVGLYLLRRRAIVPLDGMKAYMGNLAEGDFSTDVPYAK RSDEIGAMSKAVAVFRRNALERQEAQKRETALRDAEFERERSQLAERAAEEQIRETVI DRLTYGLEQLSTGNLDCRITTPFAAAYETLRAKFNDSMDALCASMAEIAQTSKQVGSS SAGITDAADSLASRTEQQAAMLEEATAALDEMNTKAKDASAHAGKATGMMAETRTSAE HSAAVVREAIAAMERIEGSSSQIGDIVNVIDEIAFQTNLLALNAGVEAARAGEAGKGF AVVAQEVRELALRSANAAKEIRALISTSSSQVSSGVQLVNRTGKALLEIEGQVEQVAG LIARIVSVSAEQAVAIGEINASVNALDQVTQRNAAMAVETASACRALGSQTQTLEGVV NRFQIDAAAGGSRPQKAA YP_002973413.1 MFLLEREPDMSMEMDEPTIVATWENRAQIIEIMSSARTMSQEFQ DLWNGSGETGRLSQENTDRLVELLREIGSLNEKLMRLA YP_002973414.1 MTPSAISRRQFLLAGIALQVLGPKIAAAQPTGTSDHGIGGSGLS IQGGGENEDHGIGGTGIVGTIQGFGSIIVNNIHIPFSATTPIEIDGRRVRASAMKVGH VARVLLTGKLAARITIVSEVQGRIDRIDKTGMTVLGQTIDTTGVATKGLRKGKRVAVF GIRKPDGTIIARRIEPRSVSEGAHVRGIPVKSSNRVLIGGLSLGSTHGYLAGKQTLVR LKAVADRLIITRIQAEPLVPGLKQGIVNVETFQPTDKDRPGAGPRGSAPFGTTPPSPD GHGFVDVGVRDSSRMTGFPDGRIPHDFGSRRPGGPSDRPPPDRSPFGRGGPDRDFPAP DRGGAPPQDGPPPGPPPPGPGPH YP_002973415.1 MVFQRPSVLLMSKPRAKLPFSEETLQAMLIRVLRPLVKLALASG FNFISFSTVLRRLYIEVAEKEFALPNKNQTDSRISLLTGIHRKDVNRLRGQVLAASFL TTGVSQTSRILARWLADPFYCDADDRPSALPRTSSDGGPSFESLVSDITKDVHPRSIL DDWLDKGIVVVDQNGWIQLELSSIVPNAGDEARRHYFTRNLRDHVQASVMNLMNEPPP YFERAVHYDGMSPELAARLDEIARQEGMALLLKLNKIAHQAIKDDPGGSSRWITGLYV MTEEGEADLQPDAASKTETGE YP_002973416.1 MREFKRMQIPALAREPNTTCSEIVAEAAFALASGIIDTIPFVGS KLDEQQTRAWPRSGVFTDDGVEMTGTPPEIFELCELLAAHIEKGTSFDVFEVFHKIAR IDRLIDWRHGAVLSPEPHPVTH YP_002973417.1 MKASFVSSSAMQNVLRLTISQSQNKLQQASTEATTGTYADIGVS LGNGAAKSINLTSAIAQAASFKTSNAVVELRMDASQAALSSLKDAGDSLVSNLTALQA SQDTTSIAVALQTAGATISQLVSTANTSVNGEFLFGGTNLDSQPLSDQSSAVSDAIVS ALNDYATGLGKDVNELTGEEIGSFITDTVEPMFSESAWTDTSDGWSTASSTDMTSRIS GSETITSSTNANSEGMRYLALASVVVSALFGQDLSSDAQSTVASKAIAYAAQATSGIV TQQSELGLAQERLEKANDALDAQSTLLQGNLVDLQGVDTYEASTLVNQLQTQLETAYT LVSKLQSLSLVNYL YP_002973418.1 MSLTSALNSVQSIFNNTGQQSSVISTNIANVGNSDYVRREASVT TSLSGAQVVSISRAQETALLAQYLQTNAKDSAQQTLVTGLESLKSLVGGNDYETSPST YLTAFQQALQTFGTSPSSTTAAQSAVTAAQDLANSLNTASDGVQSIRAEADAEIATQV STLNTLLSQFEAANNAVKLATATGTDTSSALDEREKLLKQISSIVGVTSTVRDNNDMA LYTSDGTVLFETIPRTVTFAPTATYVAGTEGNSIYIDGVALDAGEGSTTSASGSLQAL LQLRDEIAPTFQAQLDEIAKSLVQIFSETDGSTSAPGLFVWTTASGATGATPAASDDT TGIASTISVNLAVVTSEGGDATKLRDGSISGITDLNTAGDSGFSDNLDALYQALTEQR SFSSDAGLSTSQSLTDYASASIGWLEQYRSDATSASETTAAALSRSDEAYSNETGVNL DEELTLLLDIEQSYKAATKILNVIDEMFQSLLDIAS YP_002973419.1 MSIFGSMKTAVSGMSAQANRLSTVSDNIANANTTGYKAVSTSFS SLVLPSSSGNYNSGGVQTSVRQAISQQGDISYTTSAYDLAISGDGFFIVESADGTPVL TRAGDFSVDSDGNLVNGAGFTLMGYSYDSGVPAVVVNGFDGLVPVNVSQSGLSAVASS SAYFSGNLNSEATVVTDTTTLPSANTASVTDDTQKMSLVAYDSLGGTVQYDYYFTKTG VTTDASGAVTGSTWEVAVYRNADASTGSTTSFPYSSDAVSVATLSFDADGQLTSATDT DIVDPVTAKTITMDYSDFTQLSSDFSATGSADGQAASAVSSVSIGTDGVVSVSYANGA TKALYQIPLATVASPDNLTLLSGNVYSANGLSGVTVTGFPQTNGLGSIQSGALESSNV DLAGELTEMIEAQRSYTANSKVFQTGSDIMDVLVNLKR YP_002973420.1 MTTISAATSISSYSYSKSSTSASQDILSCNTVSAKKSTASQQRS EDATNSAEKLMSQLMSLTMNGLAGQSASSEQQDGGADMDVAQLDSDGDGYVSKAEFVT ARPSDVSEDEAGTLFDSFDSEGSGSLSVDALTEAMSAQQSERAEGPPPPPPSDDDDLT SMLSDLDTDGDGQLSKAEFVAGRPSDVSEEQAGTLFDSFDSESSGSLSVDTLTEAMSA QRSERPEGPPPSADDDDDQFASLLSDLDTDGDGLVTLDEFMAGESDDVTESQASQLFS LLDASGTGLLSAQSTS YP_002973421.1 MDVADEPVDEPRKRGRPKVSSDEDKRAHIVEIARRVFVKCGYAG STTAVVASEAGVSKQTLYKLFQSKEELFAAVVGAHRRLMLDLPRPAEDISIAESLERI FMIDMDEDKDADRAGFLQLVFREAGQFPELVDILQREGMLASRQDLTDWLSHRRAEGK LSLDDPASGARMLMDMIFGGMGPPEGRAQAWPDRAVLLAHLRRCIAIFSAGVGAA YP_002973422.1 MVSTYVSYLSVARNLNASLSSVASQATVSRDSAYYKENIDKVTT VDEFMSDYKLYSYAMKAYGLEDMTYAKAFMTKVLESDLSDSSSFANSLSDTRYAEFAA AFKFAGETKTAQSDVQRDNLLDAYEESFDTEADDIADATDYFEENISSITSVDDLLSS SKLKNYVLTAFGLSTEYTSTSFLKSVLTSDLEDADSFVNQLDDAVYVNLAKAFNFNED GSTDGDVMSEDQISLVTSAYAVASATIASSETGEAYDTYFAAQIGNITSVDELMSDDK LVSYLRTAYGLTDSETDNFISAALKSADVADAIGLSDLHDAFNFDEDGDLADGDTAQT SDQTATTTAAFDENYQVLVANASTEDATDNYTTRIASVTSIDDFLVSNDDDDDDDNDD LPELWEMALRAYDIDPDSVSRSEVRKILESDPADSKSYVNSLDDDRFVAFRKAFNFDD NGDVTVPLQAMSESVVDDYAAYYKQNKIRYLEGAELTEATDAANDEITYFREQMATIT TASEFLADDRLVSFALEAKGLDPDDVTSDELEKMFSSDLDDEDSYVNKLDDNRFAELV GAFNFDQDGNISADPTGTVQQRGDVLETIDAYVRLTLEDDQGDSNTGVRLALYFQRKA PEISSAYNILGDSALFEFFTTTFNLSSYVSNMDVDKQAEMVDNFIDMKDLSDPDKVDD LIKRFTAMYDMANGTGTTSSALSILTGSATISADTLLAMAQLKSG YP_002973423.1 MAALAALSNSQAMIEFDLSGKILTANENFCRALGYELREIVGKH HSMFVEPAYASSAEYTAFWTKLSAGKFDQQQYKRVGKGGREVWIEASYNPVFRRGKPV KVIKIATDITAQKLKSAEDSGKIDALSRAQAIIEFTPVGEILTANDNFLSALGYSLAE IQGKHHSMFCEADYSRSEAYKEFWRRLASGQLVADEFMRVGKGGRKVYIQASYNPIFD LNGKVFKVVKFATDVTARVENVEQLARCLTNLADGDLSQMIQKPFIPSLERLRADFNS ASEKLKGAMATVAENAKAISAGSNEIRTAADDLAKRTEQQAASVEETAAALEEITTTV KDSSRRAEEAGQLVGRARNHAEHSGQVVRDAIGAMDQIENSSREISNIIGVIDEIAFQ TNLLALNAGVEAARAGEAGKGFAVVAQEVRELAQRSAKAAKEIKSLITASGSHVANGV ALVTNAGSALQEIATQVHEINTNVTAIVEAAREQSTALGGISQSINTVDQGTQQNAAM VEEQTAASHGLAREAAALFELLEQFRFNDAPRSRTSFAPADRHPAPPTPLKVVRTSPL ASIQRGSASVALKSDWEEF YP_002973424.1 MADTVLIDSRDGIATLTLNRPEKLNALNYALIDRLLAILDAIET DRSIRVVILTGSGERAFSAGGDIYEFSESVAQGADVAMRDFVARGQRLTARLEAYHKP VIAAVNGLAFGGGCEITEAVPLAIASERALFAKPEINLAMPPTFGGTQRLPRLAGRKR ALELLLTGDAFSPQRALELGLVNQLVPHDALMPAAHDLARRILRHSPLAAASILTAVT RGINQSIAEGLLIEGEQFARMAPTADLREGLDAWIERRKPNYPGSWSLD YP_002973425.1 MISPAVPTRERIISAAAKLFYNEGIRSVSVDAVAEEAGVTKRTL YYHFASKDDLIGAYLEARDQPNLSLFKRWYAETAGEPADKVQGIFRNLARAARHPKWK GCGFLRTSAELVNMPGHPAMKVGIEHKRRVEAWLRVTFEAAGIKTEAPQLARQIVLLL DGSFAVVLLHRDPTYMEAAGEAAGSLIRAAIANR YP_002973426.1 MTISFRTGVMSLAVAALLSTPALADGSKLDEVLARGHLVLGTGS TNAPWHFKSADDKLQGFDVDMGHIIAKALFGDPEKIEYVNQSSDARIPNITTDKVDIT CQFMTVTGERAQQVAFTIPYYREGVGLMLKADGKYADYAALKAAGSSVTISVLQNVYA EAMVHAALPEATVDQYDSVDLIYQALESGRADAVATDQSSLAWYMTQNPGRYKDAGYG WNPQTYACAVKRGDQDWLNFVNTALHEAMTGVEFDFYAKSFKTWFGKDLTPPQIGFPV EFK YP_002973427.1 MGYTLNFAAVWRNFDYLLSGLALSLGLAVISILIGAAIGLVVAF ALTSKSRFAVVPARVYVTVIRNLPILVLVLFVFFALPQMGLRLDKIKSFVLVLSLYSG AYLAEVFRAGLLSIPRGLTEAGLAIGLTGMQIRSSIIAPLMLRNVLPSLSSTIISLFK DTSLAAAIAVPELTFAARKINVESFRVIETWMVTSALYVAACFLIAAVMRVVERSLAL PR YP_002973428.1 MSHTFLEQLWIARYVIMNGIGVTVSISLLAILAGSILGVFVGLA LVYGGVVLRLAVRAYTDIIRGTPVLVLVLASYYVSAAVGLDLGPFSAGVLALAVFCSS HVGEIVRGALQAIPKGQTEAAKAIGLTFTQTFTSVLWPQAMRQCLPAWVNTAAEMVKA STLLSVIGVAELLLRTQEIISRNFMSLQFYFLAGGLYFIINYGIEHFGKYVERKTALP S YP_002973429.1 MAKTILDIQGLRKTYGIHEVLKGVDCAVEEGEVISIIGSSGSGK TTLLRCINMLEEFQGGTISLDGEEIGYRAEGATRRRKSEKEIARQRALTGMAFQQFNL FPHMSAAENVMLGLVKVKKMTKPDARAIAEKWLDRVGLSARSSHYPGQLSGGQQQRVA IARAIAMSPRLMLFDEVTSALDPELVGEVLQVIKGLAADGMTMLLVTHEMRFAYDVSS RVIFMNQGVICEEGDPKDMFVHPKTERLAEFLKTSSFN YP_002973430.1 MRVLLDTNVLSEVTRPRPDAHVLQWLDSLDEDRSFISTVSIAEI RRGVALMESGRKRDALAEWLAQDLPQRFEQRVIPVHQPVAIAWGDLMGLAKRSGRGLS SMDGLIGATAIAHDLTLATRNIKDFEGLGIELVHPWTERP YP_002973431.1 MQPSRPEDKSWTVASAKAKLSEVIQRAQATPQTIMRNGKPSVVV VSAEEWQRKTARKGTLAEFLMQSPLRGADLDLERERDEPRDLQL YP_002973432.1 MLTRRVFFAIATLAATFGFGSASYADALADITARGTLRVAVPQD FPPFGSVGTDMAPMGYDIDVANLIAEKLGVKTELVPVTSANRVPYLQTNKVDLVISSL GKNAEREKVIDFTTAYAPFFNGVFAPADVSIAKVEDLAGKSIGVTRGAVEDLELTKIA PADATIKRYEDNNGTISAFLSGQVDTIATGNVVAAAILAKNPPKRPEMKFLIKNSPCY IGLNKEQTALLEKVNGIIAAAKTDGALNAISQKWLGADLPSDL YP_002973433.1 MSYHFEFGWLLEYYPEIIKGILITLELIAIGGVLGISLGIFCAW ARALGPAWLKPVVATYVELIRNTPFLIQLFFIFFGLPSLGFKLSELTAANLAMVVNLG AYSCEIIRAGIQATPKGQFEAGESLAMTRFETFRHVVLVPSLQRIWPALSSQVVIVML GSSVVSQIAAEDLTFAANFIQSRTFRAFEAYIVSTAIYLVLAILLRQLLAVVGGFIFP RRAAR YP_002973434.1 MIEFTLWDILRNLLLATRWTILLSLVSFIGGGVVGLGLLFLRIS KRKAFRTVAKYYIELFQGTPLLMQLFIAFFGLGLFGIDVPAWLAAGFALILWSAAFLA EIWRGCVEAVVKGQWEASASLGMGRLQQMRYVILPQALRVAIPPTVGFSVQIVKGTAL TSIIGFVELSKAGTVVTNATFQPFTVYGLVALIYFALCWPLSKSSQILERKLNVAHRN H YP_002973435.1 MSLIEITEVRKSFGTTEVLKGINLDVEAGEVIAIIGKSGSGKST LLRCINGLETITDGSISVAGAQLLDDEVHLKALRLKVGMIFQQFNLFPHLTVGGNVML SQTVVKKTPKAEAEATARKMLERVGLGHRFDAYPDELSGGQQQRVAIARALAMQPTAL LCDEITSALDPELVAEVLAVVRELAAEGMTLLMVTHEMKFARDVCNRVVFMHQGRVHE AGPPEEVFANPQTAELKQFLGVN YP_002973436.1 MAPRVLILPTALDNYRLAVRETARKWSTEQAKAYSRLLRAGFEG IPEAYARVRIKKDERAGNSLFRLHKIEHHYAVYIVVDDSTFVIAAVLHERMDIPAQLR TIERLTDREYDALMGHPRPKSLGRSAAITGGAAADRAASRVR YP_002973437.1 MTSSLHVSLPDEMRAFVDMRANGKSQYTTPSEYVRALIREDMAR EEDRRYAIRSLLRAEEEFRRGEMLPLSALDAIDAELDEELR YP_002973438.1 MTLLPIALGGTELVAWRLDQAVHAPTWDSGEGAYRFGGRWNSKG VRAVYCSLDPATAILEVAVHNGFRALDTVAHRMTAAVIADAGDIHVVDPGNVPNPNWL RPGIPSAGQQIFGDDLLRQHRFVAIPSAVSRHSWNLIFLAGGATGAYALKFQESFALD TRLHPPTS YP_002973439.1 MAVAAKAPSSAAGGGELQKIEALLGGSRILSRSLTNALDAHELL LHGLPATALDHLVGTLVVLGKNESLEKAVGMSLRTWQRRKDTPSKPLSQEQSGRAWKF AEILAKATDIFGSQAEAEQWLERPAVGLEQRRPIDLLGTPAGVELVEDHLDRLEYGVY A YP_002973440.1 MSTWGKIFTAIRGGINEAAEAAADSQSMRVLDQEIRDAEQSLRS ARSDLAGIMASNKSVMRRLDENRAKETKDTDSARAAISANRTDLAQGLAQRIASIRAE VQRDQEELDRLLPRQQQMLRTIQETEARIAQMKREVGNVKANESLLRAQSAIAHSQSG INTRLGSAVESLERIKKRQEITAGRIEAGAELAALENGSDLDRQLREAGIGSSSHSAD DILAQLMAPKHSVEPILLPAPTSKKD YP_002973441.1 METWNLTTLTRLFAADPDALGDVDVAVPEQGDVICVTLKEKGDL DVFVAVSGERDILVSAVLVPCKDVSNREAFERMVLKTHKFVPLSSFGITTIDGEEWYE LFGSLSARSPAETVVEEVAILAANAVDAAHMIEEWKSGEIAA YP_002973442.1 MRRVYLSLSELAWSALFVILVIHLVASYLLFMLAGEADLVGNPV DFLYYYMVTATTVGYGDLSPKSGAGRLIAVLFVLPGGIAIFTAVLGKLLTNIGTIWRN RMRGLGDYSERAGHIIVLGWQEGQTYQTLRLLHAERQANEPMSVLVAKDLPENPASNY ADYIRTERLADADALVRAGVAQARAIIARGANDDETLAAVLIAEDHAPNAHIVAYFAD DRTAQMVKQRRPRVEAVGSLAEELLSRSARDPGSSEIAARLLSAASTDTAFSLPVPPL QTPLLYGDVFLRLKRQHNVTLVGMLSQGMTDLNCQDETLMRGGETLYYISGMRLDPAA IAWARMGEVS YP_002973443.1 MIGWFGRDKNERPLPKELGPLSAAIGGALEIDFLSLEADALAGQ PAMPLPRSGPFIIAAYGEVSLDAATVLSRYYDEDHRMIQVMSTSGQPGDVDDISFYQP WDSVVPAGQGEWNRWTGPDGLIGQPSYDADGILYSRFWGEGPERTPLAEFVEKVDDGE AQRSIHQTCMLYYRPLGSTREMLLINVERDLDLGQSQAGSSVEFLIGYGLAPADVRRV YP_002973444.1 MLDYVAGLPAFLGYFAVGLAAYGVFAVIYTFLTPQKEVQLIRAG NLAAVTAFLGALVGFSLPLASAAANSVSIVDYIIWAVVGILAQILAYYIANFTMTDLH EKITAGDIAAGIWGGGIALVIGILNAACMTY YP_002973445.1 MRRRKSGHKRPFLALGTIAASTLALSGCGDQTPSETMFTSVDQC VTSGMDRQVCQAGYQDAMRAHLAAAPRFNGMAACEAEYGSGQCTEQSASAVPNNTGGG GSFFVPFLTGYMLSSALNNIGGYYDYRRRQEESGSYGSTPIYRNRSGQTVTTTVRSGG RNDTVTAPSRQSVKPVNVNTRTVARQGFGGRSSFSFGG YP_002973446.1 MKRITLPARPDWLDKARAVGFGFHVMYGEPYWLDDAAYTFTLDE IETRIEEPSQELHDMCMDLVDDIVRSEESLDKLAIPEDLRDVVQRSWQRRDRHLYGRF DLAYDGTGPVKLLEYNADTPTSVFETAYFQFNWLTDQVALGILPKDADQYNSLQESLV EAFEQFSKEPIFHFAAMTDNEEDRGTTVYLMDCAVQAGHRVELLDIREIGIDAQGRYT DLKDRVIDRCFKLYPWEFMLREPFARDLVRSGDVFVEPAWKAVLSNKGLLPLLWQRHP NHPNLLASYFADDPAASSLSDYVRKPLLSREGENVTIFRDGRELISAPGDYGDEGFIV QAYAPLFESDGGFAVLGSWIVGDRACGLAVREDRSRITANLSRFVPHVIVG YP_002973447.1 MLPIGFSFLSGNCSVAGCTIGSCLLQGRDQSRTMLPEIKLQGHV DVAALSPLLRGMLLSVAYADGEGGIGLTATGAMNRKFVHWAAVHFLWPSFTAEDLYSM NKVLNESDMPPLWVVRDMTRHLKLLRRKKDVLLPTRRGREFLAKPQAFFDLIATDYLY SYVHETDREEEVRARLRWWRLFLNLLNIAAREGCTSMEVVEILYPDLAPLSDTEITLE AWKLKSDLQHGVFRRLCWLGLLYEAREGLTLLQDGSFHKTPLWAACLQLESDTQSDIG VH YP_002973448.1 MTTSSLVETCFPSSPSCRLGGNSSAARAALAAGQTDHMFGIPDG SQGNGYHKRGRKPGRRTDFMNDPEVIPKRQKALMRQPAE YP_002973449.1 MGLIAMSERDLQRIEILSNVIAGRMTMVSAAHVLDLSTRQVRRL LERINTGGAASIRHKAIGRPSNNRISDGVRDYAVTLVRERYADFGPTLAAEKLAERDG LRVSRETLRSWMVDAGLWLSRKQRRTFHQPRLRREAYGELVQIDGSEHRWFEDRGDPC SLLVFVDDATGKLMQLRFVRSESAFSYFEALALYLRDHGAPVAFYSDKHSVFRVAKKE AKGGQGMTQFGRALCELNIEILCANSSQAKGRVERMNRTLQDRLVKELRLAGISGMAA GNAFLPGFMEDYNARFAIAPARSEDLHRPLNLAPDRLSEILCKREQRYVGAQLTFSFE RQRIMLEESDVTRGLVGRYVETYAYADGRLDVRWKGHSLPYKTFDKDQRVTHAAITEN KRLGDVLAYIKERQEQLPAPKVRTNSEKNGYTPRGRKPGRKTDFMNDPAVIARRRQAL SDLDAAE YP_002973450.1 MLPEINLQGHVDVAALSPLLRGMLLSVAYADGEGGIGLTATGAM NRKFVHWAAVHFLWPSFTAEDLYSMNKVLNESDMPPLWVVRDMARHLKLLRRKKDVLL PTKRGREFLVNPQAFFDLVATDYLYSYVHATEREEEVRARLRWWRLFLNLLNIAAREG CTPMEVVEILYPDLAPLSDTEITLEAWKLKSDLQHGVFRRLCWLGLLYEAREGLTLLQ DGSFHKTPLWAACLQLESDTQSDIGVH YP_002973451.1 MSFMITMSQKELHRLEVIQKIRDLRLSVVQAAELLGLSRSQVHR LLQAYDRDGPAGLVSKKRSQPSNRRHSEEFRNAALDLIREHYLDFGPTLAREKLIELH RISVAKETLRQWMTEAGIWISRRERKKRVFQPRGRRDCFGELVQIDGSHHWWFENRGP KCALLVYIDDATGKLLHLRFAGSENTFDYLHATKAYLQQWGKPLAFYSDKHGVFRSTH ASEKDRTSGLTQFGRALYELNIDIICANTPQAKGRVERANQTLQDRLVKEMRLRGIDT IEAANAYAPEFIADFNSRFGKQPRNPKDMHRPLADHENLDGAMCRKEVRTLSQSLTLR YDKVLFILDPTEISRPLAGKKVVVCDYPDGRLEIMHESFTLPYRTFDTLRSVHRAEVV ENKRLDDMLSIVAELQAGREQQRSKSGPRRTGQTDHMFGIPDGSQGNGYQKRGRKPGR RTDFMNDPEVIAKRQKALMRQPAE YP_002973452.1 MRIVNVCEVTKPIASPIRNAYIDFSKMTASLVAVVTDVVRDGRR VVGYGFNSNGRYGQGGLIRERFKDRILQADPDSLINDAGTNLDPHKIWSTMMMNEKPG GHGERSVAVGTIDMAIWDAVAKIESKPLFRMLADMKGREADPRVFVYAAGGYYYPGKD NSALRKEMRGYLDRGYNVVKMKIGGASIDEDRGRIESVLEEIGSEAKLAVDANGRFDL ETGIAYAKMLRDYPLFWYEEIGDPLDYALQAAISEFYDAPMATGENLFSHQDARNLLR YGGMRADRDFLQFDCALSYGLVEYLRTLDVLRQFGWSPSRCIPHGGHQMSLNIAAGLG LGGNESYPDLFQPFGGFPDGVKVIDGHITMPELPGIGFEGKSDLIKVMRELAE YP_002973453.1 MFGVDGGPVKSPFPLGDGYCCNPVADEVHEAIDAEQEDETCDGN GMKAGQRGSKGNEACEVTEIDGDFISSSHCRRVSNNGVPQRNIREQAIDRPMPFRLQL MP YP_002973454.1 MDTAWLLDLRALADTLNFSRAAELRNITQPAFGRRIKAFEDWSG SVLVDRSTHRIRITPAGEIMLDAANDILQRIERATHDLNQERSATATLTFAATHALSF TFFPGWVQSLGSSVATVPIRLLSDNMNECEKMMAEGRAQFLLCHFHPDSEIRLHEADF KYMELASDVLVPVARRGKEGAPIHKLPGTPEIPVPHISFDEKSGMGRILAANLSPVES ELHLVRVFTSHLAMVLKALALEGKGVAWIPRSLASSELEPEGNLAVAGDERWMVPVKI VLIRSRTRMTELAEKFWMTVESASKRTPV YP_002973455.1 MRTEHDWNCQNPERRKVWAAATSEALRVLEGKWKTVIICQLFAA HGPLRFSELERRVDGVNQKMLIQQLKELEKDGIIVRTVYPEVPPRVEYNLSKLGVALG PAMEALIDWALLRRAGMNGD YP_002973456.1 MKIGIFGTGNIGGTLARKLAAAGHDVRITNSKPSEKLNAFAADI GATPADAQGVVDGADIIILSIPFPAVAELPQDLFANLAANVPVVDTGNYYPGMRDPHI AEIDDGLPESVWVSNQIGRRVIKAFNNILAATLADGGRPVGAPDRIAAAVAGDDEAQK RIVMGIVDEVGFDPIDSGSLDDSWRQQPSTPVYCCDWNVEETRKALAQAVKGKAAATR DKLPEQFAALGPNPKHADVIASNRENNAPA YP_002973457.1 MKRATAQNVAMEAGVSKWTVIRAFTPGASITEASKAKVLEAAER LNYRPNLLARSLATNSTHQVAVLVDDFANPHKLPFLEKLTASLQAEGLVTMLININQH YSHVHAILNADQRQVDAIVLFGTAFRDEMLTDHKLGRGGPPMFVLARDSQIEGVPAIS CDAVVAIAEIGEHLLKRGYRRPGFMAGALTLSTALGRRRNFKAFWRTALGVELVEMSA ERYSAEAGAQAARDYLSNVASEDRIDVLMCENDALAFGAIDVARSEFGLRVPDDLAIV GFDNAAPAASPAYSLTTYEQPTDQMVKAAIDMILERAPRETVNFQGKLVVRDSA YP_002973458.1 MAPIEQKIGDASNSDERIKQVGFLTHMMRRPELGAVAGLVLVVT FFFLTADRSMFSLSGVMTILAPASQLGILAIAAALLMIGGEFDLSIGSMVAFAGLIFG AALTNFGQPLWISIIITMLLAAAMGAVNGQIVIRTRLPSFIVTLAFLFILRGLTLVGL KWATGGSTQLRGIGEVVGDGPLKRLFSGVAFQDLFSWLAAHGLIEKFDNGVPKVTGVP VSVVWFIAIAGLATWVLLRTRIGNWVFAAGGDPNAARNSGVPVDRVKTGLFALTACAA ALVAIITVLDAGSTDARRGFQKEFEAIIAAVIGGCLLTGGYGSAIGAFFGAIIFGLVS IGLTYTKFDSDWFQVFLGSMLLLAVLFNNFIRRKVTGER YP_002973459.1 MTDNQSETAPPVIEVKNIVKHYGSVIALSGVSMKVSQGEVLCLL GDNGAGKSTLIKTLSGVVRPSGGDFLVEGKPVAFTSPRDALDAGIATVYQDLAMIPLM SITRNFFMGREPVKGIPPFRHVDFKYCSEVTREEMHKIGIDIRDPNQAVGTLSGGERQ CVAIARAVYFGAKVLILDEPTSALGVAQTSMVLKYIHQVQQKGLAVIFITHNVRHAFA VGNRFTVLNRGKTLGTFDKSEISLEELQNLMAGGKELQVLSAELGGTI YP_002973460.1 MKTTTKTSPFTLAVCAEMVFRSLPLLDRLKRITELGFQAEIWDW TKHDIEAIAKSGATFSSMTGYVTGTLADDAGADELLRTARQSIPIAKELNCPRLNLHG TGLDGQGLPVVKSEVVTGAMWLKAADTLNRIADLGEEEGVTFVLENLNEAVDHPKTPF AKAADTLALVAAVNRPSLKLNLDLYHAQIGEGNLIELCRTALPHIGEIQVADVPGRKE PGTGEINYPAIARALNEMGYRGTIGMEAWPSGDDELALSRFRDAFSL YP_002973461.1 MPAHRPVNLALIGAGRIGSFHAESVARRLVDANLLAVADPAPGA AEKLAAALDAPKAYTSVSELLANPEIDGVIIATPARFHTSVVVEAARAGKAVFCEKPM ALTLGEADEAIAVTKAAKVPLQVGFNRRWDQAFYEGRAAIDAGKVGSVQLLRSLTRDP GPYDGNPEKTPLWTIFYETLIHDFDTLLWLNPKATPIEVTAIADALVVPDFARKGFHD TAVVTIRFDNGSIAVAEANFCAMYGYDIRGEVFGSGGMVTMGDVRRSSMTLYDKNGVS NDTWRRDTDHFVHGYTAQLGSFVEAIRTGTVTGPTGEDARNALAIALACITSVTERRT IQLSGL YP_002973462.1 MPQHIRSLSSPPASFEELKCAIACRQVTFPLRVENVAKRVLAKP ELMAFASTTSIANDCGVSVSTVMRFVAHIGFHEIGQARAIFRNELRRRLAVLLPGPGM KNGSDG YP_002973463.1 MRFSLSVEAEEDIIAIAEQSVRIFGSAQARRYHDELFAVLDLIA ANPQMAREREEIWPHVRIHPFKAHLVVYRIEENGAIFVIRIRHGHEDWAGDPG YP_002973464.1 MATMNVSLPDPMKDWVEAQARTGRYSNASDYVRDLIRRDQARSD KIAAMQRFVDDGVKSGVGGRSKDELFAAAVARAETTRGSR YP_002973465.1 MPSRGNVRVPLDQGCKLAAAIPNASFVPLESKNHVLVPDEACVQ RVFKPNLLPSSGRIGCNRARFVGLSHIKPPNDLLWSKPGASLFAYIENEEPV YP_002973466.1 MKIAPQQRIYVCFFLFAVSLGALLSRMPDLQVALGVNKSELGLT LIGAAIGALISLTLSSPLIARLGARTTAFITVLGTSALLSLVPWIGAAPVVFCVLFVE GLLAGALEINLNVEIDRIEAQLGRGVMNRAHGFWSLGFFVTALVSSVVRQAGISMELH LAVTFVAVVVIGIWAISGMRNAPARIALHEGKAPLVALPTWGLMPLCVIGIAAFLVEG AGIDWSAIYMRDVFSVEPFIGGLGLTLFTFCMALARLFVDPLVDRFGARAVATMLLVL SAIGICAVSGAPHPYVALAGFALMGAGCSAVYPLAVSAAAQRTDRAAYLNVAALGQMS FVVFFLAPPLLGFIAEHAGIRTSYLVCLPLIIYALFSAKALATRRAAGGGSAATARSV NG YP_002973467.1 MGWKTPKIEYVNGYKIVEVEGPAFKVYDGDRQLGDDFPYPGEAA AYATSLPKRDHPRS YP_002973468.1 MAALPKVEQDLTSSQLSSPLELLGWSEFFADQVQPSEADLIPRR IASVHRARIEAIDVTGPVGLEFPSNTSTGDYAVGDWVLADALTDMLVRRLDRKSVFQR RLEGGRGQQLVAANIDTLLIVTSCNADFNLARLERYLAMANQAGSNPVIVLTKADTAE DAGMFQAQAEALQRDLPVIALNGRSADAVSLLRPWCGVGQTVALVGSSGVGKSTLVNT MTGPESDTKQKTGTIREYDAQGRHTTTARSLHAISGGGWVIDTPGIRTLYVSDVADGI DTLFAEITELAPLCRFRDCTHAHEPGCAVQAAVASGALGVDRLERWRSLLAENSDRTP VVSGPRGNKIVRKKKY YP_002973469.1 MNADMIAAWAVENGFHAMDSGNFCRHDNAGVITIEIKRMSVLLI DERQGLRPRLISRLFKDISLTSGSGRLQGLLLDRNPNH YP_002973470.1 MVTVNIGAGAELLISVDWQTCIDVTRMQTWLTDPENVRGWSSLR DPELGHFWTWREESDFLVAQARLLKAQGRPGEGLANLE YP_002973471.1 MARSRANGSGYHLLAAEILATVAAWNDDRHAQGLEYFQFAIASA RSHEVTQLFEDEGLEFSTVVRAIVRRFGLKVFSADAVDIIGRIIGQGEKSVFGKPADS GRRIKGPLAGGKSLLSQREQQVLLCLHEGKSNKEIARARAFRAYGEIPPQERVFQTGG RRAMALAVSAKLNLR YP_002973472.1 MDYEVAIVGAGAAGIAAAKSLAEAGRSAIILEASSRVGGRAWTI ELAGMPLDMGCGWLHSAERNPLVAIGRAAGFNIERGPTAWQSQWRDFGFTQEERAAAA AAWNALKQRMRTGPPASDSASDALEPHGKWNAYCQSLSGYLNGAPLDRLSVADFLAYD NAATDANWRVHEGYGSLISAAVPNVALRLSTPVRRLTLTANGIRLETDRGPVTAGAAI ITASTTALARGAIIFDPKAADHLHAASQLPLGLADKLFLELHGNHGLEPETHLLGDPQ NAETGSYYIRPLGRPIIEGFFGGNGAVVIERAGLVEAFAFALDQLSSLLGSNIRRHLR PLAASSWCRTDWIGGSYSHALPGHADARAVLALPVGDRLFFAGEATHQSDFSTAHGAW ESGLRAADQAAAVLTRS YP_002973473.1 MTFFETLVALLAVAILLLQVTRRMRLPYPGILAAAGVAVAMLPG APTIPIDPPTALALFIAPVLVDSAYDFPVGAARRMLLPLFFYAVVAVLVTTGVVVSIS MLTVGLPIAVAVTLGAIVSPPDAAAATAVLSNLPVARRVDALLKGESLFNDATALLLF GAALTVQARGGLDAGTALQVGFAAPGGILFGIVFGFFVSRLRPITENTVGGTLLQFVY AFSTWLIAEHLHLSAVLATVASAMTLATLSSVKDSPRMRVHSFAVWTTVVFLLNVVAF LLMGLQARRILEAMSAEELQRAMGFAAIVVVGVILARMAMAFLLHAVVASRHRRGGEL APFTSGETLLVGWAGMRGLVTLATAFALPADFPERDLVGLTAFAVVLATLVIQGATLA PMIHLLKLGRQAEVHDELKAARRSLAEAAFQRLEKEDGTEAEAIRTICKDHWTASTDA NKTSPPDRRRDLTIAAVLAQRQRLEELRDTDQIGATQYLELQEDLDWKQLSVGSDEDR RITES YP_002973474.1 MTDIGKKKATIYDLSMLSGASPSTVSAVLNGSWRKRRIKEATAQ LIQNLANEHGYTANLQARGLRSSRSGLVGLLLPVHDNRYFSSMAQSFEAQVRSRGQCP LVVSACRDPEEERKVVETLISYSIDELFIAGATDPDGVHKVCEKAGLKHVNIDLPGTL APSIISDNYEGARMLTQAIIERSQEDGPLSPDDLYLFGGRNDHASHERIRGFRDVKRV LLGADPDVCIQPTGYSPAMTARAFEAFYEGHGKLPRAFFVNSSINLEGLMRFLALHPH ETFADIVVGCYDYDPFGSFLPFPVFMIRQDSEAMITKGFAILDAGRGPPITHLVRPTL VPPRTALTGPLDALKDIE YP_002973475.1 MGGKGIPSPRSNQEKPAANRPVVVVRMSDL YP_002973476.1 MRSLIVAAFAASLSLAGAVVAFAQDAPKVGVVVKIGGIPWFNAM EAGIKEQGKKLGVDAFMIGPTSADPALQVRAIEDLIAQGVKVIGVVPNDAKVLEPVLT KAQAAGIKVITHESPSQKGADWNFELASATGFGEAHGKLLAEKMGGKGEYAVFVGSLT VPLHNAWADAAIAYIKANYPDMKLVGERYGVAEDVDKSRSTALDLIAAHPDLTGFLAF GSQGPIGAGRAIEERRKTGKIFVLGPFSPGQGLKLIKSGAISGGFMWNPKQAGEVFVT LADRLIKGESVKEGDDIPGLGVIKPVGNDIIVDQLLPINKDTVDDLAAMGL YP_002973477.1 MSTATDDGVKAQPLLSLRGINMTFGGVKALKNVSFEVLPGEVHC LAGENGSGKSTLIKVISGVYRPADGAEIVFDGETISHMTPGMAQSRGIQIIWQDLALF PEMRVAENIAFQTLSGSRPRFVNYAAIRRIAEDALARLGITLDVDRPLKDFAIAQRQI VAIARALVGEARIVFMDEPTASLTQSETDHLLAIVRTLSASGVAVVFVSHRLAEVLEI SSRITVLRDGALVGVYPVEGMTQSKVTELMTGRTFDQNVRARDRSQNPVLLSVSKLSR AGEFEDISFDLRRGETLGITGLLGAGRTELALTLFGMRRPAAGTIVLEGKPMRFASNR EAITSGVAYLSEDRLSLGLNQPQSIADNLVMASLNRILGGRLISPEKKRALVARWIAD LGIRIGKQDDAISTLSGGNQQRVAIAKWLATDPKVLILDAPTVGVDVGARAGIFEIVA RLAETGLAIILISDEVPEVYFNADRIVHMEKGRIAGWHDPRTTTLKDLEAAVYA YP_002973478.1 MRKLFLAYTTEFVLFAVIIAMSVVLAFTTDRFFTLGNGFDLLNI SAVNIIFAVGLLVVLISGGIDISFAVAASIVQYVTALALERIGGGGWLSGFLIAAGIG IVLGMINAFLIHRFRIISIVATIATFNVYFGLLMFFTKGVSIYNLPDWLTSRVIIYER EMADGSWAEITLPVVVMAVCTLATWFFITRTTTGRQLYAFGDNPEGARRFGINIGAMQ FIAFGWLGLMAGIAGLMQAHYAQEVVPNALYGRELDVLAAVVLGGARLGGGKGSVLGC VLGVLLVSITQNGLNLMGVSPFAFKMIVGAIILVAITLSSARIGNLVPVFATRKSTGD RTVDRGES YP_002973479.1 MDALAARFNAVFGADMAGPLAALAAVLVVFGFASPHFLTGATFG SVAFQLPELGVLTLAMLMPILTGGLNLAITFTANIAGLTLAWTLQANGGIDAGPGAFL LGSALALAIGAASGLVMGLVIAFTRAHPILVSLSMMIFLRGLGEFLTRGGDISGFPTF VAPIGHGSILGIPVPLLILIACVIASHILLSRSKLGFSTYMIGSNIESARYSGINTRK VLVLVYMLSGVMAAVAGIIMLARFNSVRVGHGESYLLITVLACFLGGINPFGGFGRVL PVFVALIVLQLLSSGLNLLGANQHLTTAVWGILLIVVMVLRWLSAKFIKIVK YP_002973480.1 MEGFGVHTSMWTMNWDRAGAEKAIAGAVHYKMDFIEIALLNAPA VDAKHTRDLLEKNELRAVCSLGLPEHAWASVRPDAAIEHLKVAIEKTAEMNAEALSGV IFGGIGERTGLPPTQGEYDNIAKVLDAAAKHARKYGVQLGVEAVNRYENHLINSAQQA VDMVERVGADNVFVHLDTYHMNIEEKGAANGILIARDHLKYIHLSESDRGTPGYGNIP WDAIYAALAAIGFKGGLAMESFINMPPEVAYGLAVWRPVARDMEEVMDKGLPFLRNKA EQYGLI YP_002973481.1 MARITLRQLLDHAAEEGYGVPAFNINNMEQALAIMEAADACHAP VIMQASRGARAYAHDIMLKHMMDAVVEIYPHIPVCVHLDHGNDPSNCMTAIQAGFTSV MMDGSLKADAKTPADWAYNVGVTKMVTDMAHFGGISVEGELGVLGSLETGMGEAEDGH GAEGKLSHDQLLTDPDEAVKFVRETKVDALAIAMGTSHGAYKFTRKPDGSVLAMNVIE EIHRKLPNTHLVMHGSSSVPIELQEIINKYGGQMKPTWGVPVEEIQRGIKNGVRKVNI DTDGRMAMTGQIRRVLQEDPSEFDPRKYLKPAMTALTKLCKERFEQFGTAGMAGRITP LPVSEMAKRYKSGSLDPAFS YP_002973482.1 MTSDLQQRFSNLGENDIDVLIIGAGINGAGLFRDLSLQGVNCLI VDKADFGSGTSATPSRLIHGGLKYLETGEFGLVAQSTLERNLLLKNAPHCVEPLPTFI PVFSWTRGIWAAIRTLFGSKTAPRSRGAVLIKIGLRLYDFFGSRDQVMPRHRLILKKQ ARREMPHITPAIVAGGIYYDAKISRPERLVYELVRDGLDANARSLTANFATLAAVSEG RLTFRQPDGREFSVAPKLVVNAAGPWIDQVNTLLGAPSRLIGGTKGSHILLNHPELVR SLNGHMIYFEADDGRICLVYDYLGLALVGSTDIPAGDPDNVSCEEPETEYFLESIRSL LPTLRFDRDQIVYSYSGIRPLPASDATAPGLISRDHSAPVKEPESGRPFPIVSLVGGK WTTFRGFAEEVADTVLARLQRRRSHSTRYLAIGGGKAFPADAEQRHAWINDAAARGAV VAERADELLGRYGTTATALLSSPSSYSDEQRLTGAPHYSLREIDWIARNELVIHLSDI VMRRTTLAIQGRLTLEGLREIAGVVEAALGWDGERTASEIQEVVSQLSRFHGQTLTGK PAAAEPEKAPRPASG YP_002973483.1 MPTLLGIDSGLTVTKAVIFDIDGTPLAAARRRVTQFIPKARHIE RNMDELWNATADAIREAISLSGRPASDIEGIAATAHGDGIYLLDHAQKPLGRAILSLD SRAGAIVDRWTQSDVADLAIELTGQIPHVSAPSALLAWIRDMEPERYKRIGHFCSCKD WLRFCLTGIIGTDRTEASTSFTNVKTQNYSEDALRLFGLQDLVHALPPASRSDQMVGR VTREAAQLTGLAEGTPVVAGLHDVTASALGAGGYAKGVVAVIAGTYSINETLSSEPRV DRRWFCRNGIAPGIWNSMSISPASTANYDWFLDTLCAAERTNGEVQGNSIHALLAPEI DAAFERPSTALFHPYLFGSPYGAAASAGFFGLGGWHDRGDMLRAVLEGIAFNHRIHVD ALRDGFAFDQVRLAGGVSRNPAVVQMFADVLGMPVTVTETDEAAAWGAALCAGSGVGI FADPQSDPRDTASIAKTCRPDAVRSADYEKRYQVFRDIADAMVPLWPRIAALAPEQSA N YP_002973484.1 MTQKTSRDDDLLDQLTSDSRQTRQIARRRMIAEAVMGEGSMRIE DLTDRFGISLMTAHRDVDELVSRGLFRKTRGIVTAAATNLIESSDVYRSNRQSAEKKL IAEAAMQFVEPGQAIFFDDSTTVLQMAAHLPSKVPVTAITNSLTLMNALTGLHDVTLL ALGGQYYNWCNAFMGRMTINEIKALRADVAFISMSAISDGTVLHQSPETVDTKRAMFD CSVKRILLADHTKFERRALHSFAALDEFDVVIVDDKTQPVHIDRMRSRDINVVIAKGA GGRS YP_002973485.1 MFKKGMRILLAAAAVAPLLASSAWAAGMMTIIVNDPSNPYWLTE GNVAKATAEKLGYTASVNAHKGDTNTESNLIDTAITNKSVAIILDPANADGSVGAVKK AVAAGIPVILVNAEINQEGLAKAQLVSNNAQGAALGAQQWVEAIGDKGNYAELFGAPS DNNAATRSNGYETVLSQYPDLKKVAKEVANWDRTQGHNKMQSMLQANPDIVGVISGND EMALGAIAALKEAGKLANVKVGGFDGSPDAVAAIKAGELQYTVLQPVAVFSEEAVKQA DNLIKTGNTGAKSEKQLFDCLLITKDNIDKYTGPFVLSQ YP_002973486.1 MSVTNVTEKKSVSSGPKRNTNIVRLILEGRAFFALIVIIAVFSF LSPYYFTLNNFLIMASHVAIFGILAIGMLLVILNGGIDLSVGSTLGLAGCIAGFLMHG VTLTYFGVILYPPVWAVVVITCALGALVGAVNGVLIAYLKVPAFVASLGVLYVARGIA LLMTNGLTYNNLGGRPELGNTGFDWLGFNRLAGIPIGVIVLAVLAIICGIVLSRTAFG RWLYASGGNERAADLSGVPVKRVKIIVYVLSGVCAAIAGLVLSSQLTSAGPTAGTTYE LTAIAAVVIGGAALTGGRGTVRGTMLGAFVIGFLSDGLVIIGVSAYWQTVFTGAVIVL AVLMNSIQYGRRVKSS YP_002973487.1 MSQPQRNNGPKGEVVLAARNIAKSYGSVHALKGVNFDIHRGQVT TLFGENGAGKSTLMKILSGVAQPSSGEIILDGSPISFSSSTHARECGISIIHQELSLA PNLSVRDNIFMGREIIKGGVVDFAEEERQTRALMEELEEDIDPLTRVEDLRLGQQQIV EIARALSVNSRILIMDEPTSALSATEVEVLFKVIHDLTSRGVSIVYISHHLEEALQIT NHAVVLRDGNMTAYAERKDIDLEWIVRNMVGENFDLGSPPEDHPFGNVSLSIENLSVP GPSGAAYNAVDRLSLKVRAGEIVCIYGLMGAGRTELLECIAGRLRASGGQVLLEGQDV SALSIARRIASGLVLVPEDRQRDGLVQTMTVGSNLSLASIRAFTKGLFTSGHRERDLV NDAIRRVHVKTDGGAASIGSLSGGNQQKVVIGKMLATQPKVILLDEPSRGIDIGAKAE VFKLLAERAKQGLAVIYSTSEVNECLSIAHRIIVMHRGRISAEFGSDVTKEKIMAASG EAVIAH YP_002973488.1 MSTSPDHAASKPSSGNRGLLVSAALAVIVVAAIAFDTTVVKIGS ENDVRQQAFSPETFGAEQFPKIKANVEERAIAAADLAAAIAADKKAAAEKYGTATSTG PVIPVTLTGVFGARKSNTNEMKIDGLPPETVVRVQTGPAVNGTDLRDATGTIEFGQFT NQIQYQDAGSAINNEMKKAVFAGLDADALDGKQATVVGVFKLINPKNWLVTPVKVELK YP_002973489.1 MTSTQKIIIGIDAGTSVIKAVAFDLSGRQIATASVRNRYATGDD GSATQSLDQTWLDCASALLGLGEKVADLASRTAAIAVTGQGDGTWLVGRANRPVADAW LWLDARAATTVTALAGGTQNRARFEATGTGLNTCQQGAQLAHMDRFTPDLLDRAETAL HCKDWLYLNLTGVRATDPSEASFTFGNFRTRQYDAVVIDALGLDHRRGLLPEIIDGSE ISHPLTSEAAKACGLLAGTPVCLGYVDMVMTALGAGVRSGARNAACSTIGSTGVHLRA KSVADVQLNREGTGYVIALPIPGIVTQVQTNMGATINIDWILNVAAYLMAEAGKPALH ADLIARIDGWFAESRPGSVLYHPYISEAGERGPFVNANARAGFTGLSMRHGFPDLLRS VVEGLGLATRDCYAAMGAMPEELRVTGGATRSVALRRSLSAAVNAPIRQSRREETGAA GVAMMAAVAVGVYSSMDDCIADWVTPLLGDPETPDASEAHRFDRLFSAYTDVRQAMAP AWDKLAAAATTSPVGAQF YP_002973490.1 MTEPELLDLFVIGGGINGAGIARDAAGRGLKVVLCEKDDLAQGT SSRSGKLVHGGLRYLEYYEFRLVREALIEREVLLNAAPHIIWPMRFVLPHSPEDRPAW LVRLGLFLYDHLGGRKKLPGTRTLNLLRDPEGTPILDQYTRGFEYSDCWVDDARLVTL NAVSAAENGALVLTRSPAVSARRENGGWTVVTKSNATGEMRTFRAKCLVNCAGPWVMD IINRVAGSNSGRNVRLVKGSHIIVPKFWAGANAYLVQNHDKRVIFINPYEGDKALIGT TDIAYEGRAEDVAADETEIEYLLKAVNRYFKEKLRRHDVLHSFSGVRPLFDDGKGNPS AVTRDYVFDLDETGGAPLLNVFGGKITTFRELAERGMQRLKHIFPNMGGDWTEKAPLP GGEIPNADYESFANSLRDIYPWMPRKLVHHYGRLYGARARNVVAGATGIEGLGRHFGG QLYEAEARYLVATEWAETADDILYRRTKHYLHLNEAERAAFGEWFASTRLAAA YP_002973491.1 MPLTLSLNTNPLVNRFADPDDLIDTVAHDLRIRDLQLTHEFINP SWQAPVISRLTRTMSAALNRTGVRVTSGMTGPYGRLNHFGHPDADVRRYYVDWFKTFA DITADLGGHSVGTQFAIFTYEDFDDPARREELIKIAIDCWADVADHARAAGLSYLFWE PMSIGREFGETIGACLSLQERLTGAGMAIPMWMMADIDHGDITSANPDDYDPYAWARA VPPVSPIIHIKQSLMDKGGHRPFIAEFNAKGRIQPAALLQALAEGGAKDNEICLELSF KEREPNDRQVIPQIAESVAFWAPHIDTGVADLNI YP_002973492.1 MTDADDTLAVRAAWLHYAGGLTQSDVARRLGVPSVKAHRLIARA VADGVVKVTIDGDIVECVELEMRLSERFGLQYCEVAPDLGEEGLPLRALGHAGAGYLK REIERGDNTVIGLGHGRTLSAAVQYMPRVSAKNLRFVSLLGGLTRNYGANPYDVMHRI AEKTGAHAYVMPVPFFANTGEDREVLKAQRAVKEVFDLANNADLKLVGLGTVDAEAQL VLSGMVEPREIDDIATAGGVGEILGHFFDADGHILDTALTARTLSASFPKTKKERLVA LAGGQSKVPAIRAILNSRRLFGLITDERTAQALLK YP_002973493.1 MRREERHQLIVNLLVENKTVDLDDLADRFTVSKMTIHRDLDDLE KAGVLRKVRGGATIDAGTQFESDFRIRERQGNEAKLAMAQTALELVEPGMTVMVNDGS MAAVLGEMLLQKRPLTLITNNAAIMERLKGEAGIALIALGGIYSAKFNAYLGVVTEEA LSRLRADIAFISTPAVSGGRAYHMDDNVVRAKRAMIASSTRTCLLVNHQRIGHTALHV MADLADFDAIITDSAPDAAVLEEFEQAGITLTIASTQDPT YP_002973494.1 MTEKPRFWIGTSWKMNKTLAEAEHFARGLEAADAARDPRIQRFV IPSFTAVREVKAMLATTSVKVGAQNMHWADQGAWTGEVSPVMLKDCNLDLVELGHSER REHFGETDETVGLKTEAAVRHGLVPLICIGETLSDRESGKAADILATQVRGALSKLSG AQKSAEILLAYEPVWAIGEKGIPATADYADARQSEIIAVAETVLGRKIPCLYGGSVNP QNCEELISSPHIGGLFIGRSAWNVEGYLDILAKCAAKL YP_002973495.1 MKLAIAGDSAGEGLAKVLADHLKERFDVYEVSRTSAGADPFYAN LSDRVASGVIDGTYDKAILVCGTGIGVCISANKVPGIRAALTHDTYSAERAALSNNAQ IITMGARVIGTELAKSIADAFLAQTFDENGRSAGNVKAMDDLDAKYSAR YP_002973496.1 MKRTRRPLPLLDESHSTLHSRPIRKRDPDQPGLPFDPMPSRVEP CLALLKPTVPIGPDWLYEVKLDGYRLAIHVEPKGVRVITRGGHDWTHRFPTIAAAAKE LGVTTAILDGEAVVLDDNGRSDFGALQRSLGGRGGKRVSTESVLVAFDLLYLDGHDLT GTELDVRRHLLEDLIPGGDDQTIRLSEQIELPAEELLEHACHHHLEGIIAKHRDRPYG SGRTGDWLKIKCVQSESFMIVGYEQSASARGGIGRLLLAGRRGLDWIYVGSVGTGFGA RDAEYLKKTLDRLKTNRPVVPLNGKRLVLVQPTLIAEIEFRGWTDDGNLRHASYKGLR EVQDNAAVFDMT YP_002973497.1 MANSPRRPVNPMDAAEALFKPAKKKPEQAVERPALPNIKELVSL KIDSDVLALFQEDGPGWQDRINDTLRAAMKNRL YP_002973498.1 MPNALHRLLRSGVVHVLFAFLAMGSWAVFANLAHAMPLPLYAGL VQGTISACLTLFLKSVIDWLSKRFVGSARFWAPPLIACLGSASILVAIHAATGTPEIL KTIALPLLVSTSYAAIYNYSISPRRGFDT YP_002973499.1 MSINSNAADQVVTDNAEGMSYLNRLPRRIVMLYLPMAVFVVVLL FPFYWMAITAVKPNDQLTDYSNYSPFWVVGATLDHVKYLFFETSYPGWLWNTMLVAVC STFLSLVASVFGAYAIERVRFTGSRSVGLVIFLAYLVPPSILFIPLAFIVFKLGIYDS RLALIFTYPTFLIPFCTWLLMGYFRSIPFELEESALVDGANRWQILTKIILPLAVPGL ISAGIFAFTLSWNEFIYALTFIQSSENKTIPVGVLTELVRGDVFEWGALMAGALFGSL PVVILYSFFVDYYVSSMTGAVKE YP_002973500.1 MSMVNPEDRRGPISSLLQNNNVLGFLFMLPAAVFLVCFLTYPLG LGVWLGFTDTRIGRDGVFIGLENYQFLMDDSVFWLSVFNTILYTSVASVLKFALGLWL AMLLNQHLPFKSFFRAIVLLPWVVPTVLSALAFWWIYDSQFSIISWSLMQLGLINGPI NFLGDPINARISVIVANVWRGIPFVAISLLAGLQTIPASLQEAASLDGATSWQRFRYV TLPMLTPIIAVVMTFSVLFTFTDFQLIYVLTKGGPVNATHLMATLSFQRGIPGGQLGE GAAIAVAMVPFLLGAIMFSFFGLQRRKWQQGGQD YP_002973501.1 MRIRRRDFLAASAAVAGAAGLGIRPTFAQAEPTYTPESGASLRL LRWTPFVKGDEEAWLANTKKFTEATGVEVRIDKESWEDIRPKAAVAANVGSGPDLIMC WFDDAHQYPDKLVDLTELANYLGNKYGGWYDGVKGYAARGDTFIAMPLAAIGNAVVYR DTHVKAAGFSEFPKDTAGFLELCKAMKAKGTPAGFPHGKAVGDGNNYAHWLLWSHGGK MVDEGGKVTINSPETLASINYAKELYATFIPGTESWQDVNNNRAFLAGQVSLIANGVS VYYTAKNDPKLAEIAKDIRTTNFPIGPVGQSVELFQTSSLLLFKHTKYPEAAKAYIKF MMEADQMNAWIQGSSAYCCQPLKAFAKNPIWTSDPIHAPYARASEKLRPNGYAGPLGY ASAATMADYVLVDMYAAAVTGQMSPEDAMKEAERRANRYYRV YP_002973502.1 MAGVQFADVRKSFGTFPVIKGVDIDIADGEFVILVGPSGCGKST LLRMLAGLENISGGEIKIGGRVVNTLPPKDRDIAMVFQNYALYPHMTVQENMGFSLML NKAPKAEAEKRVKYAAGILGLDKLLDRYPRQLSGGQRQRVAMGRAIVRDPEVFLFDEP LSNLDAKLRVAMRAEIKELHQRLKTTTVYVTHDQIEAMTMADKIVVMHDGVVEQIGTP LELYDKPANLFVGGFIGSPAMNMIKGRLEPENPTSFRASDGTALPVANPPADAIGRDL VYGLRPEYILLDANGLPGEIVVIEPTGYETHLILRLGGSDLSCVFRERVSARPGETLR VSIDAAHVHLFDTETGRRLTD YP_002973503.1 MHILIIGAGGMIGRKLAATLGRSGSLGGDTITRMTLVDIAPPPI PAGVVAPVEALAADISESGAAEALAARRADVIFHLAAIVSGEAERNFDLGYKVNLDGT RLLLEAIRREGSRQAYVPRFVFSSSIAVYGSPFPDPIPDDYVLAPLTSYGVQKAISEL LLADYSRRGFIDGVGIRLPTIVIRPGAPNAAASGFFSGILREPLAGQRAVLPVEETVK HWLASPRSAIKFLIHAATLDTAALGVRRTLTMPGVAATVADQIAALRRAAGPEAAELI DRRRDEVIEGIVAGWPKSFTPERATQLGFVAETTVDELIEVYLAEDAPGASK YP_002973504.1 MDVRAMLEDVAALGGAPKKSMKDFVVQKIATFIATGILKVGDPL PSERELASALSVSRETVRGAILILSTHGILSVVHGTRTVVASEDVGELAVQAARYRDI AAYSLDNVHEARLLIEAQVVRAAALKMEPSTFDYLRKSITAQEAACDDPVRFLICDRE FHTVIYRSSGNAVLADMAADLYSYLLSHRRRVVSQPGTITTSIADHRLILAGLETRDP DAACTAFAIHETRIYTTTKLLFS YP_002973505.1 MASDKLSTYRSKRDFKKTDEPSGEKRLSRSNRRRFVIQKHDATR LHYDLRLELDGVFKSWAVTKGPSLDPHDKRLAVEVEDHPLDYGDFEGTIPKGQYGGGT VMLWDRGYWEPEGKKSPEQALAKGDFKFTLEGKRLHGSFVLVRMRNDRNGGNRTNWLL IKHHDEFSVENKGEAVLEENDTSVASGRTMEMIAGGKGRKPRPFMIEGGDVQADAVWD SNHGLAAEERKDDTGGGRKSKPATKVDLPDFIAPQLCRTLERPPAGTGWLHEIKFDGY RIQMRVLDGEATLKTRKGLDWTAKYPEIAEAASTLPDAIIDGEICALDDHGAPDFAAL QAALSEGKTGELVYFAFDLLYEGGEDVRSLPLVERKARLQSLLSDAGDDPRVRFVEHF DTGGDAVLQSACKLSLEGIVSKEADAPYQSGRTESWAKSKCRSGHEVVIGAYAQTNGK FRSLLVGVYHGDHFVYVGRVGTGYGAKKVETLLPKLKALETAKSPFTGIGAPKKEAEV IWLKPELVAEIEFAGWTADGIVRQAAFKGLREDKPAIEVKAERPAKPAQTDVPQPTVE AKTRPVRKKGAKAEVMGVLISNPDKPLWPDANDGKTVTKEDLACYYEAVGSWLIEHIK GRPCSIIRTPDGIGGEQFFQRHAMPGTSNLLELVKVFGDKKPYLQIDRIEGLAAVAQI GAVELHPWNCEPRQPEVPGRLVFDLDPGPDVPFSAVVSAAREMRDRLDALGMISFCKT TGGKGLHVVTPLAINKRKPLSWAEAKGFAHDVCQQMARDNPELYLIKMTKSLRDGRIF LDYLRNDRMATAVAPLSPRARPGATVSMPLTWTQVKSDLDPKRFTIRTVPTLLSKSSA WEDYGDGKRPLEQAIKRLGKVSKVA YP_002973506.1 MRADQWLSLLIIALMMGAFLWGRYRYDIVAVSSLLAAIIVGIVP AKNAFSGFSDDIVIIVGSALVVSAAISRSGIMDVALRRFSPERRGPRMQLIILVAIVA ALSAFIKNIGALAIMIPVAVQMARKSRVSPSMFLMPMSFASLLGGLMTQIGTSPNIIV SRVREEITGQPFMMFDYTPVGLALSVAGVVFLALFYKLLPERSRVETSMDEAVAIKNY TTEAKVTTPSGAIGRSVSWLQKPAGGDAMVTAIIGGNGQRRTPLPDTVLKDGDLLIIE GEQSALDKIVSEAKLQLSDRKHEPETRQDISSVEAIVGEHSRLIGVSAKDVSLFHNTG LNLLAVSRRDRRFTERLGEIKIRNGDVVVLQGDLQKLPDLLREWGCLPLVERDMKLGN ARNGMIPVIILMATMGATAFGGIPVATAFFAAAFLMVVTGCVPLREVYQHLDAPILIM LAALIPISDSLRTTGTTDIIADLLSRTAEMLPPFGALALILVAAMAVTPFLNNAATVL VMAPIAATFAEKLGFRPDAFLMAVAIGAGSDFLTPIGHQCNTLVMGPGGYRFGDYARL GLPLSLIVVLVSVPILLLVWPI YP_002973507.1 MPMPLIDRIALTQFAKQILTRAGMEADKAETTAAVLVEGDMIGH ETHGVSLLSWYVEALEDGSLAKSGSYEIVNDRGAAFVWDGKSLPGAWLLTKAIEQACE RVGDHGVVTAAIRNCHHTCALSAFMRQVTERGLIVQLSVSHPAASRVAPYGGTKPLLT PNPMAAGFPTSADPILIDVSASITTTTMTQNLAKAGKKFPEAWAFTVAGEPTDDPREV TERGGTMMPLGGQLKGHKGFGLGLIVELLGQGLSGKGRANTPSGVFSQSAFLQVIDPA FFAGLDAFTAQSDFLASACRSNPPAPWNNGPVRMPGDSAAQKRRSALEEGVPVGDAAW QKLCKHAEILGLPIPDVTA YP_002973508.1 MKLHLLAACFSTTVLALSGGTAHAQDAKSNVTVVLAETVDVVEP CMAARQDVGRVISENVNEMLVEFDYVNGGLKPRLATEWSKIDDDTWEFKLRPNVKWHD GKPFTAKDVQFTIERNKNKKLSCETGGKYFGGTEFSFETPDANTIRITTKPAQPILPL LMTVMAVESAEATPADEFTRKPIGTGPYTFDKWEIGQSIVLKRNPEYWGEKPQVEQAT YLFRSDSAVAAAMVDAGEADIVPAVSVQDATNKETDFAYPNSETTSLRIDTRAAPLND RRIREAMNLAIDRQAMLGTLFPEQAKIATQLVVPTTIGYNADIPAWPYDPEKAKELVA AAKADGVPVDREIRIIGRNGQYPNATEAMEAMMAMLQEVGLNVKLDMYDVSVWNGYFV APFVADSGPTLTQSQHDNATGDPVFTAFVKYATDGSHSMVRDPAVDALIAKATSATGD ERTKLWKELFAKVNTEIIADIPMFHMVGFTRVSPRLDFKPTIATNSELQLSQIRFK YP_002973509.1 MIAPTELREIIRNGLLSFPVTPFDAEDRFAAKPFSAHLEWLSSY PVAGLIVAGGTGELFSLTPGEVVEVVKAARAVSGDAPVIAGCGYGTRIACDMAREIEA AGGDGILLLPHYLTEAPADGIAARVRAVCKATNMGVIVYNRGQARVSAEQLAQLADEC PNLIGFKDGTGDIDTVRRVTIALGDRLSYIGGMPTHELFAQAYRGAGMPTYSSAVFNF VPETALKFHKAFLAGDDAACEQMLRDFYYPFARIRDRKAGYAVSAVKAGVRLRGFEAG PVRAPLTDLTDEEVEMMRQLIASAQ YP_002973510.1 MSWTPSGRHFIAGEWIAGTTTFRSEPAHGQAHDFAVGTTELVDR ACRAAEAAFAVFSATTREERGIFLEAIAEEIDKRGEAVTLIGTQETGLPEGRLNGERA RTTGQLKLFADHIRKGAHLDARVDAAQPDRQPAPRPEIRLVQRPIGPVAVFGASNFPL AFSTAGGDTAAALAAGCPVVVKGHSAHPGTGEIIAEAIAAAIERTGMPAGVFSLIQGG RRDVGTALVTHPAIKAVGFTGSLAGGRALFDLCAQRPEPIPFFGELGSVNPMFLLPAA TAARAEAIGSGWAGSLTLGAGQFCTKPGIAVVVDGPEADKFTSAAKAALEKVAPQTML TKGIASAYHEGVERMRTSNAVAPVLAAQSAGREATPNLFETNGSAWLADHSLSEEVFG PLGLVVRVGSPEKLLTLAESFQGQLTATIHMDDADLGLARDLLPILERKAGRVLVNGF PTGVEVVDSMVHGGPYPASTNFGATSVGTMSIRRFLRPVAYQNFPADLLPQDLRN YP_002973511.1 MNPATRDKLMGVSVATLCSALFKRGLRNQTIQDVRPVQPKGRNM VGPAFTLRYMPAREDRNAMNVFRNPKHPQRLAIETCPEGSVLVMDSRKDPRAASAGDI LITRLMMRGGGGVVTDGGFRDAMTIGGLDIPAYHNRPSSPTNLTLHEAIDINVPIGCG DVAVFPGDIMVGDDDSVIVIPAEIADEIADEAVEMTAYEDFVTERVKQGHTIIGLYPA TDESNLTLFAEWRTANGR YP_002973512.1 MFDPNQRTIPAQPPKAGFAAGPSKLDAIRSVTLSLAYLPLARPI SDAKVLTGRQKPLTQVAFLFCEIVSEAGHSGLGFSYSKRAGGPALYAHACELADNLIG EDPNDTARIWDKLCWAGASVGRSGIATQAIAAIDICLWDLKAKRAGLPLAKLLGAHRD SVACYNTSGGFLSSSVEEIRDAIDHSIASGIGGIKIKVGQPDPMIDLRRLDAVTSHID GRVPLMVDANQQWDRTTALRFGRLVEPLNLEWIEEPLDAYDAEGHAALARELATPIAT GEMLASADEHMALIRADAVDFIQPDAPRVGGITPFLRICTHAEAKRMRLAPHFAMEIH LHLAAAYAHEPWVEHFDWLAPLFNEQLEIKGGRMIVPARPGLGCSLTGKARDWTVETR SFGA YP_002973513.1 MTARKTYEQLRSARWMLPDDQRSFGHRSRTMQMGYAPEDWQGKP IIAVINTWSDAQPCHMHFRERAEWVKRGILQSGGFPMELPALSLSENFVKPTTMLYRN MLAMETEELLRSHPVDGAVLMGGCDKTTPGLVMGAVSMGIPFIYLPAGPMLRGNYAGK TLGSGTDGFKYWDERRAGTITQEEWQGIEGGIARSYGHCMTMGTASTMTAIAEAMGLT LPGASSIPAADANHQRMSAACGRRIVDMVWEDLTPDQIITPAAVDNAVTVAMATGCST NAIIHLIAMARRAGVPLELDDLDRIGRTTPVLANIRPSGSTYLMEDFFYAGGLRALMK QLGDKLDPTAITVMGKPLVDGLDQVKIYNDDVIRPLSNPVYHEGSLAVLKGNLCPDGA VIKPAACDPKFHRHRGPALVADSYAEMKKIIDDPDYPLTPDTVLVLRNAGPQGGPGMP EWGMIPMPKALLKLGLRDMVRISDARMSGTSFGACVLHVAPESYVGGPLALLRTGDMV ELDIPARSLNMLVAEEEITARRAAWVAPTRHYERGYGFMFSGHIEQADKGCDFDFLTT EFGGKTPEPAIN YP_002973514.1 MNSQFASTFGLTAPGFPVVAGSTVQRVYDDLRKRIITIQLPPDT TLSRTELTETYEVSQTPIRDALQLLKQEGLVRIYPQSRTVVTRIDVLQIYEAHFLRVA LETEVCRRLATDPDPDPSVVTRARSIIKMQAAVADDADQIAIFQELDELFHQTLFAGA KRSSLHQLIRERSGHLERIRRLHLPEKGKIVSILDGHHAIIDAIAARNEQGAVDAIRE HLSRTVAKVEDLRKEFPDYFV YP_002973515.1 MKPDVIVAYPLRPRQMAMLEETYTLHRLDLAKGEKRDALLRQAG PIASALVCNGHVTIDEALLSKLPALKLAACSSAGYDQMDVEAMTRRGIKLTNTSEVLC DDVADMALLLMLAARRRLPEGDRYVRSGDWGQKGMMPLTTSTSGKKAGIVGLGRIGMA IAKRCEAVGLTVGYYGRTKKDGNDFAYFDTPAKLADWADILIVATPGGPSTEGLISAD VLNALGPTGSFINIARGTVVDEPALIKALQERRIASAGIDVYLNEPNPDPRFAALDNV VLYPHHASGTEETRDRMAQLTVDNLAAFFAGRPLLTPVN YP_002973516.1 MAEHLLEVRDLSVEFHTASGTVKAVQDVSWHLDRGETLAILGES GSGKSVSASAIMNLIDMPPGKITSGTILLNGRDMLKMTPEERRSINGAKIAMIFQDPL AHLNPVYPVGWQITEMMTTHGQSAERAGARALELIGRVGITDPQAAMRKYPFQFSGGQ RQRLMIAMAIACKPDILIADEPTTALDVTVQAQVLELLQELQQETGMGLLLITHDLGV VAEIADRVVVMNSGCVVETGNAAEVYRNPQNAYTKKLIAAAPGKGAMAQERDRQGEPL LRAIGLKKSFGAFQALKGVDFVIMPGETVAVVGESGSGKSTLARAIVRLDDPQEGQVL YRGNDLLAMTPREIFGLRRDLQMVFQDPTQSLNPRMSVFRLISEAWVIHPDILPKARW KERVAELLVKVGLKPDMADRYPHQFSGGQRQRIAIARALAMEPKLIICDEAVSALDVS IQAQVIALLEGLRREFGLSYLFIAHDLPVVRDFADRVIVMKAGEIVEEGPVEQIFTAP SHPYTQALLAASLDPDPEIQATRRAARQLQEGLVSA YP_002973517.1 MADTQILAEKKPSGPIGRWLTMLWADKLAFFAALFLLIVLLCAL FGPLLLEAIATKQNLRGRNAPPFDITRGMLYVLGADALGRPLLARVIVAAQNTILVAA AAVLASSVVGTALGLVAGYSRSSAAQWIMRLGDVIMSFPSLLLAVIVLYMLEPSVTNI VLVLAITRIPIYLRTTRAEVLEVRERMFVQAAKVMGASYWRIVFHHILPVIFPTLVTI ATLDFAFVMLAESSLSFLGIGIQAPEITWGLMVAQGRPYLTNAWWLSFWPGLAIILTT LSLNLLSNWLRIALDPTQRWRLEMRGRKNG YP_002973518.1 MKSFIGKRAIASGVSLVVLIVIVFFLSRLTGDPTDLYLPIDATT EMRQQFREMNGFNDPLIIQFGRYVSDLAQGNFGQSLRQARPAMDVVLEAFVWTFWLAA ITMVLVTIAAIVIGSLAAFRVGGVFDRLATFFSLIGAAAPDFWLAIVAIVIFAVKLHV LPTSGTGTFWHWVLPVSVLFIRPFGLILQVVRGSMISVLSSAYVKTARAKGVRSNSII FIHGLRNAMLPVITVIGDQAAAILNGAVVVETVFGFPGIGKLMIDSILLRDFAVVLAV IMVSALAIFIMNLLIDIAYALLDPRIRY YP_002973519.1 MQPGRTGLAVCLMVAASILNSFDAVIVRLLPGEVHPLMIGFFRS FFGLLVVTPWIVSRVDLKSSPYRVLHVVRAGLKLASLVALFVAFAHAPLADATAINFT MPMFLVLGAWLVLKEHVGISSVAGIVAGFIGVMIIIRPGASGFDQWLLFALAGAVLTA ASQLMLRRMALRDSADRLVAWNLIATVPLGLIVMLPVWSMPTWSQLGLLALQGALGAL NMTLITRAFSMATASVLAPLDFLRLPVVALMAFSFFAEVPAVQTWIGAAVIFGAAIIG TRGMTWRRKPPR YP_002973520.1 MIGPKLLIEPSDLASLMREVRGSHELLLKTTILSDSHRHVTEIE KTELRSALSTREETIMNGISKTLNDMTLVERSSLLDTVADALEATAEEAEGEGDARFV ANSICVANTIRGLSGDLTPRDLQAAELLLEQGIMLVHQFSNRAKTNGVIH YP_002973521.1 MAIRSAGLLLYRRVSRDIEVLLVHPGGPFWARKDEAAWSIPKGL IEPGEDELAAAIRETAEELGVAVDGRFTPLGEYRQPGGKMVVAWSIEADPVLDVNAIQ SSEFEMEWPPRSGRVKSFPEVDRAGWFSPRDAAIKLLKGQRPMLADLLTHLE YP_002973522.1 MAELVADTLFDTTIEIIPPEDLKEQPDSAACLCCKRRRQWMDDD GCGICEECLAS YP_002973523.1 MGDQKRPLQPGETAPAFALATANFDGTVSFADLSGRPFVIGFFR GLHCPFCRRQLEQLAGVQPTLRAAGVETVAVINTPVERARLYFRHRPTPITLLCDPDC RTHRAYGVPHGEFLPEGSCEQPEWPYGATMAQFLAARINPTGELPEPLHPMEANTILN AKDGFELDEADNAIFANHATQLVGHFLVNANGTIGWSQIEALDGPNSLSIFPTAAEII AAAGSLGR YP_002973524.1 MASVSVANARKSYGHFEVLHGVDIDIQDGEFVILVGPSGCGKST LLRMIAGLEEISAGRISIGSKVVNNVAPKERDIAMVFQSYALYPHLTVEANMGFSLKL AKAPKEQTRQRVRAAAEILGLEHLLDRYPKNLSGGQRQRVAMGRAIVRNPQVFLFDEP LSNLDAKLRVQMRSEIKQLHQRLKTTTIYVTHDQIEAMTMADRIVVMRDGFVEQIGSP LDLYDRPANLFVAGFVGSPAMNLVRGQVSPSGPLELIADGGGRLPLPEAASLERGAQL IYGIRPEHLTIGHGPVAAEVVLVEPTGAEIQITTKFGADHLVATVRERLDLRAGDQIV IAPDLSKLHLFDAKTEKRLRSV YP_002973525.1 MTSIEENRQIALRKFFGVRNLPFPHGVTSVCSAHPLVIEAALRR AGMEHRAVLIEATCNQVNQEGGYTAMTPADFRRFIEDIAAATDFPAECIILGGDHLGP NPWRKLAAEEAMLRAAAMVTAYVEAGFEKIHLDTSMGCAGEPAALDDELTAARATRLA KAAEEAALRSGRRPPVYIIGTEVPPPGGATHALEEIEITRADAAMKTLAVHRASFAEA GLASAMERVIGIVVQPGVEFGNTDVALYKPERAKSLIGSLDDMPDLVFEAHSTDYQPA EALSALVDSGFAILKVGPGLTFALREALYGLDAIADLLAGKTPRTGLVTTMEKIMVEQ PASWAGHYGGSPDEQRLQRHFSYSDRIRYYWPDPRASAAVGELFSRLPDEIPETLISQ YLGRLYSNVVSKRVAPKARELCLAAVDAALAPYSAATASR YP_002973526.1 MNTTEKVIFEQFPYWEKAIGSNSAVDRAELLVFVGCGTSFNLAL SLAAYANMAGRKAIAVPGAEWQNRPSAFWPEWRNTHVVALSRSGETTETVAAAKASRA AGAFVTAITVEPKSSLAKNCDRMIAAETHPDEGIVMTVSASLMVLLGLQMIGQKVPAS VVNSARQLASALDAALPGIIADRSHFVFLGGGPLFGVALEGALKLMEMSQIMTQAFHP LEYRHGPISLVDGKTVAVMLYSSDQREAETKLVGELREKGAVVIGVGGPGDLELAVDV DLSLAGLVVLPALQVLGERAAQARQIDTVSPRHLTKVVTLA YP_002973527.1 MRSTIAYRMMIWTGVVVLLIWSLGPIYWTIASSVTPSEDFSTRP INFFPQHFTLDHYSRLLGINIARIGGVEVFKQFRAALLNSVVTSIAATLLCVAISSLG AYAFTRLQFPGRKALFVAVVATLAIPAYAVLIPLYQIMIKLHLVDTYLGVSLIYVSAF LPLSLWLLRSVFEALPIALEEAAQLDGAGRLYIFFNIVLPLAGPGLTAAAILTFLGAW GQYLVPLIFSPQATKPLTVLIPEFVTKNFIDYGLITASGSIAIVIPALVVIFLNRYLV SGLLAGSVK YP_002973528.1 MTALASEEATSKRGVGFNADTTLGLLLVSPIVLTMAALVFYPMG RTVWDSLHRVNPMQAGTPFIGLENYSRMLSDGQLATTWSNTLMYVVLAVTAETVFGVL AAALINQIKVGRQWVLAAVILPWALPGVVNSVIWLWIYQPGAGLLNGILSALGLPFEN HVWFNDRTSAIVAVTVVHVWRMMPLTIVIVLAAMQSIPAHLYEAARIDGATRVQMFGW VTLPLVRSAIAVAMTNATVNAFNLFDEAWVLAGASLETRPVLVQIYLETFQNLRFSYG MALSVVITLVSLLISLVYVLRVYRNTRFD YP_002973529.1 MHKLILPLLASAALAIAAPALGQDAKPLAGQSITVLMPSPQGPN IASDFEAETGIHVDLQTLSWDDIRPKLVTALVAGTAPADVTEFDWSWTGQFSAAGWYM PLNDVIDADTLKDIGVAKIFTVDGKLLGIPYTNDFRVMLVNKKHFADAGITEMPKTLD ALVAAAKKIKEKGIVEYPVGLPVSATEGASTSWYLLTKAFGGELFDKDFNPLFTSPDS AGYKALAFELMLLKEGLVDPASTGLKDSQINESMFAQGITSIMISGEPGRLGQMNDPK QSKVAGQVEAILVPTASGETRSFGLPEALAIPNVSPNKEAAIAFVKWFTSKDFQKKNA VNGFLPTRTSALSELNESGKLNSGDALVAQSKTVEPLFPQGTPPWYPQFSSGVNTAIN SAAKGQMSVDQAMEAIASAAKQAMAQ YP_002973530.1 MSNELILGIDGGGSKVLVALADKRGRILRRSRGHGVNPMDNPNW LQELEQHLLPFLDEGNLAAVAAALPAYGEVERLSVLQRDAIERLFPNVHRRVLNDVDA AHLGAFAGEPGILILSGTGSMAWARNSKGQSARTGGWGDLIGDEGSSHWIGQRALNLV SQSLDGRAPATALATALFDRLGIDPSNPMNGLGDWASSLANERADIAAISTLVDQIAL GGDKGAVGLIEQAADELAKHHQAIAGHCDPDADWTYAGGTFSSRLLLQALERRIGRPP ALPKLPPIGGALLAAAQLLDWPLDEGWFGQIVATAEGGDCASQ YP_002973531.1 MSQAVGVKVDRLDAIRSHLYANGFSTIQALADAIGASLATVRRD LQILEQEGAIDRVHGGARIAEGSSVEVAFQEREKRHLSAKRAIATAAYDLLHPRTAIF LDAGTTVLQLARLVRINPMPLRIFTNGLTVAQEFLNIPNLEVVLLGGQLRSENASLVG PQAEAMLETLWFDQLFLGASAISSDGSIYSVDSAEASLNRRMLARSANRFVLADSSKF GTTATYKVAPLDTAKVITDSGLSRHWRDELVNFGVDATIADLRARE YP_002973532.1 MPKICLVGAGSTVFAQNILGDVLSSQRGGDYVISLFDIDPERLK TSEIVARRICESLKLSSVRIDATLDRREALRGSDFVILMMQVGGYKPATVTDFDIPKK YGLRQTIADTLGIGGIFRGLRTIPVLEAICRDMQEVCPQALLMQYVNPMAINCWAIKE LAPEIRTVGLCHSVQHTAGHLAQCLGEDIADVNYISAGINHVAFFLKYEKVHSDGRRE DLYPRLNALATDGRVPSDDRVRFDVLKRLGHFVTESSEHFSEYTSWYIKEGRGDLIDQ LNIPLDEYIRRCEVQIKEWHALRKELEGDKPIEVCRSNEYAAGIIHAAVTGSPALIYG NVPNNGLIENLPDECIVEVPCHVDRNGIQPVRVGRIPSQLAAVMNLSVSVQQLTVEAA LTKNRERIYQAALLDPHTSAELSPDQIWNLVDDLIVAHGDLLPRYQ YP_002973533.1 MKYTQSRGEWIGSRGQFLIERHIADAMAAPHWHDHVELNLLMEG RMTYLFNGRQEQVEAGRLVLFWAAIPHQTIAVAENAPLVCLYLPLIDFLGLPVDRKAR QSIMQGRFLAQANQETMDALTLPRWEKEWQFGSAARRKLIVEEVRLRIRRLILDNAEI DNSPTHVSPTSLAGQAVRHVELLIDLINTRYADPISVADLAKLAEMHPSTANSAFRKV LGISVNEYLTRHRIARAMQLLTDTEDPVLQIGFDCGFGSSSRFYEIFKERTGTTPRHF REAVNSRNTPAP YP_002973534.1 MTNHKQHTHFDFAKLTERERYKILIGTVIPRPIALVTTVSKDGK PNAGPFSFFNVLTHDPAIVAIGVENYSDMRFKDTARNIRETGEFTVHICDDALVDQME VCAIKFGPEVDELSEAGLVTVPGQMVRSPRILAAPAALECRRHTTLQVGPAREIILGE VLGVHVRSDAVNPANLHIDQQRMDAVGRMGGHTYARTRDQFDIKTLTKEEWALRQQLS NAATA YP_002973535.1 MNMHATNAGGYRMGSLLADFQPDFDFSAPLPLAVEEFEDRLRRI RRQAIEAGHDALIVHAGSVGWFHASNAYLRYICDWMREGVLIIPTDADKAMVLLSFFT QSVLLPPGGEPVLVDEIWQIGPIGREYADRPGDSVIKTAEKCAEVLASLGLTKAQIGR IGDRTSLTFWSALEELMPKSKFVADNAILDRMQKVRSTREIEIFRAAAQLISIGTQAA YHVAKSGVTDHEILAAFTYAQMALGGETGDGYQIGINEFGTHCGKPYGHIVRPGDLIN LYISNVTYRGYTAQTARMIAIGDITSRQEEVLAACTEGVKRAEKLIKPGALMRDVNNA AFEPMIERGMLTSPEARTMPYNWSPMEDGGARLIPNQYVKDIDWEAQGRKLMHVYPAT HGPHNPNLGHSVGMAGGQNSFNISSHNYDRMEEGMVFVLHTQWLEPLSAGCNIGDMYV VTKDGFENLSRHTPLETRRVAAEA YP_002973536.1 MPDRRENPIPVMLYKAFVYGFGGLCVIYLVAPIVIALTMSFTSG QTLKYPPQGFSLRWYAALLDPVRSATEHIAAWNSLKIAGLAVLGSLIFAVPATIGMTR MKRSTAGTIEPLLLAPLVLPSLVYGLAALIVANFIGFKPSLWLTVSGHVVVFGPLMYR AASVVAQGLNPSLAEASTTMGASWFRTLRRVTLPLLMPGILAGAFLVFIQSLDNVSVS LFLADARTTVLPLRMFALIEESLDVRVAAISGILIAVTLVGLLVARRVLAPPRPA YP_002973537.1 MKASGFPYVLPMLLLSVAFFATPLAVLVGFSFAGPGGATLEHYA RFFGDAFNFRVLVNTARLGLETVIGTTLLGVPIALLYWHGGRNLRQVLIFLTLIPMLT SNVVRTFAWIVILGRQGPISEALVGLGLTGMPTSLLFTELGLVMAMCQIDLPLIILPL IAILSRTPVQLTEAAQVSGAGPWRIFVTVLLPLMLPGLLAGWILVFASTSSSFVTQAV IGGARNVYVPQLIYREVGTLFDWPLASAIAVVLLLSTGCLLVALTMMSRHRRLVGHA YP_002973538.1 MKDFQITRRGFGFLAAGVAASAMLPFPACAANGGAVAATFPGSW EDAYRTVLTPMVKDAGYDLTIAPAMAQDQLAKIMASPGNPPYDTLLMSPGQMAVAIEK DLIQKIDPSKLKNWGMLDPSFQGEYGPTVTIEVNGIAYNPDLVPKPKGYRDLFENPAY RGKVSWTGFASNTAVMAYTEIAKIFGSGPNDMDAVFKLFKDHPEHLKGVVDSTNHQMT LFQQGEIAVFMCSTGNVAHLKSLGLNAEFVHPETGSPAAPVNIHLTKGSANLDAAYAY MDAAISKAAQDQLKEPPTEMFPTNKDVALTPGIEAYVTRDQIKTMVYPDWVAINKNRD DWIRQFDALVAG YP_002973539.1 MTSTQNQGGPMPGIPNMAERKRLSVQGLTHSYGGQNAISDVAFD IEAGEIVALLGPSGCGKSTVLRAIAGLIQPKTGRIVLGEKDLADVSARSRGVGMVFQN YALFPHLTVAENIAYPLACQKLPRAERKARVEEMLSLVRLKDYGNRLPRELSGGQQQR VAVARAIAGRPSLLLLDEPFGALDRALRFDLQVELLHLQKTLGITTLIVTHDQEEAQS LANRLVLMNKGNVEQIDTPMTVYDRPKTLFVNTFIGQANMLNGTVLRLDAASTLIGLA NDRTLLLPRRLNFTLGSKVTITFRPEEVRLSTQPSESTLPVRMTVSVPLGPSLVHDLA LEDGTGLRASEVRGPSTFIPEPGTQLFAEIDTARCHAFPAEPEIMSEETIQQR YP_002973540.1 MMRIHKILKAGVAGAMVSLIAASAYAAGGAAEKMTPDADVAKLP GVVLTQKLADGLPPSIKSSGVLKVATDLTPPISFHGEDGKLIGIDADIAAALGVILGL DVEMTDVGAGAAIVPSILAKRFDLSISGINDDPELEKQVDVIDYMYDATTIMTIKDNP LAIKGMEDLCGKKVAVPVGTFQAKMVEAASAICATPVNIMSIPKMPDVLQAVRTGRAD ATVNGYATSVYTTEHQTGNGKGLQALPDIRLAVGYLGMLTAKDNPQLRDSVVAALQQM VDSGAYETIMKKWSLGPLAVKTVKVNDAANMPAD YP_002973541.1 MRWGQIATGAIAISALAFFALVVGQSQSIQWSEIPRYLVDPSIL RGVLLTLELTAGAMVFGIVLGCLLALMATSQNPVLKVIAAGFVWWFRGVPLIVQIFFW FNIALFIPQVGMGSFTISINDLVTPALAGFLALGLHEAANMSEIIRGGLVAVDRGQRE AATALGLKKTQTFLTVIMPQATRIIVPPTGNQAIGMLKATAIVSVIGMQDLLTQAQAI YARNFLVIELLFVASIWYLAITSVASIGQHYLEKRLVPTGRTAEKPRSRRGVIADRSS YP_002973542.1 MKLGIDSIKLPEAKKRGPLASLDHVKELGLAGIFFSTALDMSPD LDSGLLRDIRAKADDLGLYLESGIGKINPYCSAEEPVLRAAGGGDIIAGFTRMIEASA AIGCHELWVAPGNFKGEYRGRLANDRFRTDVTWEEQLLGIENVLRKLAPVARANGAHM NIETHDEITSFEILRLIEKVGADCVGVVFDTANGLQRGEHPVFAAKRLAPHIRQTHIK DAYVGRAPGGLDFQTRPVGGGIVDFAAILPILSDASAALNLSLEVAQSVADKPRKANP RQCIEIDDPVWRAGHPDLTADELAAYMAMVDAYEKRVASGAVLDWEAYESSRYGYPTY EVQSYGFDEAIGFIKQSARHIEAICAEKGITLSPPAKEQKAA YP_002973543.1 MVKAIRKTRRQSVKAPPASIGAVDSADNNDDVSERIRATLAAAI GEGALKPGTKILEEAIAEHFGVSRTVVRGALGVLESDHLLERKRNRGTFVAEPSIAQA KNLFEARRKLEGLLLELVIARATAEQLDALQKLTDEEEHIHHHGDEKSKTVLSGKFHI VLAETAGNPVLTEMLSKIVARLSLVMSLYEEERKDDCGADHHRMIVAALKAKDLAKAQ QLMDHHLADIEGRVRLTEGHGDRHTFLAVLENFS YP_002973544.1 MRKRIHVSQLRVGMYVEDVEIEGEDRTRRFKPFLISATGQVESL MASRLMTVVIDVGKGADVDPGGAQDIDRAAFDAQLRAVFSAKDIKQARECVEDTRPQI RHMLAHARIKASFASDAASAAVERIMSAAFDNAGALIAVAKLKEKDELTFLHSLAVSA LMITLGRSLGHGEEDVRVLGLGGLVHDLGKMALPDDILTKPGKLTAEEMDLVRGHPQR GYELVSHVAHVPKPVLDICRYHHEKFDGSGYPGRLAGKKIPYVARLAAICDVYEALTT IRPYKRAFSQAEAINMMMNSPGHFDSQLLSAFVSKMVISGTLQ YP_002973545.1 MILELQNAILEMIAKGEPLAATIEQLCLRVEAAVPGIIASVLTF DGSRLHTLAGPSLPPDYSAAVDNLQAGPLAGSCGAAAYFGEAVVVTDIETDPRWQDFK SLVLPLGLRACWSSPIKSGGRVIGTFAFYYRDRRGPKVVERDLVEACAHLCTIAIDRE ERVMERQRLTYSDALTGLSNRARFNQLLAEELPRSRRAWGILLVDIDNLKLVNDTFGH AAGDALIQVVADRVATTAGTKNTFRLGGDEFAVIVSGDKNLDLKASATDILTALSSPS TCDGHVVFPAATIGGALAETETNPDQTRQNADVALYHAKEHNRGRYVQHYPGLGTALT RRFRAVRDVGVALKDDRIDAHYQPILRLDTREIVGFEALCRMTTPSGEIIAAAHFHEA TKDAHIAAELTERMLLRVAKDIRSWLARGLPLQHVGINLSAADFRGGNLQDRLCRIFG EAEVPLKHIILEVTESVYLGQRDYVVADEIKALRSKGLRVALDDFGTGYASLTHLLTV PVDIIKIDKSFIDRMVPGDAGTFIVEGLIGIAHKLGIRVVAEGIETEPQAAQLSQLGC KLGQGYLFSKAVDRTVAAAFLEQHGQRLNQDKTRAGAL YP_002973546.1 MIGGDKYVGSVGKASLAIIALMFLSLTTLLSLWLISSYETAVRR GDERVSAASKIVAANANWLNSLARETLHRIDDALGPSMSLPGPDRVRDLDAAVYDLPP QATAYVIGAVGETLYSNDRDIRPVNVTDRDYFVRLRNGADEYTSPLIISRLSQRQIFV FSRRLERNGEFAGVAVIAFDASILRPIWDAVAIGENSIVSLIRRDGQLIARYPEPAGP VDMRNHKLFTDYMRKATSGTYRSVSPVDDEDRLVGYRILERTPFVAIASADIHVIMQP FWEDAKIAALLVAFALVGALAAALWIQNLIKVDTLHTKQLADALRSNKTLMREIHHRV KNNLQTVMALLRLQGFEPEAVQKLNERISAMSAVHEQMYGFDQFSGISAREFIPSFVR TLVDVHGRAVSVDFEIDDIVIAADKATPFALLLNELIANSMKYAFDGRASGNIRVMLH VTEGEESQLTVADDGIGFDGRSDSAGMGTRLIKAFVNQLHGEARYSRLEGTQFTATLK LAD YP_002973547.1 MTNVPTIARIWRGRTKPEFADEYEAYNRAEGIPPLLKTALGVQL LREDRKDETWFTTISYWADMQSMTAFTKGDPEQVHHLARDAELLCELPERIQIHKIVV PPNLR YP_002973548.1 MANLKQLAQSLGLSITTVSRALDGYADVSAATRERVREAADKVG YRPNASARRLRRQRAELVAVTLPSDPGHIGPPHFLDMLSGCAEHLAAAGLNLVIAPVP RGESELDICRRFVDGRRVDAMLLVRTKRKDERVEFLQSRGIPFVTNGRTESLLSHPYI DGDGFAGFRAATLRFHAAGHRRIGHIAGPQEYYFAHDRCRGWRAAMEECGLATDLCAE GAPLEQGGYLAALELLRQPSRPTALVCATDEMAIGALRALREVDGGNQISIVGHDDLA MGAFTSPPLSTMRMTGENLGANFASLLLRAIAGEPAEELQELHAIEFVDRDSHRRPAK AA YP_002973549.1 MKHIMSFGILASTVLAFASPVLAQTVFVSTQLRPIEEATVVREE LLKDVGSVDYVVEEPPQFAVRMEAERQAGKHTVSLVGALHGELSPLADKDTLEPLDDL AKKLAASGMPQSLLDLGKLGKSTQQYIPWMQATYVMAAKKEALQYLPAGADVNALNYD QLIEWGKNMQDATGQPQIGFPAGPKGLMARYFQGYFYPSFTGGVVRTFQSADAAAGWE KLKVLWAYVTPNSTSYDFMQEPLAAGEVMVAWDHIARLKNAISAAPDDYVVFPAPAGP KGRGYMPVVAGLAIPKGAPDKAGAEKIIEHLSMPDTQLLTASKVGFFPTLNVKLPPDL DAGVALLAGAVTATQASKDAVISLLPVGLGDKGGEFNKVYMDSFQRIVLQNEPVADVL KAQGATMAKLMADTKAACWAPDAKSDGPCPVE YP_002973550.1 MTNSRPWIPYLLILPSVAFLALLFVVPLVQTIWLAVSDNGAPSL ANAERMVTDINFTRSVKNTFLLTIAVVPVQIALALAMGTMVAKVGRGRETILWIWTIP LGISDLAAGLVWLSILQNTGYLNSLLFGLGIISRQASWLSYQTPVALFIAIAVAEIWR GTAIVMVIIVAGLNQVPKEFKEAAEIFGAGPWTRFWRITLPLIRPALQSALILRTVLA FEVFAVVYALGGRNFPVLVGEAYNWQNQNQNYSVAAAYAVLIMIISLAATLIYLKALK VDPERLP YP_002973551.1 MTTDTISTTTDTPKAASFVSSRRWLLWSGIAALCAWVLVPIYLV ALGALGGRQGVYVWPKTGLPAGISLEPFFLFLKTEGVVQSFLNSLGAAAITVALSILL GAPAGYALARYDFRGKDSYRLLVLLTRAFPLAILALPLTVSFIRLGLYDTILGVGLIH TVLALPFAALVTQGIFLGVPKELEEAAWVFGCTRIQAFFKVVAPLALPGIVATAVFAF VISWNEVFAASVLTVRNRTLTAYLLTVLSESPMHYRFAGGLMLILPSVVFIFAVRRYL FAIWGISSK YP_002973552.1 MANIVIDRIRKSFGAFQALKEVSLTINDGEFVSLLGPSGCGKTT LLRIIAGLETATSGDIRIGDKSVIGLPPKDRGLAMVFQNYAVFPHMTVYENVAFGLRM QKADDARVKAQVEKAAGLLHIEQYLDRYPNKLSGGQRQRVAVARALAVEPKVLLMDEP LSNLDALLRLEMRTELKTVLQSAGTTTIYVTHDQTEAMGLSDRIAVMHGGVVEQVGHP VEIYNHPATRFVGGFIGNPPMNFIKVPVTNSQVAAGAVQLVAPRGSGNEVILGLRGEA VELAPLPQGLEMRVRVAEPMGSHLLLTGSIHDQPVRVILPASETVKSGDTIGLKLDQK RITWLSPESGKSFPALLA YP_002973553.1 MNMHTDQAKRILAANDRGGYTVPTDRLYPFQWNWDSAFVAMGFA LYDTDRAYRELERLVEGQWADGMIPHIVFHAPSDTYFPGPNVWRTRHAIPTSGITQPP VFAIALRKLHEAAGKDGEARTLPLYVAALKWHRWWYSARDPEGTGLIALLHPWESGSD NSPAWDIALARVPTNTDTPVVRKDTGHVDADMRPRDEDYRRFIHLVDTYAACGWDPAR QWEKAAFKVAEIQTTAILLKAGEDLEHLARLFGRTDDAIEIAAFNDRSRKAIMAQWRP ELVRFVSRDLISGEDVEAATQAGFIPLLSLDLDKQVADALVSEMKAWSKDLKVAFPTT KPGIASWEPKRYWRGPAWAIINWLLIDGLKRNRYADVAEELRQSTIAAIETEGFAEYF DPVTGQGCGGLGFSWTAAAYLWLERGVVLA YP_002973554.1 MRTTQPLTITLPLEMAQMVKAKVSSGEYATESEVIRDGLRTLAA RDAAVEKWLRDELVPTYDETKAHPERGLSAEDVGRRLDARMAAHAKK YP_002973555.1 MKLNALRLHNVKRFAGRGISVEGIADGVNVLSAANEHGKSTCFE ALHALFFQPHSGNPKSVQMLRPYSGGNPIVEADITIEAGRYRLTKQFHSGRRASVTDL GSGRIVAQADEAEAFIGDLISGGTAGPAGLLWVRQGITGIENRSKSEEENDKRVRESL LTSVQGEVEALTGGRRMSAVLEACEDELNRLVTATLRPKTGGRFAAALEERDRLQAEE ARLAKEVEMLHGALDRRRSVQARLSELENPDEEAGRKTAIANAESALGAAKLHDRELK ALDAEAALAASRRDDAQQAFDRFHAALNRSGELARRFALAEKDLSNAAERRTASLVES EAATAEVQAAEEEERVNRELLARIEAALRSRHAAERLAEVRLRLEQAEAARQQIEDGD AAHALLAIPADAIERLEALDIKIVGLRAAAEVGLPTLRIDYLKDVSGLVSMDRQPLIG GEDKSFAGMARLDIAGVGTLTIHSNRQADHNGALETAEAMRQTLLAKLGIDSLRAARQ REIAARNKQEELVRARQRLADLAPNGIDALHLDAARFAELSQGAVELDANPEEVRAGL ADATRRIELARNRAREASMMRTEAGEAILRAQTEHARLGQELEAIEAVIGPESGRTEL QQDLAIKLSSAREQVDAVELRAAPLRRTGRDLAGAEAALARARSVADAAGREIARLRE ELADLGGQIRTRSDSALEENWSETRDFLAAAREQVKRFETEVGVLDRLRKALTATRAA ARDLYLKPVMSELAPLLGLLFDDISITFDGDTLLPQIVRRNGLDEDVDRLSGGMREQL SVLTRLAFARLLARDGRPAPVILDDALVYSDDDRIERMFDALHRQSRDQQILVFSCRQ RAFAKLGGNVLTMQPWQPD YP_002973556.1 MFSFLHSSDLHIGKRFGNLPEDLRGRLREARHGVIARLASAARE HGTDVILLAGDTFDTETPTPAVLRQALGEMARNASLRWVLLPGNHDSLLADQLWSAAR GVVPDNVILATEAAPLPLEPGVILLPAPCTTRRPGRDLTEWMTGAATPDGSIRIGLAH GPIQEFSEDATATNVIAPNRAALAGLDYMALGDWHGSVAVDARTYYSGAPEPDRFKHD RPGQAMVVSIAGQGAMPTTTAVTTASFSWQTLQLPLLASEDGVEALKAALPEPFARRQ TLLRVALSGRARLNGRTAMISLLEQVAPEFAHLEINTDLLGTDCESDDLETIDRAGAL RDAAELLLSESLDQSRSGDDRGVAREALIRLFSYCEAIDR YP_002973557.1 MQSTMKVYQAFNRKPIAELPADDVAALERKLQLAAKSFADRDGW LPPHQRMAILRKASALLQENRDRFAMMIAREGGKPLTDAIIEVTRGIDGLLNAADELR NFGGKEIPMGLTAASANRWAFTTKEPIGVVAAISAFNHPLNLIIHQIAPAIAVGCPVI VKPAATTPISCIEIVKLFWEAGLDERWCQTLITEDNALAEAFATDHRVAFLSFIGSAK VGWYLKGKLPPGTRCALEHGGAAPVIVDRSANVDAIVGTIVKGGYYHAGQVCVSAQRL FVHEDILASFTEALAARVAALHVGDPTLMQTEVGPLILPREADRVAAWIKEATDAGTR QIGGGRMSETTLLPSVLLDPPTEAKVSMLEVFGPLTCVYGYRDLDEAIRIANSLPYAF QASVFSADIAVALRAAKHLDASAVLVNDHTAFRTDWMPFAGRRQSGYGVGGIPWTMEE MADDKMVVFNQVT YP_002973558.1 MTKGSDLLVAALENEGVDRIFGIPGEENLDVVESIRKSSIELVL TRHEQAAAFMAATYGRLTGKPGVCLTTLGPGALNLSTGAAYALLGAMPMVMITGQKGI LSSRQARFQVVDVVASMKPLTKLARQIVSPQMIPTTVREAFRIAQEERPGPVHLELPE DIAAEECQEVALIAPHQLELPTASDAALDRAAALIAAAKRPLLMFGAAASRPRSTSDI AQFVIRTRIPFFTTQMGKGTVPGGTELYMGTAALSERDYVHEAIEQADLIITIGHDTI EKPPFIMGKSGPKVVHIGYQPATVEQVYFPQSEVIGDIGPSLKALADRLEGKLPNAQA LLHLRERILERIATRATEDRFTPQRLVHDIREVMPHDGILALDNGMYKIWFARNYRTR MANTLLLDNALATMGAGLPSAMVASMLYPERRVMAICGDGGFMMNSQELETAVRLKLN LVVLVIEDNAYGMIRWKQAVDEFPDFGMTFGNPDFVKYAESYGAKGTRVDDIGQFKQV LEEAFAGGGVHLVNVPVDYSENERVLVKELRERLPAILEA YP_002973559.1 MPRNRWSLLWPGLLISILLLAGTVVFYREIHHLEDPVGPFENRT VNKAARSSRIAARPDPAAPSPLPSTPIPLQLIEVPKLELASQFLRMWRVSGSNFCAAL REAGVDMSEWKAASMRNRSFECYFQRIYERDEVRPLSSTFVKVRGDEMGDILEIRAKI IGPTTDAQGRLSPAILHIFEIIVKQACWRDFEDTLASIRNLQNVESERFGSYLSFTRE AAGANIFNFVLGLRATSNSQVKTKSYFSTERWLQMPAVLQRASSSGSARERPYRPALN DGASTRHDPRSSWNCG YP_002973560.1 MTVLDPSVYAKLLRTNSASLSTLLLKRGLKNTAVRGVRPLASAG RPMIGPAVTVRYIPAREDIDGSTYSSDPSNQQRKAIDTIPDGHVLVLDCRSLAEVAGI GAMLARRLVYRGAAGLVLDGGVRDTADIAQLGLPTYCMGPAAPANLVAHHASDMNQPI ACGGVAVYPDDIIFGDSEAVIVIPREYVDDIAEEAVAMEEQEEFLKLEIESGKSTLGV YPPNKETLERYQAWRQARHMTA YP_002973561.1 MIEPKHGSPIVEKTAHLLEAVADARTGISLAALVDQLGVPRSTV YRILNSLAAHGLVARVNGGASYELGPKFVELARRISPGADRATVIEAARPILTAAADR IFESFRLAAPEGNEMMTIFAASSPGDYSLFIKVGSRSPKHVGAAGKLALAYSDYGDIE AYCSVGLEGKTPYTITDPEALKEALVEIRRNGCAEDNQESNLGLRAFAAPVFDSEGRL VATISVPFIGEATPDRARAIKREVVEGAAILTKAINGKQPLSK YP_002973562.1 MKKMKAIGALSIGLAFLLGPGSAIRADAASDKLTVVVTDEPKSL DPCDTDLSGNSRILHNNITEALVNLSPADGSVVPSLAASWRQVDELTWEFKLRDDVTF HDGKAFDASAVVAALKRAQDPALACEVGLATLKGVKFNAEAVNPTTLLIKTDIVEPIL PNKMSAVDIGSPATPNDGKSRSPAGTGPYKLAAWTPGQSVDLVAYDGYWGDKPAIKNA TIIWRAESAVRAAMVATGEAQIAYEIAPQDGTSEQDHAFPNAETSLLRIDAEIAPLND KRVREALNLAIDRDGLVGTIFHQDAQKAMQAVPPSVFGFNPDIPVWTYDPEKAKSLLA AAKADGVPVDKEIVIYGRIGIYPNSSESLEAIQAMLADAGFNARLEMLETSPWLKKLL KPWDKERQPSILQTQIDNTEGDAVFTLPNRFTTDGNQSTIADAKLDTLITDASKATGD ERRKLFEEAFSYIAVDAVNIVPLFHMVTIARVAENVTYTPDVQAGNEIKLKSISYR YP_002973563.1 MSFTYFLKRAAFAALALAALMTSAFFLVRLTGDPVNLYLPVDAS DAAREAMRVRLGLDRSLPWQFLDWAGDMLSLDFGTSLWHNRPAMDVVLEALPNTLALG AIALTLAFIAAVIIGSIAAVNAGGWIDRAINVLSQAAASVPDFWLGLMGVLLSAVTLR ILPTSGFGGPIYWILPVACLFARPFGTLVQIVRGSMIEALNATFVRTARAKGARGGRV TFVHALRNALLPAVTVTGDLAAQFAGGGGVVEVVFGFPGIGKLLIDGILKRDFAIVQA SIFAVAVVIFVINILVDMLYASIDPRVRVE YP_002973564.1 MTSTSADVLPARERRSRRILWFMRALAGDPMAVAATIWLLIVVL AILADASSLLGENRISLKARNLPPFDFGQSWTLWLGADALGRPLLVRLIQAASTTIGI ALVTVLTSLIGGTLLGVIAGYFGGIIGNLVMRICDIILGFPTLLVALFGLYLFGPSVG NLIIVLAVTRMPAYIRVARAETLEVRERLFVDAARAFGGGAVWILRNHILPSVAPTML TLASVNLAMVMLFESGLSYLGLGIQPPAVSWGLMVAQGQGYLSSAWWLGFFPGLAVML TTMSFNLLANWFRIVNDPSQHWRLVSRRR YP_002973565.1 MALLEVKDLQVSFDTAAGRILALNGVSFSLERGEVLALLGESGS GKSVTASAIMDLVPNPPGEINQGSICFDGVELLQLSRNERRDLCGDRIALIFQDALAA LNPVYSVGWQIAEMFHIHGRTPEGGVEKAVIDLLTAIGIPDPESRARQYPHEFSGGMR QRIMIAMAVALEPDVIIADEPTTALDVTIQAQVVDLLETIRKRSDAGMIFITHDLGVV AELADRVAVMYAGRVVETASVFELFEDARHPYSVGLLASQPRMDTDEDELVPIPGSAP NPVALPSGCAFRTRCPRAQGLCAEVVPSLETVGPGRQAACHFPVSPV YP_002973566.1 MIEPLLKVQNLSRHFGSSSAPVRAVDDVSFEIASGETLGLVGES GCGKTSLVRTLLKLGPATSGSALLDGVDITTASGRRLHELRRKMQVVFQDPYQSLNPR MRVDRLISEPWALHPGVVPKSNWREETVKLLESVGLRAEHAERYPAEFSGGQRQRLGI ARALALNPTLLVCDEPVSALDVSVQAQVINLLAGLRRERNLAMLFVAHDLAVVRHVSD RVMVMYLGKIIETGPKQSIFSAAAHPYTQALMSAVPTPDPRRRAQRKRIVLQGDLPSP ANPPSGCRFRTRCWKATSICAEQEPALTRRTAAPGLLTACHHADPEIADTAPELDTAW VPSP YP_002973567.1 MRIAYVFLGAFLAIAQSAYAEVASPPVLAPLKRQAQAAELSAQF LSRYSYKPVPLDDALSARIMDQFIKSLDPDRMLFLQADIDKFMSDRSEIDDAIERKDL KIPFAIFNAYEQRVVDRMNYARSLLKQDFDFSTQENYSVLRDKAPWSQSKAESNELWR KRVKSDWLRLKLGGKNDAAIRETLDKRYENILERAYKFKSDDVFQSFMDAYSTSIDPH TDYFGAAASADFNVSMKLSLFGIGAVLQERDDYTTIRELVPGGPAQLSGKLAVGDRIT GVGQGKDGAIKEVVGTRLDEVVQMIRGKKDSVVRLDILPADAGADGTHRVISLVRDKI SLDKQAARKTVLSVKAGDATRKIGIITLPVFYEDFEAKRKGDQDYKSASRDVAKLLDE LKEEKVDSVLIDLRNNGGGSLDEAIDLTGLFIGNGPVVQQRGSDGKIEVKSAELAAPV WAGPMGVLINRGSASASEIFAAAIQDYGRGVIVGEPSFGKGTVQTVVDLDQIVRNSKP EFGELKVTIAQFFRVNGGTTQLRGVTPDISLPGLSDPTSFGETSYDNALPWAQIKPAN YTPSDTVSTLLPTLQSRHDARVGSDPDFQRLLKDLADLKAQREKGVISLNEAERRKEA TAREKRFKDRAQVSDGEDPGGDDGLEAGERSLSADIAIENARKNAKDVLLDEAAAILA DEADLQQGGLKAATKQTGNTNGK YP_002973568.1 MIANYNDAGLDLSYDLEFYALMAESFERSVGRRLTPEGQGAEWL YDHSPAVVLAHNTDADPRFIYANRAAQACFEYSWDEFITLPSRLSAEAPDRAERDRLL NTVAANGFIADYRGLRIAKSGRRFYIENAIVWDLVDRIGCRRGQAATFDSWKDVQAD YP_002973569.1 MPTLDNAYARLSDFEAMEAELKATRQHLHAHPELSFEEAETARF VAEKLEGWGYDVTRNVGGHGVVARLSAGKGSKGIAIRADMDALPIVEATGLAYASGTP GKMHACGHDGHTTVLLGAAEYLARTRRFSGTVTLIFQPAEEASKNSGAQAMIADGLFE RFPFDAIFGLHNHPGAPEGTILLRSGPMMAASDTVEITIKGKGGHASRPHLTIDPVVV ACNLVVSLQTIISRNLDPTQTAVITVGTIHAGDAVNVIPEYAKLALSVRSFEPGIRDL LQERITKLARSVSDGHGASIEINYDRGNPVVVNSPDETDFARIVAAELVGEDKVATCP LIPGSEDFSHFLEHKPGSFLRLGNGMNSAILHSPKYDFADASLTVGAAMWARLAERYL QDDA YP_002973570.1 MTGAEIAKPLVRARNVHKSFDQLEVLKGIDLDVMPGEVVVVLGP SGSGKSTFLRCINHLEAINKGFIEVDGEQIGYRLRKDRLEKLSSNGIASQRRKIGMVF QQFNLYPHMTVLQNIVEAPIGVHGESRKAATENAMRLLERVGLSEKAGSYPRQLSGGQ QQRVAIARALANKPKLMLFDEPTSALDPELVGEVLATMRDLAKQGLTMIVVTHEIGFA REAADRVVFMDGGNVVEMGKPEDVIGNPQHPRTQAFLARFL YP_002973571.1 MSNDRTTAASPSGGADFRDVAHAHKPFQTGRLLLWVAVLLIAAN FLWIVAHNENFGWPVVAAYFFDPTVINGLYVSLGLTVVAMAIGIVLGLGLAIARLSND RLARSLASLFIWFFRGTPLLVQLIFWYNLSTLFPQLSIAIPFGPTLASWETNSVITPM TAAIVGLALNEAAYMAEIIRGGLLSVDRGQFETAEAFGMTKARALWRIIIPQAMRSIV PPTGNQLISMIKATSLVSVIAMADLLYSVQSIYNRTFEIVPMLLVAVLWYLLITSILN LGQSYIEAYYGRSERRNNTAAAKSETLTEEASH YP_002973572.1 MHSKLIASAALLGLMMTTSVFAEEAVVPKQTVNDALRARLPEKI RTDGKMISVNNGSFPPYEIVTGTKLTGASADLTDAIGQMLGVEIEHETVSGLTALLAG INSGRYQFAFGPIGDFKTREEANDFVDWVQEYVVFSVQKGNPKAIGSLDSACGNRIAV MAGGSAEKVIQAQVEKCKTDGKAALEVQSYTDQPSSILAVRSKRADAFFSSQAPLTYF VSQANGQLELSGVGQKNGFDDLYQGAVVPKGSPIGPVLLDSIKALMDNGAYAAIMKKW GLENNMIKQPGLNLGGALPK YP_002973573.1 MPVTLRIALRDWDYMTPLVLGDVSSSRLDIKVDRVGTLVSSLAD DAAHDAAEMSFSRYSQMRHDGDDRVLGMPNFIMRGFRHRCIITTKDSPICKLSDLAGK NIGVTGWRDSGNTWTRAALRREGVGVEDAMWYAGRLTEAHPIADRLDGFGRPGRIEAA PGERPMVDLLLDGGLDAVFTPFMPKGFFDQESPLRQVLDDFRAAEVTYFHEVGYIPGM HLIGFKADIVRENPWIMDELSELIDESQRLWLEKREKYADTTPWMIDELRRCAADLPP SWNVSGLAENEAMIADFAEELYEQKIMPRLLTPADLFPWHAEAR YP_002973574.1 MGDTVEASWFAEKIADRSIRGIALETSALIRAGVLPIGTRLPAI RDIAYELHVSPATISEAWSELRRQKIISGRGRNGTWVSGDRFVAKPERLASSGNYAAG VLDLTLAGPDAALLPRLAEAMAYGASVDDLNSYERSRIVPELKDAVSERWPYEAEAFL ATNGGYNAVYTILHALVSSGSSVAIEHPTGMRLLDILEDLGVKIIPVACDGEGPLPDS LREALQQRPAAFLFQPRLHSVTGVTVSSSRLDQLGDVLEDSDTLIIEDDGVGDVSAAP PQSLGDRFAERTIHILSLSKSLGPDLRLAVLSSSAPIVDQIQSYRSFSAGWTSRIVQG AAAWLLRDPATWQLIAEAREIYRQRRDALADALSERGIPIPPSQGLCLWVPVVSEPFA MVTLAARNIAVNPGSKFSVLPSSHIRVATSTLSDRCEEAADAIALAHAP YP_002973575.1 MKVLIVEDDPLHRSYLHEAVNAALPECDTVIEAENGTVGEKLAR DHKSAHIVMDLQMANRNGIEAARTIWKERPETRILFWSNYSDEAYVRGVSRIVPDGAA YGYVLKSASDERLKLALRSIFIESQCVIDREVRGLQQKSLGQTNGFTDSEYEILVDIA LGLTDRAIAKRRGLSLRSVQNRLQQLYDKLDVYQSAGDDHEDGRFNLRARAVTVAFLR KLLNYSALERAEAELQEWLEGK YP_002973852.1 MQMNTMTTSGLDNGDAAPQAFGSIRLEAAEVKADMKQNVLFERV TARLKAQVGQDVYASWFARLKLHSVSKSVVRLSVPTTFLKSWINNRYLDLITGLFQAE DPEILKIEVLVRTATRHGTKALDEAVAPEPAAPTQMRRPASAQPAGQAVQQAVSAVAA ARPASFGSPLFGSPLDSRFTFDTFVEGSSNRVALAAAKTIAEAGQGAVRFNPLFIHST VGLGKTHLLQAVANAAVQNPRALRVVYLTAEYFMWRFATAIRDNDALTLKDSLRNIDL LIIDDMQFLQGKMIQHEFCHLLNMLLDSAKQVVVAADRAPWELESLDPRVRSRLQGGV AIEFDAPDYEMRLEILKRRLAVARLEDPSLEIPAELLQHVARNVTASGRELEGAFNQL VFRRSFEPNLSIERVDELLAHLVGSGEPRRVRIEDIQRIVARHYNVSRQELVSNRRTR VIVKPRQIAMYLSKTLTPRSFPEIGRRFGGRDHTTVLHAVRKIEELISGDTKLSHEVE LLKRLINE YP_002973853.1 MSFEKIAVLGLGKVGRLAATLLHEGGFEVIGVDAQLPLSDVPFK CRIGDISDPQVIGELLSNVEAVLSCLPYHLNIELARAAHLAGIHYFDLTEDVPTTNFI IELSKTARGLMAPQCGLAPGFVGIIGASLADGFDRCRSIRMRVGALPQHPTGLLGYAF NWSPEGVVNEYLNDCEVIEGGVRKLVSPMEWHETVYVGGVKLEAFTTSGGLGTMCDTM LGKIDNLDYKTMRYPGHMELMNFFFHELLMRDKRKLAGEILTNAKPPVEDDVVYVHVA AEGTENGSLRRKEFVRAYYPIEIAGARRTAIAWTTSASVVAVIEMVRDGLLPTTGFLH QEHIPLEMFLKTPTGSLFKAGATSHG YP_002973854.1 MDNFDTPSTSRDAALLPDTGEPGFGVYVHWPFCAAKCPYCDFNS HVRHQPVDQERFTSAFLTEMAAVRAMSGPKTVTSIFLGGGTPSLMKPEAVSAILDGIA RHWHVPDGIEITMEANPSSVEAERFRGYRAAGVNRVSLGVQALNDRDLKFLGRLHDVA DALKAIRLARDIFPRMSFDLIYARPDQTVEEWEKELKEAISYAVDHLSLYQLTIEEGT PFYGLHKAGKLIVPDGEQSAVLYEATQEITAREGMPAYEVSNHARPGAESRHNLTYWR YGDYAGIGPGAHGRLTRGPEKLATATERKPETWLDMVERDGHGILDEERLGFEEQSDE LLLMGLRLREGVDLARWQQLSGRDLDPKREEFLLEHKFIERIGNSRLRCTPSGMLILD SVVADLAC YP_002973855.1 MRKLETKTIVVASHNAGKIREIQELIGPLGFTAKSAAELNFVEP DETGTSFEENATIKAVASANASGMPALSDDSGLVVDALGGDPGVYTANWAEKADGTRD FDMAMAKVEKALQDAGATKPEQRTARFISVLCLAWPDGHTELFRGEVEGSVVWPPRGT QGFGYDPVFQPEGYDITFGEMSGEEKHGWNVGKPQALSHRARAFKLFVETCLEA YP_002973856.1 MLEGMLETALYARDLDQAETFYEDVLGLEKIARAANRHVFFRCG PGVLLIFNPEETVKPPAPEALQVPPHGTTGQGHACFRVSGRNIDAMAERLTAAGVAIE SEVRWPKGGRSIYFRDPAGNSLECAEAKIWGIEQDI YP_002973857.1 MRPSGRKIDQMRKVSFERNFSKHAEGSCLVKFGDTHVLCTASLE EKTPPWLRNTGKGWVTAEYGMLPRATGERMKREAAAGKQGGRTQEIQRLIGRSLRAVV DLQALGERQITLDCDVIQADGGTRTASITGGWIALYDCLKWMESRNMIKVERVLKDHV AAISCGIFASQPVIDLDYIEDSSAETDANFVMTGTGGIVEIQGTAEGTPFSEGEFTSL MQLARNGIGELVALQKQAVEG YP_002973858.1 MGIRSTSVSDAVAALDERSREIFRRIVEGYLESGEPLGSRNLSR ILPMSLSPASVRNVMSDLEELGLIYSPHVSAGRLPTQIGLRFFVDAFMQVGDLSAEER ASIDRQVRAESGGNPVESMMNEASRMLSGISRGAGLVITSKSDPVLKHVEFIRLEPTK ALAVLVGDHDQVENRIIELPAGVTSSQLTEAANFLNAHMSGQTLPELRKQLSRLKDDV RHELDALSRDLVERGIAVWAGSPDEGKPTQLIIRGRANLLEGLAGAEDLDRLRLLFDD LEKKDSLIEILNLAESGSGVRIFIGSENKLFSLSGSSLIVAPYRDDDDRIVGAVGVIG PTRLNYSRIVPMVDYTAQLVSRLSRNQL YP_002973859.1 MTDDTTKNGPDATAADAAADATAYVENETAQEEAAQPDALELLK AENGELRDRYLRLAAEMDNLRRRTEREVKDAKSYSVAGFARDMLAVSDNLRRALDAIP PETRAAADAGLSTLIEGVEMTERAMLSALERHGVRKLEPVGQKFDPNFHQAMFEVPNP DVPNNTVVQVVQAGFSIGERVLRPAMVGVAKGGPKPAEAETNSVFDEKDA YP_002973860.1 MASARKIIIDTDPGQDDAAAIMLAFGSPDELEVLGITTVAGNVP LSLTSRNARIVCELCERTETKVFAGADAPIARKLVTAEHVHGKTGLDGPELNEPTMAL QPGHAVDFIIETLRHEPEGTVTLCTLGPLTNIGMAFQKAPDIIPRIRELVMMGGGFFE GGNITPAAEFNIYVDPEAADIVFRSGVPIVMMPLDVTHQLLTRKDRVKRMAEIGTAPA KAMVEMLEFFERFDIEKYGSDGGPLHDPTVVAYLLKPELFQGRDCNVEIEVQSELTVG MTVVDWWHVTERKRNAKVMRHVDADGFFDLLIERFARI YP_002973861.1 MSRITPFASPLLLGFDAMEKTLERISKASDGYPPYNIERIGADS GAPERLRITLAVAGFSEEELDVSIEENQLLIRGRQVEQGERDYLYRGIAARQFQRTFV LADGMQVLGAALKNGLLSVDLIRPEPARMVKKINISVSQ YP_002973862.1 MLMKEATSHLTKSELAHIGNGEVAYIRKMRTDEVAKCFPEAPDI DPTVDLWALFGADGTPILLTDNRSSTFFKAAEDELKTVSLH YP_002973863.1 MCRNIKPLFNFDPPATDEEIHDAALQFVRKVSGATKPSKRDELA FEHAVSSIAACARELLDSLETSQPPRDREEVAAKARARTAVRFA YP_002973864.1 MNQSHRFLFHTQRPRDDGCGVLVLQMLTGMSYDEVAAMIDWGDK SAHYTTWNDLCGVLAEIGVPIEAPIKTSRWSDIQGVAIVHVQRDHFMLYDAENGLFYD PAEMEGPGVASDRIPTSYLTVDPSALTTAKIG YP_002973865.1 MTDMERRGRATEAFFGRRKGKALREQQAETLNSLLPAFLIDLTA APPEPLTSLFPVPVERLRLEIGFGGGEHLIHRALNTPSTGFIGVEPFVNSMQKLLSRI GETGASNIRVYNDDATQLLDWLPDGSLDQVDLLYPDPWPKRKHWKRRFVSKTNLDRFH RVLKPGGLFCFASDIDTYVNWTLIKCRDHGGFDWMADNAADWLTPYEGWPSTRYEAKA RREGRSSAYLTFRKI YP_002973866.1 MRANYLFTSESVAEGHPDKVCDRISDEIVDLVYREAAKTGVNPW GVRIACETLATTNRVVIAGEVRLPPSLMKKDKDGKDVINPSKFKAAARRAIKDIGYEQ DGFHWKKAKIDVLLHSQSADIAQGVDSAADQQGDEGAGDQGIMFGYACRETPDLMPAP IYYSHKILQLLAVARKKGDGEVAKLGPDAKSQVTVRYVDGKPSEATSIVLSTQHLDES WVSKKVRAVVEPYIREALGGLKIADDCKWYINPTGKFVIGGPDGDAGLTGRKIIVDTY GGAAPHGGGAFSGKDTTKVDRSAAYAARYLAKNVVAAGLADRCTIQISYAIGVAQPLS IYVDLHGTGKVTEDQIEAAIRKNMDLSPTGIRRHLDLNKPIYAKTSAYGHFGRKAGRD GSFSWERTDLVKALKESVKT YP_002973867.1 MIENKKKPNPIDIHVGSRIRLRRTMLGMSQEKLGESLGITFQQI QKYEKGTNRVGASRLQNISNILNVPVSFFFEDAPGEHSNAGGGMETSSSNYVVDFLSS SEGLQLNRAFVKISDPKVRRKVVELVKALAAEADAD YP_002973868.1 MERLADRVILVWGFKRSLLAIAAGAFAVLALPPIGFFAAMFVSF TLLVWLIDGAAASPESGLIGRLWPAFATGWLFGFGYFVAGLWWVGHALLVDQEEFAWA LPLAILGLPACLAIFYGLAAALARIFWSDGMGRIAALAAGFGLMEWLRSVILTGFPWN AIGYGMMPVPLMMQSAHLIGAMGVTALAVFVFSAPALAGTRQGARPGIALAVLLFAAH LGYGAYALHLAPRPDILPEDKRPVVRLVQPAIDQTEKMDNDVDRATIFETHLKLSAEA PKNGGRKPDIIVWPETSIPFILTDNQDALTRIADTLDDNQILIAGAVRAEEMGPGTPP RYYNSIYVIDGRGQIIAASDKVHLVPFGEYLPFEDVLTEFGIQNVVEVPGGFSAAASR HLLALPGGLNLYPLICYEIIFPGEMTGDIKDANAILNITNDAWFGLTPGPYQHFQQAR VRAVETGLPLIRDANNGISALVNAHGEIIAGLDLGETGFVDATLDSIGAGLGTTIPRQ TYFWLTEALLILIALISRRGFISGLN YP_002973869.1 MLLPVENNTVAARGTDKLVHGAEVASASPIIDWLAGDECHALDE AGVISGLGRRLRAIGVPVDRLALYLITLHPEYFGRSIAWTEEEPVDIEDRQHGTISLA FMDSAISHAMRTRRTVVVGRSGVGASWQCLRTLADRNLEQLIVSPLCNSDGPVSTASF ATRKPTGFTDIERQIIQRVSPALRNVCELLTLRKVGNTMLDTYIGPYTAQRVRAGHIR QGEVESIDAALLLCDLRGFTKLSNRLPPQEVMQFVNCYYDKVVPSITGNGGEVAKFMG DAVLAFFPAYSAEWAAASAYNAAIEISTRTAEATGIKMDVGIALHYGIVNYGNIGSGG RLDFTLIGPDVNLVNRIQHVCSEESRQLLMSRSFVEAHIGCERLPIGHRQLKGFERPV ELFQGPELDRKLTIDVPHSGSISKQACS YP_002973870.1 MTDSNGISVVRTPVWIEHIRIVSKRSFDQVKTKIEKLPHFDNGI RKILRDGDFVRVKKELERLQGSAGLIIFSVATHGDWLAIRGGSRHALQYVIGNVLISS EMTKHQLPAGLYAPLRIMLYENEEGTATLEYDRPSDLFGQFNDDRVTSVAEHLDQQIY DCLVAAAA YP_002973871.1 MTIVKAAAVQISPSLYSREETVDKVVTKIADLGDKGVQFATFPE TVVPYYPYFSFVQSAYDLRTGKEHLRLLDQSVTIPSDTTRTIAEACKRARVVVSIGVN ERDGGTIYNTQLLFDADGTLLQRRRKISPTFHERMIWGYGDGSGLRAVDSAVGRIGQL ACWEHYNPLARFALMADGEQIHSAMYPGSFGGDLFSEQMAVNIRQHALESGCFVVNAT AWLDPQQQAQVMEDTGCSIGPISSGCFTAIVAPDGSLIEEPLRSGEGVVIADLDFTLI DKRKQLMDSRGHYSRPELLSLLIDRTPTIHVHERITPSVPTNTAEVTEGGPALV YP_002973872.1 MQRRTLLTLGTGLAVSVLTGFRPAATTTETHHRPAGLWFADMEP DGLTRTYRRYRLLLVGQRDDAVASDLSESAVEVLERFLPASRARLIRAADTRRVGVLI GTRQQDIAIMTRESAEALFAAGPPFADIRNLPLRLIVSFDSHVLVSRTDFPDSHAYAV AQTLTEHKNLLPRPAVAPDGLIPVHRGAHAYFTGETI YP_002973873.1 MNSRIDYRKTSAAVSRNFNGFQEPLQKISKWAAVPRIPAHCVRS KFACAAPAVAMFLVGSGAFADTSDVKGPRPVIVAKSGEHCKDDPNCFNRVHYAVKPVA RVKPGQKFILETRDGLDSDLDFSSTAEDVAAVDLNRCHPLTGPVYIEGAKKGDSIAVT VVDIEPDEFGTTTVVPGFGFLRDLFTDPYIVHWDLNRLEARSKDMPGISVPNNSFMGT IGVLPDKEELQKWLKREQELADAGGAVLTPQPVEALPADLCGVDGTAKSECLRTVPPR ENGGNVDARETIVGTTILLPCFIDGCGLFAGDVHFAMGGGEVAGTAIETGGRVTLEAQ VRPGGAKLQTTMHFEGGSQLKQLAPSSFYAISGLPVKSEGELPVFETYLGGEKIAPLA NLSEDLTLAARNATLNMIDFLVKTKGLTREQAYVLTSVAVDLNIAQVVDYPNVGVTAI LNRDVFKEQ YP_002973874.1 MRVLHVSCSPRGEASESYRLSQQIISQLRQSDPDTTVIDRVIGQ GVIPPIDEDYAVSQGSSKDVSQLGSMAKSEELILELETADVVVISTPMHNLTLPATLK LWIDHIVRTRRTFNISKFGKVGTLQDRPVFVAISSGGRFSGEHPQQPDFLTPYLTAIL GMIGLHNVAIFSVQGTGSHVNELASIRRNTDQLVREHFASFHPDLIAVPTY YP_002973875.1 MTVAKSNDFPPLDPNAGVPFYRQIYDRFRAAIASGLLKPGDRIP SARALTKELGLARGTIESAYSLLAAEGYIESHGQAGTVVTPGLSTLTPVPVPALQQIS NAAEVSFRPDSILPFQMGLPALDAFPRKIWARLGAGCVRAMQPSDMVHPPIYGLPALR TEIATYLQVSRGIGCSPSQVFITSGYRHTIELIADTLLKAGDHVWLEDPGYPPTREIF RHMQITTVPVRVDQDGMVISDGLKAAHGAVAAVVTPAHQSPLGMSLILPRRLALLDWA AKSKSWIIEDDYDGEYRYVSRPLPALKSLDRNGRVLYSGTFSKVLFPGLRLAYLVVPE DLVERFEHISQTLAGGSPELTQAIVTAFIKEGHFARHIQRMRKLYAQRRKATAIGLET VLGKHMSIASQPGGMHLILQLKNQHSDRLLSARMRESGLYAEALADWTMLSEAPAALL VNFTNIGSQSVAEHLAKRILQLM YP_002973876.1 MDFFMADRIDCASASPDGFNAFAGVRAAVEKSDLPKQLFDLVYL RVSQMNRSALYVDVHSRSLLELGLTVEKLGQVPIWRNAGHLFNARERSALAWAETVTR VAETGVPDSDYEAAAVEFNNKELADLTYAIALMNALNRIGMAFRSTLSGKA YP_002973877.1 MTSTFPHELLALRASIDNIDAALMHMLAERFRCTKAIGALKARQ GLPASDPEREQSQIQRLRSLASTSGLDPDFAEKFLTFTIDEVIRHHRAAAAR YP_002973878.1 MSDFTTKPAADAKDSEPSSSSDEAGSSSRPSGRSQSFWSRAARI LRPQQGSRLREDLADALMTDAAGDDAFSPDERAMLNNILRFREVRVADVMVPRADIEA VDQNITIGELMILFEESGRSRMPVYADTLDDPRGMVHIRDLLSYVAKQARNKRRGPAK PAAAVPAIEVAPENIHKATRSAKPNFDLARVDLQKTLAEAGIVRKILFVPPSMLASDL LRRMQVNRTQMALVIDEYGGTDGLASHEDIVEMVVGDIDDEHDDEEVMFKRVAEDMFI ADARVELEEIAQAIGPDFDISEQVDEVDTLGGLIFSALGRIPVRGEVVQALPGFEFHI LDADPRRIKRLRITRKRHAIRRRAKADGDAAPGDDRPAESTAN YP_002973879.1 MAELDIQISVEDIGWPGEETLLSFCERVLGAAVVYLSDSEKQPF PTLPPEVSLVFTDDASIQDINAEWRGKDKATNVLSFPAFPVQPGKMPGPMLGDIIIAR ETLEREAAELEKSFDDHLTHLLVHGFLHLLGYDHMNSAEAEIMEGLETRILAQLGLSD PYEGQDLKMEP YP_002973880.1 MNGQELVSSSPRHPRTPSDTNHFVLTFENNRFASELFGQFDQNL KLLEQRLNIDARARGNSVVITGDVVTTNQARRTLDYLYEKLQKGGSVEQSDVEGAIRM AVAADDQLSLPTMERKAKLTMAQVSTRKKTIIARTPTQDAYIRALERAELVFGVGPAG TGKTYLAVAHAAQLLERGAVEKIILSRPAVEAGERLGFLPGDMKEKVDPYLRPLYDAL YDMIPADKVDRAITAGVIEIAPLAFMRGRTLANAAIILDEAQNTTSMQMKMFLTRLGE NARMIVTGDPSQIDLPRGVKSGLVEALQLLNGVEGISIVRFTDTDVVRHPLVGRIVRA YDSTYAVAEDVSRQG YP_002973881.1 MTQDSALLQAPEAIPSDSLHDGSNSRKVFIKTYGCQMNVYDSMR MSDALARDGYEPTDDMEEADLVLLNTCHIREKAAEKVYSALGRLRDMKKKKAADGREM MIGVAGCVAQAEGEEILRRAPAVDVVIGPQTYHRLPEALRLAKQGQRVVDTEYAIEDK FEHLPIAESRRIRARGVTAFLTVQEGCDKFCTFCVVPYTRGSEVSRPVSQIVEEAEKL ADSGVREITLLGQNVNAWHGAGPQGEAWSLGDLLYRLAEIPGLARLRYTTSHPRDMDD RLINAHRDLSALMPYLHLPVQSGSDRILKAMNRRHTAAEYLTLIERIRTVRPDIALSG DFITGFPGETDEDFKDTLRLVEEVRYAQAFSFKYSTRPGTPGAELKDQVPEEIKAERL ERLQMLLLKQQQEFAESCIGKEIDLLLEKPGRMPEQLIGRSPWLQSVNVDAKASQIGD IIKVRITGTGTNSLFAERAEAAV YP_002973882.1 MIAWLRIAFAAVVILAVSIVLMPLQVLALRFDWRLRRRLPGTWH RIVCYCLGIRVRVTGKLEDRRPLMLCSNHSSWLDIMVMSAVADVVFIAKIEVRDWPIF GTLAKLQKSVFVVREERRKTGHQATEIAGRMADGEIVVLFPEGTTSDGNRLLEVKSSL FGAAAMAVPTSPTGTVVVQPVAVAYTRVHGIAMGRYHRRLAAWPGDLDLLPHLIDIVR CGAIDAEVSFGEAVDYRAETSRKEVSATIALRIRNLLNSRLRGREIS YP_002973883.1 MTDVAKTLEELCTERGMRMTEQRRVIARILEDSEDHPDVEELYR RSVKVDAKISISTVYRTVKLFEDAGIIARHDFRDGRSRYETVPEEHHDHLIDLKTGTV IEFRSPEIEALQERIAREHGFRLVDHRLELYGVPLKKEDL YP_002973884.1 MLEAYLTLKPEFEIIAMEREDCRDVAILHGERFARPWGDGEFHG LLMQDTVFGFVARQTNAILKKPLPGFILARHVAGEAEILTIAVQAKVARAGLGWRLMQ AAMREARSRGGESMFLEVDNGNTAALGLYRKLGFEKVGERQGYYKQENGALSTALVMK RVLR YP_002973885.1 MIILALDTAGVDCAAAVFDSGRNTVLGEASDMIGKGHAEHLIGI VDRALDQAGLALSDVDRLAVTIGPGSFTGIRVGVAAARGFALSLNVPAVGITTLEVMA SAQRDKTPHRAVLAAMDAKRDEIYLQSFAADGSPLDAPRAVSVAQAQAFAAGFDGEIT GSATPLLKADAGGDHTNRFPISIVARLGAAASPDSGKPKPLYLRGPDAKPQAGYAIAR RV YP_002973886.1 MFIQTEATPNPATQKFLPGKVVMENGTAEFRSTEEAQASPLAAR LFEISGVTGVYFGYDFISVSKDNADWQHLKPAILGSIMEHFMSGKPVMGDASILSEDA DAGDEFFDEGDESIVLTIKELLETRVRPAVAQDGGDITFRGFKDGKVYLNMKGSCAGC PSSTATLKHGVQNLLRHFVPEVQEVIAA YP_002973887.1 MVSKRLSRLEGHRRKFMAVIDGTPECQRAVHYAGRRAKNSNGGL VLLYVIPDGDFQQWLGVEEIMRAEAREEAEAVVAKIAQIVRETIGIEPEVVIREGGAA EQINAVIEEDRDVAILVLAAGSAKEGPGPLVSSVAGRAAAFPIPVTVLPDTLTNEEID ALC YP_002973888.1 MSEFKKLVFSGVQPTGNLHLGNYLGAIRRFVALQEGNDCIYCVV DMHALTAQLVHEDMPSQTRSIAAAFIAAGIDPEKHIVFNQSAVPQHAELAWIFNCVAR IGWMNRMTQFKDKAGKDREQASLGLYAYPSLMAADILVYRATHVPVGEDQKQHLELAR DIAMKFNLDYAEHISRTGYGVDITVGNEPVHAYFPMVEPLIGGPAPRVMSLRDGTKKM SKSDPSDLSRINLMDDEDAISKKIRKAKTDPDGLPSEIDGLQGRPEADNLVAIYAALA DKSKADVLAEFGGQQFSVFKPALVDLAINVLAPITGEMRRLMDDTSHIDAILRKGGER ARARAEVTMRQVRDVIGFLY YP_002973889.1 MTIQSLFLVTLVVDDYDRAKAFYCDGLGFDCLQDELQPKGKRWV VVKPRGGDGAAFLLAQAADETQRAAIGNQTGGRVGFFLKTDDFARDHAAMRAVGVRFL EEPRHEVYGTVAVFADPYGNTFDLIQHTAA YP_002973890.1 MQNAILLAIAGLFAFQSATGAVGQDMKRHAVHLAKHQARLAYTV QTVSVRAGCFPGRLRAVLSHIAAKTGRRPVITSGHRPHPRRHGSLHGKCLAADFRMPG LSERTIIAAARSAPGIGGIGSYCNGIIHVDVGPQRRWVDC YP_002973891.1 MVTKCQSVQMTQNDRKIAQILDAALPVFVRFGFRKTSMADIARA AGISRASLYLSFNSKEELFRAGSMRAHTLALDEVGAALAGQGNALDRMEMAIAVFQRE LIAPFGGSSDAEELFAANMALAADITLDARTRLSTMLTQTLNAAAETKEIDLGPLQAS PLQLANIMMAAMDGIKHAQWNGSSLDDDTKLFMRLLRVAVTPRSGQ YP_002973892.1 MSITSDNSNGATVLVTGIGGFLAGHIALQLLKQGYRVRGSLRSI GTSAATVGQLGAHTDGQLQNLGLVQADLDSDSGWAAAVEGCDYVIHTASPFPPGYPEN ENALIQTARDGALRVLREAHRARVKRVVLTSSIAATNHGDGRAPFTEENWTDPESPRA TPYYKSKTLDLAVINPSVILGPLLGPNFGTSVGLIHHLMTGRFNGIPRFGFSVVDVRD TADAHIRAMTDPAAGGQRFIIGGRFFWLKDLVAILAHSFPDHASRLPSGEVSDEIVRV MAQSDPDARTIVHELNRDLSVSAAKAHRVLGWRSRPEEQCIRASAQSLIDLGLVPA YP_002973893.1 MTIPTVDAFSPANEANLLRETEHARLRALINADIVRAQQLHAPD FQLITPIGATLSKEEYLGAIASGQINYLIWEPADIAVRLYDGVAVLRYRAQLEVVFGG HKVALNDYWHTDTYERHDGRWMVVWSQATAIN YP_002973894.1 MSLVKKFATVGGATLGSRIFGFARETLMAAALGTGPMADVFYAA FRFPNLFRRLFAEGAFNAAFVPLFAKEIEANGTDGAKRFSEEVFGVLFSVLLLITIVM ELAMPLLVRFVIAPGFADDPEKFSITIRMAAVMFPYLMCMSLTAMMSGMLNSLHHFFA AAIAPVFLNVVMIGALFYALYTGADPLATAWYLSWGVLAAGVLQLAVVYVGVLAAGMS IGFRFPKMTPNVKRLLILAVPAAVTGGITQINQLIGQAIASSRDGAIAALQYADRIYQ LPLGVVGVAVGVVLLPELARALKGGNLREAGNLQNRSIEFVLFLTIPAAFALWILSDE IIRVLYERGAFHQENTAVVGSILAIYGIGLPAFVLIKALQPGFYAREDTKTPMRFSAI AVATNCATALTLFPYMGAPGIAVAEATAGWISTVLLFATLLRRGHLTWEWALAKRTAL LIVAAAVMGAAIVFLKQYWAPSLASGAPLLTKIGTLGLLIAIAMLIYFAAAFLVGGAN LGMIRRNLNRKPAPAKDG YP_002973895.1 MQTKQAAGREPAVRHEQETAPAIAMRDLDFSNILDVELLQKQCD AVAEANRNRPDVLRADLLAVLKKASTEGRQKAREALMADGGGLNCAYRISWLQDQITT VLYNFATAHIFPQQKDKFAVTAVGGYGRDTLAPGSDIDLLFLFLPRPAEETHKAVEFM LYVLWDMGFKVGHATRTVEECIALSKSDMTIRTAILEMRYICGLQRLETELESRFDKE IVTGTGPEFIAAKLAERDERHRKAGDTRYLVEPNVKEGKGGLRDLHTLFWISKYYYHV RDQAELIKLGVLSKHEYRLLEKADDFLWAVRCHMHFLTGKAEERLSFDIQREIAEAFG YHTRPGLSAVERFMKHYFLVAKDVGDLTRILCAALEDQQAKSIPGLTGVISRFTHRNR KIAGSVEFVEDRGRIALADPEVFKRDPVNIIRLFHVADINGLEFHPDALKRVTRSLAL IDTSLRENDEANRLFMSILTSKRDPALILRRMNEAGVLGRFIPEFGKIVAMMQFNMYH HYTVDEHLIRTVDILSEIDKGRAEDLHPLANKLMPGIEDREALYVAVLLHDIAKGRQE DHSIAGARVARKLCARFGLSQKQTEIVVWLIEEHLTMSMVAQTRDLTDRKTITDFADR VQSLDRLKMLLILTICDIRAVGPGVWNGWKGQLLRTLYYETELLLAGGFSEVSRKERA NAAAEALHSALADWSQKDRNTYTKLHYQPYLLSVPLEDQIRHAHFIRQSDKAGQALAT TVRTDSFHAITEITVLSPDHPRLLAVIAGACAAAGANIVDAQIFTTSDGRALDTIHVS REFTDDADELRRAATIGRMIEDVLSGRKRLPEVIATRARNRKKSKAFVIPPSVNITNS LSNKFTVIEVECLDRPGLLSEITAVLSDLSLDIQSARITTFGEKVIDTFYVTDLVGQK ISGDSKRANITARMKAVMAEEEDELRERMPSGIIAPAATARTPPAAEKKAGSPI YP_002973896.1 MFMEKLVRETERLSLICSMLDTMRRADKDRNARGWTSPIGMLKI TRCCAVISELGTSIAKAGYRECDRQALEEIMRETRQVLHLLNARAAG YP_002973897.1 MNARIDTGNEAFSAAELATAESRASATPMMEQFIEIKANNPGSL LFYRMGDFYELFFEDALEASRALGITLTKRGQHMGQDIPMCGVPVHAADDYLQKLISL GFRVAVCEQIEDPAEAKKRGAKSVVKRDVVRLVTPGTITEEKLLSPSESNYLMALTRI RASGEALLALAWIDISTGVFRLAETEASRLLADILRIDPRELILPDTIFHDPELKPVF DVLGRTAVPQPSVLFDSASAEGRIARYFGVATLDGFGTFSRAELAAAAAAVAYVEKTQ IAERPPLGKPERESAASTLFIDPATRANLELARTLSGDRNGSLLKAIDRTVTGGGARL LAERLMSPLTDPARINARLDSIGFLIDEPLLCGNLRDTLKHVPDMPRALSRLALDRGG PRDLWAIRQGLEAAGGIAAMLGKAMLPEELGQALSGLQALPAAVEKLLAETLADELPL LKRDGGFLRDGASAELDEVRALRDQSRRVIAGLQLQYAEETGIRSLKIKHNNVLGYFI EVTAGNASPMTETAEAKARFIHRQTMANAMRFTTTELADLESRIANAADQALTIELEA FDRMTAAVVAEAEAIKSGARALAVIDVAAGLALLAEEQAYCRPQVDGSKMFAIEGGRH PVVEQALRRQAGGPFVANHCDLSPRTGDRDGAIWLLTGPNMGGKSTFLRQNALISILA QMGSFVPATSAHIGIVDRLFSRVGASDDLARGRSTFMVEMVETAAILNQASDRSLVIL DEIGRGTATFDGLSIAWAAVEHLHEANRCRGLFATHFHELTVLSEKLGRLSNATMRVK EWDGDVIFLHEVGPGAADRSYGIQVARLAGLPASVVARARDVLTRLEDADRKNPASQL IDDLPLFQVAVRREETARGTSKVEEALKAMSLDDMTPREAMDALYDLKKKLK YP_002973898.1 MPDIDKKDRPVTSVTDQEALDFHAMGRPGKLEINPTKPMATQRD LSLAYSPGVAVPVKAIAADPQTAYDYTARGNMVAVISNGTAILGLGNLGALASKPVME GKAVLFKRFADVDSIDLEIDTENVDEFINCVRFLGPSFGGINLEDIKAPDCFVIESRL RELMDIPVFHDDQHGTAIIAAAGLINALELTGRDLKTTKLVCNGAGAAAIACVELIKA MGFAPENVILCDTKGVIYQGRTEGMNQWKSAHAAKTDTRTLEEAMKGADVVFGLSQQG AFSAKMIRSMAERPIIFAMANPDPEITPEEVARIRDDAIMATGRSDYPNQVNNVLGFP YIFRGALDVRASTINDAMKIAAVNALANLAREDVPDDVVAAYQGNRPRFGSQYIIPVP FDPRLISAIPVAVAQAAIESGVARKVITDMAGYARELSARRDPIASTLASLYERVRRR PKRIVFAEAEEEQVLRAAMSYANQQLGTAILLGREDLIRATAERAGIDLNRPGLEIVN ARLSTRVEAYIDYLYARLQRHGYLHRDAQRLIHNDRNHFAATMVALGDADGMVTGITR NYSTALEDVRRCIDEKPGHRVIGVSLALCRGRTVFVADTAVHDMPTAEELADIAEEAA GLARRMGYPPRVALLAYSTFGHPSGERSERVREAVKILDKRRVDFEYDGEMAADVALN RKVMEQYPFCRLSGPANVLVMPAFHSASISTKMLQELGGSTVIGPILVGLDKPVQITS MGAKDSDIVNMAAIAAYGAGS YP_002973899.1 MPENASANFTPRFQGATFDDMVGALTKGFGSFDAWRDGRDKPLD WKVGFWGDESLSLVSNQDSGGWGARTAHGTPETLAIIVPRTGALDVTLGRSVIEGTPG RLLLANNLEPERISVRAAPHRSDTLSLSWTIIAQTVASVLETPLIGAMDLAPVIDLST AAGRLIGSLAQTIIIGMRNNGPLLASPIAMLNLTQAFADLLVRSVPHRLSHLLDRKIH LIAPRHVRRAIEFMHANIAEPLTMQSVAEAAGISIRALESGFRAFKGTTPAAYLRTIR LQAVREDLRDPSNRQPLRDICLKWGFFHFGRFAATYRAAYGENPSDTRRRSDH YP_002973900.1 MSAIDILNRDTTAEAAPPSTAVQKDGDILGRIGNLETRLARTAR EIDAAQAVRYRVFVDEMKAQLPLDAMRRQRDVDAYDAVCDHLLVLDRSIEGDPEDQIV GTYRLLRQDVAIANGGFYSASEFEIEGLIAKHPDKRFMELGRSCVLPEYRTKRTVELL WQGNWAYALKHGVSAMFGCASFPGVYLESHALALSFLYHNVLAKNEWAIGALPHLARN MDLMPVEAINPKRALMALPPLIKGYLRLGAMVGSSAVIDHAFNTTDVLIVLPISSISD RYLNYYGADAGRFAS YP_002973901.1 MQNLGQLQERLSAAFGGPAAKPHEKRMEAVFARPNAPAPRGADD RQDGPAPTRRLLFYWLGGAGLLAATILMLLAHAGDPLLLSGGLVVLGLAVIASYALLM VRSRKAGQRPGQSMPDENGGAKLFADVHDVLGDITVSRTMDRRIISANDTFRRLTGRL RPEGKTCEEIGLAFRPGPIPHCYDVEISTPEGQRIFLWRDVVTRDPANGRLLLQSVAR DVTDERLIAQGREEARQKAEYNSAAKSRLLATVSHEVRTPLSGILGMTHLIAETRLTQ EQQNYLANIRQSGHALTQLVEDLLDFSTIEVGRFALHPRSESLRKLLESVVEMLAHRA HEKGIEIGATVSSDVPENMSFDPARLRQVLFNVIGNAVKFTQVGGVFIRVSLDDDDLS ITVTDSGPGMTTEEQARVFGEFEQGGSVVDKSSGTGLGLAISARIMREFNGALTVASE KGRGSEFTICFPVDIDSERPDRRNTLLSGNSVVLLAPAGAARTAIAETITTLGGLCHL VGDGETARATLLELAKGGRRPTDIIIDHRMSAEFSAHLADRAEIAALGLRKVLLVNPE ERSAHPLDLFDAWLIRPLREQSLIDVLRGRMRGMEKRDALNDNQPGFGLSVAETLVAA SGLSILLGEDDPINAMLVRVVLEKGGHKVRHVEDFETLLDYALCEANARPDIIISDLS MPGGNGIDMLGRLRGHERRLDLASVPVIVLTADKSDESRRQVLLNGANRVMVKPVDPV RLLTEVQAVAALSARRAEAR YP_002973902.1 MTEQTHARPALFSRPAPILFFIVVAVLIDQAVKIAVDHYLPLQE AVPVVPMLALYRTYNLGVAFSMLSGMDGWFIVGMRLIIVAFVIWLWYRTAKDRWIAHL GYALIIAGAIGNLVDRFAYGHVIDYILFYTESWSFAVFNLADSFITIGAGCVILDELL LPKKASR YP_002973903.1 MSKDFHDQGPRRVGQVKEVTSLANPIIKDIKALTNKKSREESGT FLAEGLKLIIDAIELGWAIRTLVYAKAAKGKPLVEQMAAKTVASGGLVLEVSEKVIAS ITRRDNPQMVVGIFEQRWTPLKGIRLSDGETWVALDRVRDPGNLGTIIRTADAAGASG IILLGETTDPFSLETVRATMGSVFAVPVARATPEEFIAWRKSAGVSVVATHLAGAVDY RTIDYRKKPVVLLMGNEQSGLPEQLAREADALARIPQQGRADSLNLAVATAVMLFEAR RHLLSLAEGK YP_002973904.1 MKQREGRPGQKKTSGPSGENRRDDRAGRPPKPVAQPAATREAVR EAAVPAAVVRPLMTRSGERPTERVPVILESLGAGDFHLIDSGNGEKLEQYGPYRIIRP EAQALWRPSLAPQVWEKVDAAFTGDTDEEGAGRWRFPKEALGETWPLNLLGVDFLGRF TSFRHVGVFPEQIVHWSWMKEQVEKAGRPLKVLNLFGYTGVASLVAAAAGAEVTHVDA SKKAIGWARENQALGRMEKLPIRWICEDAMKFILREERRGSQYDIILTDPPKFGRGPN GEVWHLFEHLPLMLDVCREILSPKAVGLVLTAYSIRASFYSIHELMRETMRGAGGVVE SGELVIREAGTDGKTQGRALSTSLFSRWVPK YP_002973905.1 MNDELKPWSVTASRITYEDRWIRVRSDDCVTADGTVVAPFHVLD YPDWINVIPVMPDGRVLLTREYRHGRGEIVLGLVAGSLEPGDSETGDAAMAAARRELR EETGYEASTFVKLLTSYPNAASHSNVVTSWLALGLSRAGEPSFDPGEKVELLFTDLAA ILGDLQSGAVIMQSMHVAALYAAESWLRTQASEGVK YP_002973906.1 MDFTGEERIAAPRDIVWAALNDPEIMRGCIPGCQSIERLSPDVF EATIKVKFSLLSATFHGLLTLSNVDAPKSYTLSAEGKGGLAGFARGSADIVLEERGAE TILHYRSKAELGGRLAQLGARLLDSTSQKLAEGFFSDFNAAVVAKMAAG YP_002973907.1 MMTWTIRPPRAEDQEILAEIYLSVRRETFVWVDPGKFHREDFAA HTNGETVFVCAHENGRVAGFLSLWPADDFIHMLYISPEFQGQGAGKALLQALPEWPQH RYRLKCLVKNRRAKAFYLSHGFHVTGNGTSPEGDYEELSFFPA YP_002973908.1 MAKKIVNLLILLPLGVILIVFCVANRQSVTLAFNPFRPDDQVLA VSAPFFVFLVVVLIAGMLIGAAATWFSQGKHRRRARTEAKEAIRWQSEADRHKNRAEE IAGQLPAR YP_002973909.1 MIKSELVQIVAARNPHLYHRDVENIVNAVLDEITDALAAGNRVE LRGFGAFSVKNRPSRSGRNPRTGDTVFVEEKWVPFFKTGKELRERLNPGQADEED YP_002973910.1 MDSSIIADRRRLRRKLGFWRVIAVALIVALGFAFYRFALGDAGT ERPHIAHVTISGLIVDDDELLERLKKVEISDQVKAVVISISSPGGTTYGGEKIFKAIR AISAKKPVVSDVRTLAASAGYMIATAGDTIIAGDSSITGSIGVIFQYPQIQPLLDKIG VSLQEIKSSPLKAEPSPFHEASEEAKAMIRNMVVDSYNWFVDLVADRRKLPRDEVLKL ADGTIYTGRQALKVKLVDAIGGEAEIRAYLTSRGVDSDLPMVDWDKKSNTPFLLAGAV SRLITIFGYDDLMKTQDINGILPPKLLLDGLLSVWQVGHD YP_002973911.1 MLDTLKNNGHAAYSGSGKNAYGDALFHSARVRRLKILLPVAALI VAASLTAVALVSIYLPENIKMEGAKIENGKVVMEKPAISGRNSDGINYSMLAERALQD IRNPDLITLETIKAAVPMNDGLIARVVASTADYNRATDNLHMTAPFTLVLSSGLNANF QSAQLDIKGGNMRSDDPVTITKDNASIVAKTLQITDKGRVITFEGNVRMNVDPSTIHK QGT YP_002973912.1 MAFIAGAFALILTASGAGAQQATATMPGMKLSNDQPIQIESDKL EIHDQEHTALFTGNVKVVQGATTMQSGKMTVYYKDKAAKPATDGTQPAAQPAAQPQQS ASLASGSADIDKILVTDKVFLTSGTQTATADDGNFDMASQTFILTADEGKKVILSDGP NVFTGCKLTVHMQTGQAELESCGGRVQIQLDPKSKPNTPQQKQN YP_002973913.1 MFGKPGPQAAGAADKSRYQGTLIARGLTKTYATRRVVNGVSLVV RRGEAVGLLGPNGAGKTTCFYMITGLVPVDEGTIEIDGNDVTTMPMYRRSRLGVGYLP QEASIFRGLTVEENIRAVLEVHVKDKAEREQKLNELLEEFHIQKLRKSAAVALSGGER RRLEIARALATDPTFMLLDEPFAGVDPISVADIQNLVHHLTARGIGVLITDHNVRETL GLIDRAYIIHAGEVLTHGRANDIVNNPEVRRLYLGDNFSL YP_002973914.1 MALSANLFLRQTQSLVMTPQLMQSIQLLQMTHFELNQFIALEVE KNPLLEFPSNDGEAGGERGEAEDGEYAHQPEDAGSDDGYDNRTEALSSDWYDNGGSAS TSRLNDELDANYTNVFPDDGAPQRLDAPELVSQWKSMPGSGEGADYDLDDFVAGQVSL RDHLAQQIPFVLPDMADRLIAQNFVDQLDDCGYLQADIVEAGERLGTSLAQAERVLAT LQSLDPPGVFARSLAECLAIQLKQKDRYDPAMQALVENLELLARRDFATLKRLCGVDE EDLLDMLGEIRQLNPKPGSGFEAGISEAIMPDVVVRASSDGGWLVELNPDTLPRVLVN QSYFSRVTKNGEDHAFLSDCLQTANWLTRSLDQRAKTIMKVASEIVRQQDAFLLHGVD YLRPLNLKTVAEAIKMHESTVSRVTSNKYMLTPRGLFELKYFFTVSISAVAGGDSHSA EAVRHKIRALILQESPEAVLSDDDIVDMLKKGGVDLARRTVAKYREAMNIASSVQRRR EKRALAKVAGF YP_002973915.1 MPTMMRQNSNLARELFLLLVLATLWGSSYSFIKIGVETIPPITL IAARTLIAGGILLAVLRHRRVRLPRDLATWRRFFVQACLNSVVPFTLIAWAEQSVDAG LAVILNSATPIFTFLLTVLITRHEQVTLRRLFGVMAGLAGICLVIGVEALGGLGESLM AQLAIILATICYAGAAIFSKNFKGLDPAVPAAGSLISGAVVLLPMSLVVDRPWEIDPS PASMLALLALSAFSTALAFVIYFRLVQTLGSVGTTAQAYLRVPIGVAIGIVFLGERLS PTAWIGLVCVIAGVAAMTIPARRGTTQARNA YP_002973916.1 MSVRVSGKHMEIGESFRQRIEDQIGMAITKYFDGGYSGQVTVEK ASSRYSADCKLHLDSGVVLHAAGEATDPQLAFDAASQRIEKRLRRYKRKLKDHHAGNH LNGFAEVSYTVMDSVPDHDDEIADDFAPAIVAESTKQLKTMSVATAVMALDMTDEPLL LFRSPGKEHLNIVYRRHDGNIGWIDSASIKG YP_002973917.1 MALADLLHQDAIIPALRVNSKKQLLQELAARASRITGLSEREVF DVILQRERLGSTGVGNGIAIPHGKLGNIHSIVGIFARLEQPVDFEALDDQPVDLVFLL LAPEGAGADHLKALSRIARVLRDHDLVAKLRATESASAIYAFLNEEQTSNAA YP_002973918.1 MPEPETLKRVASAFSVCASSLSATLAAVASSTIAAFCCAPWPIC IMAWLIFFKPTTMKSLSGTC YP_002973919.1 MITVFGSINMDLIATTERLPKPGETVAGNGFATAAGGKGANQAL AARRAGRYVHMAGAVGKDAFAAEALALLDDAGTDLSLIKHVDGPTGTALILVGGDGEN MIAVVPGANGQVTPADAETAIGRMDEGDVLMLQLEVPAAAVEGALSAARAKGVTSILN LAPLIPDAPRLGRLADIVIANETEFERLAGQEGMDAQAREAALVRLHAETGQTLIVTL GADGVIAIRDGSISRAQGLKIQPVDTVGAGDTFCGYFAASLDDGLDFVSALRRAAVAG SLACLKAGAQPSIPLSEEVADRI YP_002973920.1 MTVHSESLALSLASISPAMLALVGGGLAVLVLLVIVLLLRGSGL RREQAEEANFRAEENEARMGELLKIQAEMQGRIAAMTEVFGARQSELNQTISQRLDGM SQRVSSTITEQTKSTHENLQRLQERLAVIDAAQNNIQTLAKDVVGLQAILSNKQTRGA FGQSRMETIVADGLPMGAYAFQQTLSNGSRPDCTIRMPNGAPPLVIDAKFPLEAWNAI RDAGSPEAGKIAGQQFRRDMEVHIRDISEKYLIQGETQDTAFLFVPSESIFAEIHEHF EPVVQKAHRARIVIVSPSLLMLSIQVIQAVLKDQRMRAQAHLIQGEVAILMDDLSRLD ERVRKLQGHFAMAQKDIDMVVTSADKLTRRGARIEALEFEAGGDAKPARDSEAAAKSV ESRTGLLKLRVVDEE YP_002973921.1 MTAQQTDNALRQRLDFIELDEAARKSMRDLRPVISELIGGALDK FYAKIAKTPAVADKSQLGHAKKRQQDHWVNLTGGTFDESYVDGVTAVGRMHERMGLEP RWSIGGYAIVMSELVKGIMEKQWPSIFARQQGKLLAEKLSAVVKSGMLDMDYSISVYL ETLEAKRRALEEQRAEAQSDQAIALEQLRRGLEALSNGDLEATLPSDLPGNFRQMAED YNRAVSALRQSFASVRETSGHIKTGADVISNATNDLALRTAQQAAGVEESSAALQQLS VSVGQTAANAEKASDAVRETQQKAKNSGELVTSAVSAMAGIEKSSTGISKIIGVIDEI AFQTNLLALNAGVEAARAGDAGKGFAVVAQEVRQLAQRTVEAAKEIKNLISQSSTQVN QGVGIVSSTGEALNDMISRIDIINRFVADIAAAARDQATGVNEVSLAVRNMGAITQQN SDMVEHSSAETRRLKDEVETLIELLQHFRARPEGRSAGAARRAA YP_002973922.1 MTIKPLIILPDPVLRQLSKPIERVDSDLQRLADDMLETMYDAPG IGLAAIQIGVPRRMLVIDIAREGEEKQPQVFINPEVVKSSDERSVYEEGCLSIPDYYA EVERPAVVSVKYLDRNGKEQTVEADGLLATCLQHEIDHLNGVLFIDYISRLKREMVIK KFTKAAKSKAL YP_002973923.1 MGDLLIRDVPDAMKRQLQESAQRNGRSLSEEAIEIMRRQIAVER SRDSAGQRLRSLMSEERLSEDEVEAIAASRHERDREPPRFDT YP_002973924.1 MIVLDTNVISEMQGRIHSDRILNWLDAYDVETLFLTTIAVAEMR YGLELLDDGRRKTALVSDFNRIESEFAGRILGFALSAAHRYGLLAAERRKAGRPMETK DAMIAAICLANGATLATRNTRDFEGLDLKLVNPFEDG YP_002973925.1 MSLRIIFMGTPEFSVPTLRLLVDAGHRIVAVYTQPPRPGGRRGL DLQKSPVHQAAELLGLPVFTPVNFKDPEERERFRGLNADVGVVVAYGLLLPEAILNGT RDGCYNGHASLLPRWRGAAPIQRAIMAGDAKTGMMVMKMDKGLDTGAVALTREVEIGP NMTAGELHDRLMLVGAKAMAEAMVKLEMNDLPLTPQPEDGVLYAAKIDKAETRIDFSR NAGDVHNHIRGLAPFPGAWFELEIGGKPERVKVLASELAEGQGAAGLLLTDDLVIACG SGALRLTRLQKAGGKPLAAADFLRGTPLAAGTRLT YP_002973926.1 MPRFRMTVEYDGGPYVGWQRQENGHSVQGAIEAAVLSLTGETVL VRGAGRTDSGVHAMGQVIHADLSKEWSPYQLQNALNAHLRLAGERVSILEVEAAPEFF DARFSALRRHYLYRIVSRRAPLALEAGKAWWVPKVLDHEVMHAAAQRLVGKHDFSTFR AAHCQANSPVRTLDRLDVTRNGELIEIRATAQSFLHNQIRSFAGTLKLAGEGKWTPDD VEAALEARDRKACGPVAPPDGLYFMQVDYPDVIPDRRRPVTDTDANDGDADDPS YP_002973927.1 MPGFREVQYYLAGLWLLIRLDPRGFRYLDMSERGVNRSFWAMLW CLPPMGISWLWWRQAFLRSMPPEADVDFPFYIRLGLVEVANWFVPLVFAGVLLLAFRM GERFAPVVVSVNWLGVPLSYINGLLLALVFFLPGSIGLVSLLLLAFMLAQVFVLARII RMICHGHALMTGALTLVLLVPSMILSEYLQHFLGIYPV YP_002973928.1 MTATDPVANLQTLIRCPSVTPAEGGALTALEAMLAPLGFALDRV KASEEGTPEIENLYARLGKDGPHLMFAGHTDVVPVGDEAAWTHPPFEAQISKGELFGR GAVDMKGGIACFVAAVARHIEKNGPPNGSISFLITGDEEGPAINGTIKLLQWAAERGE RWDACLVGEPTNPDRLGDMIKIGRRGSLSGKITVHGVQGHAAYPHLADNPVRGMLQLT QALMDPPFDAGTGDFQPSNLEVTTVDVGNPATNVIPAKASASFNIRFNDSWTVETLRA EILRRLEAAAGNGALRPGRDPVAYDIVWADRPSHVFLTRNNALIASLSSAVESVAGQS PRLSTTGGTSDARFIKDYCPVVEFGLVGQTMHMVDERVAVADLETLTAIYETFIARWF ANAGL YP_002973929.1 MSATDLASLEKIIESAFDNRDNVNTSTKGEVRDAVEAALDLLDA GKARVAERSADGAWTVNQWLKKAVLLSFRLNDMDVVEGGSGNSTWWDKVPSKFENWGE NHFRAAGFRAVPNCVVRRSAYIAPNAILMPSFVNLGAYVGEGTMVDTWATVGSCAQIG KHVHLSGGVGIGGVLEPMQAGPTIIEDNCFIGARSEVVEGCIIREGSVLGMGVFIGKS TKIVDRATGEVSYGEVPPYSVVVAGSMPSGNATMGNGQPAPHLYCAVIVKRVDEKTRS KTGINELLRD YP_002973930.1 MAKGRNGGSRRKDGVWDPLKSSSTDKQRAEAVPKTPQTMSPAYR LAYVDQDFLCREELRPIRLQLELLKTEMMLTERGIKSTVVMFGGARIPAPGQSAWAAR NDIQRVNLEAASVYYDEARKFARLCSKYSATFNFHEYVIVTGGGPGVMEAGNRGAADE GAPSIGLNIVLPHEQAPNAYVTPELSFNFHYFAIRKMHFMVRAKAIAVFPGGFGTLDE FFECLTLIQTGRMERLPLILFGETFWRSIINFEALAEFGTIAPDDVKLISFVDTAEAA WKIVQDFYEHRE YP_002973931.1 MYRNKLILAALSSTLIFGAAAGAGYAAPGDGPRQHPGRHGPMHG PGPAAFREITYVRMLKQFDTNKDGQISKDEATAGIDKIFAAIDTNKDGSLTPGEIGAY QKAQMQAMRDQRKQDASNNKDAKTADATPDNNDQGRPPQGGHEGRDGHRWMRHGGNIM RASIMMHRVDTDQNGRISKQEAEAGMDKLFTRMDRNKDGVISIDDMPDRPLL YP_002973932.1 MTKIDRTPDKADFEHVTDWVFDLDNTLYPHHVNLFAQIDKNMTA YVAALLQMEREEARKLQKQYYLEHGTTLQGLMIHHGIDPNDFLEKAHAIDYTALTPQP ELGEAIKALPGRKFIFTNGSVKHAEMTAEALGILEHFDDIFDIVAADYVPKPAQATYD KFMALKRVETTKAAMFEDLPRNLTVPKALGMQTVLLVPRNLEETVVEWWEKTSGDEDH IDFVTDDLAAFLGKITG YP_002973933.1 MLFERPNDDTLYDALIARSADYEGQAYVCVKTTGIFCRLTCPAR KPKRENTLFFDTIAACMHSGFRPCQRCRPLEQPGREPIVDELLAALDREPQLRWSEDE LVRRGHDPSTVRRAFKRGLGMTFHDIVRYRRLGEAARQLADGARVIDAQLEAGYDSPS GFRTAFQRLVGKAPALSQNRELLFADWFETPLGPMVAVADRTHLHLLEFHDRKALPTE LEALQKRVRSSVAIGRTPAIDQIEAEIRDYFEGRLTVFKTPLALGGTPFEKHVWAKLM DITAGQTRAYGDLAREMERPEVVRAVGRANGANQLAIIVPCHRILGADGSLTGYGGGL WRKQWLLRHEEKIRAQAPNVEETA YP_002973934.1 MNQSEKAKAFGALHRKGDPVVLYNIWDAGTAKAVTDAGAKALAT GSWSVAAAHGYADGEKLPMSVLVETAKSITAVVNLPLSVDFEGAYSAEPEGAAANVAK LVEVGAIGINFEDQVVGGGGLYPAERQAARIRAIRAMAEGEGIPFFINARTDLFLAES DLSKHAGLVEEAIERGKAYAAAGGSGFFVPGLIDPALIEKICAASPLPVNIMMRTGAP DVKTLAKLGVGRISYGPGPYRSMMEKLKQEAAAIYSPL YP_002973935.1 MRLTDCYNFHDFRRMAKRRLPGPIFDYIDGGADDEVTYRRNTAA FEACDLVPDVLRGVADVDMSVTVMGQKLAMPVYCSPTALQRLFHHQGERAVAAAAAKH GTMFGVSSLGTISLEEARQISNGPQVYQFYFHKDRGLNREMMARAKNAGVQAMMLTVD SITGGNRERDKRTGFAIPFKLNLAGMTQFAIKPSWAIDWLTHERFRLPQLENHVKMDG GALSISRYFTEMLDPSMSWDDVAEMVREWGGPFCLKGIMSVEDAKRAAEIGCSGIVLS NHGGRQLDGSRSAFDQLAEIVDAVGDRVDVMMDGGVQRGTHVLKALSLGAKAVGLGRY YLFPLAAAGQPGVERALETMRTEIERGMKLMGCTSVSQLSRRNLRFRS YP_002973936.1 MNETESEMQARLLAKALPFMQRYENKTIVVKYGGHAMGNPELGK AFASDIALLKQSGVNPIVVHGGGPQIGAMLSKMGIESKFEGGLRVTDQKTVEIVEMVL AGSINKEIVALINQTGEWAIGLCGKDGNMVFAEKARKTIKDPDSNIERVLDLGFVGEV VEVDRTLLDLLARSEMIPVIAPVAPGRDGATYNINADTFAGAIAGALNATRLLFLTDV PGVLDKNGQLIKELSVAEAHALIADGTISGGMIPKVETCIDAIKAGVQGVVILNGKTA HSVLLEIFTEHGVGTLIVP YP_002973937.1 MQGDDIADLIGRVALGDRRAFVALYNQTGPKLFSICLRILKDRT EAEEALQEAYISIWQRARSFSVASGSSSAWLAAIARNRSIDALRARKPVADELDTVYD LADAGADPEMQTVTKDEGRRIDTCMEELEADRAVAVKRAYVEGLSYQELADQFGIPLN TMRTWLRRSLLKLRECMER YP_002973938.1 MTSPDKSKGDRSRDEVLAGEYVLGVLSLQDRRVVEERMRHDRPF AAIVSRWETNLSAFNDEYEGVAPNRETFKQIEARLFGDGQKPPSFSQGLWNSAVFWRS LSFACIVVAVSAVIFASGVVPEPQGPAPLVASLSGQNSTINLLASYELQSGRLKIVPV AAGKPEEKSLELWLVPGSGMTRSLGVFQPGESGELVIPADLRSQVADGATLAVSLEPF GGSPTGQATGPVIASGPLHRP YP_002973939.1 MIKSVLRGVALATAMSAVAFAAAKNPVVGGAAMFDSKNIIENAV NSKDHTTLVAAVKAAGLVGTLEGKGPFTVFAPTNEAFAALPKGTVDTLLKPENKATLT KVLTCHVVAADAMAKTVAKMIKDDGGEHDIKTVGGCVLKAKENMGKITLTDENGGVSH VTIADVKQSNGVIHVVDKVLLPKM YP_002973940.1 MTDSKDQPISPEGVGNLPHLAEALDDDRFRHFLDHVPFAVAVSE LGGNEPLIYVNLEFERLTALGATEVQGKPWPDIELNSTAVSGEVPLTAAVTSAEEYIG AFSLVAEPESTVIIDVWSNTIVDDDDTPLFRLVAFAARSEATAAESFSELLTEKDVLL RELQHRVKNNLQMITALIRMEARNAQQNEESERFARLAGRIEALALLYRSLSDEEKGA TVDLGTYVSQIAASVMAAHAVEGIRLDMKVDTWPVSVDVAMPAGLVINELLTNTLKHA FVGRDGGEITLRCVVSETGCRITVADNGVGLPQDVTWPQPGKLSAMIVQSLKQNARAE VEVSSSPDTGMSVSLVFPRAEAAQ YP_002973941.1 MAETPQELQSINTAWQIAIQEILRMVIRDMYHGGGEASFKTHIK RIEEAAVDSIYTDLRLRGTDEWTEVLVKERASNFVTTLLTSFTYDRA YP_002973942.1 MTETYSKSRQRAEIAFGNVQTQFFAKNQAAEELESDAQSQQAKT LRLREARLAREQSDRVSATSALIAKRARAR YP_002973943.1 MATGTVKWFNSTKGFGFIQPDNGGDDAFVHISAVERAGMRELVE GQKIGFDLERDNKSGKMSACNLQNA YP_002973944.1 MPETEKPLFGHPWIFIRGVPSLNFLPPEGPLEVAFAGRSNVGKS SLINALVGQKGLARTSNTPGRTQELNYFVPDGYSGEGQDLPPTAIVDMPGYGYAQAPK EQVDKWTKLVFDYLRGRATLKRVYVLIDSRHGIKKNDDDVLDLLDKAAVSYQIVLTKT DKIKAAGVPKLLAETAEKIRKRPAAYPGVLSTSSEKGDGLDELRQAIAETVGIARWK YP_002973945.1 MENNRNYFIAIALSVLIVLGWQFLYMNPRIEAQRKVQEAQKAQQ QTEQVQQPAAGGQTPAQPSGAAPTSQAAATATLEQALAKSPRVVIDTAALSGSINLAG ARLDDLKLKGYHETVDDSSPIITLFSPAETKDGYFTELGYIGSDATGSVPGPSTLWTA PEGAKLTEKTPVTLSYTNDKGLTFTRTISVDERYMFTVADKIENAGQAPASLNSYGRV TRYNKPTTPSVYVLHEGFIGVIGDDGLVETKYTAAEKEAVKPAKSTGGWLGITDKYWA ATIVPPQSAPYEASFSHFADGQPRYQADYKEDAFTVAPGQSIELKNLVFAGAKEVPVI DGYEASYSIPKFDRLIDWGWFYFITKPMFKLMDFFFRYFGNFGVAILCTTIVVKLLFF PLASKQYASMANMKRMQPKMEELKAKFADDRMGLQQATMQLYKEEKINPIAGCWPVAL QIPIFFSLYKVIYITIEMRHAPFFGWIKDLSAPDPTTIVNLFGLLPFEAPTILHLGVW PLIMGVTMFLQMRMNPTPPDPTQAMIFTWMPLVFMFMLASFPAGLVIYWAWNNTLSVA QQSVIMKRHGVKVELFDNLKGLFRRKTAPSK YP_002973946.1 MAGELTISEKKHTVGRLKSRPQFLAAREGEKRRGGLFLLEVLDR KEPDSQARVGFTVTKKHGNAVERNRMRRRLKEAVRLHAGFAMQPGHDYVVVARRDVLD ASFQELAAELKSRVETRPKHRRSGDGRPRNV YP_002973947.1 MKRTYQPSKLVRKRRHGFRARMSTKGGRKVIAARRAQGRNRLSA YP_002973948.1 MKIRGKINLLVCVMGAVALLIGATALSAMHEYSRNLTAYEHAAA RAYAGERLNRFVTAVVMEARGIYAAKTIKDTPNFAKGLMADLDEIDKVISGWAPLVPE SQKADFAKLVARAAEFRTFRTETVRLGTEVGPEAAGQQGNNDANRANRKAFQAEIDAV VATDKAALETVNAEIESFRSWVLMLVLSITGIGIAVGVGMGFYIGTSHLSRPIKRVTH AIKEVADGNFDAEVPFAGRPDEIGEMAAAVAVFKANGLAIKRLNAQEAAMRAKSDDLQ SSMSVVVAAAAAGDFGHRISKDYEDDNLNQFAGNINMLLSSVDAGIGETRRVIASLAE GDLTQTMSGNFQGAFAELQQNVNNTFVTLQATMREVRETTEAMNGNTAELRNASDDLS KRTEQQAAALEETSAALDEITAVVQNSTERAHEATIMVSEAKENAGRSGVVVRNAVEA MGRIEQASREISQIINVIDEIAFQTNLLALNAGVEAARAGDAGKGFAVVAQEVRELAQ RSATAAKDIKALITKSGNEVQVGVKLVQATGEALAEIGTRVIAINDHIHSIATAATEQ STGLKEVNTAVNQMDQVTQQNAAMVEETSAATHRLSAEAGGLVRLIARFKLSDDAPAP AALVRNEPHRPVASPARRAIAKVARAFGGNAAAAEQSWEEF YP_002973949.1 MPIQDQGDNPSAESPAAGEAARAPCPSAGLFGGLSGKLLWLTVF FIMLAEILIFFPSVASMRVRWLQDRLNTAAAAAIVIDGLQPVELPRALQKETLEATGT KAIVLRKDGTSRLLATTDMPTSVDEAYDLTDVPPVTAIRDALDTLVFGGGRMIRVYGP VGDTNTGVEVVMKDRPLRRAMFVYSRNVLLLSVLISLFTATLIFFAINRILIGPIRRL TGSMQQFSSDPDNPAHIYIGDGGRDELAVAGRNLTSMQMELQKTLKQQKNLAALGLAV SKINHDMRNILASAQLMSDRLVDVDDPMVKSFAPKLLRTIDRAVGYTTEVLSYGKASE SAPRRRHIRLLELTQDVKDMLAIDPQSGIEFAEQIGAELEVDADGEQLFRVIHNLCRN ALQALTSPGEGGPGSVRRITVSAQRVGSVVSITVDDTGPGMPLKARQNLFAAFRGSAR SGGTGLGLTIARELVLAHGGTIALVEKPTVGTQFRIEIPDRPVSLEDYRSRAHIEK YP_002973950.1 MAFVLNTFGRLQLVDGEGSLVAFPEKGLLLLVYLLTTGEGSADR TTLARFLWGDADRDVALSTLRKLISRVKARQAELGINILSSQGNMVSLDRKSLSSDLL LSETDEAVASFSLLKHLVKLLNQPFLGPVHCHSREFQQWLAEREKCHIDLLANTLKTV SRRAQSRAESELLRKAAIILFRTEPKDPDTLQLLIEIFKAEEEVESLRTYFEQRRNSI SRGIAVRGASDGADTKPVRPALVPSREKHVTAASLEPEDVSIAIPRLVLLPPRNQSIH PQAGFLAASLVEDITIGFCAFNSLQVIAPYSAVQIGHHMETQKAFFERHHVNYILDTR ISNAGDDVTLFAQLIFFDQNQIVWAERFSLDHRDLVKDRRTVSRRIALSISSEIERHE ALREDLNPAAYHRYLVGRRHLARLTLPNLRRARKEMKAALSLSPDFAPALSSMARTYS KEWLLTARGDIDLLKTAEILAKQATETRPDFADGYREFGVAKLLQGAFDESAEAMEVA ESLAPHYADVIADYADTLVHCSLPAIALRKIERAIELNPLSPDTYFWTAAGANYALGE FEASLDYIGQMADASLADRLAAASWAMLGHQDKARIFVRRFREVNPDFDVDKWLSAVP SKEQWHKDLYREGLKKAGF YP_002973951.1 MARVVVISVEGDDDLWVADLDAGTVVPLPSPKSGGLKAVTDLRA TGAIVTKGVNLAVVVKSADAALSGHYDG YP_002973952.1 MQTIWKKPVTLALEGPDQWVVIQTTQAATWALVEDWPTEEGPAL DRACAVCADVMSGKRNREEARQAFIDAAIEAGIPIKE YP_002973953.1 MHNAKFIRHAAVSACVAFAAFQAGQVLADSANDKFFDAALCKPP YTMTSAMKVYDVVEALAKPDTSSLGAAIYKLPNQIGRDGFKSTEIFFANNAVGVLVEG ERADDLAVKYGLKPETSDLLGTSTKGYSRELPADLQPEPGMAGPGKVSIVARQGNALP GKTLLACEFVQEF YP_002973954.1 MIAAYIDEIIMFCAGLWMTSVGFGYLPYPGKPASRPPLIRHFKW MGPLLLAIAVVLAAAS YP_002973955.1 MTDMLACPSCGLDKTESIVHRGFYILRCAACGEAIVATSFMAMR DSDHLCSAFIDPGPGKPPPPEMLVARGPLRQIATAISAAANDGTLIRLISEVKD YP_002973956.1 MNLGGRSAMRISCMGEKSVAVCVRRLLALISIVLGSAVQAFSCD QPIVISNWSFCALANVSEEGGDADWKVVPAWTREKAISAYFGNDPRLLANHGLCNAKF HHVVLCQPGWQTGNPDECSYLVCSGYYSSLVGKEEREDYLRDHPTAQ YP_002973957.1 MAEFNPRDKSRTSQGKTRAMVRRHTAPPSGMDDGSLRWQWFTIQ LLESAAFTTLSANACRAFFRIVIEHTSHAALENGKLVVTHPQFVSYGVTGEYVADAID ELEYKGLIKVRRGRAGSGVAHPNRFTLTFVGDHEGAPPTDEWKRCTAERCRKWSETDR KIAADKRGRVGRKKKTPLRNPEIPPLRDSEIRRAS YP_002973958.1 MASPWVNDALSHAQSAFSGNSNTDILEVGGGSRTHIPLKGARYT VVDISKEQLEKNRDAAERILGDAQTIDYGDRHFDACVFWDVLEHLESPRSALLRAHDT LSPGGLVFVKGPILNSAKGIFTDLTPWWTHVLFYRYVLRQKNAGKPGYAPFRVEHAAE ASHSEIAGTLKDLGMDVVFVGEYVSTQVHALKDRIPPLYWLYEAFGLLLRTTSAGKIG GRETEFLIVAKCLR YP_002973959.1 MTVGIFRALAALAMMTALGGCIDHANDPVLLAVGVPVNPPVVAH GICMTDGNAMYDEARKQYQLRAQLTGYAGADELEAETIARAAAHRQYVACLSGQGYRT LYAN YP_002973960.1 MKMLRLAPSTVALSALLALTSIIPAQAAPAQAVRPPAVSGVKMV QYKPHPGSWHGYQGFRTERPGTRRHSDGYWYPLAAFGVEAGTTGSIVRPPVNRPAAPE MCNPTFSGSIGPGSMPCDNGY YP_002973961.1 MTTTFKNGLMAAAFGTILGLSAFSATAAPLQSSATEQGATTRPV AQQETKVEHDRRTTGSIGERASETTGSTHYMMNPKKPLNQDCKLGFNPTSSSSCNY YP_002973962.1 MTAKAACSDFLHFFRSWISNPLRVAAIAPSGDSLARIMTSEIAA LDGPIIELGPGTGVFTRALLARGVSEADLTLIEYGPEFITSLQARFPTARVLQMDAAH LAHADIFEGEPVGAVVSGLPLLSMSPRKIASIMAGAFAYMRPGGAIYQFTYGPRCPVP RPILDRLGLKAVRIGGTVRNLPPASVYRISRRKPLELSRERISYRQSETEIDDVAAFS NETGG YP_002973963.1 MAENKRTETTEGRRERKRRQTRERIEHAAMSLFLQRGFEGTTIE DITEAADVSKRSFFDYFPSKEEVVFAWQDAFADRLMAAVAARPAAESSVAAVEAAITA TVIAAVDEPGLALGELIHRTPALKARDQLKYARLEQKLAEALLLRKGGDPLERPRMRV LAAVVIGALRVGAELWQQRPPGASLEDFAREIFADLWKMLAEFGDEAKTRL YP_002973964.1 MSNYNAAKSGTFKIGGNIEINRLGFGAMRVTGKGIWGEPSDHAE SIRTLKRLPELGVNFIDTADSYGPDVSEWLIKEALHPYGGKSVIATKGGLTRHGPDIW LPVGRPEYLIQQAHKSLRNLGVEQIDLWQLHRIDQKVPAKEQFDAIKSLLDTGLIRHA GLSEVSVADIEAASKHFKVATVQNRYNLVDRTSEDVLDYCTKHNIGFIPWYPLAAGDL AKPGSLLDTIAKKHNAAPSRIALAWVLKRSPVMLPIPGTSKVKHLEENVAAVDIALSD EEFSALDAEGSKVFKAA YP_002973965.1 MIGKRGGLPAALTGLLIATMALAGCGGRPVGVMQAAGTAAPGTS KVDLLVATTRAADDNPAVLFSGERGTGLAVNAVDVSIPPEANRKVGQVQWPSRLPADP LRDFVTVSVDPLEGERAGETWLKSHMPKSRRVLVFVHGFNNRYEDAVYRFAQIVHDSH ADVAPVVFTWPSRGSIFDYNYDKESTNYSRDALEELLTRTAANPAVSDVTIMAHSMGT WLTVEALRQMAIRNGHVASKINNVILASPDLDVDVFGRQFASLGKERPHFTIFVSQDD RALALSRRISGNVDRLGQIDPSVEPYRSKLEAAGITVLDLTKLKGGDRLNHGKFAESP EVVKLIGDRLIAGQTITDSNVGLGEAVGAVAMGAAQTAGSAVSVAVSTPIAIFDPRTR RNYDAQLKRLGQSMNNTVGSVGDSVGAGLPASQ YP_002973966.1 MADETKKTVAVVGAGVIGASIAFELQRRGFDVTLIDKGEPGRGT SFGNMASIALDFAAGSGPSTWKKIPGWLLDPEGPVWLRPSYAARMLPWFLRFLAAGRP SRLREIEDAGMRLSNRALGDFRQMLQAIGAPELMTEEGCLAIYETEAEFAADRGHLAM MQRYGLEFEVLSNGAIQHYEPTLSPAIAKAVLLPDNKSIRDPYKLVVKLADAAKAAGT TFVSGTVRNIERRGDGTAVVLLEDGRRIEAGSVVLAAGVHTRFLAEKLGEPIPLETER GYHTQIMKPGIAMRYSVIWPHRAFMVTPTAGGIRVGGNVELAGLDAAPDFRRPRVLVR HAQRALPGLKVEETTEWMGHRPALPDTIPIISPSSKLPGVFYATGHGHLGLTFSATTA LVIADMVTGLKPSLDMTPFRIDRY YP_002973967.1 MSDTAKPVALITGGGRGMGEAIARELSAQGYRLALMSPSESCEK LAAELGGVASRGVAEKAEDLKAIFDLTMKTYGRIDAVVNLSGHPPKGDLLDISDENWT LGSDMMILSLVRMARLVTPVMLKQGKGAFVNITTFAAYEPTLVFPVSCTYRAAAGAFT KLYSDRYAADNIRMNCILPGYIDSLNHKPETAEKVPMKRIGHVEEIAKTAAFLLSDGA GYITGQNIRVDGGVTRHV YP_002973968.1 MRWKRTIQLLDVHAEGEIGRVAIGGVPKIPGETIAAQLHWLNTD PKGDELRRFLCLEPRGAPIGSVNLLLPARHPEADAAFIILQPDQAHASSGSNSICVTT ALLESGIVEMKEPETIVTLETAAGLVKATATCRDGRCEKVKLTMVPSFVHELDVAIDT PHWGRIKADISYGGIFYALVDVGQIGLTIEKANAAGLVQAGMILKELINRDIKVVHPE IPAISGVAYVMFRDTEADGTVRTCTTMWPGRADRSPCGTGNSANLATLYARGKAKVGD TFTSKSIIGSEFEVGLQAVTEVAGRPAVIPTITGRGFTFGLTQVALDPFDPHPNGFAL TDVWGPSAGEI YP_002973969.1 MKIRPEVLDHWPEVRERLPAGFDLEATARLRGAFTRVREIKNAE TLLRLALAYGGLGMSLRETCAWAEAGGIARLSDPSLLERLCKAAPWLGDIVAALIAEQ AKVPTGRFAGYRLRVLDGTSICHPGADRTTWRLHVGYDLATAQVDQLELTDIHGAENL QRLTYAPGDIVLADRYYARPRDLRPVIDAGADFIVRTGWNSLRLLQTNGEPFDLFAAL AAQQEQEGEVQVRVHEGMTGTPPPPPLALRLIVRRKDPQQAQAEQERLLKAARKHGKK PDPRSLEAAKYILLLTSLPATTFPPADILTLYRFRWQIELAFKRFKSLAGLDSLPAKK PELARAWLYARLIVAIIAEQIAGQVPDSPPSGCGNPTG YP_002973970.1 MQKSDETSNVDETPTRGRGRPRAFDREAALAQATRLFWIKGFEA TSIADLTEAMGIGSPSLYAAFGSKEALYAEALRHYRDNNEALVWGGFFSAGTAREAVR SFLMDSAAALTGCVVDIPRGCMVALSSVGSEGHVELGELVRAARAVTLDRLKLRLNQA ISEGEIPVSTDVHALARFVQTVQNGMSILARDGATRGELEAVAELAMLGWDTRTGDSE RRQTYADHA YP_002973971.1 MTELAGKRALVTGGSRGIGAAIALALADKGADVAITYERSADRA AEVVRAIEGKGRKALAIQADSADPAAVKRSVDEAAQALGGLDILVNNAAIALYGAIAD VSVEQIDALLDVNVRSPLLASQAAIPYLQAGGRVITIGSVGAERIVGDTGTVYYMTKS ALHSFTRGLARELGSRDITVNLVQPGSTDTDMNPADGDFADFQRALIPLGRYGEPEDV AAAVAFLASPAARHITGTILTVDGGLNT YP_002973972.1 MPRSQPTRPQIEIVAWLAIFLLLFCLSWLFQWHEGLDEFIEKHH DYPLDHALLALNISGFLGLIYSALRIRDLSREVHRRLQAEKNVDWIACHDTLTELPNR RFLDSICAQAMSDQRAQETYAVFSIDLDGFKKVNDLLGHDHGDAVLKTVAQRLSSLFP RERVFRLGGDEFVVLARRTGNPDLVALGNRIVTVIGKPFTFNGVAVDLGASVGFALFP ENGDDLGQVIRHSDCAMYVAKKQGRNLVKPFVPSMQDELAKRVRLEADLRAAIKKAEI VPYYQPLVDLKTNRIIGFEALARWKTASGEFIPPNEFIPVAEEAGLIVELTDQLLRHA CADALSWPNELVLSFNLSPIQLSDRLLGLRILKILGDVGLPAHRVELEVTESAIIQDA VTARIVLDDLVNAGVRIALDDFGTGYSSLSQLSNYRFDKIKIDRSFVSSFETNDKQDK VIKAIIALGAGLGVTITAEGIEEESQLQRLQDLGCDIGQGYLLGRPAPAAELATDHVS TRILQRG YP_002973973.1 MAPDMSTVPRRSTTGLRKFLDPEQQRDWVEGEADLIDAEERSES LEQRFKYVARYEKLLRRPQAQDVLEILRVYGQTCIPIPRKTERHYWSVSCLPSTSDKP LIRVNASWMELFTLYADGEGLRARFLVHLSHFTTDHSPAQGDVDEPFLEDCVTTPEDV GYFFPRGEDIFGITVRGSASIRKFLAERRILRAIRTFNVTHMNRGRNAYQASHCYSLA DTMLAD YP_002973974.1 MLKGLDPLLSPELLLTLRAMGHGDEIAIVDGNYPGVEHARRLIR LDGHHLIPVLNAVLSVLPIDDFVAEAIFRSTVKAERDKLDPVHEEMIDCCARHEPHRQ VVPLIGQDFYGRVKTAHALIQTGEPRLYANIILRKGVIYPKEPGTHAAAGVDPFVY YP_002973975.1 MSTLDGPEHTPVPPPILNPAGGANQVRVRAYNERLVLSLVRLYG TLSKADIARRSGLSAQTVSVIMRVLEKEGLLSRGEPVRGRVGQPSIPMHINPDAVYSF GLKMGRRSADLVLMDFVGRIRMQLHRTYAYPLPDEILAFVTSGIRELEDRLDDKQRSR IAGLGIAAPFELWNWAEEVGAPPGAMEVWRDVDLQADIAARVSHPVFMQNDATSACGA ELVFGVGPSYPDFVYFFIGSFIGGGIVLNSAIFSGRTGTAGAIGPLPVRGKNGETMQL LEIASIFVLENMLRERGIDPEPLWYSADGWVDFGEPMEAWIQDSAKALAQAIVAAASI VDFSAAVIDGGFPDWVRSRVVQATIDEAAKLDLQGVVMPEIIEGAVGAQARAIGGASL PIFARYLTDQNVLFKEVDHAERT YP_002973976.1 MKKSVLAFGALALGVTFSAPVMAADVAACLITKTDTNPFFVKMK EGATAKAKELGVSLKSYAGKVDGDSESQVAAIESCIADGAKGILIAASDTKGIVSSVK KARDAGLLVIALDTPLEPADAADATFATDNLLAGKLIGQWAKETMGDKAKDAKVGFLD LTPSQPTVDVLRDQGFMMGFGIDPKDPNKIGDEDDARIVGHDVTNGNEEGGRKAMENL LQKDPSINVIHTINEPAAVGAYQALKAVGMEKNVLIVSVDGGCPGVKSVKEGVIGATS QQYPLMMAALGVEAIKKFADSGEKPKPTEGKSFYDTGVSLVTDKPVSGVKSIDTKEGT DKCWG YP_002973977.1 MTGTQEFERVLDGSDKSVASFEHEKVSLIKRAQHFLHSTPAAVP LIVLVLAIVIFGITIGGRFFSSYTLTLILQQIAIVGILGAAQTLVILTAGIDLSIGVI MVISAVIMGNVAITYGIPTPIAVAAGMLVGGLCGLLNGFLVAYMKLPPFIVTLGTWNI VMATNFIYSANETIRDTDVDEKAPLLHLFAVSFKLGSAVLTLGVIAMVLLVLVLWYVL NHTAWGRHVYAVGDDPEAAKLSGIQTKKVLLTVYAISGVIAGLAAWVSIGRNGSISPS SAVTDFNLQAITATVIGGISLFGGRGSILGTLFGAMIVGVVSMGLNMLGADPQWKVLL TGVLIIAAVAIDQWIRKVSV YP_002973978.1 MAREPLLTARGLVKRYGRVTALDNADFDLYPGEILAVIGDNGAG KSSLIKAISGAVTPDEGVITLEGRQVQFRSPMEARDAGIETVYQNLALSPALSIADNM FLGREIRKPGVLGSMFRMLDRPAMEKLARNKLSELGLMTIQNINQAVETLSGGQRQGV AVARAAAFGSKVIIMDEPTAALGVKESRRVLELILDVRARGLPIVLISHNMPHVFEVA DRIHIHRLGRRLTVIDPKEYTMSDAVAFMTGAKAVPTEPVAA YP_002973979.1 MNARIDEIAGEVLDRAGHSKRFLVAIAGPPGAGKSTMADNLAEA LKAKGESAEVLPMDGFHMDNAILIERGLLARKGIPETFDVRGFLDIIRAVRLADQEVL VPVFDRSRELAIASARPVSPDHRFIIVEGNYLLFSLGKWAELEGVFDFSIMLAPPIEV LEERLWARWRGYNLTEEAANAKVYGNDLPNGRLILENRRPADVTLEIALA YP_002973980.1 MQSITIRRPDDWHLHLRDGAMLEGVIADTSRTFARAIIMPNLVP PVVTSADAKAYRERILKALPDGHRFQPLMTLYLTEHTSPDDVEEGKNSGLITAVKLYP AGATTNSHGGVRDMEKAMPVLERMAKIGLPLCVHGEVTTPEVDIFDREAVFIETVLDP LRQRLPELKVTMEHVTTSDGVDYIKTAKGNLAGSITTHHLIINRNAILVGGIRPHYYC LPVAKRENHRLALRAAAVSGDARFFLGTDSAPHVDPLKECACGCAGIYTSVNTMSCLA HVFEQEGALERLEAFVSLNGPAWYGLQPNEERITLARQADPVVFPARIETGAGPVTVF DPMFPLHWQVVQQA YP_002973981.1 MMLDYNSLLLALGVSAACLAVTLMGSWLVRRSETVLLTATVGLV LVVSGIFVYSAYVDTPETWLGVANFVLFHAGFATIWGAGKQFLTGRVSLPAIAIRALA AMVFSVVPMLSGYDGLAFIADNLAIALLLFATARQYWLARAEAPAPLLGITVLYTLTA ISFVLCAAVLISDGKLVLGKAPSNWAEDLSLAVCIAGMTGIGALSLALHQWRLAARHR LDAITDPLTGLLNRRALFDQYGTRPMGTTTAVIVFDIDHFKSVNDRFGHAAGDRVLNV FSGELSAHCRTGDTAARLGGEEFVLVLKEIMPGRAELTAERIRRAFEAREIHIDDEVL TCTVSVGVAPGRSKSLDFDTMLSAADKALYVAKRAGRNRVELASYLKAVPVEATRTAS YP_002973982.1 MRDFRDAKLMAKTLRQALADRDISLTHSETLEIVARQFGLDQWN ILSAKIDAAGANPSAIGIEPPMPIFRIFSVEKAMEFYCGFLGFHLDWEHRFGENFPLY CQVSRDGMALHLSEHSGDASPGAKAFVRVANIRAYHAELSGKDYRYMKPGVEQAPWGL EMTVIDPFSNRIAFCEQK YP_002973983.1 MHIQQSFMVGIDVSKAHLDVAVEGKRAVVRFDNNAPGCAALTAA VAGAELVVVEATGGYEMAIVRTLMAAGIAVAVVNPRQVRDFARASGRLAKTDQVDARV TLHFARAMRPAQIPHIDDGRIALAALVTRRRQLIDMAVAEKNRLEHAPEAVAALIGET LAALRAQLARVDAAIALAIEAEPDMAARRNLLLTVPGIGEVSAAVLIAELPELGAIDD KKLAALVGVAPIAHDSGTWRGQRHIAGGRATVRCALYMATLSAIRCNPAIKTFHKRLR DAGKPPKVAIVAAMRKLIIMINTILKRRTPWNQPQQHGC YP_002973984.1 MFTLHDYLPSQNGWKVRVLLGLLDMPYHSRIVSIFEGENRTETF LELNPVGAIPVLELDDGRAIAESNAILTFLAEGTRFLPSDHYLRAKVMQWLFFEQYHV EPVIGSLRFWTLTGRLERNQSMVAGKREAAARALAAIDRRLTDSLFLVGQQLTIADIA VYAYSHRAEDCGFSLAAYPTFSAWSGRVRDAIGADHPVYPYSMDPHSAG YP_002973985.1 MQIFLTLFLVAAAIGSGLVAGIFFAFSTFIMTAFSRIPAEQGIA AMNSINVTIVRSPFMGLFVPTAILCLVIAVLALIDWRGGASFLMLAGAALYIFASFLS TIIFNVPMNDALAKVGGNGPEAVQLWATYVRDWTRWNHVRTIASLLASVAFVRALMIV YP_002973986.1 MQTSEIVLVGGSGKTGSRIIKRLEARGLTVRAASRSSARPFDWE DRSTWRGALEGASSAYVAFQPDLSVAWAAEAIEALARVAVECGLEHIVLLSGRGEEGA QRSEAALKASGINTTILRASWFCQNFSEGAFVEQIIGGRLQLPAGEISEPFIDADDIA DAAVAALTDPGHRNKTYELTGPRALTFRQAVAEIAQAAGRPIEYEQVSMADFTGGLAD AGLPQGLIDLLEELFSQVLDGRNSGTMGGVAEILGRPATDFSECARRTAATGLWSA YP_002973987.1 MSEQPVATRRRQQESTGQLRRIPSQQRGRERFEKILTVAAELIE SHGSDGLKMSEIVEKAGLSFGALYQYFPDKTSIIRTLAERFNEEGRRCVEEELAKVTD AAALQGALANIVDEYYAFFRREPVMRDIWHATHTDKLLQQVDAVDMEFHAQAFLAVLI RLWPDRDRRELLVIARLTMQLLAAAVRYAVSLDAEEGAQAIALFKKMQIVDIGSLLQ YP_002973988.1 MIQTTFPDRAVMAELLAKMLWEIKAVHFNAAQPYKLSSGMASPV YIDCRKLLSFPRIRSTVMDFAASILLRDAGFEQFDCIAGGETAGIPFAALLADRLGLP MIYVRKQPKGHGRNAQIEGNMPEGSRVLVIEDLTTAGGSMFKFIDAVRAAGGIVDHGI ALFFYGIFGEQRFADGKVRLHHIATWRNVLAVAKEQKLFDDKTLSEVEAFLDAPLAWS GRNGGVSELSL YP_002973989.1 MILCCGEALIDMLPRDTTLGEKGFAPYAGGAIFNTAIALGRLGI PTAFFTGIADDMMGEILLETLKASNVDYSPCAITPHPSTIAFVKLVNGQATYAFYDEG TAGRMITTADLPDLGDDCEALHFGAISLIPSPCGETYEALLDREAARRVISLDPNIRP GFIKDKPSHMARIKRMAAKADIVKFSDEDLEWFGLQGDHDALAAHWLNHGAKLVVITK GAEGASGYTKERKVTVPSERVTVVDTVGAGDTFDAGILASLKMDNLLTKRQVASLDEQ ALRNALTLGAKAAAVTVSRAGANPPWAREIGL YP_002973990.1 MMQQARRPDLDEETFDDAVEGRSVSAAQRVFHALSSAPRRKILA YLSASGLTAGEIADRFSMSKPAVSQHLSILEAAGLIRREKQGQFVHYSLIENNLVNTL NGFVQEVCPVGRPIKKESQARTRGGLVPAKPAK YP_002973991.1 MSSISTGRMIDNSSDAVKGRVVWMPAKSIWITAMTLIAVIGGPL TFTWSAFAVFILLTAITICLGHSVGMHRLLIHRSFSTHTWIEHFLVYLGTVVGMAGPF GMIYAHDIRDWAQRQRDCHDLYAHRRSFFIDAFWQMHCIVALDHPPRFVLEERERRDR FYRFLEATWMAQQIPLGLALFALGGLPWVVWGIAVRISVSLTGHWLVGHFAHRDGHQG WSVDDVAVQGYNLPRFGLVTFGESFHGNHHAFPDSARLGIEPGQLDLGWYFIGLLARL GLASAIKLPHTIVPRRGLRRVNATETAGDSHPPHHAAGHAESRP YP_002973992.1 MSRLVVVSNRVPMPAKDGSAAAGGLAVALQAALQERGGIWMGWS GESSGDREPGPLSQLQKGNITYALTDLTDTDVEEYYRGFANRVLWPICHYRLDLAEYG RKEMAGYFRVNRFFAHRLAPMIEPDDIIWVHDYHLIPLAAELRQMGLKNRIGFFLHIP WPPADILVTMPVHEEIMRGLSHYDLVGFQTDYDLQNFAGYLRREGIGDDLGNGLFDSH GRIFKAGAYPIGIETAAFAEFAERAANNIMVQKTRRSVEGRDMIIGVDRLDYSKGIIQ RLEAFETFLTSNPAYQNKVTYLQVTPKSRSEVPEYEHMQGMVAEQAGRVNGAIGTVDW VPIRYVNRSISRNVLAGLYRLATIGLVTPLRDGMNLVAKEYVAAQDPDRPGVLVLSRF AGAARELKGALLVNPYDVEGTANAIAKGLAMSLEERRDRWSMMMEHLLTHDVSLWCEN FLGDLVLAPELRPERDSRIGS YP_002973993.1 MNAIVEQLKSTADATKATDIRAAFATDSQRFSRFSVALDDLLMD FSKTAVNDDILKLLVKLAEDGGVETKREEMFSGKAINFTEDRAVLHTALRNRSNTPVL VDGKDVMPDVNAVLAAMGKFADDVRSGTLKGATGKAITDVINIGIGGSDLGPVMATLA LAPFHDGPRAHFVSNIDGAHIADILKLVQPETTLFIVASKTFTTVETMTNAQTARNFI AKALGEAAVQHHFAAVSTALDKVAAFGIDSARVFGFWDWVGGRYSIWSAIGLPLMIAV GPENFGKFLDGAHAVDNHFRKAPITENLPVLLGLIGFYHRNVLGYPTRAILPYDQRLS RFPAYLQQLDMESNGKGVTIDGTPVEGNSGPVVWGEPGTNGQHAFYQLIHQGTSIIPA EFMIAANAFEPELRHQHQLLISNVLAQSEALMKGRTFAEAKKQLTDKGMDDKKADFIA PHRVFTGNRPSITFVYDKLTPYALGRLIALYEHRVFVEGVLFRINSFDQWGVELGKEL ATGLLPVVEGKESAAAHDSSTQGLVAALAKLAK YP_002973994.1 MNSISVHPNGAKPDKPWLAAYPDIVPAEIPPLEYVSLAELLEKS CARYADRTAFSSMGKGMRYRELESQTRKLAAWLQSTGLQKGDRVAVMMPNVLQNPIAT YAILRAGLVVVNVNPLYTPRELEHQLKDSGAKAIFVLENFARTVEQVLNKTDLRHVVV TSLGEMLGPKGLIVNFVVRKVKKLVPSWSIPQHKSFGQVLSEGAGKKLQPVALTGSDI AFLQYTGGTTGVAKGAMLTHQNLLANKAQLSLWLRSAFERKKEPEVLNFLCALPLYHI FALTVNSLMGMSLGAHNILIANPRDIPGLVKEFEKSKVHIFPGLNTLFNALMNNADFA KLDFSSLIMSLGGGMAVQRPVADRWLKMTGTAVTEGYGLSETSPVATANRFDSPEFTG TIGLPLPSTELDIRDEAGNSLPLGDVGEICIRGPQVMAGYWQKPEETARVMTDDGYFR SGDMGFMDDRGYTKIVDRKKDMILVSGFNVYPNEIEEVAAMHAGILEAAAIGVPDGHS GEAVKLFVVRKDPNLTEAEVKAHCIANLTNYKRPRFIEFRTELPKSPVGKILRKDLRG YP_002973995.1 MLNQDTDATRRDPNAKVTHSLIASDRVEGTRVYGADGRHIGSIE RLIIGKLDGRVAYAVLSFGGFLGIGHDHYPLPWEKLNYDTQLDGYRIDLTKEQIEGAP SYSDDDDTWYNDNGRRVYDYYGVPPYWM YP_002973996.1 MIEKTALNSGWTLSCNDTVRLGLPAAIPATVPGCVHLDLLANRL IPDPYIDVNEITNDWIGKTDWTYRCSFEAMPDDARVQELVFDGLDTVAVISLNGEEIG CTFNMHRTYRFDVSRLLKAGQNELTVTFRSAYAYGAEMEKHYGYRPNNYPGPGNLMRK MACNFGWDWGPTLVTAGLWKPVRLESWDRARLAETRVSAILSGGDGLVKVHARLARHG DKTPLRLVAEIGGVSKTVAIAPDEDAVSFELALPSPQLWWPHHLGAQPLYPMTLRLFD EASDDLLDSYQRELGFRSLRLDTAADDHGSAFTFIINDVPLFICGANWIPDDCFPPRL TAERYAARIEEAKAANIHMLRVWGGGIFEADEFYETCDRKGMLVWQDFLFACAAYPEE EPLRSEVEAEVRDNVVRLMPHASLVLWNGNNENIWGFDEWGWRPIIKAGESWGLGYYL DLLPKLCAELDPDRPYYPGSPYSGSMEIEPNANGHGCKHIWDVWNDVGYEVYRNYIPR FCSEFGWQAPAAWATIEESVHDAPLTPQSNGVFHHQKATEGNDKLIRGLSGHLPEPKT MDDWHFATQLNQARAIRFGVEHMRSHRNICKGSVVWQFNDCWPVTSWAALDSAGRRKP LWYALKTAYDPRLLTIQPRGGGLAAVAVNERTLFWRAKISGKRLKLDGTVLAEFEFWR LLCDRFEAKEFPLPEEIVTPDLPKDEVIVVQMLDRRAFHYFVEDIELALPAPRLTVDV TSIEGGYGVKVTAQNFLKDLCLMADRLDPDAVVDSMLVTLLPGESHVFVVKTTKAIGA DDIVFGTVLRSANDLTAGR YP_002973997.1 MAKMIHSMIRVLDEARSVEFYERAFGLSVADRVDFETFTLIYIS NAETGFELELTVNKGRTAPYDLGNAYGHLAVSVEEVAVERERLSKLGLKPGELVELNR DGKLFGLFFFISDPDGYKIEVLQRHGRFL YP_002973998.1 MKLVVANLFRLAALALLLILLFRTEWLSFMLVPLTSNNAPAVYR QNSLASLAAGHLQLVIGSIVCSAVLAVVGGIFVTRESGADFLPLSRAIANAGQTFPPV AVLALAVPATGFGAMPTLIALFLYGLLPIFENTVAGLKQVSPQVLDAADGMGMNGTQR LLRVELPLALPLILEGLKVATVINIGTATIGSTVAAKGLGEVIIAGLISDNTAFILQG GLIVGLMAVLIYDAMGLIEGAITRRIGLRAA YP_002973999.1 MTMIEIRNVTKRYGAATVVDDVSMSVEKGEITVIVGTSGSGKST LMRMINRLVPITEGEIFVGGQNVMDVPVTELRRKIGYAIQGHGLFPHRTVAQNIATVP QLLDWDSARIAKRVEELLGLFNLDPATFADKYPHQLSGGQQQRVGVARALAAEPELLL MDEPFGALDPVIRGKAQDDLLAIQKQFGTTVILVTHDMDEAFHLGNQIAVMSEGRLLQ CSTPEKILTEPADPFVQQLTGTSDRALKLMSLLPLKESMEPAKNGLAYALPQSLSLRD ALAEMIWQGVDEAAVQDGEKAPVGSISMTRLLELGRKA YP_002974000.1 MAETLAVRRLDRLGVVLVAGGIAATALMPFIYVKANRIAAGKPM LLLQLLPQPSVVILTVLLLLTAFATLFLRHAIARLAIATLCLAALIVAIGLVSAAATP PGSTVARMTPGGGFWVLFAVIGLVISDALVKIRLAPWMRIAALAAYTALLFISLSSGL LDSLSIMKEFSTRAPQFETEAISHLLLAFGSLAIAVVLGLPLGILCFWVPKLRAIVLQ GLSLIQTIPSLALFGLLMLPLGYLATHVPLAAAIGIRGIGTAPALIALVLYSLLPIVA NTVVGLQGVDPSVRDAAAGMGLTRWQILVGIDMPLAFPVILTGIRIVLVQAIGMVTIA ALIGGGGFGIFIFQGLGQTAMDLVLLGAVPTVFFAFSSAVILDAVIESIRGSAA YP_002974001.1 MLKKLALAVSLSAFAVGAAHAADVVVSSKIDTEGTLLGNVIALA LEANGIKTQDRIALGATPVVRKAITAGEIDIYPEYTGNAGFFFNKADDAAWKNIDQGY ELAKKLDYDANKIVWLTPSPANNTWALAVRSDVAGPNKLKSLTDFGKWVAGGGAAKLA ASAEFVNSAGALPAFQTTYGFQLKPDQMVVLSGGDTAATIKAAADQTNGVNTAMVYGT DGAIEAAELTVLEDDKNVQQVYAPTPIIREEVLKANPKIEEVLSPIFKSLTADELRKL NAKIQVDGEPAKSVAEAYLKEKGFLK YP_002974002.1 MHLGALFIASHVLTSGSVRETARRFHLSPSTVSTAIHNLETELA MTLTERASGELAMLIASGRVLEGLKPIMAAIGELGQWAGHDGDGAEIDEVWASRIPVK IVTMERFLEVADQGSINRAARRLRLGQPQLSLQLANLEKFLTHRLFERQAQGSVLTEE GRRAYQIFMAISQAWNDLKSSADERYRRTARSLRIGSIIPTGSESWVARCLGSLVSEW NARRNNNAISLVSMTADDLREALKNGRIDVAILDSVFGLESFRHRELLQTDMVVIAPP DSTETSVADLVAGHPICMPSPRTGLGHAAMAFSYERAPNRRRRGQDITAADSLPVIVD LVANHGYVSFLGRVSAMPIADKVRIVDLDEHLPMSYHVAFNHRKAAADACTMIIEAAA RITSEAVVRTAAVA YP_002974003.1 MTILLEVCVDSAEGLAAAIEGGAGRIELCSALELGGLTPLPSLM RIAARAPIPVYAMIRPHAGPFIFDGADEEAMMIDIDAVRAAGLAGVVIGANRPDGTLD MPLVHRLKAHAAGLGSTLHRAFDLVPDADQALEQAVELGCERILTSGCALKAVDGLDT LKRISAKAAGRIAIMPGSGIRPTNVGEILKATGAREVHGSCSSPVESADPRAVAFGFE ARSTNKTDVAVVRQMRRAIEAGV YP_002974004.1 MKAVLCREPGVLDIVERPSPAAPAAGWVRLAVSHVGICGTDYHI FEGKHPFLEYPRVMGHEISATVLEAGDGVTIAVGTPVIVNPYLSCGQCIACRQGKPNC CTNIKVLGVHTDGAFCEEISVPAENLYAAKGVSLEAAATTEFLAIGAHAVRRSMTGAG ARALVIGAGPIGLGAAIFSRIAGHEVTLLDTSTERLQMASERFGFTSGIVANEATAET VREKTNGDGFDVVFDATGYGPSMEKAFSFVAHGGALVLVSVVKDDIRFSDPEFHKREM MVIGSRNATRVDFEHVADSIAKGLVPVDKLITHRTTLADAPRDLARWAHEKNGLIKAV ISIGG YP_002974005.1 MTATPTEIVAPPPRRKMNILFGLTLIGLLIFLWIVLGVVTPSFW TPLNISNLLRQGAMTAILALGQTFVIITAGIDLSVGAIVGFCTVIIAWLLQAGVPVWG AIALTLLMGVAIGAFHGFGIVHMGLPPFIITLATLTSLRGIGLLITNGSTINITNEGF SNFARADLLSIPSLFWMVILVAVPSFIFLHLSRWGRYLFAVGSNAEAARLSGVNVKGM IYLAYILSASFAAFVGVLLASRIAIGNATQADGWELQAIASSVIGGTSLFGAVGSVHG PLIGAFILATINNGANLLNVNSFWQRIITGLLIIVIVFFDQLRRRRSN YP_002974006.1 MIGLEEVSHRHDDSATLKEANRIPAGSPILELKGLQKNYGHVQA LKPATLTFLAGEIHAIVGENGAGKSTLIKLLTGVITRTAGEVLWCGQPVGLATPNEAI ARGINAVHQEVVLCRHLTVAANLFLGDEVNRFGLMRKKQMEKMAQAVLDDLGFGLPAG ALLSSLTIGQQQLVATARAAMRGTQFLIFDEPTAYLTRQESAQLFKLIRRLQGEGVTI VYISHRMEEVFELADRVSVLRDGTHVGTRLIGETNDAELISLMINRSIEQIYHKEKIA IGETILTVNGLSGPGFEDVSLSVKAGQIVGLYGLIGAGRSEFALGLYGRQPTTAGDVE WMGKRVDIRNERTAMELGIALAPESRRDQGLCLNLPIGLNINLPVFGRLSHGPVINHT RESANADQQIRNLSIKTPSRRVPASSMSGGNQQKIVIGKWLSHGARLFIFDEPTVGVD VGTKAEIYRLFAKLLKDGAGIILISSYLPEVYELADRLHVFRAGKIVASHDYHAATHE EVLSEAIGV YP_002974007.1 MSFVKSLLSRRAFTALAGAAVIASAMPAPSFAADVTIPIIVKDT TSFYWQIVLAGARKAGKDLGVNVPELGAQAESDVNGQISILENAVAGKPAAVVISPTE FKALGKPIDEAAKSVPIIGIDSGADSKAFKSFLTTDNVQGGRIAADGLAAAIKGATGK EEGEIVILTNLPGVGSLEQRREGFLDQVKTKYPGLKVIADKYGDGQATTGLNMMTDLI TANPNLVGIFASNLIMAQGVGQAIAENKLGEKIKVIGFDSDDKTVGFLKDGAIAGLVV QDPYRMGYDGVKTALAVSKGEKVEENVDTGANLVTKANMADPKIDALLNPKIK YP_002974008.1 MLKGIHPLLGPDLLHALKTMGHGDDIVISDANFPSGSMGPPVIR ADGVSATDMAAAILTHMPLDTFVPEAAWRMEVVGDPDAMPEVCTEFQQIVSKHAGDFR IVPVERFAFYAMARKATYIVATTEFRLYGNLILKKGVVHPHEVDQA YP_002974009.1 MKTRQIGKTKLEVTEISFGAAALGGLYRACPREVAMDTLQAAWD SGIRYFDVAPWYGLGLAERRVGDFLRDQPDGSYVLSTKVGRLLRPVPTGTVPDYSYVD PLSFDADYDYSYDGIMRSVEFSYARLGLNRIDILYVHDLGVYTHGAAKNAVHQKQFLD SGVKALEELKSSGAISAFGLGVNEVPVCLDVMRHSDLDCILMAGRYTLLDRSAVAELL PLCRQKGTSLVVGGVFNSGILATGPVPGSHFDYMPADDDVLAKVGAMEAIAKRHGVPL AAPALQFPLREPIVASVLIGTAKPSSLTRNMEIVEPRLADAIYAEFEPYTLVAPPLGA EAVRV YP_002974010.1 MDKLPWIILSAGDNVAVATAAIAPGSTVAGIQTREKIDPGHKVA IADIPLGGPVVKYGQAIGRTTAEVKAGDHVHSHNLHFENDRLAATANSAPEAATADDV GRTFMGYRRADGRAATRNYIGIIASVNCSTTVCRAIADEANRTILPHYEGIDGFVPIV HDQGCGMSSTGDGMNVLHRTLAGYTRHVNFGGVLMIGLGCEVNQLTLYGQSGAGASKR HFNIQDAGGSRRAVERAMGMLREIAADVGKEKRVPMSIGEIIIGLQCGGSDGFSGITA NPALGVAADLLAAAGGTAILSETSEIYGAEHLLRSRAVSDEVAKKLDDKIAWWEDYVA LHGASLDNNPSPGNKRGGLTTILEKSLGAVAKGGRSPLTAVYGYAERVTAPGLVFMDT PGYDPVSATGQVAGGANMIAFTTGRGSCFGCRPAPSLKLSSNSALYASMEEDMDIDCG TIATGDATISGKGREIFDLIVDTASGKKTKSELFGYGDNEFVPWHLGATL YP_002974011.1 MARQNTVFKEAYNRYAVALRTDTALPSEPEIAAQLGVSRSTARA ILTRLSEEGIIRWNKRQKIVLRQPTDHDLFPSEETDSLHDIIERSFMQRILADDAAPG MQINELELAREIGTGTTSVREFLIRFSRFGLIEKRPNSHWTLKGFTREFALELADVRE MFELHSAAEFGRLPRDNQSWADLAAMRDEHHAMLADINQRFKDFSVLDERFHLLIHRA SKNRFIADFYDAIAIVFHYHYQWNKAAARQRNERAIHEHLDYIAALESGDQPAIETAC RAHLHSARQTLLQSLPQVATENA YP_002974012.1 MKIRPEVLDHWPEVRERLPAGFDLEATARLRGAFTRVREIKNAE TLLRLALAYGGLGMSLRETCAWAEAGGIARLSDPSLLERLCKAAPWLGDIVAALIAEQ AKVPTGRFAGYRLRVLDGTSICHPGADRTTWRLHVGYDLATAQVDQLELTDIHGAENL QRLTYAPGDIVLADRYYARPRDLRPVIDAGADFIVRTGWNSLRLLQTNGEPFDLFAAL AAQQEQEGEVQVRVHEGMTGTPPPPPLVLRLIVRRKDPQQAQAEQERLLKDARKRGKK PDPRSLEAAKYILLLTSLPTATFPPADILTLYRFRWQIELAFKRFKSLAGLDSLPAKK PELARAWLYARLIVAIIAEQIAGQVPDSPPSGCGNPTG YP_002974013.1 MADAKLKPKSVDGTEPHGAGNSPQETASTVEVMPPPDAIEPDPE LTPEEAEQARKRYLLKRFWISARRYWGRGGDKFAWPFSIGLLALIGMNVGFQYGINLW NRGIFDAIERHDAGTVYFLTAVFVPLVLGTVAIVTIQVAVRMMIQRRWRSWLTTSVIA RWLANGRYYQLNLIGGDHKNPEARISEDLRIATEAPVDFIAGVISAFLAASTFIVVLW TIGGALTLPIAGFPVTIPGFLVVTAVLYAAITSTSMAVIGRYFVHVSEAKNQAEAEFR YTLTHVRENGESIALLGGEEEERNDLDKTFANVLRQWALLARQHMRTTLVSHGSMLIA PVVPVLLCAPKFLEGSMSLGQVMQAASAFAIVQGAFGWLVDNYPRLADWNACARRIAS LMMSLDGLERAEQSDSLGRIKHGETEGEAMLSLNDLSVSLDDGTAVVTETRVEIEPGE RVLVSGESGSGKSTLVRAIAGLWPWGGGSVNFHADRRLFMLPQRPYIPSGTLRRAVAY PGAADSWPLDEIKAALDKVGLDYLNDKIEEDAPWDQTLSGGEKQRLAFARLLLHQPDI IVLDEATAALDEKSQDKMMQMVIDELPEVTILSVAHRAELEVFHSRKITLERREGGAK LVSDIDLIKRKRKRNLLSRVLEKRRSPPKGSTTANEGGTVPE YP_002974014.1 MDDDVLSTPGHLVSLAARGFARLSESRLKPLGFGVGQLPVLVAL QDGKASTQRDLARFARIEQPPMAQMLARMERDGLIKRTRDPADRRSSRIVLTKTAQER MPKAIAALFQGNREALAGFTDAEAGQLADLLTRLIDNLDQIASAEPSSGV YP_002974015.1 MSQNDVEMIKRIYASFNARDIDAVLAVLSDDVAWANGMDGGHVH GREAVRDYWTRQWAVISPHVEPVAFEETPDGAIAVEVIQSVFDLDGRPLEGRAHGLKD KTVTHIFRMQGDKIVRFDIRDAL YP_002974016.1 MQSSCMHQPWRKKIIKIMVLLHSADGMAWQSPPKGTSLKTLSEA EEQGFILIRGEFQKRQFRLTELGSNYVERDKRRLEARRL YP_002974017.1 MSANLDDIRNHQRETWDKFSAGWKKWDSLVLSWLAPFGDAMLRR ANLSNGFNVLDIAAGTGEPGLTAAAAIPEGHVVVTDLSENMLTAAAENAARRGLKNFE TRLCDAGTLPFADASFDAVLCRFGFMFFPDIAAAAKEMARVAEPGARVSAAVWSAPAK NPWATTIMGTIARHVDISAPPPGSPGLFRCAGEGMMVETFKEAGLLDVAEEEVSAMMV HDTPARYWDFMTEVAAPVVAGLSRADAATREKIRGEVLELALQSVSDGKVYLRSTATV ITGTR YP_002974018.1 MSVFVLLLGFPGVGKLTIAKELGSLISAKVVDNHWFNNPILRLL DEEGTAPLPKGIWEYTGRVRQAVLDAITAYSGPSANFIFTHAGVEGDERSTRTYEQFV EAARQRAAVFVPVRLLCAEEELARRVSAPARRDHLKTTDVETSRSRSQQAVVLNIPHQ HALTLDVTLTSPLESAAALRDHVVTCLPNGR YP_002974019.1 MSNAAQRSNRVLIVATIMLATFMVAIEATIVATAMPRIVGELGG FSYYSWVFSAFLLAQSTTTVIYGKLSDIFGRKPVLIGGILIFLVGSLLCGLAWSMMSL VLFRLLQGLGAGAIQPVTTTIIGDLFKLEERGRVQGFMATVWATSAVVGPLAGGIIVD NISWAWIFWINLPIGVISIIAFMVFLKEDVAHKQAKIDYLGSVLFSISIVALLVMLTE TDASAWILISLFAVFVIAGILFLAQEKRAPEPIISIPLWSRRLIATSNAATLLAGMAL IGLSTILPLYVQGVLGRSPLVAGFTLTMLVVGWPLAVMLSGRFYRAFGIQRTLRVGSL MFPFGACFLLFLTQESSPIVAGAGSFFMGFGMGLISLTSIVLVQDSVEWSMRGSATAS IIFSRSLGNTLGATVLGAILNAGINHYASGEAAAGLHEALNQPTGLSALAADPAIRSI FNAALHWSFWGVVVVAVLTFFTTWLIPVGPSQKREGTAVASEAASH YP_002974020.1 MPCKFIAISLLSIGLAGPALAQTAGGADANGRLSVAPIIGGSGS SGAGSAGAGSAGTGSVGGGATGTGSVGTGSVGTDSGTTGSTTGNGGVNLNSGRSTINP GGVNPNLQQTPGCTSGSADTGSRGEACPQ YP_002974021.1 MALEIIPFDATEFLDTEESQAHLIEDAFESGDAGYIAHALGVVA KARGMSQVARDAGVTREALYKSLSEKGDPKLSTLIGVAAALGYKLTATRVGDSDTRED ERRSA YP_002974022.1 MTGGPSQHSGQQKVDYSREGVNYSSRKYTVLQTAEFQKWLRKLK DRNARDRIVTRIVRAEAGNLGDHKFFDGIGELRLDYGPGYRLYFAMQGSVVVILLCGG DKGSQERDIKRAIEMSKEI YP_002974023.1 MRTSLLSIALFTFSLSAAQAADFPLASCAGWNGTLVSRTGTDSS TAIMKGKVTQADFREYCERDPGGETTAHGGKLTVKQCVATYTKANGKDTYRSTANCIE GTLSFVPPRGEPLRVTFPLPEDADVSCASGMPPLIEQFKLLCPQAAREFHLMDDE YP_002974024.1 MALPPILKDRLRLPVIGSPLFIISHPALTLAQCKAGIVGAFPAL NVRPESQLDEWLAEITEELARHDAAHPERPAAPFAVNQIVHMSNKRLEHDLSLCVKYK VPIVISSLGAVPEVNAAVHSYGGIVLHDIINNRHAHSAIRKGADGLIAVAAGAGGHAG TLSPFALVQEIREWFDGPLLLAGAIATGGAILAAEAMGADMAYIGSPFIATEEARAAA AYKQAIVEGAASDIVYSNYFTGVHGNYLKPSILAAGMDPDNLPLADVSKMDFEQAVGG AKAWKDIWGSGQGISAVKAVEPVAKLVDRLEAEYRAARTRLAL YP_002974025.1 MSGLETAIRTALENSDRDNPEVRARIYQSARQALEAGLRKQDIT DTEVVAHHRHRLESTIHAIEGEERDRLHPRQRPPEVPVPPVVEMPAPPVHQAEADEFD GPMVSGETRAPEVMHRGDESSLDDVHAGNTDHLAAAPVGEERLARGQRATNMDFRPER AAGRRKPRKFFSRLLVWCVLLAFIGIGAWWAHTSGLLMTAAERDTSVANPPASTQPED FTGNDDSAGNAASHTDEPVTIDPQNSFSADWIPLFKPEDADKIQSGPRARTEKIAEND GPAVRLISESGAADGNVSISVPPSVLQQLAGKSSTIALTLQSTTDEPTQITVECNFQT LGNCARHRFTVTREKSDALLQVKFDRSLAPNSPGTLTINSDLDGKARGINLFAIRILP GQ YP_002974026.1 MDEDIENRAVELEIRGKKRTFDVDDPALPNWVDEHALESGDFPY KKKLKEEDYLEELEKLQIELVKVQFWLQATGKRVMALFEGRDAAGKGGAISASSAHMN PRLARVVALTKPTEREQGQWYFQRYVAQFPTAGEFVLFDRSWYNRAGVEPVMGFCTPQ QYEDFLKQTPQLEKIIAHEGIFFFKFYLDIGREMQLKRFHDRRHDPLKVWKLSSMDIA ALTKWGDYSEKRDRMLKETHTDFAPWTVIRANDKRRARLELIRHMLNKMDYDGKDKKA LGTVDEEIIGSGLGFLK YP_002974027.1 MARIRRERPVLLAAILSALAALAAGMNKWVVLALLLVMILTALF NEAPVIEAEPALPVEIKPEAPPSRLPEVSATLSGLDIPVMLLSEDASVLFQNRAAEKA FGEVALGAHISARMRSPGVLDMVRETIATNAPNQIEHSERLPSERVYIVRSAPIEFAA EDGPRERYFILSFRDISEVRRIDRMRSDFVANASHELRTPLASLRGFIETIQGPAKND LKAQTRFLNIMLDQATRMSRLVDDLLSLSRLELKSHIAPDEKIDLVPLLGHVRDSLVP LAKDVGVDINLHLPEGKVEVLGDRDELVQVFENLMENACKYGQEGEIVDVWLKNGTGQ PVEVSIVDKGPGIPAEHVPRLTERFYRVSIEDSRSKKGTGLGLAIVKHILTRHRARLI VKSEVGKGTDFTVRF YP_002974028.1 MNTFKLTVAALAATAAFAGAAVARDQIQVAGSSTVLPYAKIVAE SFGETFTNFKTPVVESGGTGAGLKEFCKGVGEDTIDIANASRPINKNEAEACKAAGVT DIQEVKIGYDGIVFATDASNPDVAYVPADIYKALAAQVVVDGKLVANPYKKWSEVNPK LPAVDIAAYIPGEKHGTREVFEQNVLAAGCKASGATDVIAKEIADKAAQGKACVAVRK DGVAVDIDGDYPETLARIAANKTGVGVFGLSFYENNADKLKVASMSGIVPSTETIANG TYPVSRPLFFYVKKAHLGAVPGLKEYVNFFVSDQMIGPDSPLVEYGLVAAPDAERDAI RKDVEAGKSM YP_002974029.1 MSTSIILLCLVVIGAAAYLVARIRATALAGGRSSALHSRPVYYG AYAAIWAVLPALLVLCLWLSISPGIIQSSVRGAFPDDIKAQAAVEQDLGYSTVATVAR GMTMLTSDETAAVANDPAALQAKLLEKGVPLASQPLPYMLDAAKKLNAMSMTSRIAMT AIVFALAVAGAFYALRSIAPRFRARNRVERVMLWGLLLASSIAILTTIGIVFSMLSEA ARFFAAVPAMDFFFGTVWDPRFAGAGSSSFGQFGLIPLLLGTIYIGLVAMLVAVPVGL FAAIYMAEYASPKLRGVAKPLLEVLAGIPTIVYGFFALVTVGPFLRDFSAQISGLLSG NYSNFIQAQSVLTAGIVMGIMLIPYVSSLSDDIITAVPRTLRDGSLGLGATRSETIKK VVLPAALPGIVGALLMTASRAIGETMIVVLAAGVAARIQINPFEPMTTVTVKIVNQLT GDLEFTSPQTLVAFALGITLFCITLCLNIYALYIVRKYREQYE YP_002974030.1 MTDIVSPTSGVTVSKAPVRRDIGIKRRYAAERRFQAYGIAAITF GLVFLFILLWTVIGKGYTAFQQTAITLPIEFSEKTIDPNNKRATDPSVLIAANYPVLL RDAIVKQLNVNAASRPDVRDASAMLSKGAPVQLRDMVVADPSIIGKTVNVTVLADANV DSANKGQIDLSVDEKNRKVNDKQVGWMNELKASGALHKQFNTGLFVNGNSSRPEAAGL GVALIGSLYLMLIVLVLALPIGVAASIYLEEFAPKNKLTDLIEVNINNLAAVPSIVYG LLGLSVFINFIGLPRSASLVGGLVLTLMTLPTIIIATRAALRAVPPSIRAAALGLGAS KMQMVFHHVLPLAMPGILTGTIIGLAHALGETAPLLLIGMVAFVANAPTTPLDPSTAL PVQVYMWANEAERAFVERTSGAIIVLLLFLIVMNMGAILLRRRFERRW YP_002974031.1 MNMLTEAAVEKALDHKMSNVPYKMIGKDVSVYYGEKRALFDVNL NIRENTVTALIGPSGCGKSTFLRSLNRMNDTIDGCRVTGKITLDTDDIYDPDIDVVEL RARVGMVFQKPNPFPKTIYENVSYGPRIHGLAKSKADLDQIVETSLQRAGLWNEVKDR VHESGTGLSGGQQQRLCIARAVAVSPEVILMDEPCSALDPIATAKVEELIHELRENYT IVIVTHSMQQAARVSQRTAMFHLGNLVEENDTDKMFTNPDDPRTQDYIMGRFG YP_002974032.1 MASTHIYSAYDDDLKFLSRRISEMGGLAEQMVAEAVRALVNGDT ALAQKVISDDVILDHAEREIGDKAIVTIARRQPMAADLREIMGSIRIAADLERVGDLG KNTAKRVIAVQSTGVPRKLARGLEHLSELALVQLKEVLDVYTNRSADKANAIRERDNE IDAMYTSLFRELLTYMMEDPRNITSCTHLLFCAKNIERIGDHATNIAETIYYMTTGAQ PEGDRPKDDSANTVGAVTE YP_002974033.1 MIPRVAVVEDEEALSVLLRYNLEAEGFEVDTILRGDEAEMRLQE RTPDLLILDWMLPGVSGIELCRRLRMRPETERLPIIMLTARGEESERVRGLSTGADDY VVKPFSTPELVARVKAMLRRARPEVLSTVLKCGDIELDRETHRVHRKTREVRLGPTEF RLLEFLMSSPGRVFSRSQLLDGVWGHDIYVDERTVDVHVGRLRKALNFSNMQDVIRTV RGAGYSMEA YP_002974034.1 MNWTDERVEKLKKLWAEGLSASQIAAQLGGVSRNAVIGKVHRLC LPGRAKAGGTNTAARTPKRNTSAPRAPNFASRITTRTVTRQQGATMLKEEIEIETIEE MEYVPRGNVVVPISRRLGLTELTERTCKWPVGDPLKDDFHFCGCESPDNSPYCGYHQK LAYQPVNERRRAAARAS YP_002974035.1 MAEAAPLYDTYSRAPLRFERGEGVWLITETGERYLDFGAGVAVT SVGHSNPHVVGALKEQADKVWHLSNIYEIPGQERLAKRLTDATFADKVFFTNSGAEAL ECAIKTARRYQFSKGHPERFHIITFEGAFHGRTLATIAAGGQEKYLEGFGPKAPGFDQ VAFGDIEAVRAAITDATAGILIEPVQGEGGVRPATPEFMKALRQLCDENGLLLILDEV QTGVGRTGKLFAHEWSGVTPDIMAVAKGIGGGFPLGACLATSEAASGMKAGTHGSTYG GNPLAMAVGSAVLDIILADGFLQQVRDVALVFRQGLASLKDRYPDVIEDVRGEGLLLG IKAAVPSAELLQAIRAAHLLGVPAGDNVIRLLPPLVVTAEEAREGLSRVERAAESIRA SKVKKTA YP_002974036.1 MSPKHFLDLSAVTSADLRTIMNDALARKQAFKAGQGDKPLAGKM LAMIFEKPSTRTRVSFDVGMRQLGGETLFLSGTEMQLGRAETIGDTAKVLSRYVDAIM IRTTEHSRLVELAQHATVPVINALTDDTHPCQIMADIMTFEEHRGPIKGKTIAWTGDG NNVLHSLVEGAARFGYRMNMAVPLGSEPKDHYLNWARNEGAEIMLCHDADRAVAGVDC VVTDTWVSMNQEHRARGHNVFQPYQVNAALMAKAGNDALFMHCLPAHRGEEVTDEVID GPQSVVFDEAENRLHAQKSILAWCLGAI YP_002974037.1 MAEAAAALGQFDFAGDDHVVPFQVEGLDVRGRAVQLGPMLDAIL ERHHYPAPVARLLAEVVVLTVLLGTSLKFDGKFTVQTKGDGPVDLLVADFSTPENVRA YARFDQALLNKAIESGETEPEQLLGKGVLAFTIDQGKFSQPYQGIVPLDGTTLEDIAG VYFRQSEQIPTRVRLAAAELFDRDDAGKPRHRWRAGGLVAQFLPEAPERMRQPDLHGG DGDTGSRPHGEDDAWLEARSLVETIDADELTDPLVGTERLLFRLFHERGVRVYEPRAV FDRCSCSRDKIKGVLKGFSAEEIEASQEDGEIAVTCEFCSTTYRFEPAELQPAE YP_002974038.1 MRLLFFVLALIAAMPPVSLADDQTVPWKEVGGWSVAVDPTLDNG CFVLTSFDDDTIFRLGFNFRKKDNPLYILLGNPNWKSLEKGKHYPIELSFDQSKRTAD GRGLDLDGLKSLWIDFEDPDLIEEFAGKLSFRASFGGKQIVALGLKDSASAADELLAC QKAVNDAVAKHPAPPQSKDPFEAKPGTQASDPFDL YP_002974039.1 MYRALTREIEVVVEPFYLEEQSDPDDDRYVWGYRIVISNNSGIA VRLVNRYWNITDQNGQVDEVTGPGVVGEQPRLSPGDTYEYSSGCPLDTPSGLMFGHYQ METDDGEMFDVDIPAFSLDSPGLLRVLN YP_002974040.1 MSKTWRPATQLVHGGTLRSQYGETSEAIYLTQGFVYETSEAAEA RFKGETEGFIYARYGSPTNDMFEKRMCMLEGAEDARATASGMAAVTAAILCQLKSGDH IVAARALFGSCRWVVETLAPKYGIDCTLIDGRDLANWEKAITPKTKVFFLESPTNPTL EVIDIAGVAKLANQVGAKVVVDNVFATPLFQKPLELGAHIVVYSATKHIDGQGRCLGG VVLSDKEWIDENLHDYFRHTGPAMSPFNAWTLLKGIETLPLRVRQQTENAAKIADFLA EQGKVAKVIYPGRKDHPQADIIAKQMTGGSTLVAFELKGGKDAAFALQNALDIVKISN NLGDSKSLITHPATTTHKNLTDEARAELGISPGTVRLSAGIEDTDDLIEDFAKALDKV LA YP_002974041.1 MMARETGILADRAISALFETGRLISERELDRDQIQPASLDLRLG GKAFRVRASFMPGPSHLVSDKLDRLSLHVIDLSEGAVLETGCVYIVPLMESLALPAEM SASANPKSSTGRLDIFTRVITDYAQEFDKIPSGYSGPLYLEISPRTFPIVVRRGSRLS QIRFRVGQALLGEPELLKLHESETLVASKLPNVSGGGIALSIDLAGDKDGLIGYRGKH HTAVVDVDKKAEHDLFDFWEPLHSRGRNELILDPDEFYILVSREAVHVPPDYAAEMTP FDPLVGEFRVHYAGFFDPGFGHAPAGGRGSRAVLEVRSHEVPFILEDGQIVGRLVYEH MQEKPASLYGSGLGSNYQAQGLKLSKHFRI YP_002974042.1 MAKVVGLADFDRHLTKRNGFYSYKRRVPAAIGNLDPRYPTVRVA LGTREVGEARAKRDAHERADDLLWASLTEGGDQVAAEARYKSAVARAKALGYTYRHLP AILSEESGESILSRLRAVQDVKPASPQETAILGGVPRPQVSVWRALEIYIEEIVADEI SGKSPAQRELWENTKRRAVTSFVEVCGELNIDEITREHARQFYNRWREKIAPNPKSGQ HATHTPSSGNREVGNMRVLYQKYFEYMGVEDITNPFRNLSFSEKKVGQKKKKKRLPFT AEWIEKHILKPGALARLNDQARAISLVLAETGCRPSEVANLVESQIFLDHPVPHIAIE SRLDPEDPREIKTDASVRVIPLVGVALEALKKFPKGFPRYRDKESSMSATLNKYFREN GLFPTEKHVIYSFRHGFEDRMTVAGLDTELRMMLMGHTNSRPEYGEGGTLEWKQAQLA KIALPFDLAIV YP_002974043.1 MWVFDGTVNLYRPRDPWPRSSNTWEKSRTMCVTDSDLSVEQNAE IQALCRGVQEEPANREPRGNSPDLTR YP_002974044.1 MYHHLDRRVFAETSSNTAKFLMLCALRQALRGTDQFKVDAKGII VCIVDKAWLWYAKSAANVLMTGGKVHSYYDDFRRPVHIIGESPRRTTSKGVEDSTVFR PEHQVIYLASSLDAVRLSLQLAADVIVNILPPTARHVIAARKVLGVEDVDMPLAEAIA QQSAEIVIGLTARNSLKGLDIATLTKPIAVPERSHKLSELPGYGPARPWVDAIKQDVA DWREGKLPWTDVDRGILLLGAPGTGKTLFATALANELGFDLVLTSVGAWQGSNNGYLG DMLAAMSKSFADATARRGAVLLVDELDAIGDRATMRGDHAFYEGNVIGRFLELTTHAL EQPGTIIVGATNYGHLIDNAVLRSGRLEKHVYLELPEDEERAEILAYHFNQALPAKDL REITDKLRLVTPADLEKLARAAKRAARIRKGLLSIQDVKAILPAQVPLPEAVVHRICV HEIGHALMAMASGSADVISIRVESHMVEGQFVQDGGRLHYKIHNEALPSDKDLLAKIR IMLGGTAAEEVVFGNRSIGAGGVEGSDLDQATRLAYRLVGSYGLGKWLRYQMGANRVD ETFVPAPELRAEVDGILAREYRATKELLSKEKAHLMRLAAELVVDRKLLIDKK YP_002974045.1 MESGARVEFTVYCHEIISASDVGLHFAATLAKSKAEVGEYRKAL RTINPTGEPLGTLAIYEMVLRMPDVATMVDLLNSPESLLRTCLMSRKLVALTAD YP_002974046.1 MRLKSFSVSEFRSIIASGEITLGDVTCLVGKNEAGKTALLKALY KLSPMSTTDARFDVTDDYPRKDLGDYQHEVDEGVRGQAVPIRATFELTDAEVSSVANL FGPKALTSNVLTLEKSYDNRRVYSLDFNETEALKYVVSSAELESADLAAVGDVQTWKG LGNRLAELAASPTGAKLKMLVDKINDKGSGGGYYAYNSILSRSVPEFLYFDEYYQMVG HDNVEALIQRRDSEDLRPSDHPLLGLINLARLSLDDLISSKRTMEMVNKLEAAGNHLT RQILKYWSQNKHLQMKFDVREAMADDPVEMRSGHNIWGRVYDQVHWATTELSSRSRGF VWFFSFLAWYEDVKRARKNIILLLDEPGTSLHGRAQGDLLRYIEQELRPHHQVIYTTH SPFMVDPQHFDRVRIVQDRGIDSDDPLPREEDGTKVLENVFDASDDSLFPLQGALGYD ISQTLFIGPNSLVVEGPSDLFYLRGMSSLLEREKRTGLSPEWTLTPVGGSSKIPTFVA MLAPQRGMNVAVLVDIQASDRQTVEGLYKKKLLDQKNVHTFADFTGLVESDVEDMFER DFYVNLVNEEFRGQLSSKITASKLNRNLPRVLRALEEHFQTSPLKSGQFGHYRPARYF AENLAVLTPKISEETKNRFEALFKKLNAQL YP_002974047.1 MSGYQNSFADRFALIAGEGVLSKALTDARAKFERVSEKERAERA KLGEVRDSLARIEKIPEVQKRALSLNYVLLSAGKT YP_002974048.1 MKPWREVAVPHRDVLEGTFQQSEFAADITAVNTGKASREYQDAG AFFDRTFITEGMALLLTQVAQRLTGRGGEPVVQLQTAFGGGKTHTMLAVYHLATRKCA LSDLAGISALVDRAGLMDVPQARVAVLDGVAHAPGQPWKRGSQTIKTLWGEMAWQLGG AEAFALLAEADVTGTSPGKDVLRDLLERHSPCVVLIDELVAYIRQFPESQPISGGSYD SNLSFVQALTEAAKLVPRAIVLASLPESDLEAGSQRGAAALRALEKTFGRVQALWKPV ATEEAFEIVRRRLFEPVRDEKTREGVCRAFADAYIAEGVKLPADTQERHYYDRLLHAY PIHPEVFDRLFEDWTTIDGFQRTRGVLKLMAKVIFRLWKDDNKDLLIMPGSLPLYDGS SRNELTYYLPAGWDAVIERDIDGDRAETTALENKEPRFGQVGAARRIARTVFLGSAPS SVASKVVARGIDRAHIILGCLQPGQAASVYADALGRLADRLHYLNSSGDKSHDATRFW FDTRANLRREMEDRKRRFDDRTEVRGKIAGALKQTVGSLTYFDGVHIFAPHGDVPDDT ALRLLVLPPETWYARDENRLAFEAVLETIGKNGPKPRYRSNRLLFLAPDHAALSRLMD ATRVALAWGSIVEDVKEGRLNIDLLQKNQAEKELKSAEDALPRVVRECYKWLLCPMQD AATDPKPGIEAFALNTAGGSIAADIERVCIDNELVITTWSPIHLRTKLKELYWKGGKR AANAAGFFEDTLRYLYMPRLKTRDVLSQAIQAGVAGKDFFGTAYGEADGKFEGFYFGG GTVIFDDTLLLIEPQAAQAYEEANREAQPAATPPVSTATAAGGVAEAPNVYVFNGGST SPPVAITPTSGPTKPKTFYGSAEVPPATAKMRLVQIAEEIVSVLTSDPNATVRLVVEI SAEFPDGAGDGLKRAVSENARSLGLKSADWD YP_002974049.1 MTATVKTPKKLIEVALPLDAINEACAHEKQPGIGAHPRGLHLWW ARRPLAAARAVIFAQMVNDPSWKWELERPGDIPPNNIKASWAASRNRLFAIIKEMVKW ENSTNEAVLQKARAEILRSWRETCDLNKDHPRAAQLFDPERLPAFHDPFAGGGALPLE AQRLGLESYASDLNPVAVLINKAMIEIPTKFAGRPPVSPVARDSQDAWSRQWAGVRGL AEDVRHYGQWIRDQAQKRIGNLYPPVEITADMARERPDVMPLVGQRLNVLTTIWARTV KSPNPAFRHVNVPLVSTFILSSKAGKEAYVEPIVSGDTYRLTVKVGKAPKDSDEGTKF SRGNFRCLLSQAPISADYIRSEAKAGRMGARLLAVIAEGRNGRIYLPPTSEQEDAANK AQPLWKPELEFFQQALGFRIGNYGMTAWSDLFTARQLVALTTFSDLVSDVIEVIRRDA ISAGVDDDGIPLNDGGNSALAYAQAVGVYLAFAISRLADYGSSIATWKPSGEQVMQTY KRQALPMTWDFPDSNLLGDKAICWTNAVKYAADNLLSTAAASTQAEGFAIQSDAQQQT ISQNKVVSTDPPYYDNIGYADLSDFFYVWLRKTLKPVYPELFATVAVPKAEELVATPA RHGGREGAEEFFLHGMTQAMQRLATQAHPSFPVTIYYAFKQSETQNDTGTSSTGWETF LDAVIRSGLALTGTWPMRTELGNRMRGQESNALASSIVLVCRPRSATADTISRRVFQR ELNQVLPEALDEMTRGSGEDRSPVAPVDLSQAIIGPGMAVFSKYAAVLEADGTPMTVQ TALRLINRFLAEDDFDHDSQFCLHWFEQYGWKEGRFGEADTLARAKGTSVDGVKQSGV LLAMGGIVRLLKWAEYPAEWDPTNDARLPVWEALHHLIRVFKTDGESGAGKVLAAIAA KAEPTRQLAYRLYTLCERAGWAEDARAYNEIITSWGAIESGAAMAPKARQSDLFG YP_002974050.1 MWRLDRIVYPAPPCLGGYHHSTHSWQDVISGHKAEIRMHLEAMQ GRRCAYCEGDIDSLGQHIEHFRRKSLHSALTFDWDNLFWSCDQTDSCGHFKDNGAGQY DVADLINPCFDDPDVFFVFQADGTISIRHGLSAVDEHRARETLRILSLDAEWGRLRVM RKIAVSGYVDDADTAFNEGWPPDDICSYFAEELECARNLPFYTAIRHVLTERQ YP_002974051.1 MALRLDKLSLTNFRCFANCEIEFHSGLTVLVAQNGSGKTAVLDA AGAALSVFVNTLYPLEKIWRIERSDVRLIPGQEHKMSPCLPVEYEAQATVQATSVTWR SAVRTYGDKVRPSTRNLGPISMAAQPFLSDTAVLPLIAYYGTGRLWNEQRQTEYRRSS VTNVDERVAGYADCLTSSSSFKGISAWFEHRFRQTASPLYRESLQTNLAMIEGVKTAT DTVLQPTGWSNLRWDDELHTLTAKHDGRGELPLSMLSDGVRTMLALVADVARRCASLN PQLSDQAALKTPGVLIVDEVDMHLHPSWQQQVLGLLQSAFPALQIIVSTHSPHVLSTV EKSSIRVLHVKNGDVVVETPLVQTRGVESADVLATVMDVDPVPALEESTALSAYRKLI EAGEAEGQEASALRYRLNAHYGESHPVMLEADRLIRFQRFRLAKSRPESA YP_002974052.1 MKLEEIKSGQSLSGIEPSQVVMVVALIPLSEGSVQLIYRTPDGG IKERLLNRGDERTVEVASAERPFSFDGDGAAFQLACEAKRIDLAFLFDPMMAVHSSNV EPLPHQITAVYESLLPRQPLRFVLADDPGAGKTIMAGLYIRELIMRADSHRIVIVAPG GLVEQWRDELYEKFGLEFYVYSSLLEQTSPSGNAFDDYPRLIVRLDQLSRSEELQDKL CAPGWDLAVFDEAHKLSAHYFGSKLEKTGRFRFAEKLGAHARHLLLMTATPHNGKEAD FQLFLSLLDSDRFYGKFRDGVHKVDASDLMRRMVKEELVKFDNTPLFPERKAYTANYQ LSQIEASLYEAVTSYVQTEMGKADQLEGARKGSVGFALAALQRRLASSPEAIFQSLKR RRERLESRLRGEKLGIKGRQAVAETYASAPEDDDDLSAEEQESLEENLIDDATAAKTV AELEAEIVILKGLEEQAKAVVASGQDRKWDELSRILQNNPEMRDASGRQRKIIIFSEH RDTLNYLQARIAGVLGNPDAIVTIHGGTHRDERRRLQALFRSDPDVRVLVATDAAGEG VNLQNANLMVNYDLPWNPNRLEQRFGRIHRIGQTEVCHLWNLVAKETREGDVYHRLLL KLEVESQALHGRVFDVLGEVFEETSLKDLLVEAIRYGDRPDVRARLTLKIDKALDHDH LKSLLNRNALAQETMSPERLFAVKEEMEKAEARRLQPYFVRAFFSKALDALGGTAHPR EAGRYEISHVPSAIRERDRRQNGRNRRDHEPVLRRYSRICFERQAIQPLDRAGLERAV LMHPGHPLMLAMSDMILEQHTNLLRQGSILVDPSDDGLDPALLFLLTHEIKSGDNTVL SKRLQFVRVGPDGKTTFAGWAPHLDLEPLPEAERPLLKDLLDRPWLSSGHEAGALSFA ATTLVPEHYGEVAQRRIDNVEKTLNAVHERLSKEIAFWQDRWMKLRDDAVAGKDVRLN LQNVERTLGDLQGRLDNRKKELQAMRHVVNGTPVVLGAALIVPAGLMIKLRGDEPIDP VTANFAGDAAARSRIERLAMTAVRKAEEARGCRVVDVTAAKCGWDLTSYPPAVDGRQP DPKHIEVKGRVKGANTVTITRNEMLYAFNQGDKFVLAVAIVGENDVVDGPYYIPSPFD REPGWGVASINFSLGDLLAKAEVR YP_002974053.1 MSRDDRKRAIGKIVSVAADRFVVEMHSGTDNFTVVGFDDVHYVA RLGSFLMVPVQDEYVVVEVVGLRERDSGNPGHGSGDMDKAASAKYLDVVPVGMLPQVR GAKFRFGVSIYPSLYADALYALDAELDRVFETEVVTEQPPDPGGQALPTRYNALSIGR SVVFEGYDVKVKIDEFFGAHTAVLGNTGSGKSCTISSVLQSLFQKPDEHRARGATFIV FDVNGEYWQSLSPLAADEGIGVSRLVLDGSAEPGRFRLPHWFLDQTEWELLLQASERT QMPVLRTALGLTGLFRKDSPEALLVKEHFMARCIIECFRGADGDSPVSKFHRVVSLLQ RYPTKDLNLALLRAYGANFQFGNFANNNLVPFLEKVGEKVREEIKLPSYDRTPFAFDD LEECLDFAILYEESHGNRQIRDYCSQMVTRLKSLKERSDFRFLRHELPTEGDAPTTGT FLKTLLGLREAGPGGKLIKDAQIVVVDMNDVEDEVVELVSSVLARMTFRLLRQADPRN RFPVHLLLEEAHRYISETPSRFAIDAHRIYERIAKEGRKYGLFLLVASQRPSELSKTV LSQCSNFVVHRIQNPDDLSQIRQMTPFISDAVLKRLPSLPKQHALVFGTSVNLPTTFR VRNADPLPKSDDAKIRDLWFHGADRAAGISFSQSSAPPGLLESEAC YP_002974054.1 MVRELDDPAFPTKAERTAMLELFGIQIEADEYARNLERLMELLF SMRFVLKRSSHETTADGIKLVEGIITKVQAFLWERCTNGVFATGDGTVRGFYETFYRK LVLRDRSLPRPWVFTTNYDVFNETAMDRLGLPYANGFSGVVERRFNPATFRYALAEQL DVSSRKWSAVDGFVYLCKLHGSITWTEDDHGLFPIREMAPGKQPGKVMIYPTPAKQNS SLGSPYSDLFREFQSRVVREQSVLFTMGYAFGDEHINNIIYQALTIPTFRLVIFVDPE LGGEIAKLRALEDPRIWIIGGDGREKGQKAHYFDTIVEEFMPQRPTERIDEAVRKVLE TMGAASRGEAE YP_002974055.1 MSMLQKMFLAVVLTGGIATAASAAGTNEPAGIETAKPMSSAEIY RLYNQNSWMWKAGAGYFSAKARRFTAWSRENGSPSFGTGRWFITETGKLCFNADWHAK TGTATAITCFSHRKKGGLIYQKREPDGEWYVFKSAPAQATDEFAKLRRGDYVRSQLGG IEMSVSKTR YP_002974056.1 MHSPSKIALLSLTGLLLSGVAVLPTALGSSAEGQPLAMTTSSIA PPTKMPVVTKESITFGAYDPHGDLGASPDSKIEHLFLPWEDVDLRTLALADDYAQARG RTLLISVEPWTWSTGSRQTSQELLHSILNGSRDANMAAVCSTAAKLKSPVIIRWGQEM DETDSQFSWSHWQGDDYAKAYRRMVEVCKVHLKTAKYMWSPKGNEGLQAFYPGNDVVD LIGLSVFGLEQYDRDKTGRDQTFAEHLAPGYARVAGYGKPIMVAELGYEGGDSFVRNW AESVTKRYPQFPALSAVVYFNDREVYPWPDGYGRPDWRIVRESIN YP_002974057.1 MTELAIIQATHAPEPSHEPLLVPVLTGHRRTEYLFSAAVWACAF AYFWIWWLEPRHHVDAFGTITVSLVLAWVTALPAYFIVVFYRAARPNGPLRLPAGSRV AMVVTKAPAEPFSVVSETLLAMLAQEVEHDTWLADEDPSPETLDWCSRHGVLVSTRKG RSDYHRTSWPRRTRCKEGNLAFFYDHYGYSRYDFVAQLDADHVPAPDYLFHMLRPFGD PKVGYVSAPSICDKNASESWSARGRLYAEASMHGSLQAGYNGGLAPMCIGSHYAVRTV ALKQIGGLGPELAEDHSTTLMMNAGGWRGVHALDAIAHGDGPRTFSDLVTQEFQWSRS LVMVLLRYSPSLLGRLPARLKFQFLFSQLWYPLFAFFMLLMFALPIIALVRGQNFVTV TYPDFLAHFAPLSIALVVMAYRWRASSSFRPYDAKILSWECMLFLFARWPWALAGTLA AVHDFVTGSFVDFRVTPKGRSEVDLLPVRVLAPYAVLALMAVLPALAIADAGASKGGY FFTILNAAIYCALLLVIVGRHSKENTVAAAPRFYRPAMATVLLALVALPGAATIMRGK DATEALAWGSGRLQLFEERYAASGAGRGGTALRTIFFKPRWISDPEEIQLRTDAAHPD VRPSVEEVHNA YP_002974058.1 MDAPRVLVTGGAGYIGSHTAKLLRSEGIEPVVYDNLTTGNRSSV RWGPFVEGDVLDTSCLIEVIEKYAPDAVIHFAASAYVGESVENPAKYYNNNVCGALSL IDACRQTGLQNVIFSSSCAVYGVPSVLPIDETLPKAPINPYGKTKLIFEHMLADYAAA YGLRYVALRYFNACGADPDGELGEWHVPETHLIPRALLAAAGRIPHLEIFGDDYDTPD GTCIRDYIHVADLARAHVQAFTHLAKGGANLAVNLGTGRGYSIREVLRVIQETTGCEV PVVIHPRRPGDPPSLYADASLARETLCFQPRYSDLETIVRTAAPFFGLEVRA YP_002974059.1 MNYLGKIRARSVQVSARDANCPSGIHRAPKRVLVTGGAGFLGSH LCETLLAAGHQVICLDNFSTGMRRNIVHLKRVDRFNVVAHDIVHPLDLEVDEIYNLAC PASPPHYQADPIHTTKTCVLGSLNLLELAARTGARILQASTSEVYGDPNVHPQVESYW GNVNSFGPRSCYDEGKRCAETLFFDFHNTHGVEIKIIRIFNTYGPRMRPDDGRVVSNF IVQALTGQDITIYGDGSQTRSFCFVDDLIGGMVRMMASPSSLTGPVNLGNPGEFTIRE LAEQVIGLTGSRSQIIHRALPVDDPRQRRPDISLAMQELDWRPKIDLSSGLRQTIDYF DGVLTRPARELEAV YP_002974060.1 MATGSESDDDPAAEQERPAHMERRRWPREPAMRKERPPHASPAL VPLRFSTQDLPPAEQFQAWRAHMAPLVDVHLPEGKSPEDGFLAEQIGWHLGDILIVQQ RAHAHRYVRDQAMLRSSPIDHWNVGLQRSGQAWTEVNRRVTETGAGEIFFMSLGSPYR GRTTDTEALLVFLPYEMLARDASLLQSAGNTVLSGSHAELLTGYLTGLETNLGNLTIE EVPRIIQTIGDMVVAGAASSTRTDTGQNQANMGLMERAHRYIHVNLHSENLTPDVMCR ALGISRTRLYQLFEASGGVLNYIRKRRLLQAYADLSNSADHRPISEIAEAAGFEVAAN FTRAFIHEFGLSPREIRRTMATQQRPAPAIRSTRRYEKTIGDWLALTG YP_002974061.1 MKPAIIGLVGTLVLAGCNTVSYSGPGLEPIPGSITYSGQPRSKL TKSPPGSAFPHDFIDQYGREVEETYIIQPDRSLIIAHRQYKPIRFFGD YP_002974062.1 MRLNHLDFHVPDIAATADFFIRHFGLRLKDMRGQNGLAILEDDT GLEIVLSHAIAKFGTADQVEMGRQTYHIGFILPERADVDAVHAGLAAAGAALSGRPAA MRGGWLFYCTAPGNILVEIGWRPG YP_002974063.1 MKIRPEVLDHWPEVRERLPDLFAALAAQQEQEGEVQVRVHEGMT GTPPPPPLVLRLIVRRKDPQQAQAEQERLLKDARKRGKKPDPRSLEAAKYILLLTSLP TATFPPADILTLYRFRWQIELAFKRFKSLAGLDSLPAKKPELARAWLYARLIVAIIAE QIAGQVPDSPPSGCGNPTG YP_002974064.1 MLKHLKIRTKIISVVALMGLITMAGLIYVISEFRRADAAYSAFI DHEAQASMLSARASASAVASVLQVTLIADMKPDTPAFQTALATPSKLPQARDRMKQAL ALVPSRKPAIDEIQAGIDEIETLANKIIEQSKAKDSAGALSNVALINAKLDALTPKMI ANNDAMMAMLNDGGDALSASVNGRIVFCFVLIGIAVLAAVGFSVVVAQKGIAGPMTQL RLRMTRLAEGDTTSDVSGLDRGDEVGQMAKAVSVFRDNAIERARIEARAETDRDVSDS ERRDREAQKAREASELDRAVTALGDGLRRLAAGDLASHIAEPFVAHLDALREDFNNSV EKLNETLHTVGANARAIGAGANEIRSSADQLSQRTEQQSASVEETAAALEEITTTVRD AAKRAEEASQLVARARLGAEKSGEVVRKAVSAMQQIEKSSGEISNIIGVIDDIAFQTN LLALNAGVEAARAGDAGKGFAVVAQEVRELAQRSAKAAKEIKALISTSGSHVQTGVSL VGETGKALDAIVQEVQEINQHVHAIAEASREQSIGLQEINTAVNTMDQGTQQNAAMVE ESTAASHNLATEASALNNLLGQFRLTGTGGFTTSTPIAAAAPRAAARPAARAAPVRVA REGTARPAASPARALGQKIANAFGAGSTSPSQDPDWTEF YP_002974065.1 MMQSKLASLTLKGFLAFGLFFGVLFSSLAASAQQVSPSLPLLFD ARERLARPDLSSLVRLRFLTSVDFPPFNFTDQNGKLSGFNVDLAREICSELEISDKCQ IQAIPFADLRDALAASQGDAVIAGFAVTPELRRQFVFSRPYLMLPARFVRNLAVPLDG KTASALSSHPVGVVRGTVHEAMLAAFFPTLKAEPFDTKDALLAALRDRKVDAAFADAL QLSFWVSSPASAKCCALFDGPYLSEHFLGEGMTIMLRQKDSVLTSAIDHALATLSRNG RLQEIYLRYFPYGLY YP_002974066.1 MNKPLSAHDALIYVMVMASAVDSTMNDREMERIGQLIGFLPVFR DFDDDKLIAVARDCASLLAGPEGLDVVLETVRDTLPAKLYDTAYALAVEVASADLSVK AEELRLLSLLRDRLGLDKLTCAAIERSAIARFRKG YP_002974067.1 MRPAAFITGITGMVVVTGLLLAGEARLGGGTAGEETASAEETAT AAVDAASDADEGAAISDDRAEMIARSTEPAASPSPAPGDGVTSKPADLPQQADTAAGQ TASPSAKKAVELARPMVDNAGMLSFGERRLQLAGIVPTPVDRICGPAGRQWPCGMMAK TALRLLLRNRSVSCDLETVEWKDTVTTACRLGTEDLGAWLAENGWAEAAVGSPLTAAA EKARQAGKGLYGDDPRRH YP_002974068.1 MLSHNQIWEALDRLAERHELTPSGLARRAGLDPTSFNKSKRLSA DGRLRWPSTESIAKVLDATGASMEQFMAFMRPDAGLSKQPAGQQENAFPPQGGSIPLL GFAQAGAGGFFDDGGFPAGQGWDVVEFPAAPSQKAGVYALEVQGESMMPLYRDGDVLI VEPGAQVRRNDRVVVRTNEGEVMAKVLLRQSPRSIELLSLNPEHPNRTLELSDVDWIA RIIWASQ YP_002974069.1 MHEQTIIIADDHPLFRDALRQAVIGMEGRQSIVEAGDFAAARRA AGAHADADLMLLDLAMPGVSGFSGLMALRSEFASLPIVIVSATDDATTIRRALELGAS GFISKSSGIDDIRRGIQTVLAGDIATPESYRDGQEQDPDVADLIHRLHTLTPQQSRVL TMLGEGLLNKQIAYELGVSEATIKAHVSAILLKLDVDSRTQAVIQLGKINMAMVA YP_002974070.1 MKAFVITLGVFFMPLAAAAAEADAGRFQLEKSGDHFIRLDRQSG AMSICQDKDGALVCRMAADERAAYEDELDRLAKRVTALEQGNVAQRALPSDAEVDRSI SIMERMMKSFMGMVKEFQAEENTNPLPQKT YP_002974071.1 MTLTPTLYKIVTETLWQQARQTGTFHGAGIDLQDGFIHFSTADQ VKQTAALHFAGQSGLLLIAVDGGGFSDKLVFEPSRGGDLFPHLYADLPLAAVLWEAPL PLDEAGAHVFPELQP YP_002974072.1 MIDPFKRLARKGLFLFDPETAHGMSIAALKSGLVPACQITPDPR LRQTVAGLTFENPLGMAAGYDKNAEVPEALLKLGFGFTEIGTVTPKPQSGNPRPRIFR LVEDEAVINRLGFNNEGHDAAFGHLAALRGGGMIGVNIGANKDSEDRIADYVAGIRRF YSVARYFTANISSPNTPGLRDLQGRESLAVLLSAVLAARDEMAAASGRTIPVFLKIAP DLTEEGMDDIAAEALSHGLDGLIVSNTTLSRDGLKDQRQAKEAGGLSGVPLFEKSTAV LARMRKRVGPDLPIIGVGGVSSAETALEKIRAGADLVQLYSCMVYEGPGLAGDIVRGL SKLLDREKAASIRDLRDVRLDYWAARKV YP_002974073.1 MDTRSNHNALAFDVTHLMVLSIALPMTLGFMTTPLLGLTNTAVV GRMGNAEALAGLAIGAMLFDLILGSFNFLRASTTGLTAQAYGRHDQHEQQAVFFRAMI SALGCGLALLCLSPLLMTAGLRLMGAEGAIAEATSTYFSIRMLAAPAALANYAILGFV LGRGQGKIGLLLQAIINGINILLSIYLGLTLDWGVAGVAWGTMAGESVGALAGLFIVL NGFGKAARPAWAEIFSRHRLAELFALNRDILIRTFVLIGAFTIMTRIGTSFGAVTLAA NAVVMNFFLLSGYYLDGLANAAEQIIGRAIGAHYRPAFDRGLKLTTLWSFGLAALGSA VFFLAGPWLISVLTTSPDVRQAAETYLPWAAVTGLTGALAFVMDGVFIGATWSADMRN RMLISFAGYLMMLAIFVPLFGNHGLWLAMNAFLLFRGFFLAMLVRSRADQTFRAAQ YP_002974074.1 MTSIDLSRRGLLRLSGLALAAGIAGCTTTPRMAGTPSDGEDETA SVLPLVNQLRAKNGLPPLTVDPAASTAALFQAKRMASAGKMAHLMGMTDSFGARVKAS GVRLPAAENIASGQQSVDAVVTAWINSPHHLENMLGRYGGLGVAVAHNTSSRNLPYWA MVLSS YP_002974075.1 MSDQANRFLGDSIGRTLIKLIVVSLIVGFVMTVFGLTPWGIIYG IRDFIQEIWYRGFSALGRVGDYLLLGATIVIPLFIILRLFSYRR YP_002974076.1 MQPHQLSSGDVIADRRADYARMLEEGGEPEAAAELMEQALELVP AWAAGWYRLATYLEKAGRGEAAIEAYRRTLALGPDDIFGAALKLALLGDGAVPPDRPP SRYVERLFDDYADRFESALVEKLDYSVPQKLAALIASTGRRYERAVDLGCGTGLLGPE IRANVDRLEGFDLSQNMLAKAAEKHVYDSLAQADLSLAPDLSVVFADAARHRADLVTA ADVLMYLGNLESVFAIVGELAASGADIAFSVEDAGEGDGFHLAPSLRYAHSESYVRML LARHGFQILKTVKSVIRKDGGKPVSGILFLTQKPA YP_002974077.1 MTQLINALGFWNTTATRHTPIEDVQGIFSGSLVAALGLYVLASA GLLTGSTAGVAFLLHYAFGVNFGLAFFLLNLPFFYLSWKRLGMAFTIKTFIAIGLTSV IADVQSRFLSISSIHPAWAALLGGLLLGFGLLALYRHRASLGGVGILGIYLQERFGIR AGLVQLAIDMCVLAAAFFVTTPPVVFYSVLGAVVLNLFVAINHRADRYIAL YP_002974078.1 MSDLEKLVRRRMQEEYAKGASAEEIAKVVRDLFNSVDLSGNRFD AVAVRATRDRE YP_002974079.1 MQPRFNFAKAAPDAYKAVAALEQYVQSSGLERRFIHLIKLRASQ INGCAYCVDMHVKEARHDGLSEQWINLMCVWRESPVYDARERALLGWVDSVTNIAKTG APDADYETLKAHFSEEEMTKITVAIGAINIWNRLAVGFRSQHPLDAAAKAA YP_002974080.1 MKIGDGVEQAIHSVAMLSGLSEGGVLSAAALAEFHGVSTSYLLK HLQALSGAGILDTVPGPKGGYRLAKAPKEISLLDIVLAVEGSAPAFRCAEIRQRGPNP VSDRLFAQPCTINAAMLKAERVYRAELAKTSLADIGIELAAVDDGSIAARGCAFLEIH ERKTAR YP_002974081.1 MASNAFAGLLNSSADRHSLFDDAQGIVAGSMLAVLGVTLLSSAG LLAGGTAGLAFLAHYATGFSFGLCFFVVNLPFYYLAFRRLGLAFTIKTFAAIALTSVL SEFISGFVSMAHVDPIAGALFGGLVIGAGMLALFRHRASLGGIGILALYIQDRLGWRA GFVQLGFDGTILALSFFVASPFIIACSVLGAIVLNLTIAINHRKDRYIAM YP_002974082.1 MKARGSSFSLAFHYLPGVDQIDSEARTLLPAAFTRWFAEKGWRP RAHQLELLARAEAGESTLLIAPTGAGKTLAGFLPSLTDLTRRGKIPPGSAFTGIHTLY VSPLKALAIDIERNLMKPVEEMGLPVTVENRTGDTPNAKRQRQKLNPPDILLTTPEQV ALLLANREAERFFKDLKYIVLDELHSLVTSKRGHMLSLGLARLRRLAPGLKTIGLSAT VAEPMDLQKWLVGQEEGGEHHAGLVVVEGGAKPDISILSTEERIPWAGHSARYAIPDV YNKLVEHRTTLLFVNTRSQAEMLFQALWTINDDNLPIALHHGSLDVAQRRKVEAAMAE NRLRAVVATSTLDLGIDWGDVDLVIHVGAPKGASRLAQRIGRANHRMDEPSKAILVPA NRFEVMECQAALDANYIGAQDTPPVGRGALDVLAQHVLGMACAEPFDMLELYDEIISA SPYADLSWETFERIIDFVATGGYALRTYERYARIRKTAEGRWRVSNPAVAQQYRLNLG TIVESPMLNIRMVKRGEGGRIGRGGATLGKVEEYFLEQMSPGDTFVFSGKVLRFEGIR ENECLASQAFSLDPKIPSYNGGKFPLSTYLAEQVRAMIADPDRWRRLPDQVRDWLSLQ NDKSMLPKRDEFLIETFPRGSRGYMVAYPFEGRLAHQTLGMLLTRRLERIGAKPLGFV ATDYSLAVWGLEDMGLMIRNGRLNLSDLFDEDMLGDDLEAWLDESFLLKRTFRNCAVI AGLIERRHPGKEKSGRQITVSADLIYDVLRSHEPDHILLQATRQDAATGLLDIGRLGD MLRRIRGHITHRALDHISPLAVPVMLEIGREAVPGEAHDALLAEAADDLIAEALA YP_002974083.1 MNRLALARDISGLAAIPGIETSVNGIAAVCDPLGALYLPDAGLL VVSDLHLEKGAAFARRGMMLPPYDTLATLTVLAAVISRYDPKLVISLGDNFHDRIGSK HLPENFRTLIVNMARGREWIWINGNHDPDGIVDLPGTSADEMHYAGLTFRHEPKNGLQ SGEIAGHLHPSATVRRREKSVRRPCFATDGARLLMPAFGLMSGGLDLGHQAMKGLFDK ALLVAHLLGRDRIYSVRYGNLRG YP_002974084.1 MRTRIVLTAVGLALLAGCATAPKQTRNICAVFDERDGLFSSWQS AAERTEKKYGVPVPILMATMYTESGFQPYARPPRTKLFGFIPWTRPSTAYGYSQALDG TWDHYQSQTGNWTARRANFADAIDFIGWYHYQNSVETGIPLNDAYNLYLAYYSGPTGY KRGDWRSNGQLQQTAQKFARMAGTYQRQLQECD YP_002974085.1 MRKLIVWNLMTLDGYFEGTKPWDIDFHNLAWGPELQRYAEQFGR ESDLLVFGRKTYEGMASYWPTAEGEGEIKTYMNGIAKIAVSRTMSEPGWNNARVVSDP IPELTRLKQEDGKTILIFGSAELADSLLKAGLIDEVRVCLVPVILGGGNPLFKQAEGQ LPLKLIESSTTEGGAVILRYEPVKA YP_002974086.1 MRLAAAFIALLCLLPASAGAQWLPGQATEAVREGLEIGTSTSEI AITSDFHGADLTIFGALSNTDQLLLAVGQYDVVVVLEGPREDATVRKKERVFGIWVNT RSMTFEAVPHSYSMSSSRMIDDIVTPLDLTDQGIGIDHIPLTPVGFVGDGSNLGEFRE AFRRLQQGGGLYDRNPSGVRFVSSNLFKASLRLPANIPNGVHSVRAYLFKSGLFVTEK SLPLRVIKTGIEQTITDAAHDQPILYGFAAVLLAVITGWGASLIFRKD YP_002974087.1 MTIYLPIAELSVNIFIILGMGAAVGFLSGMFGVGGGFLITPLLI FYNIPPVVAVATGANQVVASSISGAITHFRRGSLDVKLGTVLLVGGLTGATVGIWIFS LLRAIGQLDLIISLMYVVFLGTVGGLMLLESINAMRRAARNEPPAPRKPGHQHWVHKL PLKVRFKKSKIYLSVIPIVALGFAIGILTSIMGVGGGFIMVPAMIYLLRIPTNVVVGT SLFQIIFVTAYTTIVQAATNFSVDIVLAFILMVAGVIGAQYGVRVGQKLRGEQLRALL GLLVLAVGVRLAIALVVTPADVYSVVMGAGN YP_002974088.1 MNGSRSNPSRQSDRTSLDALNRTIEGLEARIEGLMGSGREQRPR TAAAERDPYAASHAPRPAKAPPEPRPDPLAEIRQRQRALEASRERPYAREQAPQLREP APRAAAPVPAPALRAGNDTMTEIAQALVNLRQDLKRDISEGVTREMNALRAELREIKT NAGDGRFADDMRADMGRLAQSITQLTGRSVAPEATGLREDFEELRSLMDGLAREDSLR HMENRWDGFENRLAALDTEGLQEELVSLAYRLDDIKRHIGGMGESPAVRALEDKLISI ATAMEHFGNMIQPHDRVMSEQFAAMDMRLDEISRAIAASGRTATTNDPGLMQRLENRL EALADQIDLMSHDAASRVNPADELAMRLEALTGRVEEMTKAEATSRLDERLEHLSYLL ERTQETAPQADLTGTLSDISRKIDALENGAVNDVLAQRLDHLARRIDEMAHQQQAPAP AAVADDSAFLRLEGRLSDIAARLEESTSAAPTDPRALKNLEDQIANLSALMSAPRESA VIPPEFDQRMGAIEDYMATSDEYIIEAARQAAEAVVEAYSRHGGPQGVMPAADMSALT ALAEDLRHLEDLSRDSEERTHKTFQALHETLVHIADRLDGMEDRGRPLAQMPVADVDF DVDPYALMVAEAELNRTPATAQTAKASPVIRTAEVAAEPAAPAQATAMSGTSAIAIEA ATRTTEVATAARAQAPAKASLLASLGKRLLPGKKAESRATERPMIDPAPSIDPTDVVP TDAANELLEPGSGAPDVKKILERVRASQSAARGKPAGETDRADYIAAARRAAQAAAME VDANPKQAAVKAEKKGANADKAGKTSDKTGKTSAFSRYRRPILLAVGAVLLAIMAFPL ARTLTSGERAPQPPAEVSALTGAAENPAPPLPEATPAQPDAAALGTTAPAAEAVPPAA EQAQPEATPPDAGEHLTDVAPLDGEGAATLAPPGPSGAAQETSGFVPNAPAAAAPAPA ITIPDTVQPKSLADAASSGDTLALFEIGARYSDGRNGMTVDQKQAASWYQLAADKGFA PAQYRLGSMYEKGNGVERDITKAKGFYEQAANQGNASAMHNLAVLYASGALGQQDYAT AAAWFTKAANLGITDSQFNLAILCARGNGVPADLEESYKWFAIAAKGGDKDAAQKRDE VAKAMKPDQLERARAKADLWKPEPVDHRTNAIDIPDEWAGTGAKTASVDMKKAVRNIQ AILNNNGFDAGVPDGEMGAKTVTAIKNFQKSVGQEPDGKVTDATVKALLERNKQAGKA I YP_002974089.1 MPRLDHVTIETRDAPVMIGFLETLLGVREGYRPPFASPGHWLYL DECPVIHLSLTSRSTDFPPGIFNHVAFSLYEFEPALERIKATGYRYEYYDIPDTDLGQ VFVYGPEGVKIELQYPRPA YP_002974090.1 MTDFPYESALIVGAGSGISASLARQLSAQGVKVGLAARNIEKLQ GLIEETGASAFTTDVSQPASVAALFEEAAAAIGGPDVVIFNASARQRGPLAELDPADV EKAIATSAFGGFLVVQQAARRMIPRGRGAILITGASASVKGFAQSAPFAMGKFALRGL AQSAARELGPMGIHVAHFVIDGAVRSQIRPDRVEKPDGTLLPEAIAQTYIDVLRQHRS AWSLEVEVRPWTESF YP_002974091.1 MPVYKAPVNDTLFVLNDVLGLERYNNLPGFADATPDMIEAILGE AGRVAEEALFPLNYSGDQEGCTRHDDASVSTPKGFKEAYKAYREGGWIGLAVPEEFGG QGLPYTLHTAVGEYTSAANMSLMMYPGLTQGAIAALLVHGSDEQKATYLPKMVDGTWS GTMNLTEPHCGTDLGMLRTKAVPQADGSYKISGQKIFISAGEHDLTGNIIHLVLARIE GAPEGTKGISLFIVPKFLVGKDGAPGARNAVSCGAIEHKMGIHANATCVMNYDEATGF LIGAENRGLNAMFVMMNEARLMVGLQGIAISEIAYQNAASYARDRIQGRSLSGPKAPD KKADPIIVHPDIRRTLMTIRAFNEAGRAFLLWTALKSDIAHRASDEKERQTADDILGL VTPILKGVMTDKGFDHAVMAQQVFGGHGYIEEHGMSQYVRDARIAMIYEGANGIQALD LVGRKLALNGGRAAMALFKEIGDFCEENRGNEKLSFFTKHLKKGLNDAQGATMWFMQN AMAKPDNAGAGSTDYMHLFGLVILGYMWAKMAKAAEDGLASGDAAREEYLKNKLVTAR FFMERLMPETALRKARIEAGADTMMELAAEAF YP_002974092.1 MTEVFIYDHVRTPRGRGKKDGALHEVPSVRLAAKTLEAIRDRNG LDTATVDDIIMGCVDPVMDAGAVIPKAAAFEAGYSTKAPGMQISRFCASGLDAVNFGA GKIAQGADDIVIAGGVESMSRVGLGMSGGAWFMDPSVNFPAYFMPQGVSADLIATKYG FSRTDVDAYAVESQKRAAHAWEKGWFDKSVIPVKDQNGLTILAKDEHMRPGTDMQALA SLNPSFQMPGEMGGFEAVGIQAHPEIERINYVHHAGNSSGIVDGASVVLLGSKAGGQS MGKKPRARIKAFANIGSDPALMLTGPVDVTEKLLKRSGMSLSDIDLFELNEAFAAVVL RYMQAFDIDHDRINVNGGAIAMGHPLGATGAMILGTVLDELERRDLNTALVTLCIGAG MGTATVIERV YP_002974093.1 MGFNTGTIETEYAEVSEHWSPRVIADLNGQSVKLAKVRGQLAWH SHRDEDELFLIWKGALTIEYRDRPHVHLKSGDFHVVPRGVEHNPVAEEECWIVLFEPS LTKHTGDVVTEKTKTLDAQRSHLPA YP_002974094.1 MTYTNFTLETDADGIALVTWDMPGKSMNVFTAEVMAELDAIIDA TTADAAVKGVVFTSGKSSFSGGADLSMIKSMFSSYQEEKAKSPETAVQNLFGLVGRMS GLFRKLEISGKPWVSAINGTCMGGAFELSLACHGRVASNAKSVKIALPEVKVGIFPGA GGTQRVPRLANAQDALQMMTTGQSLTGSRAKAMNLVHQVVEPDQLIPAAKQMIKDGLK PVAPWDEKGFKAPGGGIWTPASAQLWPAAPAILRRETSGNYPAALAILKCVYEGLQVP FDTGLKIEQRYFTEVLQTREAFSMIRSLFISMQELGKGARRPAGHAKTELKHVGVVGA GFMGASIAYVTAAAGIPVTLIDRDIEAATKGKTVSEGLVKDSIGKGRLTQDEAAALLS RITPSADYADLANADLVIEAVFEDREVKKAVIEAVEAVLPEGAIFASNTSTLPISGLA KNSKRPADFIGIHFFSPVEKMMLTEVILGSDTGDKALAVALDYVAAIRKTPIVVNDTR GFFVNRCVLRYMSESYDMLIEGVPPVMIENAAKMAGMPVGPLALNDEVAIDLSLKILK ATVADLGEKAIDPRHMDLISSMVEKEGRFGRKNSKGFYDYPPKPAKKSLWPDLKSFYP QKQADEVDVNVLKQRFLVTIALEAARTVEEGIVTDPREADVGSILGFGFAPYTGGALS YIDGMGAKAFVELAEKLAGTYGKHFKPTPLLKDMAAKGETFYGRFDPYAKVGKAA YP_002974095.1 MQPSTDILAFADPMEWESWLCLHHAASTGAWLKIGKKNPKRTLI TIDEALDVALCYGWIDSQRKGFDAHSYLQRYSPRRAKSSWSKLNVDRVAALAETGRMR PAGLAEVAAAKADGRWAVAYAPQRDAGLPDDLAAALAENAAADAAFARLDKTGQYAIV LPLLKATTPEVRVARLGKAITRLAQAE YP_002974096.1 MSTGTVKWFNATKGFGFIQPDDGAADVFVHISAVERAGMRDLKD GQKLSYELVRDNKSGKMSADRLQAA YP_002974097.1 MEGDAFSAFAITALRLAGHLTAAGDQLAKPAGQTSARWQVLAAA RRGGMSVAQIARALGVARQGVQRLADVLENEGLIAYADNPQHQRAKLVRLTEEGAARL GVIEIAQAGWADGLGAAFTSAELDAARVVMARVMEMLEGGEA YP_002974098.1 MKKTYRGSCHCGKVHYQVDMDLEEGTGRCNCSICAKRRYWGANV KPEDFRLMCDQAETSDYQFNTMSGHHRFCRTCGVPAYGDGYVEQIGGAYVSINIACLD DITPEELAALPVRYADGRHDAWWNEPAVTSYL YP_002974099.1 MKDEANARIEEEAIIAMLMMRAKALGEKNASDALSYEAEDSVEF SLAPPLVYHGQDEAGLQAWFDTWEGPIGGEVRDARLTVGEDVAFWSGLTRMSGTKTDG TAVDLWFRQTLGLVKQDGRWLVAHQHASVPFAMDGSGRALLDLKP YP_002974100.1 MFAAAKSTENSPRPSIGFHALTLATFFGASAAPTPLYRIYQESF SVSPVLITVIFAVYAFALLAALLIAGSISDHLGRKPVIFFALVLEIAAMGLFVVASGP AWLIAARIVQGLATGIAGASIGAALVDVDRAKGQIVNSIAPLCGMAVGAVGTSALIQY GPFPMHLVYALLLVAFTLQAAAIWLTGETGGTRPGALGSLIPRVTIPQQVKRPLSLVT PINIANWTLAGFYLSLVPSLVASTTGSRAPLTGGAVVTALMVSGAIAVYLRRSKTASA NLVFGVSAKTLGILTVVAGVHLANVPLLLVGTIFTGVGFGTNFLGSIGTIMPLAKPDE RAGLLSAFYVQSYLAFSLPAILAGFLAKSAGYALTTDIYATAILLLMGVGMVSIRADR RRVAESAA YP_002974101.1 MTVKENLRPGGRSARVQASVHKAVRELMAEMSRAEVTIPLIAGK AGVTPSTIYRRWGDLQELLADVAVDRLRPDMQPIDAGSGKADLETWAEQYAEEMSSGP GREMIRDVLAAQAGANACKCAEFTRQQVDVIAERAKARGEAFPDVDRVMDQVVAPIMY RILFGDVPATARVRDLVARVMSATD YP_002974102.1 MLPGWVIFASAFGYLLLLFAVASYGDRKNRSPGTLDRGRGTLEG GWPVVYALSLAIYCTSWTYFGSVGLAAQRGLEFAGIYIGPILVFTLGMPLLRRIIELA KAEKLTSVADFVAARYGKNPTVATIVALISLIGAIPYIALQLKAISSTVSAMVNPSDY GIGSGNLYFLDLPLVATLVLACFAIMFGTRHTDATEHQDGLILAVSMESVVKLVAFLT AGVCVIWFLFDGPTDLWRRTVDNELVMSALNYHTPISRWITLIILSAFAIILLPRQFH VTVVENRTPKQLKLAGILFPSYLIAINLFVLPVAIGGLLTFGGSGNADFYMLSLPLAG QMPVISLIIFIGGFSAATAMVIVDSVALSIMVSNDIIMPIFLRRKLAGRASLRDNFAK TLLNIRRSAIFAVLLFGYAYYRSTDSTAGLASIGLLSFAAIAQIAPALFGGLIWRRAN ARGAILGLSSGFIIWIYLLFLPSLGGPDYSYVASAVLGFIFPGTTLFTAPDADPLVNA TAMSLLVNTAFFVVGSLTRNAKPLERIQAGIFVKRHSRSQFATRGWKTRISVGDLKAA ISRYLGEERMQRSLTTYEQSSGRKLEDEQPADMALIHFSEQLLGSAIGSSSARLVLSL ILQKIEDASSDTAWLLDQASEALQYNQDMLQTALSQMDQGIAVFDSSNRLTIWNRRFR QLLDLPESAGQVGFPLSDIVTTLIQRGDIAPGDLSQTVRHFLTLDKPFSLVLGGGERI IEVRSNAMPDKGIVATFTDITQRVSADQALKQANETLEQRVAERTAELTRVNRELGEA RAAADEANIGKTRFFAAAGHDILQPLNAARLYSSALVERMAQSENSLIVRNIDSALES VETILGAVLDISRLDTGAMRPRLASVALSDLLERIQTDFAPIAREKQLKLVIMPTSLR VRSDPNLLRRLVQNLVSNAIKYTISGKVLVGARRRGNQVIIQVIDSGIGIPPSKFRTV FKEFARLDEGAKTASGLGLGLSIVDRIARVLNHPVELQSTHGKGTEFRIAMPLDVSRP AAATAAITPADRPGQPLSGLKILCIDNEPKILEGMRLLISGWGCEVEALDCLADVIAL DGQEGPPDIVIADYHLGDGTGIAAILHLRRQFGADIPALLITADRTPEVRSEAERDGI AVQHKPVRPAALRAYITQISGLKRAAAE YP_002974103.1 MLNEFKAFIARGNVMDLAVGVIIGGAFGGIVKSLVDDLIMPIVG AIFGGFDFSNYFLPLSSAVNAQSLAAAREQGAVFAYGSFLTVLINFLILAWIIFLMVK GVNTLRAQVERQEKVAPEELPAPPADVQLLTEIRDLLATRPTA YP_002974104.1 MSIVSSLSPRALAAPESGIVELVNYARGREGLLPLWVGEGDLPT PDFISRAAMDALASGETFYTWQRGIPELRQALSDYYDRHFGIRLPVEHFYVTGSGMQA IQIAVQALTSPGDELVYLSPSWPNIAAALEIAGARSLSVELQFEGGKWAVDLDRIETA ITPKTKGIFINTPSNPTGWTATKQDLGDLLALARKHDLWIMADEIYARYYFAGGRAPS FLDVMEPDDKIIFVNSFSKNWSMTGWRVGWIVAPPEMGQVLENLIQYSTSGVAQFMQK GAVAALDQGDDFVAANIAKAARSRDTLCDALVATNRVETLKPDGAIYAFLKIDGVADS RTAALDIVDKTGVGLAPGTAFGSGGELFLRACFLRDPTQVAIAAERLCDYILKL YP_002974105.1 MAVLVTGGAGYIGSHMVWALLDAGEDVVVLDRLSTGFRWAVAPA ARFYLGDVADPDILKKVFIENDIEAIIHFAGSAVVPVSVADPLSYYDNNSGKTRALLS ASVKAGIRNFVFSSTAAVYGQQKTDLPVKETAPLNPENPYGQSKLMTEFMLRDAAAAY DFNYVALRYFNVAGADPHHRAGQSTSGATHLIKVACEAALGRRDSVNVYGVDYPTHDG TGVRDYIHVSDLTDAHLKALQHLRRSKGSLVANCGYGSGYSVLDVLNMVTRLHGHSFK IHMAPRRAGDSASVVADASLARQVLDWKPRYDSLETIVQSSLDWELFLSNKNVDDLHS IHRALAAASF YP_002974106.1 MKNFLASLQLQKDNPTLLLAQFRALSSQIPILYVLLVINALAVA ITHLKSAPLWLSLYIPVALSVVCVFRLCWWEIRGKENVTAERAYRLMKLTISGAGILA VAFGSWAIALYQYGDASQQGQIAYFLVVTGISCIFCLMHLPMAAALTTVITFSAMVAT FLFSGNPVFVATAISGLFLILPFLRVINSYFQNFVGLVQLTEELKQKQAEAEELNLVN SRNALHDQLTGLANRRSFFLSLEKRLQKDSSTPPVLGILDLDGFKPVNDVFGHAAGDL VLKETARRFVALVGEEGIVSRLGGDEFGIIFPSLMTRQAIADLGQALCAAVRDPFEIP DGSVRVFGSCGIVYPDIGTYTAEDLYEKADFALYQVKSKRSSGVEFFSAEHEKILTQR HLIELELQANDFAKELKLDYQPIVELRSGRVVAYEALARWDSARFGRISPDAFIPAAE RTAVIGRITRILFAKALEALAIIPRHLRLSFNLSARDICDHETSMALLAMITRSGIDP KRIEFEITETALLSDFDTADQVISMLRAAGISIALDDFGTGYSSLSHIHRLGFDKLKI DKSFVMNFDRDARCMNITRSVANLCQNLGIASVAEGVESEEIAEGLKAMGVRLAQGYH FSRPLPLELAIDYAARCEAAASARNSLSA YP_002974107.1 MQDGEVIIERRGTAGVIRLNRPRALNSLTLPMIRTITAALHDFA GDPEVASVVATGEGERGFCAGGDIRALHESARAGDGLAASFWREEFRLNHMIASYPKP YVALMDGITMGGGVGLSSHGRHRIVTERTRLAMPETGIGYVPDVGATWLLPRGPGEAG TWLGLTGLDIGAADAIHARLADLQIASSRLGEAIDALSALPRASSSGDVDAVLQALPE PQGESRLRQNAAMIDRAFCFDNVEEILATLAEEEGEFAAETRRVLLTRSPTSLKLALR LLRAGRSSASLAECLGRELGACLRMLDNPDFFEGIRAAVIDKDRNPKWSPASVEAVEV ARVEHFLKPAEPPLSL YP_002974108.1 MYLEKLNPQQRMAVEHGTLIDGSHIAGPLLVIAGAGSGKTNTLA HRVAHLIVKGADPRRILLMTFSRRAAAEMARRVERICRDVLGANAGVMADALSWSGTF HGIGARLLRDYAEQIGLDPGFTIHDREDSADLMNLIRHDLGFSKTESRFPTKGTCLAI YSRAVNSETALDLVLRDVFPWCATWEKQLRELFASYVEAKQSQNVLDYDDLLLYWAQM VGEAMIAEDIGSRFDHVLVDEYQDTNRLQASILLALKPQGQGLTVVGDDAQSIYSFRA ATVRNILDFPAAFSPAANIVTLDRNYRSTQPILAAANAVIDLASERFTKNLWTERQSS ERPRLVTVRDEAEQARYITDMVLDNREEGLTLKQQAVLFRASHHSGPLEVELTRRNIP FVKFGGLKFLDSAHVKDMLAALRFAQNPRDRVAGFRLMQILPGVGPSTAQKTLDLMAE DASPITALGAMPAPPRSGEDWTMFVAMLQELKTGKAGWPAEIGLARQWYAPHLERLHE DAATRQADLLQLEQIASGYASRERFLTELTLDPPDATSDQAGVPLLDEDYLILSTIHS AKGQEWTKVFMLNVVDGCIPSDLAVGTTAEIEEERRLLYVAMTRARDGLDLVVPQRFF TYGQNSQGDRHVYASRSRFIPATLLQFFEACSWPQVKSEAAQAHARQVRIDVGARMRG MWR YP_002974109.1 MKALLPALFAGAMLAGCSSADTRALEPIPGSITYGGQPRTKLAK SPVGSAVYNQFYNGTGQRVEETYILQPDRSLKLVRREIGPDFPD YP_002974110.1 MLGFPAFTGHGEPGRQGHSDAATRASVESALHAAADFEAGEITV SISGSYVILEGFVRRRGDVERAIEIAEGVVGRGYVRARLLRR YP_002974111.1 MQLLPRLWATTLIVGCGVFAGSAHASLETGTNYSALPKDQIALN EYTGTVACQPVEPHYLPSFIRAADGTIIGVGYVEIENESGADC YP_002974112.1 MATERWNSPVKVGFEGADARTVNGPFDALKCLADLWPSMRGLRY IKARSACRAALDGRKSVEEARAEFLAAAEEAKLKLH YP_002974113.1 MPVLARGAEVSAEDALLTRLSIETVPRLQREYLRATLTPQDEGT AIDVAAYVLSKTFDRWYVEGIFDGAFSWLHAPIKDEVLVTRELIELWQFSPFISYYLE VTEIPDCANNSVLLPSCH YP_002974114.1 MNEKTETGQQSRKQAIEAQAKLRRERAADKLRENLGRRKQQVRA RRSGQADETNGLPAAKMDES YP_002974115.1 MDRIRIVGGNELNGIIPISGAKNAALPLMIASLLTSDTLTLENV PHLADVELLMRILGNHGVDVAVNGRRERQEDAYSRTIHFTCRTIVDTTASYELVSKMR ASFWVIGPLLAREGHCRVSLPGGCAIGTRPVDLFIEGLTALGATMEIDAGYINAKAPA GGLIGARYTFPKVSVGATHVMMMAATLARGTTVIGNAAREPEVVDLANCLNAMGARIS GAGTATITIEGVTSLSGARHRVLPDRIETGTYAMAVAMAGGDVVLENTDVALLETAVE TLRRAGAEISSTNNGMRIKRNGAGIRPVDIVTDPFPGFPTDLQAQFMALMTRSSGVSH VTETIFENRFMHVQELARLGARISLSGQTAKIEGVQRLRGAPVMATDLRASVSLVIAG LAAEGETTVSRVYHLDRGFERLEEKLTRCGAIVERISE YP_002974116.1 MTDLKLVALDNEDLAIMSAHMQDSVFKVGDIDWSPRDAQFALAA NRFVWEGAERKRKGFERRRAALVFKRVLAVRSLGIDRGKRDEVLSLLALRFEQKGEGP EGTIELSLSGTASIALDVECIEVQLADIGGAWEASSKPRHR YP_002974117.1 MAIWLDQASEGFEQHFAAFLTTKREVSEDVNVVVRTIIDDVRAR GDVALAEYSLKFDSIDYATVPMRVTAEEIDAAVEAVPSEVLGALKLAALRIESHHRRQ LPKDDIYEDDMGVSLGSRWTAIEAVGLYVPGGTASYPSSVLMNAVPAKVAGVDRIVIA VPATGGAVNPAVLAAAKLVGVTEIYRVGGAQAIAALAYGTETIAPVAKITGPGNAYVA AAKRHVFGTVGIDMIAGPSEVLVIADKDNNPDWIAADLLAQAEHDVSSQAILITDDAA FGKAVEQAVERQLKTLNRAETAAASWRDFGAVILVADLKQAIPLANRIAAEHLELAVA DPDRLLDGIRNAGAIFIGAHTPEVIGDYVGGSNHVLPTARSARFSSGLSVLDFVKRTS ILRLGPQQLRTLGPAAIALAVSEGLDAHARSVAIRLNLER YP_002974118.1 MAKGEFRLCDVVLDDTIGRSTPDVEHERAVAIFDLIEENRFEPL GHAGGPYRLNISLVDSKLVFAITTEEGGGVATHILSLTPFRRIVKDYFMICESYYEAI RSSTPSRIEAIDMGRRGIHNEGSQTLKDRLAGKIEVDFDTARRLFTLVCVLYWRG YP_002974119.1 MELAVDVEEGKRPGAILFMCGMNAIRSPMAEAIARSILPANTYI RSAGVRAGERDPFVDVVLEEIGLSLGRRQPQTLEELEDDYFDLIITLSPQAHHAALEL TRSSAVDVVYWPTMDPTVAIGTREQILESYREVRDHLAGLIESRLLKRNGIAAQSA YP_002974120.1 MPKEEVLEFPGIVTELLPNATFRVKLENEHEIIAHTAGRMRKNR IRVLAGDKVLVEMTPYDLTKGRITYRFK YP_002974121.1 MALKYKLILASGSPRRVDLLNQAGIEPSRLMPMDIDEAPKKSEH PRSLARRLSAEKAEAALAAIKGDITWKGSYILSADTVVAVGRRILGKAEFADEALSSL HLLSGRNHMVYTGVCLVTPDRKIRQKIVETKVRFKRLSGFEIENYLASGQWRGKAGAY GIQGLAGTFVQKMVGSYTNVVGLPLYETILLLTGEGFDVHSRWPEG YP_002974122.1 MPEDKKAAAKVEPLRKTRPCPECGKPSHREHYPFCSNRCREADL SRWLTGAYAIPVADDETKADYPDEEN YP_002974123.1 MGEIQKLTIDGKGYVLLSEDDYQDLVDVSDARAAKARIDAGEET WPEDVVKALIAGEDPVRVFRKHRGMSIKELAEKSGLSQPYLSEIETGKKEGSLDALRS IASALDVDLDDVA YP_002974124.1 MGKRKFDDDQITGILKEHQAGVTVADVCHKHGISEPTFYRWQSL QFGNVGLHAKRLRALEEENQKLKKLLAESMLSAATLSEMLAKTLKGRH YP_002974125.1 MALVQLDATPAKFSNSSRTSQQLVPRSTATARQQTAYLPVIESK QRGPAPPSPMPGLRGVVWTGNLLILVFIVGLGIWSVLAPLKSAAIASGVVEPESSRKT IQHLEGGIVRRILVKNGDAVMGGQIVIELDDTKSRSERDSIQGQLWDAEGSRARLLAE QTGGDHIAYPEDLRAAMDKYPSVSTILIGQQKIFEARRRVMQAEIQIANEKIAQVRQE IVGLGAQKAALADRATISSQELDQVTILSAKGLERRSRLLNLQREKADLDGQQGQVEA QISRAYQVISGSQADLAKLESDRLSEVAQGMRDTESRIMQLRERLRAIDDQLSRTDIR APEDGTIMNLRIHTSGGVIGAGEPLVDLLPRSDRLVVSAHVRPEDINLVHAGLEAQVH LLPYNQRRVPLLKGRVEYVSADRLTDPQSGQPYFAATIRVTDERLAKMKDVELVAGMP AQILIETGKSSVALYAVRPLLDSFNRAFRED YP_002974126.1 MTTISIKPPRPPTQLVVALRACAGAFGLVFLYSCGYNLFLLAPS IYLLQIYDRVLSSRSADTLLMLTMIIAIGVMVGSTLDIVRRAALSRIGSWLDHRLRPM VLTASFEYAARADTGAATECYRDLAALRQFLDSPASSLFFDVPWAPVFLFLLFLVHPL LGTIGLLSALALLLFAFLTELATREPLAYANLALSRSYIRFATALKNIEVIRAMGMQD GAALIVYRDAEMARRAQDIAMHRTEIILGFSKSIRTLAQILMMGSATWLVLANNGSAG IIFVASLLLGRGLAPIEGAIGAWRSFTFARNAFNRLNRMLISVASEHDARMVPLPEPN GLVLDNVSYIKPFADRPILTGITLRLAPGDCIALIGPSGSGKSTLGRVIAGVVQATSG CALLGGVDISALRLCGGTRHVGYLPQDIELFGGAIKDVIGRLDGGDPGKAIDAAKLVG LHDAIMRLPQGYETDIGEGGNLLLRAQRQQLGLARAAYGNPSLIVLDDPNSSLDYDGE RMLFTAIERMKSRGMTVVIMTHRMGILPVTNKIAIMRNGTVAAFGDSERIYETYLQPP SRTGT YP_002974127.1 MPIPQISDTIHLNNPDAGDANAGNGGDGHNDGNINYNPVAYVDP VQTVYGADTHLHNGDHVWQKAGWDAGSGGDGGFAQAKNGFLAAVTNSGDGGAGGDSHS NGSQGNSSGGDTATVNAATTATQYTQLVADQHATILAGVGGNGGNGNNALGGDISSAL VHTDPETTTVNNSLDHFINAFGHIDVDHLGS YP_002974128.1 MAETRSLVEDLPKAGTDQHLASNLAAGHLALVPTWLPLDVASGP SSNSAGSGGDGISEGVISSNALAVFMPSNAAIAGPHSGADAFQGNDALINQHPTEMAG IGGNGGSGNVAIGSGDGANHAGTGGNGLFYGGLVSTEVALFAPVNTAVAAGPGATAHA EQSNNALFLQGATQIGGMGGSGGDHNVASHGSSMSPGTALTLTGDFYAGHGGDGYFVG TMVDVSIAIFSPINIAIGAAGGSAEAHQTNNVIFDQGAVQIAGIGGNGGGFNLSSDTI FTGNHALGGGGDGVGSSTGSMVDVNFGYFHPINIAVPAGGTADAQQVDHVLYDQHALQ LAGIAGHGGDGNLTDAHSALVDDILSFMHG YP_002974129.1 MNACWFDLSLQTFQPSPNSRTASGSTKLSKALKRQLICYRSISE SAIGYNELPIGSALFSYLNSKQSQTRQQNDKREKQQKLEIADYPL YP_002974130.1 MSDITGTRRRIGRNAPTVIIIEHSTLARTTVVKLLERELAGWNV IDLISTESLESALGAEVRLIVLGLAGRSVESTSLRDDLAAIAARFPEAPVTLLSGTDD AIIARQALKMGLRGLFSTSLPIDIALAGLRLVLAGGTFFPQLLGAVTAVPNDHTPVRN EAEKSLDERTQYLAIADFTPREADVLAELQCGCSNKVIAGKLNLSGHTVKMHLQHIMR KLQAQNRTEVVARLIQRAAGGPDVSPS YP_002974131.1 MRKRKAIVEAALESEYERQALGIMNTEQALKLEDSDGLVFSHPD KEAGVTDHFVDQDELRRLVRRSTPPLSRLQSQPRTTRSS YP_002974132.1 MEFRGKTVIVTGAGKGIGREIVRMLVERSAQVVALTRSAADVEA LRGEFGCRAIQVDLADADATREAAIAALPADFLINCAGTTELQPFLETTVEAFDRLVA VNTRAPMIVAQEYARSLIKEGRKGAIVNVSSVASFVGIPDHAAYCASKGGLDGLTRVM AKELAPHGIRANGVHPTVTLTPMAVKAWSDPEKAAGMMKRIPVGRFAEPADIAEVVLF LLSDQAAMVNGISMPVDGGYMIA YP_002974133.1 MANVQVSDVTKKYGSLQVMHGVSVDIEDGEFVVLVGPSGCGKST LLRMIAGLETVSSGDIRIGGRVVTDAPPKERDIAMVFQSYALYPHKTVAENMGFPLKM AKRPKAEIDEKVGRAAEILDLTRYLDRYPKQLSGGQRQRVAMGRAIVRDPKVFLFDEP LSNLDAKLRVTMRVEIKELHQRLKTTTVYVTHDQIEAMTMANKIVVMRDGRVEQIGKP LDLYDFPVNLFVAGFIGSPSMNFLEGRIATRDGRKIVVTEQGITLPMADTNAEEGRAV TYGIRPEHITIGEEGVPVEVSVFEPTGSETLIFGRTGGVPIDALIRERIEVDPGRTMY FHIDPRRAHIFDRETGQRL YP_002974134.1 MTSQSRNNERKPGFLPIETNWFDRLFISIVIWVALSLFWMRFIE PFGPSVWFAAAISAVLGAYVVWKG YP_002974135.1 MKKTRFPWLPVVLWTLISLATLFPIYWLFVISVKQPFDLFSTPD VILRSFFWKNYQDVLTNPTLRGYMLNSMIISSGNALLVTTLGFLACYALTRFDLAGKE SIFFWTITNRMAPPAVFLLPLFLLLTQVYRIGDFSLADSKLGMILVYCSFNLPFAIWT LRPTVEGIPKELDEAAYMDGASPWTVLYDIIFPLARPGLAVTLILTWVFAWNEYLLAA TLTNFHARTLTTGLSEYVTTTGTAWGIMAAISMLTLVPALIVFSVVQRHIVAGLTFGA VKG YP_002974136.1 MHSQKLGWLLLSPTIVILGLFGIFPFIYVLWVSFHSWNPFAANP GMIFNWAENYRRLVFDPQFLASLGITLTFVFFAVVSELVLGYILAQALLKDFPGKAVF RTIHTLPLIMAPIIVGSVWKLMTTPSIGIIPYLLRSWFGYDLNIGQSAVAAFTVTVIM DIWHWTPLVTLSLIAALVSLPSDPFEQAQIDGAGKSQIFWHITLPLIRPAMLATVFIR LMDALRTVDEVLMLTGGGPGSSTRYIGVHIFKEVFPKTNYGYGSAISVIVLYLTIVVC WLLYVGLIAPRVKRG YP_002974137.1 MNYALKASALALTLSLAATASPAWADFWSDAGAKFKGVTLHGVT ESTPPSNYIRNVLAPEFEKKTGIKVDIETTSWDQMYDKAIKDMEAKTGIYDMVYIEQD IIYSYLARNFLVDITKTLKDQPDLKAPTYDDANFTSFADYFKDASGDLFGVPMEAFLK AYLYRKDLFEDPKIKEAFKKETGKDLKPATTHAEYTEIAEFFTKYGKDNGMELWGTTA QAHTGHPASWYEFFESIAPTFGVYNWGIDAKNNYAATVEHGGAMNGDKAKAALKYWLH LRDIAPPESTQSTWTETATTFAAGRVAQGLIYGENAAWIASDPAQSKVVGQVGFALPP LEPGVLDDAKAGKGYIGYYDGGAFGVPVTSKNKEASLLFLEFIGQNEVQPDWAIAAPR ITNKATFDDPKVKEMDVKLGGFYTMLKDDGKLFAGAPPYPFHAQVREATAPIFYDILT GKIGPDEGLDQMAAKAEEELTSLGYRK YP_002974138.1 MRRLGIHSFVWTGGQTQEGLEMALNKTAEHGYRTIEFAYLRPEK FNLDRLAKLAQSLDVEIGVTMGLPLDRDVSSEDTSAVSAGKQTLADAVRAVRDIGGNK LGGILYSAHTKYNRQPTKKGWDNSVAAIAATAEVARQANVDLVLEVVNRFETNLLNTA AQGLKFVAETGSEHVRLHLDTFHMNIEEANPAAAIRLAGDKVGYFHIGESNRGYLGDG VINFDLIFDALLDIDYKRDIVFESFSTTVVDEGLSLACAIWRDTWEDNDPLAAHAKRY IELKYDEAKRRRATNARP YP_002974139.1 MNDTVSIGSSPRRIRQNNIVAALQTIYAHRSLSRADLARKLGMN RSSSGEIVAELTEGGFVQESDESGKQRLEHSRAGRPGIMLELVPDAAFFVGIEIGVEH ISAAVIDLSADVRACRKMAFDTMSSTVEKAVAEGVELIVSAMEKRMIGRCKGLGISAP AHIRPDGIVTLAPIIGWREVSLKEIGRSAFPAAVPIAVENDANAFAIGDSYRHGVSGV TLFLLMETGVGGGIMIDGKLFRGGHGLAGEIGHTLVPGSGGQKFEQLIGREVLIRQYR EAIGRKHVDLQEFLGDVHDRVPAAVNIAETWSRHLAYALLQACRLLDPDRIVLGGSVA SLYPMVAARVAVHMSEGQSIPFPTPEIVVDDDAEFGSAFGAACMLHQRFLSLESEEFG GEDGAPIQSATS YP_002974140.1 MTSVLAPDPLGPTVCVGEILVEIVATTVGDGFLKAQPLVGPFAS GAPAIFISQCGRLGGKAAMVGAVGDDDFGRVNTDRLKRDGVDVSTISIDSDYPTGSAF VRYRKDGSRDFVYNIATSAAARFGWSQAVGDLIHRSGHLHVMGSALSVPSARAVIDKA VDIVKARGGTLSVDPNIRKELKLNEDTERRFSKLVAAADLLLPSGEELERAAGVEGEA EAIRRLFEIGVKEIVLKRGAEGATYFGRQGDRIDASAFVVQEVDPTGAGDCFGGAYLT CRRLGMSPQQALTYASAAGARNVTVLGPMEGAGTQQELDAFIASTERRP YP_002974141.1 MQVHLNELAKMRIEGHPRGVTSVCSAHPIVLRAALRHGRQQAST VLIEATCNQVNHLGGYTGMTPSDFASLVRKIAVEEGCPENLIVLGGDHLGPNPWRDRP AEEAMAEAEKMVAAYVDAGFRKIHLDASMGCKGEPVALDDETTAHRAARLAAVAEASA KKRGGAMPVYVIGTEVPPPGGADHALTTIDPTAAAAALKTIEVHRRIFAEAGLGQAFE RAIGLVVQPGVEFGNQNVILYDRSKIDALKSVLTEEPQFVFEAHSTDYQGTRPLAALV EDGFPILKVGPELTFVLREALYALDAIASHLLPDYGQRPLYAAMEALMLDQPGNWSRH YHGTNAEMRWLRHYSLSDRIRYYWASAEAQEAVGRLCEALRGQIVPLPLFWQHMPAAQ EFADAPLDPEQVLIWRVTKSLSDYHAACGVGKN YP_002974142.1 MTELNGKIAAVTGAASGIGLASTEAMLAAGATVVLVDRDEKALE TVCARLGERAIPLKINLLDPGECAGLLDGILSKTGKLDILHANAGTYIGGDLMETDLD TIDRMLNLNVNVVIKNVRSVIPHMIERGTGDIVVTSSVAGHSAIPWEPVYSSSKWAMT CFVQTMRRQLLKSGIRVGSVSPGPVISALLADWPEENLRKAKEAGALIEPKEVADAII FMLTRPRNVTIRDIVVLPSAFDI YP_002974143.1 MSYQQKFRLDGERAVVTGGGRAIGLCCTEALAEAGAAVVVIERS EADAVQALALRDRGYDVEVRVGDVTDAARMDAIAAELADGGRPATILVNNAGIGQSGI PAQDLTDADWLRMMDVNLNGVFWCSRAFGRPMISMKRGAIVNLGSMSGTICNRPQPQT AYNVSKAAVHHLTRSLAAEWAHHGIRVNAVAPTYIETPMVVAVEANRERIPLWLADTP MGRMGTPEEVASAVLFLASGAASLMTGAIVNVDAGFTCW YP_002974144.1 MKSARLNRLFGVSGNCFDVAIDHGMFNERTFLAGIENMKTAIEV IAQAAPGAIQLPPGTAPMLQAIPGKQRPALVLRTDIANIYGNPLPSQLFSEMIDRAVE QGVALDAACVVVNLLMLPDQPEVYRACVRNVNSLKRECEIYGMPLMVEPLVMQDNSKG AYMVDGAIDKILPLVRQAAELGADIIKADPCDNVEEYHRVVEIAQGLPVLVRGGGRVS DQEILIRTKQLMEQGARGIVYGRNVIQHQNPAGMTRALMAIVHDKASVEQALLHIG YP_002974145.1 MTKIFRFGVIGCGLMGREFASAAARWLHLADVKARPEIVAVCDT NATLLDWFRDHVPTVRQFAADYKELLANPEVDAVYCAVPHVLHQQFYIDVLKAGKHLL GEKPFGMDAAQNRDIMAVLAEHPELLVRCSSEMPFFPGAQKVIALAKSGEMGDIIEVE AGFLHSSDIDRQKPINWKRMADINGDYGCMGDLGMHVLHVPLRLGWRPTTLHAQLVKK VTERPDGKGGMLPCTTWDNATISSRVRTGDQDFPMVLKTWRIAPGESNTWYLRVLGMK KSAFFSTKSPRQWQWMDYNGGVQAWSTEDLGYGSLFPAITGKIFEFGFADAIQQMWAA FVDELVGGNANGFGCATPAEAQAHHAVLTAALKSGLEDVVIPVEYDGASA YP_002974146.1 MKRSEINAALLRATETLERWHWSLPAWGSWTAADFAAHPEASAY LRAHQLGWDVTDFGSNRFAECGLVLFSLRNGVVDIRGERTYAEKLLFVGEGQVTPTHR HAAKMEDIINRAGGDLVIEFAATDADGNVLAEDVTVPVDGLQHRLAAWEPLVLQPGQS VTIRTGLYHRFYGRKGGGPVLVGEVSQVNDDNSDNFFLEPIARFAAIEEDEPPLRPLW NEGVS YP_002974147.1 MRAGEEVHDRDSQKQGGIVCAGNFIVDRVHTLSYWPEQGNLAHI LHQDLGVGGGAANVVTDLASLGFPGKLAAAGCIGADQDGEIVKARLAVAGVDVVGLTA LADRVTAHTHVMNVPGQNRTFFYHGGANDAVTDELVSPAAFAKAGYRLFYLGYLMLLP GLDRIGPDGRSGASRLLEAARRAGLTTCVDFVSSEDPEFAAKVGVALPFCDYLIINEM EAGRATGVIVRDAEGDLTEAGLLEAGERLLAAGVSKGAIIHAPETCFWFSPGASPIMT RSRPVDPDDIVSTVGAGDAFCAAVLYGLHENWPVEHICAVAHAAAARCLKGATATDGI PDMSVLIREAKETNPQSA YP_002974148.1 MTHTETARLSGKVALVTGGASGIGKAVCQRFAAEGARVVVADLD GERCARVAEAIGPDVWGAALDVTRQDSIEEAVRFTISTAGQIDILVNAAGIYDVESIL EISRERTARVFQVNIEGLIFMTQAVARHMVERGEGGRIINFSSQAGRRGEGPAVAYCA SKAAVISITQSCALELIRYGINVNAIAPGVVDTPMWDVVDAKLGSREGLRPGDVKRRV AAAVPAGRFGAPQEQAAMAAFLAGPDAAYIVAQCYNVDGGNVMS YP_002974149.1 MRAVRLESIGSLTMRSVERPVAGPGELLVRVAVAGICGSDRHMY KGEYPTAIPVTLGHELCGIVEAIGDTVTRFTGGELVTVDPNIACGTCRACTQARPNLC ESLTAIGVTRDGGFAEYVAVPQAQAFVLPAGLDPVHGAFSEPLACCIHAIDKARIRPG DSVAILGGGVIGLLMVQLARLAGAGEIILITRQQSRRQTALRLGATHAFDPTSSDTIA SVREVTKGGADVVIECAGVSDTLQSGLKMARRGGTFVLFGVTPAGVEVPVLPFDLLVN EVDIRPAYLNPFTHSRAAAMVASGVLELDALVTKTISLEEVADVVGNAPLPGEIKVIV RP YP_002974150.1 MTTSIRHIAKLAGTSVSSVSRVLNNSGYASPELRERVEAAIRTL NYTPSKGARMLRGAPSRMIGLMLPSVDVPFFGILAHAIEQELFQHGYQTLICSTAENM DHEVRYISMLLAQRVDGVIVASAFGSIEHFGVLRDAGIPIIAIDRELSGIADDAVMAD HEEGGRLMARHLIDLGHRAIAIVGAPAHSQPIQLRLQGITAEMAKDGIAPAIVAMAEE HSFAETYRLARELLASRPEVTAIIGTTDVSAIAAIHAIQDRGFSVPGDYSVVGFDDLP EAAYVFPRLTTVAQPIRNVGQQAARLLEALIEEHQTGDESRQGAIVKVPVTLIERDST GLVRN YP_002974151.1 MNDTVLLFHGIARTKKSMAKLAGFLSGHGYRVVNVGYPSTRFSI SDLIDIIRPEIDDAVKKAGDGRVHFVGYSMGGLVIRAFLRRYRPAKLGRVVMVGTPNN GSQIADFLKSWPLYRKIYGPAGQQLITDQTAMTELFGTVDYELGIIAGNRTIDPVSSL IIGLRVPNDGKVSVESTRLGGAAAHIVIPANHTFLPVNKTMWSQALSFLKDGRFTS YP_002974152.1 MTAILRKKVHGASTRGQVIDGAPDVGVAYQVFSDTVIEDVIVKN SPRGFKFHNGKNLTVRRCETENVNGDGIYLTKTTHVLLENNRIGAAPGEGADCCQFAY QNSDDNISSDIVIRGNLFLQSPASASNKGALVCDKTRQYLVEYNFIGGKNFSFSSIGD DAVVRSNIMRDGRMNDYSFGYGVGDKADHAGHHIYDNWIENTNRGVSLSGFSDQELAF RKDIDIHDNVISQCDIAFFANRRWSGSFRRNIFLRCEQDIVLKGNGKATAGDIDGNYR NDGSFLNVTPPPLRLKPDGNASVASGEWTSEPDEIRIQWRNKGIDIPGANRPSFTAEP GMELSCVLLARKDQNWMLAIAETAYDDFTPRAWQEHKLPWQKRYLSI YP_002974153.1 MHQAKKMTSNNRRLVGLDGIRGLAAICVAVHHTEGFVGFDAAPA AYLAVDLFFCLSGFVIGHAYERKLIQESSLSANDFAIKRLIRLYPLYLLGLLLGVLAY AGMSLSRNQQIDPMLFATSLIASMFFLPAIGGTSLKAPNLFPFNGPSWSLFLEIVINL AYGYAARMLSSGVLALILLASWVWLAAQTLAHGSADLIPLDGALLSGFPRVLFSFSLG ILMYRYRQRLSPSGIGKAAAVTTIVVATALLVFQAPAAWVGIKDLIVITALFPIAVLV LYTANFDGVLRQPLLIAGEASYALYAIHVPLLGLLLGAWKAAGLGQPPAWAIFAIVLP LIVLLAIVVTRLYDEPVRKALSAGKAMRLRWRRS YP_002974154.1 MSTSFDSYQPIGLQRHRPRARAQYRIRRETLVRILLSAIFYISL WRATGMWYGLPADFQEGVGKDPMPMRLLLMSLVPLIGLYCLLEPRRVVEMLRSASPLV GIICLCVFLSIAFSVSVTASLRALVAVALLTAGPLLYRARYGAVDTFESLAKFAIATA FINILYTAAFPRFAVMRGSYAGMVKGAFYHKNMLGQFSATNFILLLPALPMWRLRYYD LLRWAAMLLYLVLIVLAKSSTAIILLSIGIAVYYGMSWIQRFPGRIFRSFVVLLAFAL LGFVVSVALMGVAQAIAESFGKDLTLSGRTNVWEQLLPLIYERPFSGWGFALFRQPDI MEQYVRLTWDAQSTHNTYIELALNMGIPATIIWTSFVVVRLVGKMTSSPLNQALAITK RKEVVIILLILIGAFTEAGMMLAPFILWPHTVIALTSLGPEFLSRFRKPTQAGAQHAS G YP_002974155.1 MLRLFANLVIVLALNFLLIVGNAGPSFAQECPDPAKATNASAQQ KQASKRLNRALPSYRVKSARYNLALVGDSLVELWQPWIKDSFPTRPAMNLAVAGDTTQ NALYRLDDLDLPDFHPTDVVVLIGTNNLGAKTAPCAVAAGVVAVVSKVRALWPGAHIY ALSIPPRGKRYAFYEDVRIPANQLVASSLAEMPGVTFVQMNDDMLRCGKPSDKDCSNY KPDHLHFNEGAYKLITSFLAAAGLQL YP_002974156.1 MARQTFWKGYLKLSLVTAAVSLTPATTESNKVRFHVLNRQTKNR VESRYVDSVTHKPVAERDQVKGYPRGEDDYVLLEDEEIEEVGLESTRTIEIDNFVPRG SIDWIWYDKPHFLAPEDKVGVEAFCVIREAMKANDVVGIARLVLYRRERAVLLEPLGK GIVLWTLRYGDEVRDPVAELDNKTEIDSKLLALMTQLVKEETKNWSPAMVQDPIQKRL KSMIRGKQKSLKKAAPAQKPAPVKSTGNVINIMDALKKSLAAEGGQKPRQ YP_002974157.1 MAARASWKGHLKVGDLACAVGLYTAVSSSDRVSFNIINRRTGHR VERQFVDSETGKPVERGDQVKGYQMENGDYIVIEGDEIAEIMPESDKVLNIKAFIAYD DIDKLYFDRPYYLAPVDEHDEEALSLIARGMRDGKVAALAEAVLFRRNRTLLIRPHDD YIVATMLNFDYEVRSAETVFEDIPDIKFDKEMIALAGHIIGTKQGSFEPSTYEDRYEA ALVELVKAKIEGRAPPKKKPAPERKVVDLMEALRQSAKMSGNAPAKKALAKKKAPARS DSRSKKAS YP_002974158.1 MADITYQVVPHDNGWAYKLGDTLSETYATADEAIIHAKDAASRQ KIGDGDALLAYPAPDGRGWAFQELETDKSGSRL YP_002974159.1 MTDVRSMDNQGRRMDARDRLIVALYAQLKAERETRETLEWAIRN GAVSQEVLEAIAADPVPVVTSEDIASLEKIIALDERRKANRN YP_002974160.1 MADLKARPRPTGATAVLGRTGFPHVTSATKGEIDIVTAPSQPGF NPLDLLYSSLSACLVLSARIAASQMGILDKINEITAEVTGEKATEGLSRVAKFNIAFS IKGDIDEETRQKIAHAAEDEICTVSNTIRGNPEFSTTISGVRLNLHNNYRQSRAEDCV TNARLLCSGIESNDPGHHAAQASPDV YP_002974161.1 MQPKPLPTSEQPVVAIIGGGVSGAGVAYHLARANCGERLAIVVF EPRAELGRGLAYDTADPAHRINVPAAKMSLQPDDLGEFLAWIEVRDAVAGDPEARQPD GSLFPRRRLFGDYVASLLKPLLEDGSVRHCRAAVTAVERCAGRWSIRDDRGGVTKADI VAIATSHPPPTAPSRLGSRLAAHPRFVADTTKPNALDVIRPHDRVLVIGNGLTAADVI ASLAQRGHDGSVTAISRRGLRSRGHPLVPQELFGDFVSSAAHSAVSLLRGIRTAIGAA KAQGISWHGVIDQVRAQGYDLWQALPVAERRRLVRHLRPYWDVHRFRIAPQVEAVLDA AVTSGRLDILAGSVADARIEGELILCSLQPRHQRQPLERRYDAVVVTTGPAHGGILDT QPWLAALAANGHLALDPTGLGLACTERSEAIGPSGKVDPSLLISGPLARGTFGELMGL PQVTEHAFFVAGEIAEKLRTSATNRHPA YP_002974162.1 MSQAAPTLSEASPSNRFALAALLLGGAAIGGSPIFVRLSEVGPM ATAFWRVALALIPIVIVSLLKKERGPKPQSLSDYGMLILPGVILALDLAAWHLSLTMT SVANATLLANLAPVFVTLIAFVFFSARISRGFALGLVLALSGVVILKGGPAAIGNGDL RGDGIAMIAAFFYACYILAIGRLRSRFDTLRIMLWSTTCAAACIFPIGLIYEGHMLPA TVYGWSIVFGLAFISHAGGQVAITYALAYLPPAFSSLTLLLQPVVAAILAWALLNEAI GTMQALGGAVVLAGIMIARTSRVQV YP_002974163.1 MVSGIHHVTAVTRKVQANVDFYAGFLGMRLVKQTAGYEDATQLH LFYGDGEGTPGSLLTFLAWEDGAPGRAGYGQISEISLSINPTSIGYWLTRAMSFGLSS EGPADEFGEPVLRLKDPDNIILKLAGAKSLVSPAAWDGASIPVEHAIQRVRGATMLTE KPTESRSFLESHFGYRFQASRGTIDRLVSQSGDIIDVRDARGFWSGAPGTGTVDHVAF RAADEETLLVVRKALEATDASPTNMHDRKYFRSLYAREPGGTLVELATDKPGMTVDEE QAALGGKLFAPPEAITNLHDLKVMLPQFSMPGQPRVNYRELPFVHRFYTPPDPDGSVF VLLHGSGGNETTLMPLLNKAAPRATLLGVRGRATEEGFPRWYKRITPFSFDQNDIKTE AEAFAAFIEGAVKSYGLDPQKVVYVGYSNGANLLNSLLYLHPHLVHKAVLLRSMPVLS DYPHADLKGTDLLVISGKTDAYGKYASELEGRLKSSGATVDSDVIPGGHDLGDADIPI IQKWLLQENR YP_002974164.1 MAEHCSILIIDDNIDDLEVYRRILGRVSSTAYTVVEAETGEEGR ALNGRKRPDCILLDYSLPGRDGLGVLADILEDDPAANVIMLTGQGSETVAVEVMKSGA RDYLTKDSLSPETLHRCIQNAIMHGMLEAKLEQKRQSLEIFTRAMAHDLKEPLRTIKS FTRILHGSAALPAEDRELLDYVLSAADHMEDLIVKVSGFTKLEASGGLELRPVSLSDV LDQVEDNLRQQTESRGAVIIRGALPEVMGDATLLTQLLQNLVSNAIRYCEQKVPEISI TGEAQGDTCRLTVRDNGPGIDPEHRELIFQPFKRLVGRGIEGTGLGLAICRRIAQMHG GSIWCEAENGPGATFILEVPLAEARPVPSAASAVPHSTRPAEQQAEGSGRLAEVLLVE DSPADIQLLKIKLMRREKVNFNLHVATNGREAMRLLEERAGIADLPQIDLMLLDINMP IMDGFEVLHALSADVRLKQIPVCILSTSSDESDMRRARNLGARAYMVKPPTLQQLEEA LEDVENLELLQRGDSLALCAEQN YP_002974165.1 MQQRDTQPILIVEDSEDDFEATMRAFKRTNLRNSIRWAASGQEA LDMLAEMVPKPGLILLDLNMPGLDGRKTLEAIKSNAGWRKIPVVILTTSDDERDIEGC YALGANTYVQKPVDLDGLFAAIQRLKEYWFEIAILPLED YP_002974166.1 MQDQGNVDLQDQPRQHYRAFAIAVGCLMLLLGATALTGWLLQAE IVISLVPGFPSMAFNTALCFVLSGAGLAASTLAARRFRIMAAITMGLAAAIAAARLVE IVTIGRSFHNVDVLISRFLVSPDFLAEIGGGMGPNTALVFLIANLSLLLSLQVEKSRS QVVQELTSYVVITLGMIALASYVTDAEQGYRWGPYAAMALHTAAGMVIFGSGLLARSW WMQPANRAQIPLWIPAAVCFTGLLVDLYTPLGVANGILYVPLVLTALWFGNRNAPLFF AFACTVLLMLGFFAVKHNEAAFWQEIANRAITAATLWLIAILVFYFMRNNYNLETERV RFGALVRGTPDAVVVIDERGTIRNFNPAAESMFGYSPQETIGKNIKMLMPEPYHSEHD GYLAHYRQTGDERIIGTTRMVSGRRKNGIVFPIDVSISAVVTGHTKTFVGIVRDISER VRQEERMKTTLSQLEAYTAELERSNHDLDEFAYIASHDLKEPLRGLHNHSRFLLEDYE DKLDADGVRRLNRLVRLSQRMEKLVNELLYFSRLGRQQLAVKRTDIGLIVKDVVATME LLLEERHAKVIIDGRLPEVVCDATRLTEVFRNLITNAIKYNDKPAPLVSIGYLDRFVG KDGTVARNVFFVKDNGKGIPREFHEDIFRIFKRLEKSQDSDDGTGAGLTFVRKIIARH NGDIWLESEVGTGTTFYFTLGKKREGQNAAA YP_002974167.1 MTTLFYVDDSKDDLFYLDYMSRKQQIDIDLFCFSTAETALEALE TRAAEGKTSPDLLVADLYMPLDSGIGLITRLRLDDRFKAMRLAICSGSDAAEDRARSL DAGADVYLEKPLDLAKIILNLEM YP_002974168.1 MNNAPAIPFHAGPELSRAINRTDFFRLLKSAAQHYNFDNFALAR ISEASAPFGERDVVITNVAERRVGLFITTLKEALGTVRAKTAQFLATPVHGRSAQPEV YPSDLVFNEFLSGVFLFIPLFTPEGRRYCLVLSGERQEPDQSEIADILLDAMRIFDKL YEEILTPEMSGRLTQRESEIVKWTSEGKTSAEIAIILGLSEHTVNSHITAAARKLDAV NRVHMVAIALRNGLVS YP_002974169.1 MITETGRRNAVKVLFVDDEFIEFRALKKKIADLSEPAVEVEYSP SIGDALEKIRLARFDLILLDNRLLPNADFRETVPELRGIGYTGPIGVVSTDISGGYFQ EFPDYGVDFRIGKDEIDAQTLQHIIREYVTYDVPDFWKDDYSI YP_002974170.1 MSSNPTRPPETTVAGDSEERIKRFLATASHDLQSPLRHIAMYAE LLLDDLEETLDGEQLQSLRMIMEKAQTAQRLTKALMSLAAGTPQVTPEEVDLQALAEN VWGELTDETAVGAATLESDGLPSIRTDPALLGLVLRHLLTNALTYRGEAPPHVAIAAE RERTDWFIRISDNGTGIDPAYRERIFEPFWKLPKAGAVPGAGLGLTTAREFLAALGGD ISLERSDESGSRFIIRLPIA YP_002974171.1 MRTSRWLVITYSVIILLGLLIALPNVLPQSVLQRVPAWLPHEQV SLGLDLRGGSHLVLEVDEADLTKERLQSLLQDARRVLREKGIQPKAVVRSQNQIVVTL ADAAQSDAAVTELKTLANPISTGLSAGQADLAVTANGATITVGFSPAGISANVDNAVQ QSLEVIRQRVDQVGVSEPTIQRIGANRVLVQLPGAQDPSRLRELLGSTAKMSFHMLSP NNAPGPGVTMLKDDEGRSFPVLDRVEISGDRLSDARVSFDPNTHEPIVSFRFDSAGAS RFAEITRQNVGNPFAIVLDDKVLSAPVIREPITGGSGQISGSFSADSATTLAAMLRAG ALPAKLTVIEERTVGADLGADAIKMGIYSGIVGFVLVAVFIFVLYGTWGFLANIALLI HTILTFSALTLVGATLTLPGIAGVVLGIGLAVDANVLINERIREETRKGKSAFAAIDT GFRRAYSTIIDGNMTALIAAAILFFFGSGPVRGFAVTMALGLIISMFTSVAFVRVAMI EITRRSKLKVLNIRPLIPFSPYDKHIQFMKARFFGITVSALLSIASIVLFIHPGLNYG VDFRGGIQMAVKTTGPADLGTFREGLNTLGLGEISLQSFGDKNSILVRAQRQEGGEEA QTAAVTKLKAEVTKIDPTATIEGTDVIGPKVSGELAWAGILSVVIASFAMLIYIWVRF EWPFAVGAIVTLVLDVTKAIGFFAITGLDFNLTAIAAILTLVGYSVNDKVVVYDRMRE NMRLYKSMPLREIIDKSINETLARSLYTNATAFLALVPMAIWGGSAVSSFAIPMVFGI LVAGASSIFIAAPILLFLGDWRRRHAKASATDAAVEIIPPEQGRPRKSAS YP_002974172.1 MELEQHRLTSRIAASVLVAVLAYLGLLFGGNLVISPAAAANTLS SSGRDPQPPQLTARDTVRGLLATERKLVPKQTAHDGGSPALAAAPTLNFAGWNISAAF PAFEASLHAAVSRTHQPRAPPVAA YP_002974173.1 MPHDTPLISTIVGGLVLAFIFGAFAHRLRMPPLVGYLIAGVLVG PHTPGYVADQNLAPELAEIGVILLMFGVGLHFSLKDLLSVRGIAVPGAIVQIAFATLL GWGLGAFMGWPTGGSLVFGLALSVASTVVLLKALQERRLVETERGRIAVGWLIVEDLA MVLALVLIPAAASIGGEGHAPVEPLSAALSRLFGLDLGIGGMIAMTLVKVALFVALML VFGRKLIPWTMHRIAHTGSRELFRLGVLAIALGVAFGAAKLFGVSLALGAFFAGMVLA ESELSHRAAQESLPLRDAFAVLFFVSVGMLFDPNILIDKPLPILATIFIIVIGKSVAA LLIVLAFKKPLSTALTISASLGQIGEFSFILAALGVELGLLPEEGRDLILAGAIISII LNPLLFFLCDRMRPLLDGAKREDVAADPAPAADAIAAPEEIPPEDDEVHPTALRGHAI LVGYGRVGSIVGQNLKSSATPFLVIEDSDKRIGELKAQGIETLAGNAVMRETLDLANL SGARSIAIAIPNAFEACRIAEQARSVNPSILIVARAHSDAEVDELKQYGADTVIMGER EIALGMVDRLAQVHHESVPYEDSHGPDTIAPTDDPPPERE YP_002974174.1 MTYMVYIDQYGQLEIMGQGARETILTPALCRAARGLLDWTQTDL ADRAAVSRSTIRDYEGRHHDIHRATEAQLRLAFEEGGVKFVEIQGAGTGVYLPTA YP_002974175.1 MSPRSGLLIVLGFTLWRVVMLNFDTTDLFVDEAQYWFWSQNLDL GYYSKPPMIAWVIRAMTELSGSNAIYWIRLLGPLIHMAAALVLMKTAKRFVGPEIEGW TGATYITLPGVALSSVFFSTDVILLFFIAIALLAYFGLTQRRSVGLALVMGLGVGLAF LTKYAVLFVVPGGALALLLIPAARIAIRDFIIAVAVAAVVALPNLWWNLQHDNTTVRH TQDIAHWSELGINLRRGLEFFAAQFGVVGPIIFFAMLWAVYRMIKGRSDDREKMLVWL SMPVVVLITLQATVAKAYANWAVTAYVAGTILAVWLLYLKWPKGLRLSLTINGIASLL FPLATIFPHQLLLPNGDALMKRYLGRAEVSRETAALAAQAGTDIIVTDNRDMVADLFY TLRDASYRIYARAPAGLPESYYEQEFALPADITGKVLFLTDGAFTCATETPEVLKNWQ PTEGNYKGKTLSIYKVSAACLAP YP_002974176.1 MIVLGLGACLIAMTLLAAVARLDSIAANRDIRALRVF YP_002974177.1 MPSDQARGAQAGSLRDRLRFAGLDTDQCELVRRNRPALEAHLKA GLRDLFHRFQSFPDASRNFESERQVERLHDLQSSHWDVLTDARFDSLYAERVKVLSDT ESKMGLDPRWHVAGHGVMLEHVVSGLAEQIAGRPLLPSAKRRTREISDLMTAIIRIVM VDVEIAVSLRFNALRAGEQRALADQRAANEAEIIRIFGDVIEGLSARDLTRRAPVDGD GAYGGIAAALNGALDGLQAEFTAITERTVKAEAATGSLAGLSRQFAGTASGQADRLQL SAAALAGIAGSVRDGAADSRAAEQAAATTRAAVEESGEVVGRAISAMADIEQSAEKIG QIIGAIDEIAFQTNLLALNAGIEAARAGDSGRGFAVVAQEVRALAQRSAEAAREIKTL VTTTKAQVDAGVQMVGRTQDSIGSIVRQVTDINAAISGIATRTGEHAASLDSVTSDVK GLGGEVADSAGLAERSAEGADHLHSVILELGQTIREFRIARENATAGRPAPVRVTPPR ALEGATRPAPVVDEYENDDFGLPQAFASVGGGRNVY YP_002974178.1 MAAKKSGKTLDLTAVLDLNEASALRDKLLSLRGSGLSIDASGVE RIGALCAQVLMSAEKTWEQDKQPFTFSKVSDAFQKTMQLVGVNIDHLLAKEIRQ YP_002974179.1 MKKKVLTVDDSRTIRNMLLVTLNNAGFETIQAEDGIEGLEVLEE SNPDVIVTDINMPRLDGFGFIEGVRRNEKYRAIPILVLTTESDAEKKNRARQAGATGW IVKPFDPAKLIDAIERVTA YP_002974180.1 MDMNEIKEIFFQECEEQLAELESGLLKMNDGDRDPETVNAVFRA VHSIKGGAGAFGLDDLVAFAHVFETTLDCVRSNKLEPNQDVLKVMLKSADVLADLTNV ARDGGSVDESRSRGLVRELEALANGEMPSPSASVEAPAPKAAAKAAPAPTPKPTDDSG FQPVPFSFDDFGGEGDAGGMPAYEVIFKPRYELYSKGNDATLLLRDLSRLGEMTIYCN TDDLPGLEELDPEGAYFFWNVTIKTDKGEDAIRTVFEFAEWDCELTVKPVEEARAEAT SNDELPMVPVPFDLSILDEAGAIEEVSASDARAEETAAAVAAAETASNVTQIVAARVE KKESAAAAAAAASAAAQNNAAGAGQTIRVDLDRVDRLINLVGELVINQAMLSQSVIEN DTTGTSSINMGLEELQQLTREIQDSVMAIRAQPVKPVFQRMSRIVREIADMTGKSIRL ITEGENTEVDKTVIDKLAEPLTHMIRNAVDHGIETPEKRAAAGKNTEGTVRLTAKHRS GRILIELADDGAGINREKVRQKAIDNDLIPADSNLSDEEIDNLIFLPGFSTADKISDI SGRGVGMDVVKRSIQALGGRINITSKPGHGSVFTMSLPLTLAVLDGMVVTVAGQTLVV PLTAIVETLQPEAAAIHSFGANHRLISIRNSFCPLVDVGRILNFRATQANPVEGVALL VESEGGGQRALMVDAIQGQRQVVIKSLEANYTHVPGIAAATILGDGRVALILDVDAVV GASRGQSLKAEMSLAAVG YP_002974181.1 MSYTVKNLNEGDRELIAFRIGDQEFCVNIMSVREIRGWTPATAM PHSPGYMLGVINLRGAVLPIIDLAARLGMKPADPTARHVIIVAQVRRKVVGLLVDAVS DILTVTDETIQPTPEISSELERQFARGILAIEKRMICLIELESLFPETESEAA YP_002974182.1 MSVMGAKDQRQGSDEVLASGEYPLTRRDLTEIAAMIYSDAGIFL NETKASLVYSRLSKHIRNLGLSGFREYCDLVASPAGAAPRREMLSHLTTNFTRFFREN HHFDHLRDHVLPELLQRARSGGRVRIWSAASSDGQEPYSIALTVLSLMPNVADYDFKI LATDIDPKILAIARAGAYDESALETVSPAMRKQWFSEVEIQGRRKFQVDDRVKRLITY NELNLMAQWPFKGKFDVIFCRNVVIYFDEPTQMKIWQRFAGLLPEGGHLYIGHSERVS GEAKHVFDNIGITTYRYTSKGLGRKA YP_002974183.1 MSAPARVLVVDDSPTMRGLITAVLSSDPEVNVIGQAGDALEARE AIKRLNPDVLTLDIEMPNMNGLDFLEKIMTLRPMPVIMVSTMTHRGAEATLAALEIGA FDCVGKPAPGELRPFGDLAEKVKAAARTQRQYSQPVAAVAPPPSVGDFRVGRKIVAIG SSTGGVEALIAVLQKFPANCPPTVITQHMPPTFTKSFAERLNRLCAPVVQEATDGARL EIGKIYLAPGGERHLQVSNASAPCCRLIDRAPVNGHRPSVDVLFDSVAELAGRNAVGV ILTGMGRDGAAGLLKMRHAGARTLGQNEKTCVVYGMPRVAHELGAVEQQLPLSAIGEE ILKMTAARKEGTE YP_002974184.1 MSIAEKIKVLIVDDQVTSRLLLSDALTQLGFKQITSAGDGEQGM KIMAEQPHHLVISDFNMPKMDGIGFLQAVRTNPNTKKAAFIILTAQGDRALVQKAAQL GANNVLAKPFTIEKMKAAIEAVFGALK YP_002974185.1 MITEGAARRVHIIQGEYKVLNDPNAVLSTILGSCVAACLRDPVA GVGGMNHFLLPGSATSPTSGGDATRYGVHLMELLINGLLKQGARRDRLEAKIFGGAKT ISTFSNVGEQNAAFAVQFLRDEGIPVVGSSTGGEHGRKLEYWPVSGRARQYPLTGAET QRTVALEQRPAAPQKPVETSIEFF YP_002974186.1 MTFTQMMETPAPVEALSDILMRIVSELHDVAYLIERIEPQLLDL GSAEILNSPDAMKVMQGIDLAVQKSRGLAEFIDTITGEIPHGWAVDVATALSLVKLAE MQKALGGATRHGHSQPLSKAAGDFDFF YP_002974187.1 MNLLNQLVQIFKNFGSLGRTRLMILGGVGAVSIAIVLAAALFVN KPAQETLYVGLDSPDLNQISMALAEANINFQVGTDGTSISVPAGMTGKARLMLAERGL PNSANAGYELFDNVGSLGLTSFMQEVTRVRALEGEIARTIQSISGITAARVHIVMPEV GNFRKAEQKPTASVMIRASATTGRSAATSIRHLVASAVPGLDVDDVTILDSAGQLLAS GDEASNSSLNRSLNIVQNVQQEVESNIDKALAPFLGMDNFRSSVTADLNTDAQQIQET TYDPESKVERSVRSTKEAQQSQQKQSDSATTVEQNIPQAAPEAGGSAGPESQDKSDKR EEQTNYEINSKTTATTRSSYKVEKLSIAVVVNKGRIAKMVGEPADQTKVDAYLAEMQK IVASAAGIDAKRGDVVTVTAMDFLENQLLEDATGGVRVMDMLSRNLAGIINSLAFVAV AFLVVWMGLRPLVRSVSGNGSSAAFGDATPEAAGLELPDFAPASGAPGGALMDGFGSD FGFDSTEDLLSLGDDDGNFNRRVKEGPERKLSRMVEINEERAAKILRKWAIDEAA YP_002974188.1 MDMNVIQASKGERQMANFASAAVPDLLPRDELLQRLHGVASTGR LQSGLRALTDYVGASYYLLARCDLLQESGLDFIVSSDWPFDLVRDIANDLVRGYARST ELEKCMQVFQPNFALLPDSAEVPDGASRQYCSVTFNVGRSRLALMFLFGEGFILSPER LRDVGLLAGYVASFLRCGGTKVDRDFELTDRELECLFWIAEGKTSDEIAMILGISRNT INNYITSVMRKTATKTRSEAIAFAVRNNLV YP_002974189.1 MGYPSGRTMSSAEQLRMVRVNRISSRSDLFPRLIAMQKLADAQG FAIFRVSGSGIPAKQRLVCELENWGSSNAGFGKAFTDAYGDILLDHIDKSLLPLSWAG GYDRAAPGPADFSPFMTRLQDGILPFSGLAFPVRLGAVGNGFILFTGDEIDPSSDTIV ELHGRCCHIMMDLLSLDERRTAAAEALSEREIACLQLAGDGRISEEIADKLGLSVHTV NAYLGSATIKLDSVNRIQAIAKAIRLGYIS YP_002974190.1 MKKCPHSTQREKILADAISPVATELRLLDASDLISLLRFEYYGN LSDLVASAAELFFHPGTVNFGLGGNYTLEWGGKPEVVLDLEIKPRGVTVYAQLTLAED HAGIEINHIAFQNPSADPDENTIFLRRSLRESRYSINQPPQTLAG YP_002974191.1 MADDDKDSKTEAPTAKKQSDAAEKGNVPSSRELSIFATILATFI YLVFFLPDSVGRMSETLRDIFEQPDQWKIETGPDVLALFVRLGWASAALVAPAFILFM VFGVASSVFQNLPTLVLERIRPQASRISPIKGWSRLFSVPGLVEFGKSLFKVVVVGVI LFFVLRSEYFGSIDAMFSDPQTILVRLMAAMRKIIIVMLIATAIVAIADLFWTRHHWF TELKMTRHEVKEENKQAQGDPFVKSRQRSLMRDRARRRMIADVHRATLVIANPTHYAV ALRYAREENDAPVVLAKGQDLIALKIREIAEKNGIPVFEDPPLARSMFAQVSIDSVIP SVFYKAVAELIHRVYAADAKNKRVR YP_002974192.1 MMDFDDFGGALAGKPLTQAEKAAAVLLAMGKGVAGRLLKYFTQA ELQTIISSAQSLRAIPPDELLLLVSEFEDLFTEGAGLMDNAKAIEAILEEGLTPDEVD SLLGRRTAFQAYETSIWDRLSEAEPTFVAQFLLREHPQTVAYILSMMPSSFGAKVLLQ LPDNRRADIMNRTVNMKTVSPKAAQIIENQVMTLLAEVEAERNAAGSTKVADLMNELD KPQVDTLLSSLESISRESVNKVRPKIFLFEDLMYMPQRSRVMLLNDISTDVLTVALRG SPPEIRESVLSAISPRQRRMIESDLQSGMGGVNPREIAIARRAVAQEAIRLANSGQIE LKEKEGDASAAA YP_002974193.1 MATKKTQQNSDLSLDLPGSEADLDQAIDDLRGVLKQDADGDLPQ FGDDPQSDPFAAGTDLAAFGGEASESPFGGDDFGGDFGGSASSAAGMDFGSSPSFEES PAPLGSALNSNFDLIMDIPIDVQIMLGTSRMQVSGLMNLNEGATIALDKKIGEPVEIM VNGRRIARGEITVLDNDDTRFGVKLIEVLSTKKA YP_002974194.1 MTMSNASHDKPAMDPALLAKLTGGLGDRGGVAKICSSFGEIYSE FFPDVIKSETGLDVTVNYLGCEIGYKNHLIEDLSANVTLVDATLRNWSQNITLACGNG FVITLMEHLLGATADTIEEPADRLLSIIELDLAVMVFDKIAKVLRSAVNAPGGFEPSL SAPHALDARARPPEDRPDEFAAAINMSITLAGIVSEFALIVPQTALLKTKVTPPKPKR QATGKSPEWTEQLGDQVRRSHVTLEAKIRLQDLTLRTISKLMVGDVIPFRDHGDVRVE VSANSKELYVCEFGRSGENYMVRVKDTMNSDDELIRHLMN YP_002974195.1 MNIIIGFIVTCGCIVGSFMAMGGEVDALFQPFEFLIIGGAGLGS FIMANPMKVVKDSGKALSEAFRHAVPKERNYLDTLGVLYSLMRDLRTKSRNEIEAHID NPAESTIFQSAPTVLKNKELTAFICDYVRLIIIGNARSHEIEALMDEELNTIMHDKMK PYHSIQIMSDSFPAIGIVAAVLGVIKAMAHINDSPEVLGHLIGSALVGTFLGILLSYC VCSPLVSQIKVVRNKQHRLYVIVKQTLLAYMNGSVPQVALEYGRKTISAYERPSIDAV EQEMMNPGGENKAA YP_002974196.1 MQSGLYVSLSSQMALEKRLNTIADNMANVNTTGFRATEVKFDEM VAATKNKLNTKVAFVSQGNDYLNEGNGELQHTGNMLDFAIKGDAWFALDTPAGRVLTR DGRFTIKDTGELVSIRGYPVLDAGGAPIQLNTKGGEPAVGTDGIIYQADRQVASLGLF EADISKGYLRYENSGIMTTDQPRAVVDRFNVGVEQGYLENSNVNAMREITQLIEVNRA FESVSSLMRDSEDSFKEAVQTLGGSR YP_002974197.1 MSTTLLSEDGLSPKLAHLAGLVDRYASPEFAVAHGGRVQTIAAG HYTVHGLSRHVRLGEFVAHKSATGIHLGEVVRVEPELIYVCPIEPGEPIGIHDTVIRK GAFRIAPTDSWCGRTVNSLCEPIDGLGPIAEGLDRRSISNTAPPSMTRKRVETGFKTG VRAIDIFSPLCLGQRLGIFAGSGVGKSTLLSMLARADAFDKVVIALVGERGREVREFI EDTLGSNMKKAIAVVATSDESPMLRKMAPLTAVTIAEHFRDKGENVLFIIDSVTRFAH AIREVATASGEPPIARGYPASVFTELPRLLERAGPGPEGAGTITAIISILVDGDNHND PIADSTRGILDGHIVLQRSLAEEGRYPPIDPLASISRLARKAWTPDQEKLVSRLKVLI HRFEETRDLRMIGGYRQGADPDLDMAIKQVPIIYDVLKQSPGDRDSLDAFADLAGALK AAAGMGNQGAPIQRRG YP_002974198.1 MADFDDERIASLKQRRKAAILDRFLTFAGLALAGASAFFPWYVF FNADKFGINVASSSNSRELPDWPARNVFSVSPLAMVNKNEADKKAPPIDPLTTATVSD LGKERDGRAIQEDQPFPGKSSFRLLHVSNGRALIEDPSGMYVVRIGSILPDESRLATL EQRDGKWVIVTSKGETYQNN YP_002974199.1 MQPIQLFDLASRQAEWLTIRQQVVAGNIANANTPKFHAKDVTPF DAVLDNSNINMARTNPAHLSGNDFSDSGDIDVKDAALDQEIGVQESGNTVGLAEELSK SGDIKRQYDLNTSLVSSFNRMMLMTVRK YP_002974200.1 MDPLSAAMKIAGSGLEAQSTRLRIVSENIANARSTGDTPGADPY RRKTITFGQQMDRTNGVETVGVKKVGVDEGDFSTEFDPSNPAADPKGVVKLPNVNILV EMADMREANRSYDANLQTIKQTRDLISSTIDLLKSQ YP_002974201.1 MISSVQNVSNLSMTRALGAVDTENSTSSSAATMPGAAGAANGMS FASVMGNMASDAVSSLKGAESMSFAGIKGTATTREVVDSMLQAEQTLQTAIAIRDKVV SAFLEVTKMQM YP_002974202.1 MRALAIAATGMDAQQTNLEVIANNIANINTTGFKRARAEFSDLL YQTERAKGVANRANQAVVPEGANIGLGVQTSAVRNLHLQGELTQTGNDLDVALIGKGF FQIQSTDGTTLYSRAGAFNKNDQGQLVTIDGYEVLPGITIPTGSTELTISRSGQVTAK LPGATEATELGQLTLADFVNEAGLQPLGDNLFQETPASGEAVIGNPDEEGFAYMKQGY LESSNVDPVKEITELISAQRAYEMNSKVITTADEMASIVSKNLK YP_002974203.1 MMFCRAGHISGWVAAATIAVAGIFLPADVDAGMGYAVVPTTIIY PGDTLSSSQLQEVEVTNPNLAGDYAKSISQVEGMVSKRTLLPGRTISVSGLREAYTVT RGSSIRLVLSFGALTISAAGTPLEDGTTGQVVRARNMDSGVIVSGTVLADGTVHVRAK YP_002974204.1 MKLFFRFVTLVAVLAMSLADVVPAWALTSRIKDIASLQAGRDNQ LIGYGLIVGLQGTGDGFRSSPFTEQSMRAMLQNLGISTQGGQSNAKNTAAVMVTANLP PFASPGSRIDVTVSSLGDATSLRGGTLVMTSLSGADGQIYAVAQGAAIVSGFQAQGQA ATVTEGVTTAGRVPGGAIIERELPSRFKDSVNLVLQLRNPDFSTAIRIADIVNGYASA RFGGPVAEAKDSQEVVIQKPRTADLTRLMADIENLIVETDTPAKVVINERTGTIVIGS DVRVSPVAVSYGTLTVQVTETPQIIQPEPFSQGRTAVQPQTDIAAEQTGGRVAIIDGP DLRTLVAGLNNIGVKPDGIIAILQGIKSAGALQAELVLQ YP_002974205.1 MIDIINPDMTVLQLLRRLALPAAGLVLLSIPSAFAQEHAPAGDI TSQDEIKQFCTNIAEPARDQRYLLQKQELEKLRADIDARMAEMDKRKAEYQDWLKRRD DFLKQAEAGLTEIYRKMKPDAAALQLQDMKIEVASAVIMRLGPRQSSLILNEMDPEKA AVIASVIASASDPNTSKDPS YP_002974206.1 MNMRFPAAIAALALLAGCQSPTAVSEIGRAPAMSPIGSGLAYGQ TPQMALYPKQPRAVAQGYSLWSDSQAALFKDARALNVGDILTVDIQINDKGSFDNETN RSRKNSSGMNWDVNAQIFGWTPESKTDLTYGSDTSTDGKGKIERTDKLTLLVAAVVTG ILENGNLVISGSQEVRLNQELRILNVAGIVRPQDVNAENQISYDKIAEARISYGGRGR LMEVQQPPRGQQAVDLFSPL YP_002974207.1 MAEPDASAGQSQKKSGALMTIIGIAVLTLLGAGGGWAVGTIVAP NIKGAKEVEQAKDAEAKKKAEEGLARISTEANNVVQLEPITSNLAYPSENWVRLEVAL LFNGPPDVKVSEDIHQDILAYIRTVSLQQIEGPRGFQYLKDDIQERVDLRSQGRVSKV MFRTFVIE YP_002974208.1 MIRLIVFLAAMMAVPELAVAQQLPTDLLNVPVDGSVAAWIIRTF GLLTILSVAPGILIMVTSFPRFIIAFSILRSGMGLSSTPSNMILLSLSLFMTFYVMSP TFDQAWQNGVQPLLANQINETEAVQRIAEPFRTFMAANTRDKDLALFVDLARERGQNI QTTDPIDYRVLIPAFMISEIRRGFEIGFLVVLPFLVIDLIVATITMAMGMMMLPPTSI SLPFKILFFVLIDGWNLLVGSLVRSFS YP_002974209.1 MRASAIDSHARTFPSRSWVLNSKVSFSAASKDYQMGRYTQSLAT LNQLMDIQQDAKTYALLAKNLVQLGFKADAAKAYGLAGNCEGPNSYEYQKQAAKLHYE TGNEDDALLIAMRNLTKAQEDAELAFIITAIYLKRQQRDIIRPFKTVLSQSANPDHMR LAALLLSDDLNDATNQNLARNIFKRFPGNLAFRFLHLVFAREFNEFEEASKHQAVIDA ALAKGDIEILRKDNPFYHLHWCGNEDFNRYATIGTTPLNQERVAFRRNQPHTWSDKIR IGYMSSDFWDRHATMKLLQRILELHDTDRFEVTLFCHTGPEYLKHNTIDRSRWGRIVT VHGFSDQAVLEAVREHNIDIMVDLKGHTSGSRATAFNLPLAPVHVGWLGFPGSTVNVD LDYVIGDHFVLPEVAKPFYHEKFCRLPESYQPNDPMHRPKPRPVTREQLGLPDDAFIF ASFNGNRKITPETIDSWCRILKRAPNSVLWLMANTPRNQANLLKQFQTAGISAKRIIF CPRAPYEQHIDRQQAADIGIDTFPVNGHTTTSEQLWGGLPVLTVKGTNFASRVSESLL RAIDLPELVAPDLRAYEDMAVELAENPGRIAEYKAHLKEKRYTAPLFDAERFCDHLEQ AYQIMAERAKQGLAPDHMDIPALPPRTAPFAAE YP_002974210.1 MTSINTNSSAQAALQTLRSVNQNLNNTQNHVSSGYRVEKASDNA AYWSIATTMRSDNKALSAVSDALGVGAAKVDTAYTAMDSAIDVVGDIKAKLVAATENG VDKAKVQEEIGQLQQQLLSIAQSASFNGENWVAGADGTKSVVSSFVRDGSNAVSITTT DYVLDSGSAGNVLFGMSGGSVETSTGILGTSSGATGSIYAMDITSFTAGQIQSALTNV EAALKSMTSAGAALGSLSSRIDAQDDFVSALSDSIDSGVGRLVDANMEEESSKLSALQ TQQQLAIQSLSIANSSSQNILSLFR YP_002974211.1 MTSINTNNAAMAALQTLRGINQGLQETQAHVSSGYRVGKASDNA AYWSIATTMRSDNKALSAVSDALGLGAAKVDTAYSAMDSAIDVVGDIKAKLVAATESG VDKAKVQEEISQLQQQLLSVAQSASFSGENWVAGADGTKNVVASFVRDGSNAVSVVMT DYVLDSSSMGNVLFGMSVGSVETSTGILGTSNGATGSVYAMDITNFTLGEIQSALTNV ESALKAMTSAGAQLGSISKRIELQENFVSALSDSIDSGVGRLVDANMEEESSKLSALQ TQQQLAVQSLSIANSSSQTILTLFRG YP_002974212.1 MTSILTNVAAMAALQTLRGINDSLEDTQNRVSSGYRVEKAADNA AYWSIATTMRSDNKALSAVSDALGLGAAKVDTAYSAMDSAIDVISEIKAKIVAATEKG VDKAKVQEEIGQLQQQLLSIAQSASFSGENWVAGADGTKSVVSSFVRDGNGNVSVKTT DYILDTSSTGNVLFGMDSTGAIETSSGLIGTSSGTIGSIYSMDITNFDSPEISMALTS IEAGLEAMTKAASQLGSISTRIELQEGFVSALSDSIDSGVGRLVDANMEEESSKLSAL QTQQQLAIQSLSIANSSAQNILTLFRS YP_002974213.1 MTVKITSAAAVNALAVLRSINKEASQTQQQVSSGYRIETAADDA SYWSVATVMRSDSTNLGTIGDALGLGAAKVDATYTAMDSAIDLIGEIRAKLVSAREPG ADKDKINAEISEYKEQLQTVVESTSFAGENWLLNGDAAAPPTWQVISGFVRAPTGEYQ AQTIDFPSSQTILIDKNNASGGLLTKSVDANAINNSGATSRNYYLLNANSTTPATGTE IAIGKNTTDAQLTDMLDVTDSLLSSLTTTAASIGVMKTRIDDQIDYTANLSDSIDKSV GALVDTDMDEASIRQKAIETQKQMAVEAISILNTAASKILILLE YP_002974214.1 MSIALSRYLKDFGEPESSAPILDMDDFGSDAFPEMASEPAIDVE AERREAYAEGHAAATVELTEKYEREVRMLAEVHARELEELKLRYEVEAAAVIASRIRD IAEEIAQLVSAGAAVAIAPVMTEALAAKAAESLASLLRDAILEGAAGAVVVRGPTRLF DILKAELGEHAEAVRHIETDDIDLAVEIGDAVIVTRMSAWAASLKKVLE YP_002974215.1 MSEGENHHHGKNEIIIVKRHGGGDHDGAHGGAWKIAYADFMTAM MAFFLVMWLVNAANEETKASVATYFNPIKLSDEKPTEKGLKKPVDNAEGEEKQEKSKQ KEEDPNDGKAAADGDDQTSTSGDQTNYSEADFFENPYSVLAEIAQEVGQQANVSAKGD GGAADSGPATGADGGEAYRDPFDPDFWTKQVEVTTAGKPLPPGKSDDQAVESETTEIA KLEDAKPIPLTTDQKAAQETKETKETKGAVEAKDGKTPGGKSPEDKKAEAQKDADHQK DADKSAAETEQQQQKEAEQLQAQIAQQIGGVAGKLAEGLTVTASEGGLLVSISDQTDD SMFNIGSAVPRQEMVLAMEKIGAILKERGGAVAVRGHTDGRQYKGTQNENWRLSMDRA QSAYYMLVRGGLDEKRISQVSGFADRRLKLPSDPFNATNRRIEILVQADQG YP_002974216.1 MARRQHRYVGFVALGLAMLSPAGGNAQDPDDLAPYKMLRSLQFV QDSVVSGDHSAGEMQRFMLGTIDERLRTVDPSTFDDDRNVDAALIYAMSGGNPQTLEY LIAHDVNGYFDNRVTDVLRKYLSGKGLLVAKTLEETAREYRDKKIGPYLALIGGNVLI ATKPTDALDLYDQARLAAPGTIVEEAALRRSVAICVDKGMLDRGMAYSQRYVRRFLHS PYASQFADLFVTLVVGHDHDVKPQDVIDILSFMDAPRQREVYLRIARAAAISGKPELA RMAVGRVQSLGAGTDNPFGSLADFYGGMAGLPTEDIDQAAKNVSGIDGNALSPRDQAL QEAARSVADQILRAPDPASLTQASDPNTDHQEITSEKAAAIATQPGAPGALPEPVPGG VASTGQSQDTDPSFNAFVTTNRSKLDEIDGLLAQEGNEQ YP_002974217.1 MMDMSVSGGAPGAESAATAKSARPAGKDDAAGQKNGFSDALAKA SGSAANDDADDAQPNQGVAADGSAEKAAQSIRGRNGAKPLIDLSDAALKAQAEAQPET IVSADKAAAKPVKAEIKMPTDLGLGKLDPKDSSANGVAPAAKGGKHTKADALETDTDK ASDDDDGGISDVLGLLKQEPADGTVTLPAATAHHVSANVDEAGAADKKIDGIEAKAGG HASDALAAVSGNVESGKADNIKVPGSQAAEAADGKTFRISRADGRGVSMDVHIGTDQV GPKDGSKKADVENVSVLESRRYIGLMQNSNSAAVTAALSGDSEWARAMEPSSALSNAA EWTSTGRVVNTLKIQMNPLDLGLVTATMRLSGDALNVDLKVETGAAYRQMKEDHGKIL EALRSQGYAVENVTISMAPVERSDAGNQGQASQQQSPPQQGQGGEARERHNQTAQRTD GGFNGAGETGIEDARAGGSSGTGGVYL YP_002974218.1 MKTLVLAAAAVLAAFTSEATASTGACEREIQSAAAKYGIPEGIL YSVGLTETGRKGSLYPYAMNVEGKAIFPPSEQDAMRQFDVARNSGAKLIDIGCMQINH YFHGENFRSAEEMFDPHRNVEYAAKFLRNLHDRHETWTMAVARYHAGPNNNPAQKQYV CRVISNLVATGYGKWTLNASNFCRN YP_002974219.1 MIVVVDERELVKDGYTSLFGREGIPSTGFDPAEFGEWVQTAADS DIAAVEAFLIGQGQRNFELPRAIRDRSMAPVIAVSDQHSLENTLALFDCGVDDVVRKP VHPREILARAAAIRRRLKAITNYTEIGGIRVFSDGRDPEINGEVFALPRRERRILEYL IANRGRRVTKTQIFNAIYGIFDEEVEENVVESHISKLRKKLRKKLGVDPVDSKRFLGY CIDWA YP_002974220.1 MSIFGSMKTAVSGMNAQANRLSTVADNIANVNTTGYKAVSTSFS SLVLPSSGGNYNSGGVQTSVRQAVSDQGDISYTTSSTDLAISGDGFFIVQGPDGTPVL TRAGDFTKDDEGNLVNAAGFMLMGYSYDSGSPAVVVNGFDGLVPVNVNQDGLTAIAST SGIFKGNLDSNAKIAPVAPATLPSANLATTTTDTKKVSMVAYDRLGNKVMYDFYFTKK TVVTPPPATPATAATWEVSMFRNADAAVGGTTSFPYSTASVGTGTLSFDANGKLTAGG AVNIVDPVTGQTIAMDFSAFTQLGADFSGTGTPNGQAATPVKDVTVDGDGIVYAKYED GSTKPLYRIPLANVASPDKLTLMSGNVYSANGQSGVTVTGFPQTNGLGTIKSGALEGS NVDLAGELTEMIESQRSYTANSKVFQTGSDIMDVLVNLKR YP_002974221.1 MSLTSALNTAQNIFNNTGTQSSVVSNNISNAGNKDYVRRQAMLT TSLNGAQVVKIDRAQEEALLRQYLKTSSQDSAQQALLGGLEDLKSIVGGNDYETSPST YLGVFQQKLQAFRTTPGSTVAAQGAITAAQDVANSLNNASQSVQNVRATADKQIATDV DKLNTLLNDFEKANNAVKTATASGADASGALDEREKALKQISQIVGVNTTTRDNNDMV LSTSDGTILFETIPRKVTFKSQDVYTATITGNSVYVDGVALPRGSGSTTTGQGSLQSL LQVRDEIAPNFQKQLDEVARGLVSLFKEQNTAAGPAYVPGLFTWSGGTVDTGATAVAG MAATITVSSRVITSQGGDPMRLRDGGVNATGLVLNTSGASGYTTELDRLYTALGSDID FDPAAGTPVGFDATTGIDSNVSIMEFATNSLGWLEQYRSNATTAAENTSAALSRSDEA YSNETGVNLDEELTLLLDIEQSYKAATKILNAVDEMLKSLLDIAS YP_002974222.1 MKSSFISSSAIQNAMRLTIRQAQNQMTKATMEATTGVYADIGVS LGGNAARSVDFSREVDRIDSIKSSNSLVTARMESSQLGLSKMKDVGDGLVSKLTALQG SHDPGSITVAIQSATSALSTMMDTANTMVNGEYLFSGINTDVQPLTDKTTATSAAIVT ELNTYAAALVPPKAVSALTGAEMSTFITTKVEPMFSQAAWTDPTTGWSQASSQNMTSR ISNSEVIESSTNANSEGMRYFALASVMTSALLGQGLSSDAMSTVSKQAISYTTKATSG LVTQASQLGLSQERVKKSNDALDAQSNIIKNKLVDLQGVDPYEASTLVKTLETQLETA YTIVSKIQQLSLVNYL YP_002974223.1 MYQFSYAEVMQDSVADAKEREWQVLDRSIDLLAAAREKEKYGRE AIEALFYTRRVWISFIEDLKHPDNQLEIGLRANLISIAIWILKECDRIRKRQSNNYQG IIDVTTIIRDGLK YP_002974224.1 MKSTLRISLKAGERIFINGAVLRVDRKVALEFLNDVTFLLENHV LQPEGATTPLRQLYFIAQMILINPEGKDHSTALFRKSITMLLTCFKNEEILAELKRID ALVSTGRAFDALKAIRGLYAIEDNILNNHEMPPTMVEQIRREIAPWR YP_002974225.1 MAVDATSSVANTSSTSTTNTAQQKATLNYDNFLQLLIAQMKNQD PTDPVDASEQMSQLASFSQVEQTIQTNTKLDTLLASSSLTQASSYVGKYMESADGTVK GTIESVKVYSDGIIATTTDGGNILVQAGITVADKAPTTSTDT YP_002974226.1 MNEADALDLFQAAIWTVLIAAGPAVIAAMVVGLVIALIQALTQV QEATLTFVPKIVAVLITVGVTAPFVGSQISIFTNLVFSRIQSGF YP_002974227.1 MAQPPALPLPKVAPNLRDVGFALGIIVIICILFLPIPPFLIDMG LAFSIALSVLILMVALWIQKPLDFSSFPTILLIATMTRLALNIATTRVILSHGHEGHD AAGGVIAGFASLVMSGDFVIGLIVFLILITINFIVITKGATRIAEVGARFTLDAIPGK QMSIDADLSAGIIDEREAQRRRKELEEESSFFGAMDGASKFVRGDAVAGLIITCINIF GGIIIGYFRHGMPIGEAADVFVKLSVGDGLVAQMPALIVSLAAGLLVSRGGTTGSTDQ AVVNQLSGYPRALSVSAVLMFILALMPGLPFVPFVSLGGLLAFGAWFIPRQVEAENML RREQEEKKVVQSKELEKDSVKAVLRTSEIELALGKMVSTRLLGAHQELAFRVGKMRKK FATQYGFVVPEIKVTDDIAIAEKSYQIRIHGTTVASNLLRVGEVLVVTGAGRRPSIPG DEIREPAFGMPAVSILENFADDLKREGFQPIDNVSVVLTHMSEVIRNNLPQLLSYKDV KVLIDRLDPEYKKLADEICSSHMSYSGLQAVLKLLLAERVSIRNLHLILEAVAELAPH VRKTEQIVEHVRIRMAQQLCGDLADNGVLRVLRLGSKWDLAFHQALKRDAKGEVIEFD IDPRSLEEFSEQATKVIREFMDRGLPFALVTSPETRSYVRMIIERLFATLPVLSHVEL AKGIEIKILGSIS YP_002974228.1 MITDPQGTVLALFLVFCRIGGCVLALPGFSSARVPDQLRVFIAG ALSIAVMPLLWDTVYPAVHTGAGTYIGLIFSESLIGVMYGMLARIYTLGMQFAATIIA MMVGYTQPGSADILEDTPETSLSGFITFAGMMILFIMDFHHIVFRALIDSYTTMPFGG LMQMRATLISFTDTLEQTTYIMLRLSSPFLIYGLIFNVSIGFINKLAPQIPVYFISTP YLLMGGLFLIYFSIAAMVSQFGQSFGSIYIGR YP_002974229.1 MIEKKRSQKLKRLLSVQRHIERMAENDLAETSRQRVEVNVAMDD VILALGSMDPVHHAFSQNYADRFGRLTIKDQQLTGMHQIHEMRLTRERAKGDRLEEGM QEALEAERREADDNAVYDVIDQQFATPASSKLQKP YP_002974230.1 MAISPPSDLVLDVVKAADPMELQAAQEKLKANRAAFAATSLAEN GKGFSNTVDVLDQVGHKSGLNNIQNRTKAEEAPESYRKFEAMVLQNFVKSMLPSESED VYGKGATGDIWKGMMAEQLGNVMAKGDGIGIAKQMYSEQLRRQEGKIVNASTDDDDRN TALSMIDDFQRKTFGTPTAEAKTDRTG YP_002974231.1 MEIVSNEYRIKSVLGRLEMIIDNENTRIGNDPQFDLKVSNAHKS RCLYELSTLFRDTDPAELAAAHLDQLHGLKKKLVLNARRVEAHLEAVRAVADLLKNAV QDADADGTYSQEQFAARGN YP_002974232.1 MIKLVLTGVWVCAITLASVYFSVYLATAPAPAATDSKQSALELV KGETITVPIIGNGAITGYFLGRVSFMMNKDMLKGVTLPLSEMTTDELFSLLVGNKMVD IAHIKSFDPKAFREEIKKGMNERLGGEYVADVMLEQLDYLSKEEVKESSAGQPKKVGK PVKIVETAPAAEAPAPAAH YP_002974233.1 MNLIANFAVLASRRTIFDLPVCDLGWDDALVFINELASIPVGQT VVCFVNAHNMLTALRDDEYYRIMSHNLVLPDGIGLNIASQIAHGSPFPANLNGTDFVP AFLTFMEAPRRIGLIGGERSVVEAAAENFRRHTPWHEFVVVSDGYFDKVDPTDVIEEL ERQKVDILIVGMGTPLQEKWVHDHIRADHARLVLTVGALFDFVSGAVPRAPRTVRMMR LEWAYRLLQEPTRLWRRYIIGIPVFLFHVLRYRFRRRERILSHPEEHGSALQPRSDHK KAS YP_002974234.1 MYDIRARNNFQDRVAAGPRHDDDSYGAPRSRQPDIAPPEAELLR AIGRVLEEQRARAARTAPLVDRIETILGNRLRAANDVGHLLLQQPAGDEELSVPADEP MISPEPLAAVREEPAAARSVAPRRRVGGIGLVMMVAAATIVGAGLPAQMPASPALYRA EATLAVKSDAASRAAFTQAAAKGLLSARVVASTVAALKLDHDPEFAGASANALGVALD LLSATGAAADPASRAEATLKHAVEILPDAAAGTILVRATTGNSGKSMRIAAKLAEAVS AADGPGGNVETDTALRKTYDEVKVELAAFTAKSGEGNVKVAIDLRRQIDQLDADLKAA DQNILAAKAQTDRLKAAKLAEVLDGSLPSDMLSPALQDWRDKYAVAKTTLAQLSAELG PRHPRLLQQQAETDGLKENMGKELTRLAQTANLAAKAAVDARKGLNDRRNTLIAQSRD TGVDLSRLTELSEKANAARSRLEEATSTAVETAADGRIVLLKPALATAVSGSDGLTGR SLAGAAAGLAIGLAAAFLLRLRRPVADPAREKMPVLRPQAALPSMPAQAPAPVDEMEL LRSEISGLRDRLRVHALEARQPLR YP_002974235.1 MTTVIDGKNVAASVIQTVKSATAALEKSSGVTTGLAVVIVGDDP ASHAYVGSKGRMAKECGFKSVQHTLPAETKQEDLAALVASLNADPSIHGILVQLPLPK PLDSEAIIQSILPEKDVDGLSVVNAGKLATGDLKTGLVSCTPAGAMVFVRRTHGEDLS GLNAVVIGRSNLFGKPMAQLLLNANATVTIAHSRTKNLAEVCRNADILVAAVGRPEMV RADWVKPGATVIDVGINRVAAPERGEGKTRLVGDVAFEEVSAVASTITPVPGGVGPMT IAMLMANTVIAAHRTAGQTPPQF YP_002974236.1 MNLKQLSELLGLSQTTVSRALNGYPEVNEATRERVLQAVKETGY RPNKAAQRLATGKAGSIGLVMPTAPGHQSDVHFGEFLAGLGEEAVRHDFHFVIMPADP DDEVAALRRLAISGNVDALFVAYMRGHDPRLAMLKSLSMPYVVHGRSFGSEPDYPYLD IDNEGAFYDATRLLLQLGHTRFALMNGPMHLDFAIRRKNGVVAALAERGLALEEGCMS HALMTDEQGLLAMEHFLQLPERPTAILCSSTVLALGAIRAVNQAGLRLGEDISLIAHD DVLPLLKPESFSVPLTTTRSSLRAAGVRIAQRLIGTVKQAGPFPDQELWKTELIVRAS TGPVPQA YP_002974237.1 MKKSFLMGVAVAALFAGAASAADLKFAPGQDSKFNWKSYDEFKA AHADLKGQPLTIFGPWRGEDEAFFMSVLAYFTEATGIDAKYSSSENYEQQIVIDTQAG SPPNIAVLPQPGLLADLASKGFLTPLGDDNSKWIKDNYGAGDSWVGYGTYKGKDGKEA FYAFPYKADVKSLVWYVPENFEEAGYKIPTTMEELHALTDQIVKDGGVPWCIGLGSGG ATGWPATDWVEDIMLRMQPPEAYDKWTTNELKFTDPAVVAAIDEFGKFAKNAKYVDGG VAAVASTDFRDSPKGLFAVPPKCYMHHQASFIPSFFPEGTKLGQDADFFYMPTFASHP ELGKPVLGAGTLVSIAKDSKTARAFIDFLKTPIAHEVWMAQSSFLTPYKGVSTEAYAN PQMKKEGDILTSATTFRFDGSDLMPGKIGAGAFWTGMVDFVGGKSAEEAAGEIQSAWD GIK YP_002974238.1 MLSQIVSALGVVVGAVIACSAYFYFSNKILDLALPVKDGDIRAA SRNLNRRALVRPWLFIGPALFLLVVYLVYPVVATFILSFYDRAGLQFVGLANYKWALG DREFRQSIFNNILWLAVVPAACTFFGLVIAVMTDRIWWGNIAKSIVFMPMAISFVGAS VIWKFIYEYRGGNDVQIGLLNAIVQTFGGTPEVWISIPFWNNFFLMIILIWIQTGFAM VILSAALRGIPEETIEAAVIDGANGWQIFWRIMVPQVWGSIAVVWTTITILVLKVFDI VLTMTNGQWQTMVLANLMFDWMFRGGGDSGRSAVIAIIIMLAVTPIMVWNVRRANREL KGH YP_002974239.1 MTAIGSYFKIGPARLFVHAAVLLIVIIWLIPTLGIFVSALRDKD QIVVSGWWTAFVGSTQTAAVRLGTPDQQKQEGATYVISGNVLEGQTGRAVKAFGNRIQ QPAAFKAGETADLGDGETLQINSDGSYRYVKNAAFSPDQRPKRIYASVSAPPEFTMQN YNTVLTGEGIGQSFINSLTVTIPATIIPILIAAFAAYALSWMEFPGRGLLIALVVGLI VVPLQMSLIPLLRLYNEIGNMLGQPSKTYPGIWLAHTAFGMPLAIYLLRAYIAGLPKE IIESARVDGASDFEIFVRIVLPLSFPALASFAIFQFLWVWNDLLVAMVFLGTDKDHIV LTGSLNALLGSRGGNWEILTASAFVTIIVPLLVFFGLQRYLVRGLLAGSVKGG YP_002974240.1 MNVASQSISTPDKDWWRGAVIYQIYPRSYQDSNGDGIGDLKGIT ARLPHVANLGVDAIWISPFFTSPMRDFGYDVSDYENVDSIFGTLVDFDTMIAEAHRLG IRVMIDLVISHSSDQHPWFAQSRSSKTNAKADWYVWADAKPDGTPPNNWLSIFGGSAW AWDPTRMQYYLHNFLTSQPDMNLHNPEVQDRLLDVVRFWLNRGVDGFRLDTINFYFHD TQLRDNPALAPERRNASTAPAVNPYNFQEHLYDKNRPENLAFLKRFRAVLEEFPAIAA VGEVGDSQRGLEIVGEYTSGNDKMHMCYAFEFLAPDPLTPERVEEVMQDFEAAAPDGW ACWAFSNHDVMRHVSRWGGLVADHDAFAKLYASLLMTLRGSVCLYQGEELALTEADLA YQDLQDPYGIQFWPEFKGRDGCRTPMVWDSQVAQGGFSTVKPWLPVPVEHILRAVSVQ LGDEASVLEHYRRFIAFRKLHPAFAKGEIEFEEQQADSLVFTREYGNEKLLCIFNMSP AEAAVILPAGEWQALTGHGFTSNNYGDKIDIPAWGAYFARLA YP_002974241.1 MTGLTLKDIRKSYGSVDVLHGIDLDIKQGEFIVFVGPSGCGKST LLRMIAGLEAITGGEMYIDGHLVNDVPPSKRGIAMVFQSYALYPHMTVFDNMAFGMKI AGESKQDIDRRVKAAAESLQLTQYLGRLPKALSGGQRQRVAIGRAICRDPKVFLFDEP LSNLDAALRVATRIEIARLNEQMADTTMIYVTHDQVEAMTLADRIVVLSAGNIEQVGA PLDLYERPANLFVAKFIGSPAMNIIPATVAGTGSQTTVTLTGGMSVTLDVATDASEMG KQASFGVRPEDLRVADGADYLFEGEVSIVEALGEVTLLYIEGLVPGEPIVVKLPGIYD VKKGQRMRFAADRQKLHLFDATGHTYRK YP_002974242.1 MAASNPSQPKSHFLSKEESFMYDREARFKMEDTMNAARIEYTEK GVMHAASRRCDIVRISMSSATLAILTQFSLPKQFYLDIPDARITKVGCLLMKVNANNT VEVRFLRLLTQKELNKIFVYSTHPAHRDYVLDIRA YP_002974243.1 MSAHARISAITDRIVERSKPSRERYLERLRAAASKGVARSVLGC ANLAHGFAVCSPADKDALAGDRIPNLGIITAYNDMLSAHQPFETYPAIIREAAAEAGG VAQVAGGVPAMCDGVTQGQPGMELSLFSRDLIAMSAGVGLSHNMFDAALFLGVCDKIV PGLVIAALSFGHLPSIFVPAGPMTTGLPNDEKSRVRQLFAEGKVGRAELLEAESKSYH GPGTCTFYGTANSNQMLMEIMGFHMPGSSFINPGTPLREALTREAAKRALAITALGNE FTPAGEMIDERSVVNGVVGLHATGGSTNHTLHLVAMARAAGIHLTWQDIAELSEIVPL LARVYPNGLADVNHFQAAGGMGFLIKELLKHGLVHDDVRTVFGHGLQAYTVDARLGEN GAVLREPSPEKSVDPKVLSSIETPFQANGGLKMLRGNLGKAVIKISAVKPERHIIEAP AIIFHSQQELQDAFKEGKLNRDFIAVVRFQGPKANGMPELHKLTPPLGVLQDRGFRVA LLTDGRMSGASGKVPAAIHVTPEAVDGGPIARIREGDIIRLDAIKGTLELLVDAADLA ERDPVVVDLSDNEFGMGRELFAPFRRAVGASDQGASVLFHH YP_002974244.1 MASTLHSFASAADLAGSLADKVADALSAAIAARGTASIAVSGGS TPKVFFQTLSTRDVAWDKVTITLVDERFVPADNPRSNHLLVDANLLQNKAKVARFLPL YQDAASAEEAARLATEKTRAISSPFDIVILGMGGDGHTASFFPGGSNLAKAIDASTPR GIITMEAEGAGEPRLTFTFSSLEDAALLVLHIEGEGKKDVLAKAEGSGDEAEMPIRAV LRRATSPVEIYWAP YP_002974245.1 MSSQIIPVEPFDYVVFGGSGDLAERKLLPALYHRQIEGQFSEPT RVIGASRSPLTHEEYRKFAKDALNEHLKKGEYDEAEVEKFCARLYYVSVDARTDAGWD QLKKLLDEGKDRVRAFYLAVAPGIFGDISQKIHDHKLITKSTRIVVEKPIGRDLASAL QLNDTIGRAFKEEQIFRIDHYLGKETVQNLMALRFANALYEPLWNANYIDHVQITVAE SVGLEGRAGYYDTAGALRDMVQNHILQLLCLTAMEVPSSMDSEAVRDEKLKVLRALKP LNASNVEQATVRGQYRAGASGSGPVKGYLEELEGGVSNTETFVAIKAEINNWRWAGVP FYIRTGKRLTGRMSEIVITFKPIPHAIFDQAAGRINANQLIIRLQPDEGVKQSLMIKD PGPGGMRLRNVSLDMSFAQAFNVRNPDAYERLLMDVIRSNQTLFMRRDEVEAAWKWVD PILKGWETTGQQVQGYTAGTWGPSQAIALIERDGRTWHDEI YP_002974246.1 MASQETWQSPIAPGLSWYQATVGERPTYPALDGSRTCDVAIVGG GYTGLQAAYNLAKSGVSVVLIEAYRFGDGASGRNGGQLGTGQRWWPEELEEKIGYERS KALFDLAEAAKRHLIDFAREHQIEIDYVPGQLNVAHKASYKRDYYENAEIAALRYGYP HLSFMDEKETQERLGSKRFHCGVRDVGTGHIHPLKLLVGLARVAANAGAEIFEMTKAT AIRQSGGKVTIETERGTITAERALIACNGHIGDLEPVTASHVMPIRSFIGATAPLDGH AGVLPGGEAVADSRFVVRYFRKFGDGRLLFGGREAYTSDNPRDISEHIRRQIAEIYPE LKDIEITHAWGGSVGITLPRQPFVREVMPGVISIGGYSGHGVMLSNYCGKLYAETVLG TSGDLDLFTSLDIPAFPGGARMRAPLLFLALSWFALRDKF YP_002974247.1 MSPKKTTLKPARNVPASKKTPPEPGSLRGVANWKEAARWLRARG IEDIECITPDLAGVARGKMMPSSKFTSNTSLALPSAIYRHTISGEYPDETESFRYEPR DSDLKLMPDLSTLSVVPWETDPTAQVICDIVDSDGGEVPYTPRNVLKRIMSLYHERGW KPIVAPEIEFYLVAKNDDPDYPLHPPKGRSGRSILGGQGYSIAGINEFDELIDDVYHF SEKQGLEIDTLIHEEGPAQMEINLRHGNPIELADQVFLFKRTIREAALKHDIYATFMA KPMQGQPGSAMHIHQSVVDIETGKNIFSNADGSASKEFFHFIGGMQKFVPSAMAMLAP YVNSYRRLQPDMSCPVNNAWGYDNRTTAFRVPVSDPQARRVENRLPSSDANPYLALAA SLASGLLGIMKQIEPTAPTEDSANEGSIDLPRGLLEAVALLEDEPAFEEMFGKQFIGL YAGVKRGEFETFMQVISPWEREFLLLNV YP_002974248.1 MEKEDAGLIGETGLQRKSDVIVIGAGAAGMMAAIRAGKRGRSVV ILDHARAPGEKIRISGGGRCNFTNIHAGPKNFLSANPHFCKSALARFTPADFIAMVDR HGITWHEKTLGQLFCDDSAKDIIRMLLAEMRAAGATLHLGTEISGVEKTESGFRVSTS EGHCEASSLIVATGGKSIPKMGATGFAYRLAEQFGLAVLETRPGLVPLTLDPGLLESI APLAGIAAPAEIRHGRTGFREALLFTHRGLSGPAILQISSYWREGDEIVLAIEPDIDI AAHLKVAKQLNGRQSPQTALGDILPKRLAQFLVEREKISGNMADLPDKALLRLAAGVQ NWAVKPSGSEGYRTAEVTLGGIDTAALDSRSMEAKAVPGLYFIGECVDVTGWLGGYNF QWAWASGFAAGECV YP_002974249.1 MNRNARRARAIAIAEAKPNARMVALRYLMLAAGATAASLALLLS HFI YP_002974250.1 MLIDKILSRFRIKTKVLIFVLPFVVTISAVGLTGLYASGLLQGR MEISNSVLQSLSGFKDLYGSMDDFLRVTSPEARDKLSADLKIQQGVLDQTLSQVGDEA AGRDSLAEASRRTKEISGVVDKLWALHEQEQALHEQIDAAQKSVISTRFTVSYQAEQL QSTVQSDESAATSTLRTADRLLKGGDFLGTVASGFSKPQTPQDKIAFINEQMPEIIKS QRLIAISVPSNQKNVIEALAATIDGIKAIVQTPNPTDETATELGRLVSRFRQTSTYTQ LTATQKMRDATQEFAALDGRIAQANSVLQDTRRLENSVYSLQIVLSDFLADSSKENLV RLQQQAGTLGKDMQVLVTSAKGMGFAEGISGAIQPALDVISGGGSKIVDTIGERVTAY AAARQELDQIWKKLTDFAELQKQTAGTERTQANSISVMTTGLGILLSILGGIALVLTL QRPISHITAAMRRIAEGALDTSISGEQRHDEIGDMARALGIFKENAISKIRIEEQSDE ERAAAEHERLRNDAEKREMDRQIEFAVNALAAGLERMSQGDISTTIETPFIGRLEQLR QDFNGSMMRLQATMSQIRDNVELIQGNGNQMAQSAEDLSKRTEQQAASLEETAAAVDQ ITVTVRSSAERAKDADQIVRQAKRSADDSAVVVSNAIDAMSRIEGASRQIEQIIGVID EIAFQTNLLALNAGIEAARAGEAGKGFAVVAMEVRELAQRSAAAAQEIKGLINKSTNE VNSGSQFVQETGTVLAKISAQIVTISQHVEMIARASHDQSNALQSVNATVNQMDQMTQ QNAAMVEETTAASRELADEAGSLRRLIQQFKIDGEATSAPVYRAA YP_002974251.1 MLRLFSTSIVRQIVAITLFLLAISTAAIVGVTYYNLSHQVMDGA VSDANDAARAMAVLYGAADQAAKIELKDNQLFAVTEDAIPALADHSLVDRTAQSIAGV ATIFQKQGGDYVRISTNVKKENGDRAVGTKLIAEHPAQPVLARGEAYYGPAELFGRKF MTGYFPMKNASNANVGILFIGIPMEVYYQRMYELQMLVLGVGAIVMLIVGVLAFYAIR LSVKPLQALTASVHSISAGDLEGAIPCVEKKNEFGDIGRALALFSDSARARRDLETQA AEQRELSDAERARNDADKRSLDGQIDFAVNQLAAGLGRLSQGDVSQTIGTPFVGRLEQ LRVDFNASLLRLQDTLSGIRDSASTIQRNSGAVSVSAGELSKRTEAQAANLEETAAAV EEITVTVRSSAERAREANNVVAATKKTADSSGAVVGDAVAAMDRIEQASQRIEQIIEV IDDIAFQTNLLALNAGIEAARAGEAGKGFAVVAQEVRELAQRSADAAREIKSLIETSS REVTAGSELVQKTGSVLASISQEIIAISGHVETIATASRDQSAALQEVNGSVNAMDQM TQKNAAMVAETTQASRLLAGEADTLMALIERFRIVAESAPAHLGARRVA YP_002974252.1 MIDMLTIAGTIGYRHYPKRSGCKAEEDRFYAEFGNNSLIGFAAW LTSLDLDLRRHRKTAAQSRSGCGCAVQATLLAPR YP_002974253.1 MKNASWDSYQLFLQVARLGGLTGASTASGLSPATVGRRMLDLEQ EIGRALFSRSQTGYRLTQDGQALLDHLQEMEAAARKVAAWRQSGEGGTTVRVAAGTWL AWLLTENFAAIRMPGDAFAISLTIGEARASLAYRESDIGIRAFEPEEANLAARLLGEV AYAVYIRRNAAETDERWIAVAEEEAISAYLRWPHAHAATGIVATVNRPRSLPDLVRAG AGKTVLPCFVGDLHPELQRQGGELPELRHRQWIVMNAEDRHRPEIRMVADRMTKLIKS YADLFAGKRPSRG YP_002974254.1 MASITRVRERAEEQATSMSEDQQTTIRMLANDLHRLNQSVMKAV EAGVSVELVRSARHHGGDGHWGDLLIPVVVTNRH YP_002974255.1 MTDFYKLVPNALADRFDGIERPYSAEDVQRLRGSVALSHTLAAM GADRLWQLLHQEDFVNALGALSGNQAMQMVRAGLKAIYLSGWQVAADANTASAMYPDQ SLYPANAGPELAKRINRTLQRADQIETAEAQGLSVETWFAPIVADAEAGFGGPLNAFE IMKAYIEAGAAGVHFEDQLASEKKCGHLGGKVLIPTAAHIRNLDAARLAADVMGVATL VIARTDAEAAKLLTSDIDERDQPFVDYDAGRTVEGFYQVRNGIEPCIARAVAYAPHCD LIWCETSKPDLDQARRFAEGVHKVHPGKLLAYNCSPSFNWKKNLDEATIARFQRELGA MGYKFQFITLAGFHQLNYGMYELARGYRQRQMSAYSELQQAEFAAEVNGYTATKHQRE VGTGYFDAVSLAITGGRSSTTAMHGSTEHAQFKPAAE YP_002974256.1 MAERKIFAGPKVRRIRNALALTQTAMAEALEISPSYLNLIERNQ RPLTVQLLLKLASVYRVDLEELRGQTGGSLGQLKEVFADPLLSGELPGDQELVEVAEA APNAASGMIKLYRAYREQAARLSDLTVLMAAEGHAPVAAGRLPLDEVRETLERRSGYF ARIETAAEAFAATLPGGLDLAAGLKDWLRSERGIAVRVLPVHVMPDLRRRFDRHSMRL FISERLSQADRAHEIAVEAATLALLPAIDAELDDLSLSSAEARRIARFELARIAALAL AMPYEAFLSAAKATRYDIDILRARFGVSFGQAAARLAMLQRPGAAAIPFFLIEIDAAG HRLRRAGAQGFPQARFGGGCPKLNLHAAFLQPGQILAETVVMPDGASFLTVARTLEGP SVEFGERVRRTAILIGCDAALGEGVVYGQAMALEPVAVGPACRLCERRGCLSRAEPPV TRPLGLDEMVAGLSAFDFQ YP_002974257.1 MRSTILCVTAAAVTALLAAAPALAQERVVNVYNWSDYIDSSILE DFTKETGIKVVYDTFDSNETLETKLLAGGSGYDVVVPTVSFMKRQIAAGVYQKLDKSK LPNLVNMWDVIMKGVASFDPGNEYSVDYMWGTTGIGYNVDKVKAALGTDEKPNWDALF DPAKAAKLKDCGIYMLDSPTDVVPSVLAYLGLNPNSTDAADLKKAQAVLTAVRPFVRK FHSSEYISALANGDICIALGYSGDMFQARDRAKEANVGVKVDYSVPSQGAQIFFDVFG IPKDAPHVAEAHEFINYMMKPEVVAKASNVVFYANGNKASQQFLDKEVLDDTAIYPTP EVMAKLFTVPPLDPKAQRLVTRLWTTVVTGQ YP_002974258.1 MKSLGNIRRSFAPWTDPSAKPFISIKNVTKRFGDFTAVDNLSLD IYHREFFALLGASGCGKSTLLRMLAGFEQPTSGEIVLDGTDMAGTPPYRRPVNMMFQS YALFPHMTVEKNIAFGLRQDGMAKDEMTDRVLQMLKLVKLEQFASRKPNQLSGGQRQR VALARSLAKRPKVLLLDEPLGALDKKLREETQFELMDLQQNLGLTFVVVTHDQEEAMT MADRIAVMSHGKVVQVATPAEIYEAPNCRFVADFIGDVNIFDGKVASSGNGTVEISVD SGFSVRVAAAETPSAGSAVGFAIRPEKMRVGRTPPANASVNAARGELWDIAYLGDMTV FHVKLQSGNVVKASSLNAQRSVDDPFTYDQEVWVSFDENAGVLLKD YP_002974259.1 MGKFTSGLYNRLVIVIPYAWLLLFFLAPFFIVFRISLSTTAIAM PPYEPVFSFADGWAGLLSKIGEFSFDNYTYLTDDPLYFNAYVSSVVIAGISTFLTLLI AYPIAYGMAQAPRGLRPTLVMLVILPFWTSFLIRVYAWIAILKPEGLFNQLLLSLHLI DTPLIILNTNTAVYIGIVYSYLPFMVLPLYSALEKMDGTLIEAAQDLGCPPITAFWRI TFPLSIPGVVAGCMLVFIPAVGEFVIPDLLGGSQTLMIGKTLWNEFNSNRDWPVSSAV ATILLLILVIPIVFFQNVQAKAEERGK YP_002974260.1 MLKWTRFNIVSVTLGFAFLYLPIVLLVIFSFNESKLVTVWGGFS TKWYVSLMSNQALLDAAWVTLRVGLLSATFATILGTMAALTLVRYTRFRGRMLFSGMV YAPLVMPEVITGLSLLLLFVAIGLDRGFWTITLAHTTLTMCFVAVVVQSRLLSFDHSI EEAAQDLGAPPVRTFFEITLPIIAPAVASGWILAFTLSLDDLVIASFTSGPGATTLPM RIYSQVRLGVTPEINAVCTILIGIVALGVICASIITKRRETQRQRDERAAAAGA YP_002974261.1 MSTSRHRRWRRKIGPVSRWLPAFARLSTVFLLIALALFVALRVA APYLISTGFVRSGIEDALSKWTGYHAEIKGSPVLEFWPTPRITLNQITIRQPRESGDK LLGSIESLSADFSLIDALRGRTSFHEFHLVRPNLALTRDEKGLIDWSYAGLLARAISG VRYENGAEVLDPDLDAEIGAVTVEDGTLVVTDIKSAKTYHFDSVTADIAWPRLSGAIS SVVIARINGQDLKVDFASRQPLLAFAGRNAETRTSLTSNLLTAHFQGIASIANLSALS GNVTATIPDVPALLTWSGKSIPGIATLKSASLESDIMSSGNGLRFNNLSLSLNEASAT GVMDLFTQPGKRPKIGGTLAFDQMNLKPFLDAFALRLAAGEAEEISAGISGPLRLLDI DVRLSARRAQMGLFELSDVGASVIVAGGEAKFDIGDSQFEGGEMTAHLEATQRDFDGG GKLRLSIRDADFAALAERLQLKGPLPLATGSIDLDLQSPKAIWTTGLADVTGKLHFWT GEGTIPGIDATALRTQAAEKPFFPLSAAAGGAFAFSQLNLQADFANGSAEIHDAHIAG PTQTLTLSGIITYHSNGLALSGSLDATDPAKAAELPLLPFFIGGSWPNPVISPVPLFG NTPHAQ YP_002974262.1 MQDNRPLWVPSEDAIAKSPIHAFMERCNADFGLSLSGFEDLHAW SVAERENFWSAVWDFCGVQGERGAEVLANGDRMLEARFFPDATLNFAENLLSGRGDGD AIIFRGEDKAEDRWSWDRLRALVSKLQQAFVARGIGKGDRVAAMMPNMPETVAAMLAA ASIGAIWSSCSPDFGEQGVLDRFGQIEPRLFIACDAYWYSGKLQDVGAKVATVAKTLA IPTVIVHYAGNAEAVARKTPGALTLEAFVAPYEAREVEFTRLAFAHPLYILFSSGTTG VPKCIVHSAGGTLLQHLKEQRLHCGLQAGEKLFYFTTCGWMMWNWLVSGLASGATLCL FDGSPFAPDGNVLFDYAEAEKFVIFGTSAKYIDAVRKGGLTPRVSHDLSSLRLMTSTG SPLSPEGFTFVYEGIKKDVQLASISGGTDIVSCFVLGNPLQPVWRGEIQGAGLGLAVD VWNDDGKPVRGEKGELVCTKAFPSMPVMFWNDPDGAKYRAAYFDRFDNVWCHGDFAEW TEHGGLVIHGRSDATLNPGGVRIGTAEIYNQVEQMAEVAEALCIGQDWDDDVRVILFV RLAPDVKLTEDLVKAIKSRIRTGASPRHVPAKIIAVADIPRTKSGKIVELAVREVVHG RPVKNQEALANPEALGLFAGLSELRD YP_002974263.1 MPAVQYPFIDIAVHARVRERFSRGEAMVLFSADLARLLWANGAG AELFGHSAVYDLLDQGVDRADITFRQLETAARQLTDIGDSRSLMIRVAKGFQRAQVQA AAELIRLSQGEQAILFSVPVSAKPLAAGASAAQMLQGLDDPDTHMAVIGANGEVIAAS PGFASLGISEQTAKTLINLAGAHPDRLVKRPVATGRGNLPAAVGKLSDDPALNLLFAV ETAVGHLDPVDAPALAPVDAPALDPVDAPAQEESAPPMEVLQDEPVAATGFTEIIDAV SGIEEVEETLEEITGENEQPAEIAVASADEAIDGMDPAEDAEPKSDDGVTDSSADEPA AVIEDDVTSIAETAEPPVEASETPIEDAPEAVHEETAIATPVVPDVPPAPQLEAESGF VFRPNSRATRFVWKIDAEGRFNEVSHEFAAAVGPHASDIIGSAFGDVAALFNLDPDGK LSEALARRDTWSGKTILWPVEGTSLVVPVDLAALPTYTRNRDFDGFRGFGVVRLSDAQ EDPLALGLTLGPDGIGHDAASLGPPAETIAEVAHEAETVLEEPLIDPSPTQEVEDQAL TASEPQAEPAPEEIATEQAAEIPAQESAAEKAEGSADAGDISAAEPVAELPQEPPALR ISETPNRRFSDKIVQLHNTGAGLTAAEQANFREIAKRLEAFGANKDEPAAPAPMEPNA TETAAFNEASGPEAFGAETPTADQADIPSLEEIAPQEAIFENAVEAEREDDGATEEPA VIVEDEATEGLEETASQIEVLTSFIPPRIKMTDGLSVGTVDQLPVAVLIHIGDALIHA NPEFMRLTGYGSLDALREVGGIEGLLQRRELEEKAAGSGTMMLVKADDTLAPVTARLQ SVRWEDANALMLALMPVEGKEDGRGDANRFDGRSEDRPERMVEKVAKLQVEVEELRSI LETATDGVVVIGTEGDIRSMNRSASALFNYDEQETRGKPFVMLFAHESQKAVIDYLNG LSGHGVASVLNDGREVIGREAAGGFVPLFMTMGQLTSSNGYCAVIRDITQWKRTEDEL RNAKGAAETANAHKTDFLARVSHEIRTPLNAIIGFSDMMAGERFGPIGHPRYIEYAND IGRSGRHVLDIVNDLLDISKIEAGEMDLDFAAVGLNEAVSEAVALVQPQANGQRVIIR TALSQAVPEVVADLRSIKQIALNILSNAIRFTPSGGQIVVSTSYEANGSVVLRVRDTG IGMTRSELDQAMKPFRQVSSTQSRHRGDGTGLGLPLTKAMVDANRATFTINSAPNEGT LVEITFPSQRVLAG YP_002974264.1 MATIKTDDVFSIASFDPSKFTESFREFAEKGAQQSKDAYAKLKT AGEEAGKTLEATVQTAQAGSVEIGLKAIDILRINSENSLSHFEALLGVKSPAEFFELQ TSFIRKQAELTVEQAKSIQETTKQVAEKLAKPSKDAAEKAMASFKVA YP_002974265.1 MSKTLLYGATKIDETNSAYAPMESLAAFQWKNRVFILFADRDNS RASRQENQLLADRSALDDRHLVVLKISGAGVRPLFGAADGLDGEAIRRDLEAPEVGEF AAFLLGKDGTVKLEISEPITDGELFAIIDSMPMRAAESLKPDKSS YP_002974266.1 MSVPNEPIPDQPPMPAPGWKPVPPIKEPEPDRLPDEAPVPNPDE NDEPAKHTFET YP_002974267.1 MNWDDVRIFLAVARTGQILAASKRLGLNHATLSRRLTSLEEALK TRLFIRRTNGCELTAEGEVFLASAERMETEMLAAQASLGHTDTAIAGTVRVGAPDGFG VSFLAPRMGRLIERHPELKIQLVPVPRSFSLSQREADIAITLERPEQGRLVSSKLTDY TLGLYASRAYLAAQGTPADIDALKAHRRIGYVEDLIFTASLNFSGAVMRSWDAGFEIS TAIGQTEAVRTGAGIGILHDYIARQYPELQRILPEVSIRRAYWTTYHETARDLLRVRS VADFLQELVSAERHIFL YP_002974268.1 MNRKPVVRMAELEIEPDTLETYRALLTEEIEASIALEDGVLSLS AVSIRNNPNRIRILEVYADQEAYEAHLRTPHFIKYKNQTAHMVTSLTFIEVDPIAMRA KP YP_002974269.1 MREIGHFIGGKHVAGTSGRVSNVYNPATGEVQATVALASVEELR AAVENAKAAQPKWAATNPQRRARVFFKFVELLNKHMDELAEILSKEHGKTIEDAKGDV IRGLEVCEFVCGIPHLAKGEFTEGAGPAIDMYSIRQPVGIGAGITPFNFPGMIPMWMF APAIACGNAFILKPSERDPSLPIRLGELMIEAGLPAGILNVVNGDKGAVDAILTDPDI GAVSFVGSTPIARYVYGTAAMNGKRAQCFGGAKNHMIIMPDADLDQAVNALMGAGYGS AGERCMAISVAVPVGEETANRLVEKLTPKIESLRIGPYTDDKADMGPLVTKEAYTRVR GLIDRGIEEGAKLVVDGRDFKLQGYEDGYFVGGCLFDHVTPEMDIYKTEIFGPVLSVV RANNYEEALSLPMKHEYGNGVAIYTRDGDAARDFASRINIGMIGINVPIPVPLAYHSF GGWKASSFGDLNQHGTDSIKFWTKTKTVTARWPSGIKSGAEFVMPTMK YP_002974270.1 MRLTKQTNYAVRMLMYCAANDGHLSRIPEIARAYGVSELFLFKI LQPLNKAGLVETVRGRNGGVRLGKPAADISLFDVVRVTEDSFAMAECFEDDGEVDCPL VDSCGLNSALRKALNAFFAVLSEYSIDDLVKARPQINFLLGITGEPAYRKPSIVAPAA YP_002974271.1 MKKISVLLAATALISVMATSAWSKTLVYCSEGSPEGFDPSLYTA GTTFDASSRTVYSRLVEFKHGGTEIEPGLADSWSVSADGTEYTFKLHPGVKYQTTDFF TPTRDFNADDVVFSFERQLKSDNPWNKYVEGGSYEYAAGMGFPELIKSVEKVDDLTVK FTLNHPEAPFLADLAMDFASIVSKEYADKLAADGKMAQLNQQPLGTGPYTFVAYQPDA VIRYKANETYFKGKEKIDDLVFAITSDAAVRAQKLKAGECHLIPYPNAADVPELKKDE NLTVLEQAGLNVGFLAYNTQMAPFDKPEVRRALNMAINKQAIIDAVFQGAAAVAKNPI PPTMWSYNDAVQDDKYDPDAAKKALADAGVKDLSMKIWAMPVSRPYMLNARRAAELMQ ADFAKIGVKVEIVTHEWAEYLKLSSDVKRDGAVILGWTGDNGDPDNFMDTLLGCDAVG GNNRAQWCNKEYDDLMTKAKLTADVGERTKAYEQAQLIFKKEAPWATIDHSLVFVPMS KKVSGFQMDPLGIHRFDGVDVSE YP_002974272.1 MLRFFIGRLAVLIPTFLGVSLIAFSFIRMLPGDPVMLLSGERVM APERHAQIMHDLGFDRPMYVQYFDYLGKILHGDLGTSIVTKRPVLGEFLTLFPATLEL SLCAIILAVCLGVPAGIFAAVKRGTWFDQSVMGIALIGYSMPIFWWGLLLIIFFSGYL GWTPVSGRISLMYFFKPVTGFMLVDSLLSGQKGAFSSAVSYLILPTIVLATIPLAVIA RQTRSAMLEVLGEDYVRTARSKGLKPLRVVGVHALRNAMIPVITTIGLQIGVLLAGAI LTETIFSWPGIGKWMVDSVFKRDYAVVQGGLLLIAGVIMLVNLIVDVLYGFINPRIRH YP_002974273.1 MSTVTVKTGQPSALAEFWHYFSRNKGAVIGLVVFTIILVVAVLA PLFAPHEPNEQNRAVLLAVPFWMEGGSASFPLGTDAVGRDILSRLIYGARFSLFIGVV VVTLSVISGVLIGLVAGFFRGKVDTAIMRLMDIILAFPSLLLALVLVAVLGPGLTNAM IAISLVNQPHFVRLTRASVISEREKEYVIASRVAGAGTFRLMFKTILPNCLGPLIVQA TLAFSAAILDAAALGFLGMGAQPPTPEWGTMLAESREFISRAWWVVTFPGLAILITVL AINLMGDGLRDALDPKLKRS YP_002974274.1 MPLLEIENLTVEFQTSSGLFRAVDGVSLACDKGEILSVVGESGS GKSVAMLALMGLLPWTAKITADRMQFDGKDLRGISGRQRRRIVGKDMAMIFQEPMSSL NPCFTVGFQLGETLRFHMGLNRKERRQRSIELLNLVGIPAPEDRLSNFPHQMSGGMSQ RVMIAMALACNPKLLIADEPTTALDVTIQAQILDLLVRLQKEQGMALVLITHDMGVVA ETAERVQVQYAGQKVEEQPVRALFRDPHHPYTAALLAALPERAKVGQRLPSIAGVVPG QHGRPTGCLFAPRCGFATVECDRGVVRQGPELGLALCNYPLKDGKPLGHPGIMAVETA GDLV YP_002974275.1 MTDAVLEGRDLARFYTVNRGLFKADATVKALNGVSFSLHSGKTL AVVGESGCGKSTLARLVTMIENPTSGQLLIDGKPAHVGDRSLRSQVQIVFQNPYGSLN PRQKVGSILEEPLKINTNLDGAARRRKAEEMMARVGLRPEHYGRYPHMFSGGQRQRIA IARALMLRPKVLVLDEPVSALDLSIQAQVLNLLMDLQKEMGLAYLFISHGLSVVHHIA DEVMVMYLGRPVETGPAAEVFARPRHPYTAALLSATPIADPDRAKNRIRLQGELPSPL KPPTGCHFNPRCWKAQDHCRQVSPELSGEGTHQYACHFPLD YP_002974276.1 MPEQMNKPQAIIVMGVSGCGKSSVGEKLAEALHLAFVEGDALHP AANVEKMSKGIALTDEDRMPWLDRIGEDMKASLEKSKGIIVSCSALKRLYRDRLRAAA GGNLFFVYLEGSRALLMKRMGERKGHFMPVSLLDSQLATLEVPTGEPGVVTVDIDDTI DGIAATALKSLAALGVTG YP_002974277.1 MTKNAIVLGAGIVGVSTAIHLQRRGRQVTLIDRKDPGNETSFGN AGLIQREGVAPYGFPQQLGLLLRYAVNNRIDAHYHLRALPSQIAFLARYWWNSNARRH AIITRAYAPLIENSVVEHKDLIEASQAEGLIRKDGWIKIFRTEAKRDGALAEAALWQN EFGVEYDSLTPADIARMEPYMTGDFAGGIRWRDPWSVLDPHALTSAYRRYFESLGGRF VTGDAASLGPFGSGWKIMTAEGPLEAEDAVMALGPWAAVATRRLGYSFPLGVKRGYHM HYAAGGNAVLNNWMLDAERGYLLAPMNRGIRLTTGAEFATLDAPKTPVQLDRAEAVAR TIFPLGDRLDPEPWMGARPCTPDMMPVIGKAPRHQGLWFAFGHAHHGLTLGPVTGRVL AELITGETPFIDISAYSPQRFNP YP_002974278.1 MMWPSIALLAFVTLQRLAELVLARRNTAALLSRGAREVAPEHYP TMVALHAGWIIGLWLLAPGRPVAFFWFLVFMGLQALRLWVLATLKGRWTTRIIVLPGA PLVRSGPYRFLRHPNYAIVVGEIAVLPLAFGLPLYAIVFSLLNALILHVRVKAENAAL KSAMILK YP_002974279.1 MTDTVKLVSLAVATPEHVIFQKQAVEASARLFADRFEDFRHLAR VFDSAGIDKRHAARPLAWFDEPHGWQDRMQAFAEVAGGLFVEAASSALRQAGLETGDV DCVVTVSSTGFTTPSLDAQLAGRMGFRPDIERVPVFGLGCAAGVSGFAIASRLARSRP GTVVLFVSIELCTLAFRLDELTRPNIIATALFGDGAAACVLRSGEDGLAEVESTGEHL FPDTLDIMGWKIDDGGFGIVLAQSLPPFAEKELGPAVTAILARNGLRVEDIDRFICHP GGMKVLAAMESALSMVPGTLDHERAVLAEYGNMSSPTILFVLERAIRAGLPARAAMIA MGPGFSASCVTLRRVA YP_002974280.1 MTIMTARIASLLLGGCLAASVVSGPVFAIGDDSSTTPVCKKGEI YDQKTKKCVKQQSANVSDENRADYAYSLAKAGRYEEALAVLDTVKDQNTAEVLNYRGY ATRKLGRTDEGISYYLQSVKMDPQYAKVREYLGEAYVIKGQLDLAKDQLKTIKAICGT GCEEYQDLNAVILDPSKI YP_002974281.1 MSVARTHFPAYSHARMESPVHPAVSRDRGLAEAAIASEADIRSG LTENLARLWRYGLVLSHQRDVADDLVQATCLRALERADQFIPGTRLDRWLFSILHSIW LNEIRSRRVRQGQGFVDAGETLTFDGAHDTETQVMAHQVLKQVNALPEAQRTVVFLAY VEGLSYREVAGILDIPIGTVMSRLAAARAKLSGAGPEGGRQ YP_002974282.1 MTTKHTIPSDEDLTAFIDGELTAEEAARIEAIVKEDESTAERLE FLARASLPFKQAFAPLLAEAPREKLETMLAAIPPQQSAKSGPTPAFATRRRFLGALAA SLVAGIAIDRAVIGIGARFSAKDENSEWRAVVADYISLYSAETLAGPVPGREDQAAQL AGLDEKLGLSLSPEAVSLPGIDFKRALLLQYDGKPLAQIAYLDPETGPMALCIVRSDA GPKAPDLESRKGMNVAYWSNATHAFMLIGRIPVDRVQELAENARSRLSA YP_002974283.1 MKGIVLAGGSGSRLHPMTHAVSKQLLPIYDKPMIYYPLTTLMLA GIREVLIISTPHDMPLFQRLLGDGSEWGMSLSYAVQPSPDGLAQAYIIGADFVAGGPS CLILGDNIYFGHGLPELLEEGVSKGDGATIFAYHVHDPERYGVVEFGSDMTAISIEEK PAKPKSHWAVTGLYFYDADVVDIAANLKPSARGEYEITDVNKTYLERGKLRVSMMGRG YAWLDTGTPESLLEAGGFVRTLEKRQGFKIACPEEIALAKGFITKERFAQIAAQAGKG DYGQYLRGLVD YP_002974284.1 MRIAVTGKSGQVTSALQALNVPGIEIIAIGRPELDLLEPSMVSE IIAKIKPDVVVSSAAYTAVDKAESDEAAAFAINRDGAKAIAAATAELSLPVIHLSTDY VFDGDKPECYVESDPVGPVSVYGRSKLEGEYAVAAANENHVILRTAWVYSTFGHNFVI TMLRLAETREELSVVSDQLGCPTSAGDIAGAIVKIAGRLSNDSTPDLRGVCHLAGSGE TSCAGFARYILSIHEEKTGRRVTVKDIATADYPTAAKRPANSRLCCDKLKSLYSVSMP EWRISARAAVTKLLEEPKEAV YP_002974285.1 MYALKVEQLEIGDVKKVTPARFGDPRGYFSEVFKDFWFRTNVAD VSFVQDNESLSAQPGTVRGLHFQSPPFAQGKLVRCLRGALLDVAVDIRHGSPTYGKWV SAELSPENGSQLWVPAGFAHGFVTLEPDTIISYKVTALYSAEHDCGVRWNDAEIGIEW PQMDGYVLSDKDNKQPRLSELPTYFQFS YP_002974286.1 MNRDVKIYVAGHRGMVGSAIVRRLKAGGYTNIVTRSHAELDLVN QAAVAEFMKAERPDYIFMAAARVGGIHANNVYRAEFLYQNLMIETNVVHAAWQAGVER MLFLGSSCIYPRDCPQPIREEYLLTGPLEQTNEAYAIAKIAGVKLCESYNRQYGTRYV SGMPTNLYGPNDNYDLDSSHVMPALIRKVHEAKIRGDRQLVVWGSGRPMREFLYVDDM ADACVFLMEKEVSEGLINIGTGEDITIRELAETIMRVVGFTGEIVYDQTKPDGTPRKL MSVDRLSALGWKATTSLGDGIARAYADFAS YP_002974287.1 MKRALITGITGQDGSYLAELLIEKGYEVHGIKRRTSLFNTDRID HLYQDPHDTNRRLVLHYGDMTDSSSLVRIVQQVQPDEIYNLAAQSHVAVSFEEPEYTA NSDALGALRILEAIRILGLEKKTRFYQASTSELYGLVQEIPQRETTPFYPRSPYAVAK LYAYWITVNYREAYGIYACNGILFNHESPVRGETFVTRKITRALARIKLGLQDCLYLG NLDAKRDWGHAKDYVEMQWLMLQQDEPEDFVIATGVQYSVREFVDAAAHEIGLPISWK GSGAEEKGYDENGRCIVAVDPRYFRPTEVETLLGDPSKAKEKLGWEPRITFKQLVEEM MREDLKSAERDELVKRHGFSAYDYHE YP_002974288.1 MFRKDVLDESPFVIAEVGQNHQGDLDIAREYIRIYAFEGADAIK FQTRNNRYLFSEDAYAAGYDSENAFAETYGAHREKLELKPEWLPILKADCEKHGVKFM STPFDEPSLEILQQIDVDLLKVASFDLGNLPFLNRIAKLGKPVVMSIGGGKIEQIRSS VEVVLRHHDQLAILHCVSEYPCEYNRLGLDNIEVLIKEFPDCIIGSSDHFNGTLSGPI AYMKGARVFEKHVTLNRAWKGTDHSFALEPDGFRKFVRDIKRVRAMMPQKPADEIGNE RVFKKLGKSIIAYSDIRVGEELTLDKLSGRIFNTHYIPVRESNKVLGKTVKRDIAKGE PIQYSDFG YP_002974289.1 MNVDGIFDVSGDVVLVTGVSGQLGGEYAKAFLARNARVVGLDLR PSAGSLVMQDEYPDNFMFCSADVTKKASLEQALQDVTGRFGTPTVLINNAAIDSPPSA PPEENGPFEDYPEASWDKVIDVNLKGVYLSCQVFGAAMANAGKGSIINVASIYGLVSP DQSLYEYRRKRGEVFFKPVAYSASKSGILNLTRYLATYWAKRNVRVNSLTIAGVFNNQ EQDFLDVYCSRIPIGRMASVDEYNGAMLFLASPASRYMTGSNLIIDGGWTAI YP_002974290.1 MTTLRILNWINGQASHASSEGWLEKFNPHSGELLYHVADSSQDD VEQAITAARSAFPAWAELTPVKRGQILMDIVALMKRRSDELAECIALETGKPPQDAKG ETGGAIMQAEYFAGEGMRLYARSLTSGTPGKYSHTVRQPRGVAGLIVPANTPIANIAW KTFPALICGNTVVLKAAEDSPRIAQLFAELTKEAGLPDGVFNVVHGRGEPAGSTLVTD ERVDIISFTGSTGVGRRIAEVAGKRLARISLELGGKNPFVVCDDADLDQAVHWAALSA FSNAGQRCAAGSRMLVFKSVYEEFRDRLTAKARSLKLGVAAGCDLGPLVSLRQQQSVL SAIERAKEQGGQVLCGGRTPDAPELAGGYYVEPTVIDGLATTSDLSCKEVFGPVTTLH PVGSMTEALDVANATEYGLTAAVHTRNVDRAMWFAQRVKAGVANVNMGTYGSEPHMPF GGFGSSGNGTREPGVEALDVYSELKNISFLVRPGML YP_002974291.1 MTTKPRSVAFIPARSGSKRVPNKNVRPLADHPMIAYSIRAAIDS GVFDAVICATDSEIYADIARHYGAEVPFLRAAEISTDKSPDIEWVVWMLEQLRAEGRE FDIFSILRPTSPFRLPETIQRAWQQFTAEPRADSLRAIEKCKQHPGKMWVIRENRMLP LMPFSIGTTPWHSSQYAALPEVYAQDASLEIAWSRVPLESNSIAGESIIPFVSQGYEG FDINEPDDWWMAERLLATQSAVLPNISINPYNLLNRPE YP_002974292.1 MGDLVGQAVEGTLYYLPYKEFQRVRAINAPREQRAALFSDMCRL NALYMIGRAGSGHIGSSFSSLDIVSWLLLEGMTGDDVYFSSKGHDAPGYYAALIGAGK LDFELTHKLRQIDGLPGHPDVGTPGMVTNTGSLGMGVSKAKGMVIANRLNNRPGRIFV MTGDGELQEGQFWESLVSAANSGLQEITVIIDHNKLQSDTFVKNVSDLGDLEAKLRAF GWCVARCDGNDIAAFAATLAAIQDDPRPKVIVADTVKGKGVSFMEHTSLDSDVAMYRF HSGAPDASNYRAAAQEIMDRLQANLSSAGITELEFETLERPASAAPSEKAQRLVAAYS KALIAQAKKHSNLVALDADLVLDTGLIPFREQFPDRFVECGIAEQDMVSTAGGMALNG LLPIVHSFACFLSTRPNEQIYNNATEKTKIIYVGSLAGVVPGGPGHSHQSVRDISALA AMPGMTLVEPSCDAEVGLLLDWCVNEAPGSSYIRLISLPWEIPYSLPADYRPTNGRGL TLAEGEDVAIIAYGPVLLSNAIAASKVLAEKHGISAKVINLPWLNHVDAEWLQSTVSA CKAIVCLDNHYVIGGQGDTIARALAEAGTGIPVKHIGITGVPPSGTNVQVLGAVGLDA SAIAETVASVIG YP_002974293.1 MSMHFIRRAIRFAKRVVSSKLSLQQSSAPAAAQQFSDWRRHLGT SIDWKRALSSVSKGQEVLIATSVGGLSAATILEGMLGVALTLRGARVRFLLCDAVLPA CLHIHAGKIRDPAVITEYRLNKEICPGCIGRGRSHYGSLGLPVSYYSDFISEEERRAL RKTAREMPVSEIRGFRLKDMNLGEHAMAGTLRFFASGNLPATQEAEDVLRRYFEAALI TETVIQRYHEQFSPEVAVFHHGIYVPQGVIGEVCRAHGTRVANWQVGYRKKTFIFSHK ETYHHTLINESTDCWTDVPWSEATENEIMSYLKSRWYGSNDWIWFHDQPKHDAELIAK ETGIDFSKPTISLLTNVFWDAQLHFKANAFRDMLDWVLQSIEYFKGRPDLQLAIRIHP AEVRGAIPSRQPLVDEIRKVYPTLPDNVYVIPPDSQVSTYVLCENSDTVVIYGTKTGV ELTAMGIPVVVAGEAWIRNKGLTMDATSPENYFDLLDRLPVGKRLDADTINRARKYAF HFFFRRFIPIEFMEPSSNDAPYEIRINDLQDLLPGRDAGLDVLCNGILDGSEFVYPAE KYIGRTQ YP_002974294.1 MRPHWDHAFASGGVYQESDNWSKLLDPVFRVGERLYGQYSMRRI WAAWKKAALLEPGVRLGGNARLINKHTRDAARIGENTVCRGIIRVERGARVDIGKEVY LGDEAIISAMESVTIGRGTLIAHGVQIFDNTSHPIDWRGRERHFKRILGQPVDGSIEI PTAPVSIGEHCWLGFGSAVLKGVTIGDRSIVAAGCVVTKDVPPDTLVVSSMATFIDLN KQG YP_002974295.1 MLATQQMPQYSVMDQFVESICYRAPENRTQWYPPLMRSAESLGK RAISADAAEDALAVLRKLEPDDYSEYLSAYYAEGIRRFGANWRYADIVTVLLALAVDL KPKSYLEIGVRRGRSVCTVASKAPTCDIYMFDMWVANYAGMENPGESLVSSELDKVSH TGKRVFTNGNSHSTLKTFFRQNSDLAFDMITVDGDHTRNGAIEDLCDVLPRLKIGGAV VFDDICHPKHRYLEDVWKELVVNDNRFTSWSCADIGYGVGFALRKW YP_002974296.1 MVNTSERLLVLGASGFLGTVISTYFSSLGIPVMGIDVVPPQDKH IYSDFYQSERMEEILETALARHQPTYLVHVAGNANVGRSIEDPRYDFVNSVDLFSLVL DQVRRVSLGTKVLFTSSAAVYGQPKVLPITEELAPSPISPYGYHKWMCEQLSREYSSL YEVSVASIRIFSAYGAGLRKQILWDLCEKCRSDGPVQLGGDGSETRDFIHAKDIARAI SCVVRGGTFNGEVYNVASGVETSISDLAHHVLSAYGIPFDRLGFSGSSRVGDPKNWRA DVSRLEALGFSPTIDFVAGVAEYVDWYKKLR YP_002974297.1 MKILIYLTGGTHWIGGVQYTRNLLRAVSLLPAQERPALVLQIGR KNAGQGYEEEFSHYPGVVIDGPLERGSAIRSRILDLARRAWKRSTGKDLRQKLLHSDE CDVAFPAKGPNIPGLAQKVYWVPDFQYKHFPQFFSEDERRSRDAFYGKMFDESGILVL SSEAVKADFIRFFPTYSQKPVRILHFSSTLHDEEYALDPVAVCAKHGLPEKFVYLPNQ MWQHKGFDAAFRALGILKRAGVIIPLVLTGSSEDYRSNDYARQLEEILTEYDLQDQIY RLGVLPRSEQLQLFRRAAVVLQPSRFEGWSTTVEDTRALGRPIVLSNIDVHLEQAPPN ASYFVVGDQKDLADKLGKAWLTAEAGPDFKQEDAARKAANLNSLAYARTFLSIMRQAH RE YP_002974298.1 MKNQEKITYIKPAGRFAKIDLEEHWRARYMFTMLVWKKIRASFG EMYFWFIWVCAKPLLYVMIFSLFKHWSQARTGVEIPYVLYIYSGLILWYYFLETAIDV SLNIKANAALVTKIYLPRMITPTVPLLANLMDLAISMIPLILMMIYYGVYPGWQILMI IPTLLVVMITAMGTGLIIATITLRLRDFQKIFEFSLYLAMFISPVIFSPSMIPEAFHW VYHMNPMVGALIGWREALFGSASFPWGAWVYSCSFAVLALIVGLYLYLRFEHEMIEAI YP_002974299.1 MAESVIEVFDISKQYEIGSGGGLSMSKLARETALWPYRKMRGQS VPPLYRKRQNFWPLRDVSFSVDRGEVVGIIGANGAGKSTLLKILSRIVTPTSGSATIR GRVSSLIEVGTGFNANLSGRENVFLNASLHGLSREEVAARFDEIVDFSGVGKFIDTPV KHYSSGMYSRLAFSVAAHLDPDLLFVDEVLAVGDMAFQQKCLNRFSEMVGGNRTVLFV SHNLSAVSNICSKVLWLDQGRVRYFGPTEEGIAAYYEATMPSTAQTLETRFDRTGTGD FMFNKISFYDSSMQPSERVTTGQELIIGLEYRAKLEMIGDIRDMSLVVIFKNDKGHRL FGLPSDVLAHGETPRLTETGEYLVKIPSLPLLPGIYDLDLGCIINRATTDKIVSAKRL IVTESDFFGSGKLPPVQQGDLLVRFDNEWR YP_002974300.1 MNKIFDRTKLWLLDYLLRDDWNDGNNKVAKFDAQKALKGLENMK AGRILLKQFVEVLFSSGAMIGRIERILQSMRIPTYLLMHSVLAKCLLLLDVHPKARLA ELHAAGMLEEEFGIALNTHLAAVAFEKTRQISKIRELYAEEIFDGISRISLPLSISNE ASHHENHMDMMFVVAAAKHRGAKRIFEFGTYMGRTTVGLASIDADATVTTLNLDPAED TRYGPYIGKLIKDSPYRDRITQIFSDSKKFDTTPYAKSMDYIFIDADHSYDAVKNDTE KSLEMLAPGGVIIWHDYAPKSPGVFGYLQELSLQRSLFRIRNTCLVLYIDGVDADEFE PKSDAGFLEDVS YP_002974301.1 MTANSHEYPVAVDDQQFLVRCINDVERGRAASFLSKEPETVRWL KAEMVPATVFWDVGANVGLFSLYAAILQPTAQILAFEPAAHNYASLCDNIVINGFTNI VPFSVALGQGNLAFDELHLSKVEAGSSIHHVGAKSPWAESEPVFRQPCVKVSIDSMVL DHDFPPPTLLKIDVDGLELGILDGARTVLNQVKSVLVELDANDPSEISAATNLLEQAG FRLTETSRREKTINRKLPRNHIWMNAALRPLD YP_002974302.1 MEHQLSIVLGTYNRLDQLQRCVESIVSQTKTVFRLYVTDAGSTD GTVEYLQSIAGENIIPVLVGKKLGQAKAYNDVFNIVTTPYVCWLSDDNEVIDHGLDRA VSIIRTDPSIGMVALKTKDLQGPFVDAPFIGGVSSTGILNVNQGLLPTALLKKIGGFS EEFRDYGIDNALTAEVLFAGYKVVYSRKVALQHYRNWSEDPTSENYQWLKQRHEAAKV LYEERYGNQNTQELSGSYRMKTKVARRVKAGAKRYLTSDYVRTSAAVRTCFIILSSRY ISILDPILTFGRDYHLVQKRRTG YP_002974303.1 MKYRHPIAALTVPTRPLLSRIRDRLSRHGWYRRFHLAYVRWRAR HGGLPDWAAWQPQAGLEPVRPPLIDGAKRVLVATGTAGHLPSMTMESLLGMALAIRDA SIDFLICDAALPACMMCEISWYSDVDKLAKHGPKDRCLTCYRPSAEMLDRAGLNAIGL NSKLTDADRFKAKTTSTQMPQSEIAAYIEDGIPIGEHAVAGALRFFSRGDLEKVSGAD VILRRYLEAAILTYYGTRRLLAEGHYDAVVLNHGIYVPQGIISETARHLGVRVVTWHP AYRRGCFIFNHHETYHHGLMTEPVSSWEDMSWNGMQQQQITQYLRSRWVGQQDWVKFH DQPEFDTRSIEEEIGIDFRRPTIGLLTNVIWDAQLHYKANAFPNMVDWLIKTIAYFEK RPDLQLLIRVHPAELTGTLPSRQPAVDEIRRQFPNLPANVFIIPPESKASTYVAMSHC NAVLIYGTKMGVELSAMGIPVIVAGEAWIRGKGVTMDATSEENYLRLLDALPLRERLN DATIERAQKYAYHFFFRRMVPLDCIKERKGWPPFAVHIDSLDDLAPGKSPGLDIVCNG IIAGTPFIYPAEDLMGAPSDRRAT YP_002974304.1 MRISIDATGLGGPKTGTSVYLIEILSRWSRNTSINHEFTIFASE KAVSLCSEAGLDHRFRFVRAPNNRHIRVIWQQLMIPWHMRRLGIDVHWGTAFVLPVAS QRPMAVTIHDLTFQLFPEVHERLKRFYFPAIMQRSVAKAQAVFAVSRTTETDLKRIIP ESRGKTTVTLLAARKLGSDSQAPRDQRNSGDYLLFVGTLEPRKNLPRLLAAWQMLDDA TRGNTRLVIVGATGWMVSDLLQSLKTNDTIDFLGHVSDSSLAELMQGARALLYPSLYE GFGLPVVEAMARGIPLLTSNTGATAEIAEGAAILVDPTNVDDIRGGLVRLLTEPELLG ALSAQGRERAKSFSWERTAQLTLETLEGLKRA YP_002974305.1 MSDICVSIVSHGQGEIANLLLDDLDSRCSGLNVVLTKNIPEELP IRDRRYPFLQIENPSPKGFAANHNQAFRRCDSGLFCVANPDIRLQADPFGHLKAAMSD PRVGLVAPLIVNPGGTIEDSARYFPTPFGLLRKALGKSDGRFPIDPNRSSAVDWTAGM FMLFRSEAFAEVGGFDEGFFLYYEDVDICARLWKAGWKVILLPTVSVVHDARRASHAD AKYMRWHVSSMMRYFTKHLGRTPDISRMT YP_002974306.1 MAKRIENFSEISGHYDVVLCDVWGVVHNGVDPFPKAAAALEAAR EAGLAVVLITNSPRLSWQVVEQLRQIGVPDSAYDRIVTSGDVTRGLIAEGPKTVFLLG HERNSPLLDGLDIERRPAGEAQSLVCTGFFDDETEKPEDYTDMLLDFKARDVPMICAN PDLIVERGHRIIPCAGAIAAYYEQLGGSTRIAGKPHRPIYEATLAAARELRGDFPVDR VLAIGDGMPTDVRGALNYGLDLLYISGGIHAKEYTLNGETDEAILNAYLERENAAPKW WMPRLA YP_002974307.1 MTVFHRNETREPLPAHLKGGVARRPSMAPKKMSDPIGIGLARNW RRASCSPG YP_002974308.1 MHVARLLVLTPLFALIVTPAYAYLDPGTGSIIIQSVIGAFAVGA ASISLFWQRVKSFLCRTGDNQRQKSGRERK YP_002974309.1 MMLSRVSGSFRDPSGHVYEGTEHIYRTVESSAAADYEAARNAGI FESPMIVSTTESTAPSPSKTASYLLEHPRIPLISYPYEWSFSMLKDAALLHLDFHLHL LGRGFTLSDATAYNVQFLGPTPVFIDHLSVRRYRDGEYWEGHRQFCEQFLVPLLLRSF FGISHNAWYRGALEGISIADFVKMLRFRQKVSWRVLAHLVLQDKFQRSASAGQAEKAQ HRQLPRNAYTATLQQLRNWIAGLSPRDTDPTTWANYATANTYSNTEATLKKQFVGDFI RRSGATTVIDLGCNTGDYSEVALHNGAQRVFGFDFDQQALDSAYHRAKNKSLNLLPLF LDARNQSPSQGWLQEERTGFASRAKADAALALAFEHHLAIAHNIPLEQVVDWLISVAP TGVVEFVPKDDPTVRTMLALRRDIFPDYNYDHFISLIGRRARVLENLVVSSTGRTLIS YSRNG YP_002974310.1 MTNLAGHTGSWRGHALASALLAVTLFTVALDVYSRNISEFAGSS ILQLLPPVLISIVVGCVAFVITGITGFKPVGMSVVLGAATCVIAASQGVTTSVIPPID GGTHPYIVSSGRGVVEFILLFVIFLLTAGFAILRLREALMILSGLTFLALLIPIYNLA ARDRISTSLGPLMTLSRDVNVIHIMFDSLQSDAFKQIAEQQPDLLSEFDGFAYYPDHA GYANWTTLSIAAIQTGRLFFDQHQDGMDPIEEIGEWLRSSSMMSHLADKGWDVSAVQP SNAFCFRTKYYCSTLPFLSNSIGQRLGKQRKSNPLIVVDLALLRLAPTLLKPLVYNEG KLLWSDATGPANADSSTANDIALSMDFAKILTEDIAVTDDNPVYKFLHFYPPHKPFIL DEDCRIGVARTEDWPNYLPQATCAVKQMAGILRALKRLGAYDNSVIIFQSDTGLGMVR PTENADPKITSVVEYSSPQLVGYARPTLLIKPLGATGPLRVSSVQTSHLNTFSLINDA TAGRTNLPNVTAPKDRSFVVSRIIRAGTTSVSPYEQFIIVGNVADSAAWQYGGMLSRP GVPYLARPIQSATFSIDADEPLNPNQSIRLVGSVSGGNDVEYTFLRRIKDDKLEIIKD WSPDNTATWEVDENGKTPCVANILMAARNRVMGPSEHQINVEKVAKISTSACH YP_002974311.1 MKRNRFTDEQIIGILKEHEAGTPVSELCRKHGVSDASIYKWKAK FGGMEVSEAKRLKTLEDENTKLKRLLADAMLDNAALKDLLGKKW YP_002974312.1 MAIMFVKARVISRGAGRRIVPAAAYRHRARMMDEQAGTSFSYRG GRAELVHEELALPTQVPEWLRTAIDCRTVAAASEALWNAVEAFEKRPDAQLARELIIA LPEELTQAENIALVREFVHDNLTSRGMVADWVYHDKDDNPHIHLMMTLRPLKEEGFGA KTVQVLDEGGKPLRVVTLDRPKGRIVSKAWAGDKETMKGWKIAWADTANRHLAFAGHE IRLDGRSYAEQGLDGIAQRHLGPEKAAHSRRGRQPYYASAGLARRQGVVDRLLSEPEF LLKRLGNERSTFDERDIAKALHRYVDDPSDFANIRARLMASDDLVMLKPQEVDPETGN ASEPAVFTTREILRIEYDMARSAQVLSEHKGFAVPSRYIAAAVKSVEAGDLDKPFKLD AEQINAISHITGDSGIAAVVGLAGAGKSTLLAAARVAWEGEGRRVIGAALAGKAAEGL EDSSGIRSRTLAAWELIWANGHETLRRGDVLVVDEAGMVSSQQMARVLKIAEDAGTKV VLVGDAMQLQPIQAGAAFRAITERIGFAELAGVRRQRQQWAREASRLFARGEIEKGLD VYAQQGHLIEAGTRDEVIGRIVGDWSEARRQAIETSVLKVNGGRLRGDELLVLAHTNQ DVKRLNEALRSVISDAGALGENRSFRTERGAREFAAGDRIIFLENARFLEPRAPRLGP QYVKNGMLGTVVSTGDKRGDTLLSVRLDNGRDVVISEDSYRNVDHGYAATIHKSQGAT VERTFVLATGMMDQHLTYVSMTRHRGRADLYAAKEDFEAKPEWGRKQRADHAAGVTGE LVESGQAKFRPNDEDADDSPYADVRTDDGTVHRLWGVSLPKALEEGGVSEGDSVTLRK DGVEKVKVQVPVVDEQTGQKRYEEREVDRNVWTAKRIETAEARLERIEQESHRPHVFK QLVLRLSRSGAKTTTLDFESEASYQAHADDFARRRGIDTLSQTAAGMEEGVSRRLAWI AEKREQVAELWERASVALGFAIERERRVSYNEARIETQTVSDADAGGVRYLIAPTTEF ARSAEEDARLAQLSSLAWKEREAILRPLLEKIYRDPDAALVALNAMASDVKIEPRRLA DDLAAAPDRLGRLRGSDLIVDGRAARDERSIATAALTELIPLARAHATEFRRNAERFG VREQQRRAHMSLPIPALSRQVMARLVEIEAVRERGGDDAYKTAFALATEDRSLVQEVK AISEALTERFGWSAFTSKADAVAERNMVERMPEDLTGMRGEKLTRPFEAVKHFADEQH LVERRDRSKIVAGANADPERENVPMLAAVTEFKTPIDEEARSRALATPLYRQQRVALA AVASAIWRDPAGAVGKIEELLQKGFAAERIAAAVTNDPAAYGALRGSNRLMDRMLASG RERKAAVQATPEVAARLRSLGSAYVNVLNAERQAIAEERRRMAVAIPGLSKAAEEVLT RLAAESKSNARKLNASAFFLDPNIRQEFAAVSRALDERFGRDAILRQAKDRINRVPPP HRTAFEAMQDRLKILQQAVRIESSDRIISERRQRTANRGVDL YP_002974313.1 MKSNLDHLPERKQRELARVVDILHEEFQDALKDSSAEFKKMGRI LKVILFGSYARGDWVDEPHTLKGYRSDYDLLVIVNNRKLSDFATYWYKAADRFLHDPG IKTPVSLIVHSRREVNTALHEGQYFFSDIRREGIVLYELDDEPLPEPKPRSKAEEYLI AKEHFEERFPFSTDLLDGFTLYVERRRYKHAAFFLHQVIEHAYSAALLTLSNYSPASH NLTFLRKLAEGRDRRLVDAWPRDQQRYRAWFNTINEAYVKARYSNHYEVSEEALIWLG EQTAELHVLVKIVCKEHLTRLRTDATDY YP_002974314.1 MPLDDPISDITFDCGRALAKSFRSIDGLAFMHICPIDISAPSLK LLQRDSRQN YP_002974315.1 MEIGFVAVAGIAGFVVGSGQGSLVDGLVTAVAAMAVVFIFVTLT YP_002974316.1 MAGNPATVLIPLRMSLPAVPDSLRARWKMQPAIRPASASVAVVA AMVLCRMVHLNLI YP_002974317.1 MMEQAGAADHAARSDGDSAPSRRNRRKHRGKRGLQGRPLTPAKP SGAPQQERRAEETALGGELRETLNGASANRRGRQESHAHPGHQGDRQAGEHVWPEELY AALDLGTNNCRLLIAQPTRPGQFRVVDAFSRIVRLGEGLAASGRLSDEAMERAIEALR ICASKLRNREIRRMRLIATEACRQAVNGEEFLSRVVAETGLALEIIDRETEARLAVSG CSSLVGRETRSVVLFDIGGGSSEIAVIRIGDNRFSRLANHITHWTSLPVGVVTLSERH GGHDVTPEAFEGMVREVEGMLGSFDCPEIEVAQTGDFHLIGTSGTVTTLAGVHLDLPR YDRRKVDGIWLSDDEVSAMQAKLLSWDFASRAANPCIGPDRADLVLAGCAILEAIRRR WPSPRMRVADRGLREGLLTDMMADDGVWRRNRNRRGQRVRS YP_002974318.1 MTKAPIAGNRTGRKLGQRVKNKKMKASSRQWLERHINDPYVQRA QLEGYRARAAFKLLEIDEKHHILRGARRIIDLGAAPGSWSQIAAKVTGSTDDDIRVAA IDFLEMTQLPGVKILQLDFLDPTAPEKLLEAVGGTPDLVISDMAAPTTGHHRTDHLRT MHLCEVAAHFAVEVLGEGGHFLTKTFQGGTERELLAMLKQNFRQVVHVKPNSSRAESV EMFLLAKGFKGRKAEGNAEEA YP_002974319.1 MLLYVTLGTNDLYRAGHFYDAVLSPLGYRRQRSSEEEIGYSAEG DTRCRFWVVTPFNHRRATSGNGAMVALAAETRADVDAFHAAAIAAGAVDEGEPGLRSY HAQFYAAYVRDLDGNKLSAVCENPQ YP_002974320.1 MNRIVPLILAVALFMEQMDSTVIATALPAIAADLNVGPITLKLA LTSYMVALAVFIPVSGWMADRFGAKKIFRLAISVFVIGSIFCAISSNLVEFVFARFLQ GMGGAMMTPVGRLVLVRTTQRSDLVSAMALLTIPALVGPLTGPPLGGFITTYFSWHWI FLINVPVGIIGISLATIFLPEVEATAPPRLDFTGFVLTSLSAAGVVFGLSVVSLPALP PIIGVTATLIGLICGVLYVRHAKRHPAPILNLNLFKNPTFRASTLGGMLFRICVGAMP FLTPLMLQLGFGLTPFQSGLITFAGAIGAITTKFMAKRVFAAAGFRTTLLGAAMVTTL VTVVTGLFTPSTPHLVIIGVLLLGGFSRSFMFTGVNALAFADIDDAEASQATSMSSVM QQVSLALGVAVAAAILESSIYFRGEALQVADFHLAFYIIAGLTVIATIPFIRMDRNAG ALVSGHRLKTMTAATVEAEQHAVK YP_002974321.1 MTISSGIKRRTLFAAGLGLLGAPVILREKAEAAATGERNHMDGT LLPEINQFKLGSYTFTVVRDGTSIVEKPYETFGTNQDPETVKGLLTANFLPADKFMNG YTPALIDTGSDVILVDTGFGAAGRARGAGQLTEGLKAAGYSADDVTLVALTHLHGDHI GGLMEDGAAAFKNARYVVGQAEYDFWSDKAREGTPAEGGHKAVLANVVPFAEKTTFIK EGDSVAPGVTAVLAAGHSPGHMVFHVESEGKRLVLTGDTANHYILSLLRPDWEVRFDM DKAQAAATRRKVFEMIASEKIAFLGYHMPFPAVGFVERQDGGYRFVPKSYQFDI YP_002974322.1 MARIIETATGADALTFDDVLLQPGHSEVMPGQTNISTRIARDFE LNIPIISSAMDTVTESRLAIAMAQAGGLGVIHRNLTPIEQAEQVRQVKKFESGMVVNP VTIGPDETLADALGLMKSYSISGIPVVEKSGRLVGILTNRDVRFATDQEQKIHELMTK DKLVTVKENVDQQEAKRLLHSHRIEKLLVVDTEGRCVGLITVKDIEKSQLNPNASKDA QGRLRAAAAISVGDDGFERAERLIEAGVDLLVVDTAHGHSQRVLDAVSRVKKLSNSVR IMAGNVATYDGTRALIDAGADAVKVGIGPGSICTTRIVAGVGVPQLAAIMSAVQAAND QDVPVIADGGIKFSGDLAKAIAAGASAVMIGSLLAGTDESPGEVYLYQGRSFKAYRGM GSVGAMARGSADRYFQAEVRDTLKLVPEGIEGQVPYKGPVSGVIHQLAGGLKAAMGYV GGKDLKDFQERATFVRISGAGLRESHAHDVTITRESPNYPGAGL YP_002974323.1 MKAGSGIPLWIVALLAALCLAVLAWTTFGFVVPFKHETGQAVLD TYFAGYDESAVFHMQKLLDENETATRLLRAMYFGPELIFPALLTALLFLAFLKLGPGG AWFGRSAHPLVGKAIYLLPFIYGIADYGENISSLIAFGNGASAGLATQLLPWMTRLKF ASLAICFILIARLAVMRWLSSRPD YP_002974324.1 MTGYQIFWPLLAHVALVYGLYALLGLRRAKMVRAGSIVKSDYRE NRGEPAESLVVKNCLANQFELPVLFYACCILLYITEADNLVALGLAWAFVALRYAHAA IHVTSNDLRYRSPIFAAGYLVLAAMWIWLAAWMLMS YP_002974325.1 MGERISGAAIQGMVMLRLTGLASTAALVLAVGPGWAQVITGNDT EIVDGNDPGGTGAGTQPSPWTINTNLIVGDQNGDDAALVIRNGGIVSNDIGVLGVDPG AAGTVTVTGTGSAWTNSDDLYVGHRGVGVLNIEDGGVVDNIFGRIGYFSGASGTVTVT GTGSTWTNAQDLYIGDSGTGTLTISNGGTVSSTAGLISNDTTAIGEVIVTGTNSIWSN SSYISVGEAGAGTLTISNGGSVTASEGYVGYSSNGNGVVSVTDTGSSWINSGALFVGE FGSGSMSVENGGTVSASEVIIADDSGATGTVRIAGSAANGRGVLETGYIERGGGDADL VFDGGILSATGNEANFLRGFNAGEVTIDAGGAFIDTNGFAVGIATDLQGAGGLTKKGS GTLTLSGTSSFTGVTTVEAGTLQAGSAGAFVQNGAYAVNGGIFDLGGFDLTMAQLSGS GGEIVIGSAELTLDQANNTTYGGILSGSGDFTMLGSGTLRLTGNSSGFAGTTTVSDGR LIVNGSLGGILMMTGGTLAGSGHIGTVTAGAGVTIAPGNSIGTLTIGGNLTLDPSSTY EVEVDPAGTASDLISVTGTAFLNGASVTHVGMNGDYQPFSTYTILTAAGGINGTFGAV TSDYAFLAAELSYDLNNVYLEIERNNVRFSDMARTRNQMAAAEAAENLGTGNDIYDAI VTLPDDEPLIQASYDALSGEIHGSIKTALITQSLVVRQAANERLRSAFSDASAGVIPI QAFWPGGPELIAANPSDAPVFWSTAFGGASETRTDGNAATLNHQTGGLLAGVDAMFDD VRLGLMAGYSNSQFDPRHRSSSGSSDDYHLGLYAGTQWGGLAFRTGLAHTWHEIETNR SVAIGSFEDRLEASYNAGTLQAFAELGYRFDTAAATFEPFVNLAHIGIRTAGFTEGGG AAALDSSSRMTNTTITTLGLHAEMEVRLGETNATLRGMSGWRHAAGDIVPVSTHAFAG GDAFTVAGVPVAENAFVLDAGLDFDLTESAILGIAYSGQIADNAQQHGAKATLSVKF YP_002974326.1 MRVFPFTADEDLHVMPSAANKKNGNDMGAESMDRIGLRRKPKQE RSIQRLDLILAAAAKIIAEKGVSAMRMTELAAAAKVPIGSVYQYFPEKAAIVKALFDQ HASAIQTKMAAMFADVRSLDQALDVVCATIDWYYDSYHDDPVYLGVWMGTETDQDLLQ LNIEHSGHVAGIFRDAVRQLAPDLCDEEMYARTYLFSHLIGAVIRLAAVSEEALARRM LDEWKRVIRASLFTVTVPAAA YP_002974327.1 MGDNMSAPLDLDQLQTFIAIVDSGSFTKAADRVYKTQSAVSMQM RRLEERIGKQLFIKDGRGNRLTVEGEKLLNYARRIIRLNNEAIAAFDDNRLEGTLRIG TPDDYADRYMPEIIGRFAKTHPNVELYIVCEPSVDLAERMHKGELDIALVTHNPRERM SDVVRTEPLCWVGSANHPIRDDAPVPLAVGRRDCQWRQLACSALDAVGREHQILFTSW SCTVVAAAVLAGMAVSVMPESALRTGMKVLSQADGFPALPPVQIGIMKRPGVSLSLMN AITAHITACLDNITPTVVDDSLEADVKSAQARLYPRLKAANMVPSW YP_002974328.1 MRMTDRTIELDCGKLTPTFPQRLAAGLAPLTSLFRGFRNRMEIN TLHDLSDAQLRDIGLNRADLTSAFLASTFFEDPSEHLTRSARNRWRLSLFRSYEQ YP_002974329.1 MLPLFDMMMQAQNGAAMEAIARQFNLAQEQATKAMAALMPAFSA GLKRSTSNPYDFVGLMQAVSSGNYARYFEDMNRAFTPEGISDGNNILAQLFGSKEVSR AVAAQAAQMTGIGQDIYKRMLPVLADTLMGGLFKQTTGQMASPVNPFVNTAMGETMQK WLESTGFAPKPKTTPDPSIFDNPFTQAMQLMFSVPKPEATSQPNPFLDNPFAKAFQEM MGGLGQQPAAQTKTKTPEAPKEEAKLNADSYTEMLNAMFDSGLEVQKNYQRNLEAIFE TYRPKPSSDKSE YP_002974330.1 MARDTTDQTPLSSVQDLTDYIAAGNKPREHFRIGTEHEKFAFFR ADNSPVPYFGDASISALLTGLQKKSGWEPITDGGNIIGLAEQHGMGAISIEPGGQFEL SGAPLETIHETCKESNQHLATLREIAEPMGIRFLGIGGSPKWTYAETPQMPKSRYEIM TRYMPKVGTQGLDMMYRTCTIQVNLDFSSEADMRKKMRVSMKLQSLATALFASSPFTE GKPNGLLSWRGDIWRDVDNRRAGLLDFSFRDDFGFRDYAEWALDAPMYFIVREGRYHD CTHVTFRQFMNGALKGEVADPAPTMGDWTNHLSTLFPDVRLKRFLEMRGADGGPWRRI CALPAFWVGLLYDDAALDAADELTKDWTFAEISALRNAVPAEGLKAQFRGHPLFDMAR EVVGISRAGLKARGKLNREGQDETIFLAPLDEVLAKKATLAEDLLSLYHGRWHGSVEP VFEDYQY YP_002974331.1 MRANFRMQRLFVDAPLTRGAGVEANADQFNYLANVLRMEDGGEI LLFNGRDGEWKATLTFPTRKRILLTATEETRPQPQPCDLHYLFAPLKVGRMDYLVQKA VEMGAGLLQPVMTQHVQGKVTNLDKLRANVIEAAEQCGILGIPDVAEPVRLLDLLDRW PSERRIIYCDEGDAGQNPLPVLSAIRERHLALLVGPEGGFSEEERARLRSLDFVTAIP LGPRILRADTAAVAALAVVQAAIGDWN YP_002974332.1 MPPRPAVLTKRTLDKDLDKITHAEDVAKHVLRRLVAPGLGLIFV GLAMLFAGVYVFDRPGAMLVIAAAALAGYMAMNIGANDVTNNVGAAVGARAMTMGQAL VIAAIFEVLGATIAGGEVVKTISSNIVDAVQVPPALLGWIMMAALMAAALWINLATWM NAPVSTTHAIVGAVIGAGISAVGPEPVNWQVMLEITSSWITSPLIGGLIAAGLLYLVK TLIIYRDDKVAAAQRWVPVLVAVMAGGFMAYMVLQLSPPGRFPPLTVLLIGIGIGLVS WLAARPLVLAQARELENRNSSLRTLFRLPLIGSAALLSFAHGANDVSNAVGPLSAIVH SVGIGGGGGIGHPPLWVMLIGALGISVGLLLFGPRLIRLVGEEITKLNPMRAYCVALS TAFTVIVASWLGLPVSTTHIAVGAVFGVGFFREWYTRHSKRRIAYIRRKAESFDIDEP EEPNIHETRRRYLVRRSHFMTIVAAWIVTVPVSAALAAMIYWVMFALFV YP_002974333.1 MTLFARLASDVQLMFRRPPRQQYGALCYRVKKKSGEVEVLLMTS RDTGRWVIPKGWPMTRKCAHEVAMQEAFEEAGVRGAVETETLGAYTYSKALRDGVQVI CKVQVYALEVTDMAKNFKEKGERRIEWVSFDEAAARVREPELRHLFLAFKQKMTDRLS AKAAKQIPDVKQIPAE YP_002974334.1 MIHHDFVNVVPMRLPPLNAIRAFEAVCRHGSILKAAEELNVVRA AVRQQIDTLENHFGSKLFLRDGRRLVPTLQASAFAAAASAAFDILQRAASELEGAVPR RIRLGVPSAFAVWWLMPRAADMQASLGDTVDIVPMATVEPLQMHLELDAVIMGGEYRP AAGITALRFMADEFGPVATPSLGATLSSDPAAMGTQTMLISRSVPKLWDEWFAESGTP PVVFSRTQEFADLLLALGAARSGLGIALAPRASIEDDLERGHLVAPYGFISRPSGYNF CCRTPDVKRPAFAALSGWLLRCGTPA YP_002974335.1 MEKSATRIDWIGNSCRLLKATAAEFERTRPFEGLSIGTGIHLEP KTVALLTTLRAGGARLVCTGNLNSTQPSTVEFLRTQGISVFATQTTDPAAHHQSLEAV IAEKPNLLLDNGGDLFAIAAEKPYANLRGGTEETTSGRIRLLPLRARLNMPILVINDS PIKQFAENRHAVGQSLFESYLRFTNRSTNGKRVTVFGYGACGKGTAACFRNAFSTVSV IDIDPVTTLEAHLDGFTTPLRDAAIRSADIIVTVTGFAGIVTAADLPLVKDGAILMNG GHFPHEIDVEAFRRHPDVIGIDRYEADHIETFHLSDGRSFHLLGGGHMANLAGPRPLG NTVESMDLGFTLQARCLERIAKGEAGPQSCVVPVPADIDAMVANAYLDLAR YP_002974336.1 MSVQSTMKALLAEAPNSPLRIADISKPVPGEGQVLVRIKASGVN PLDLKIRAGNAAHARHPLPAIVGIDMAGVVEEVGTGVSGFRRGDAVYGMTGGVGGIQG SLAEFAAVDAALLAPKPQNLSMREAAALPLISITAWEGLVDRAGVKAGQKVLVIGGGG VGHIVAQIAKAAGAEVYVVDGTSKADYLAGLGATPIDRDAETVETYVARHTGGKGFEL VYDTVGGQGLDTAFRAVSQFGHVVSCLGWGSHALAPLSFKAATYSGVFTLLPLLTGEG RAHHGEIMREMTKLAEAGKVMPKLDPRRFTLADTDEAHRLIENRQADGKLVVEID YP_002974337.1 MEWSDLKLFLAIARFGTLGAAARRLGLTQPTMGRRLRALEASLG QTLFQRTTDGFVLTDEGAAVFAGAERIEEEALAMERSLAGGSRQLDGLLRLSSSDWFG AHVLSPVLAEFSQVHPKVVVELLTDSRLLSLSRREADLVFRIQPFTEAEIVSRKLIRI EYGLYISRGAPHPEAGDGAGARLVTMDEAFGEMPDVGWLRRLLPQADIVTRSNSRDVQ AALCANGAGLAVLPRPLGDSLAAIELVDLGEPPPGRDTWVGYHRDMKRLARLRALLDL VIERLAR YP_002974338.1 MTNLGDLEIFAKVVSTGSMSLAGRALGFSPAVVSKRIKRLEDRL GTRLLQRTTRQISLTEAGQGFYDRVLGILAGLEEAEFYISGRSAQMHGTLKISAPTSF GRMHIAPHLKDFMEAHPELAINLVLTDEFSDIVGGGFDLAIRIAELTDSSLVARRLAP VRRLLCASPDYLASHGEPKHIDELKRHRCLPAHNNDTWRLNGPDGALSLRPEGLLVTN SSEVIREAVIAGLGIALRSTWDIGEELKAGRLVQVLPAYESSHNVALSAVYPSRQFLP AKVRLFIDYLAELYGPVPYWER YP_002974339.1 MSDAISFLEPRADVLARRAQIVADLTDLLPLECLVHEARELVPF ETDAFVSYRRLPLAVALPRTTAEVSAVMRYCNRYGIPVVPRGAGTSLSGGAIPQEDAV VLGLSKMNSILEIDLPNRVAVVQAGVTNLNISESVSADGFFYAPDPSSQLACTIGGNI GMNSGGAHCLKYGVTTNNLLGVRMVLVDGTVIELGGKALDAAGYDLLGLVCGHEGQLG IVTEATVRLIAKPEGARPVLFGFERSEEAGACVADVIAAGIIPVAIEFMDKPAIEICE AFAHAGYPLDVGALLIVEVEGSEAEMDAALKDIVEIARRHAVKTVRECQSATEAALIW KGRKSAFGATGRIADYICMDGTVPLSQLSYVLQRTAEIVDHYGLRVANVFHAGDGNMH PLILFNANDPEDAARAEAAGNDILRLCVDAGGCLTGEHGVGIEKRDLMRHQYSEVDLT QQMAARAAFDPGWLLNPSKVFPLEGRPAA YP_002974340.1 MIDLTPTSEEEAAVIVRAHAESGRPLAICGGNSRSGFGNTVAAE GRLRSTGLSGIVAYNPGEMVMTVRSGTSLAEVEAALQESGQMLAFEPMDHRPVMGTSG EPTIGGVFAANVSGPRRLIAGAARDSLLGVRFVNGKGEIIKAGGRVMKNVTGLDLAKL VAGSHGTLAFLTEVTFRVPPRPKTERTVLLSGLNDAEAANAMAAAMALPVEVSGAAHL PLTVTWKFLAGKLPEGEATALRIEGLPGSVDVRIEKLAAAMSGFATVTRLEQPESQKL WQEIRDVLPYADGSMRPIWRVSVAPGTGPQLVAALRLEAGVDAFYDWQGGLVWMRMEA GPEAELVRRYIKALGGGHATLIRASGEARATTAAFHPEPEAVTMLSQRVKEKFDPAGI FNPGKMG YP_002974341.1 MQTNFTPTQLLDPDVAESEQILRKCVHCGFCTATCPTYVTLGNE LDSPRGRIYLIKDMLENGRPADTEVVTHIDRCLSCLACVTTCPSGVDYMHLVDHARAH IEKTYKRPFMNRLTRAILAAVLPYPGRFRLALNLARLGRPFAGLMRGGALKPFAAMLA LAPRRIPAASDFAKPGTHRPETERRGRVAILSGCAQPVLDPGINAAAIRLLTRLGVEV VVPESEVCCGSLVHHMGRAEQALESARANVDIWTREIEGQGLDAIIITASGCGTTIKD YGHMLRLDPAYAAKAARVSALAKDVTEYLATLDLPAHMPKGITVAYHSACSMQHGQRI TLAPKQLLKAAGFTVRDPAEGHFCCGSAGIYNIMQPEISAVLKARKVKNLEATKADII ATGNIGCITQIATGTGIPILHTVELLDWAYGGAVPEKLTGLPLG YP_002974342.1 MWRGMIVLAGLLGAAGTAHANSRYFCSADDKEARFTLESGFESA AGHKLNHFRGALIVKDPAQEAVFGKRVFESQHLTNHWSRNGELLMEVFDGGEDDTGGA TLDLVVIAGERGKPAANFSGSYSLTIEGGEKPYAVEGKVSCGTK YP_002974343.1 MTSALRSSASLLAGIAFLTICSAVSASAEDLQFSIYGGYQTAPH SGVDLSDGTHFTAGWEGKSFGSPPYYGGRVTWWLENFNKPNWGISLDYTHDKVYADDD TLAKAGWSHFEFTDGLNLLTVNGLYRFQDPTRRWTPYLGAGIGVNIPHVEVIRPEGKT WAYEFGGVTLQAQAGVDFKVTERWSTFVEYKGTYSRVDVPIDSGVDLKTNIFTNAVNV GVSFHW YP_002974344.1 MIKLMPGLAAAGLVLSLMSTSAFAAPAGSAPDNARAPAQIVRVA QMPKYVKPQFKRKKVRLATTEAAGTVIIDTNNKYLYLVEGNNRATRYGIGVGRDGFGW SGVVKIGRKAEWPGWTPPAEMRRREAAKGHMIPAFQEGGEDNPLGARAMYLYQGGRDT IFRIHGTNQPWTIGLNMSSGCIRMMNEDVSHLYDRAPVGTKVIVIGPGNRQGKVAFED RGIDVLRTMFGG YP_002974345.1 MMKQLVLAAALFGIFSTAAIADDRYATRPPVVLSPDLTAPWINQ LGGGRVRPVVYQRPVVQPQQRGLFQRRIIRRAPQVSPQTVSAINPGIPSIRRPIEPQY LPQVVDYDTTEKPGTIVIDTNNRFLYLVMQGGKARRYGVGVGKPGFEWAGAHKITRKT EWPDWTPPSEMISREAAKGHYLPARMDGGAENPLGARAMYLGSTLYRIHGTNAPWSIG SAVSSGCIRLRNEDVVDLYDRVNVGTRVIVM YP_002974346.1 MSATGIIIGEDGRSRCHWHANLPDYLRYHDEEWGRPVTDDIRLF EKICLEGFQSGLSWLTILRKRENFRAAFSGFDFDKVALFGEEDVARCLGDAGIIRHRG KIVSTINNAKRAIELRGEFGSLAHYFWRYEPGHNERPAVVDREHIVANPTTPTSVVIS KDLKKRGWTFVGPTTVYAFMQAMGLVNDHLEGCFCRPEVEAMRTALVRP YP_002974347.1 MKDHAVRTLIAAAALFLLTVSAMAQTPQLDPGEKLEKLQFPAVT MQLKGWTKFGNGHVYTLPVRAGQHMKISFSTKSKFAFLAIFDLSKPDDEAFFGTDEDG MSFETTIKENATWLLRPYYSKVSPRRGLGAPFSILIEPLAAAPQQPKPPAEPERPSLF PKAPPKPQ YP_002974348.1 MTTRPLTTIGFDADDTLWQNEQYYRLTEAHFTGLLADFAEGPKI SERLLEAEKRNLRHYGFGIKGFTLSMIETAIEITEGKIPAGVIAKILDTGRELLSHPV ETMPHVRDTLEALAGKYLLVMITKGDLFDQERKLAQSGLGDFFDAVEIVSDKTAVTYR RIFAKVGDGPERAMMVGNSLKSDIVPAIAAGSYGVFVPHELTWVLEHVDEPKEAPRFR KIDHLGELRDLIDRLG YP_002974349.1 MRYNQLGNTGLFVSEICLGTMTFGEAKQGTAWGAIADVDQNAAD RIVERSLASGVNFIDTADVYSTGESERLLGQALKNLDIQRKDVVIATKVYGVMGDKPN DRGASRGHIMDSVEASLKRLQTDHIDLYQIHATDTVTPIEETLRAFDDLVSRGLVRYI GVSNWQAWRISKALGLSERRGFARFETVQAYYSIAGRDLERDIVPMMQEEKLGLMVWS PLAGGLLSGKYGPGAPGNGEGRRASFDFPPVDKDKAWACVAVMREVAEKHGVSVATVA LAYILAKPFVTTVIIGAKRVDQLDQNLAAVKLKLDEGDIKKLDEVSALAPEYPGWMLA RQGAGRRPADFEPKD YP_002974350.1 MSLKIITLTAMLAIIAAPVLAKDKPMDMSKPMGNHDAASHAFSE ANAKMHKDMMIDYSGDADADFVRGMIPHHQGAIDMARIELQYGKDPDIRKLAEAVIRA QEAEIAEMNAWLKAHGK YP_002974351.1 MRMLALITMFLGWLVYSAMSAWAGCPTCASMNAPVVVENGMHHQ MAGMDRHEAADTADPLKDPCATGNSAHMPLCVACLLLPATVTVMDGGKSIFGYPAPAL ARALDDDKPTPQPPPPRLS YP_002974352.1 MITEALLYAATLPLTGKPHRKFIRYSVNLWSRAGRCAADWAEHE EMSRKAILAATADLRQKRTAVVLGSGLLRDVPIEVLARDFDTVVLVDLVHLASVRLWL SAKFHRNVQLIERDLSGYDDLAAGREPEPLGFLRAVPYLDLVVSANLLSQIGRGVKRR HGAEAGRMPEDTVERLIAAHLTGLSGLPCRNCLVTDIAYAVIDRNGKTHEEADLLHGV LPPPAKAAWTWPVAPLGEESKDYRIEHNVMAAW YP_002974353.1 MNDKQKKPQKLKARLPRGFVDRTAGDIRAVNEMTAKIREVYEHY GFDPLETPLFEYTDALGKFLPDSDRPNEGVFSLQDDDEQWMSLRYDLTAPLARHVAEN FNEIQLPYRTYRAGYVFRNEKPGPGRFRQFMQFDADTVGAPGVQADAEMCMMMADTLE ALGIKRGDYLIRVNNRKVLDGVLEAIGLGGDDKAGQRLNVLRAIDKLDKFGPEGVALL LGPGRKDESGDFTKGAGLDKEQIDKVLFFVGITDYAESVARLAELVAGTARGGEGVDE LNFIGALVTSAGYGPDRIKIDPSVVRGLEYYTGPVYEAELTFDVTNEKGEKVVFGSVG GGGRYDGLVSRFMGQPVPATGFSIGVSRLMTALKNLGKLGASEVIEPVLVTVMDGDVE AMGRYQKMTQELRAAGIRAEMFQGNWKKFGNQLKYADRRGCPVAIIQGGDERATSVVQ IKDLIEGKRLSGEIEDNASWREARVAQETVPEADLVAKVREILAAQAEDRKRAANV YP_002974354.1 MPLINLPEFANDLIAEFDARNAERIDTPVIQPAEPFLDIAGEDL RRRIFMTESETGASLCLRPEFTIPVCLRHIETATGTPKRYAYLGEVFRQRRDGANEFY QAGIEDLGDIDLSNADARAIGDATGILARLLPGRRLAVTLGDQAVFEAVVQALGLPLG WQKRLIHAFGNMTQLEALLASLVSPQFVTGLDDDIARLVASDDEQALVAYLEREMQKT GYSTNAGRSALEIARRLKEKLILSETRLDDAAFRVLEEFLSLDVPLINASAALSGFAD AAGLKLGNALARFNGRVAALSNAGVDLSCLDYRAAFGRPLDYYTGLVFEVTVEGSTAV LAGGGRFDRLLTFLGATDRIPAVGFSFWLDRIETERAAA YP_002974355.1 MTITIALPSKGRMKEDASAIFERAGMTISAVGNDRSYRGRVEGW DDVEIAFLSASEISREIGNGTVDFGVTGEDLMREGFAEVDKRVEFCARLGFGHADVVV AVPEIWLDVDTMADLVDVAADFRARHSRRLAIATKYWRLTQQFFSSQHGIQLYRIVES LGATEGAPASGSADIIVDITSTGSTLRANHLKVLQDGVILHSQACLVRARKESHAGEP AVQAIIDAVRAAL YP_002974356.1 MSNNVIILIARILLSFMFILSGFMKLTDPAGTAGMIAGAGLPAA TLLAYVAGLFELLAGLAVLTGLQVRIAGWLLAAFCVFTGIAFHLPYASGTELVNILNQ IMVMKNITLAGAYILLATVGAGAYSLDARRGAYATA YP_002974357.1 MADLSAFPITTRWPAKNPDIIQLYSLQTPNGVKVSVALEELGLA YEPHYISFAANDQKSPEFESLNPNGRIPAIIDPNGPDGKPIGLFESGAILLYLAEKTG KLIPADAAGRYETIQWVFFQMAGIGPMFGQFGHFHKFAADKVANNSYPVERYRDESKR LLGVLDDRLKGRQWIMGDQYTIADITTFTWVRGADIFYGGREVLEYAKFPAVSDWLER CIARPASARGLNIPVKPE YP_002974358.1 MFKIARANAAVPLTPGSFDDANQNHEMLAQFSVSEAWTGDFSSG MLRLGEWSAMLHGLAARECGLLSLIRCYDAKDRSHILELFEQAATLCSSFCFSTTIIM PNGLRQPLFCMGESNGLEQKYSGSMVGVFVFPRFKLEGTKQITSRR YP_002974359.1 MASKEIKFGRTAREKMLRGVDILADAVKVTLGPKGRNVIIDKSF GAPRITKDGVSVAKEIELEDKFENMGAQMVREVASKTNDIAGDGTTTATVLAQAIVRE GNKAVAAGMNPMDLKRGIDLAVADVVKDLQAKAKKISTSEEVAQVGTISANGDKQVGL DIAEAMQKVGNEGVITVEEAKTAETELEVVEGMQFDRGYLSPYFVTNPEKMIADLEDV FILLHEKKLSNLQSMLPVLEAVVQTGKPLLIVAEDVEGEALATLVVNKLRGGLKIAAV KAPGFGDRRKAMLEDIAILTGGTVISEDLGIKLESVTLDMLGRAKKVSISKENTTIVD GSGAKTDIEGRVAQIKAQIEETTSDYDREKLQERLAKLAGGVAVIRVGGSTEVEVKEK KDRIDDALNATRAAVQEGIVPGGGIALLRSSTKITVKGANDDQEAGINIVRRALQSLV RQIAENAGDEASIVVGKVLDKNEDNYGYNAQTSEYGDMIAMGIVDPLKVVRTALQNAA SVASLLITTEAMIAELPKKESAGGGMPGGMGGMGGMDMM YP_002974360.1 MASTNFRPLHDRVVVRRVESEAKTKGGIIIPDTAKEKPQEGEIV AVGSGARDESGKVVALDVKAGDRILFGKWSGTEVKIDGEDLLIMKEADIMGIIG YP_002974361.1 MAKRIENFSEISGRYDVVLCDVWGVVHNGVDPFPKAAAALEAAR ENGLAVVLITNSPRLSWQVVDQLRQIGVPDSAYDRIVTSGDVTRGLIAEGPKTVFLLG PERDKALLEGIGVERRPAGEAQSLVCTGFFDDETEKPEDYTDMLLDFKARDVPMICAN PDLIVERGHRIIPCAGAMAAYYEQLGGKTRIAGKPHRPIYEATLAAARELRGDFPVDR VLAIGDGMPTDVRGALNYGLDLLYISGGIHAKEYTLNGETDEAILNAYLERENAAPKW WMPRLA YP_002974362.1 MTVFHRNETREPLPAHLKGGVIAIGNFDGVHRGHQSVLSRALEI SKARGIPALVLTFEPHPRTVFRPQTPVFRLTPAPLKARILETLGFSAVIEYPFDYEFS QRSADDFIHSILKDWLGASEVVTGFDFHFGRGREGGPAFLMNAGHTYGFGVTLIDAFR DENADVVSSSHIRALLKEGNVSEVAGMLGYRYTVEAEVIDGEKLGRQLGFPTANMRLP PEVDLAAGIYAVRFRRQDGTLHDAVASYGRRPTVTENGAPLLETYLFDFSGDLYGQLC SVSFFGYLRPELKFDGLDPLVAQIKRDEEEARALLAGVKPLGALDRKLCFS YP_002974363.1 MTDTAEKIDYSKTLYLPETDFPMRAGLPQKEPELVKRWQEMGLY KKLRASAAGREKFVLHDGPPYANGNIHIGHALNKILKDVINRSFQMRGYDANYVPGWD CHGLPIEWKIEEKYREKGKNKDEVPVNEFRRECREFAAGWIKVQAEEFKRLGIEGDFD NPYTTMNFHAESRIAGELLKIAASGQLYRGSKPIMWSVVERTALAEAEVEYQDYESDT IWVKFPVVEGPVALKDAFVVIWTTTPWTIPGNRAVSFSPRISYGLYEVTAAENDFGPR PGEKLIFADKLAEESFAKAKLQYKRLSDVSAADFAAMTCAHPFKGLGGGYEFRVPLLD GDHVTDDAGTGFVHTAPSHGREDFDAWMSAVRALEARGIDTKIPFPVDDGGFYTSDAP GFEGARVIDDNGKKGDANDRVIRELIARGALFARGRLKHQYPHSWRSKKPVIFRNTPQ WFVYMDKTLADGTTLRSRALGAIDDTRFVPAAGQNRLRAMIEGRPDWVLSRQRAWGVP IAVFADDDGDVLVDEAVNARILEAFEHEGADAWFAEGAKERFLGNDHDHSRWTQVMDI LDVWFDSGSTHTFTLEDRPDLKWPADLYLEGSDQHRGWFHSSLLESAATRGRAPYNAV LTHGFTMDEKGEKMSKSKGNVTAPQEVMKDAGADILRLWVMTSDYADDLRVGKTIIQT NVDAYRKLRNTIRWMLGTLAHDKGEEIALADLPELEQLMLHRLAELDELVRENYDAFD FKKIARALIDFANVELSAFYFDVRKDALYCDAPSSLRRRASLHVIRQIFDCMVTWLAP MLPFTTEEAWLSRNPSAVSVHLEQFAPVAKEWRNDALAEKWKKIRTVRSVVTGALEIE RKDKRIGSSLEAAPVVHIADPELLKALEGQDFTEVCITSAIEIKVGEGPGDAFRLAEV PQVSVVPKLAEGEKCARSWRITRDVGSDPEYPDVSARDAAALRELAALT YP_002974364.1 MLATHWFRMGACLSVVVAGCSLVSGCASSPTYGTDKTAMEQLTD DLGQSVSLTGPDPKNKGVKYTPRPTLVLPAQAQKETLVAPQQTVANKDNPQWLESPEE TRARLVSEADANEDNPNYRSPLASSAIEGGRRTTEAQTKAYREARALQKGSYVDQRRY ISDPPPGYRTVDDPAKLDDVGEPELKKQKKRKKDAAVANTGKQWWNLLQ YP_002974365.1 MEMALEEARAAGERGEVPIGAVVVIDDIAVSRSGNRTRELKDVT AHAEIAAIRLACEALGQERLAGADLYVTLEPCTMCAAAISFARIRRLYYGAEDPKGGG VDNGVRFYGQPTCHHAPEVYSGFNEVQSANLLRRFFSQRREAP YP_002974366.1 MTPKDKPKRPGAKPLSRDIRSKAGPKADGDKPAKPAVARAIAAE TDSDAKAERISKVMARAGVASRRDIERMIMEGRVTLNGRVLDTPVVNVTLADRIEVDG VPIRGIERTRLWLYHKPTGLVTTNADPEGRSTVFDNLPEELPRVMSIGRLDINTEGLL LLTNDGGLARALELPATGWLRRYRVRAHGEIDQDALDKLKDGIAVDGVLYGSIEATLD RTQGSNVWITMGLREGKNREIKNVLGALGLDVNRLIRISYGPFQLGDLPESHVVEVRG RTLRDQLGPRLIEEAKANFDAPIYNTTAVAAEEEAEPAAPEKRERPRRDEDKRERALS RLDTKRDDRHGGARKEDDRRDGGRRDDEKPKRPQPLGQRRSANVWMAPGARPLGEKAA AKAAKNAQTARRRGEQAPAKNDRIEDRPRTQVNRVREEDGEWIRSSEQPRGKDEGEGF GRKRGFGDRPAREDRGSGDRPARGDRPFGDRPPRGDRPFGDKPRGDRKPRADGDERPR AARSPAGEGRSERPRGDRPFGDRPSRGDRPFGDKPRGDRKPRADGDERPRAARTSPGE GRSERPRSDRPSGDRPSRGDRPFGDKPRGDRRPREDGDERPRAARSFAGEGRSERPRG DKPSGDRPSGDRPRGKGFAAKPSGAKPGGAKSFSGKPKGTKPGGDRPGGDRPAGGPSR GGAKGKGMTRGADRRR YP_002974367.1 MRIVGGEFRGRPLAVPKSNEIRPTADRTRESLFNILSHAYPECV DGTRILDLFAGTGAVGLEAVSRGCRHALFVENSVEGRALLWENIDALGLHGRTRILRR DATDLGSVGNLDPFDVLFADPPYGKGLGEKAMAAAVEGGWLRPGAIAVLEERADIVVS VHPSYVFLESRIFGDTRVHFFRYQPQ YP_002974368.1 MQQAEIISPKLPAISDVPTVAVAFGGGGARGLAHIHVIETLDEL GIRPVAVSGSSIGAIMAAGMAAGMSGAEIREHALTTVGNKTAVVARIWGLRPATVRDA VAKGIRIGQFNLERILKAFLPSELPARFEDLLVPMKVITTDYYGQCEVIIEQGELFPA LAASSAIPAVFMPVRLRDRVMIDGGISNPVPYECLMDLADIVVGIDVVGAPEGDGTHI PNRMESIFGSGQLMMQTAISLKLKLRPPHIFLRPTVGRTGVMDFLKAREVLAMSVGVK DDLKFALDREIEARLKR YP_002974369.1 MKKIIAIVAGVLLASMASADDDPQQAIPARLKVMFERPDKPLDI APVVVQGDWAIVGWRQDGRGGRALMKKTHHGWSIYLCSGDSLKDTATLETIGLSAVDA AGLAAKLKNAEAGLDSSALALFSSFEGTMMMNEASNGAGHAGHEGHAQ YP_002974370.1 MSTITAAEPAEHAADGVSLYRAIWRWHFFAGLLVIPFLLNLAVT GSLYLFKDEINSTFFGYRYSVQPAGEALSPERIAEIAASAVPGSTATSYKDPVLPERS AIVTLSSDAGSMLVFVNPYDGKVLDVVGSTDEFNYVVKRIHSLAYFGTITNRLIEIAG GFAMVLVVTGIYLWWPRRQTGGVISVRGTPGRRVFWRDLHAVTGAFAGILIFFLAMSG MPWSGYWGANANAWLTEHGLGYPVQLWGDVPKSRKVTEDILPNSGWIVEKAPVPLSDI AAAQSARPIGLEKAVETAKRLGMAPGFDLAIPSDETGVYTASLFPDDLADERTLHIDQ YSGKPLIDIGFDQYPFLGKAIEWGINVHQGQEWGRFNQLLMLATCLAIVLSCVTAIVM WWKRRPIGRLGVPPMPPKPSIYYGLWVIVGIFAVTFPMSGLAIVAMIAFDQIVVRFVP LLKRVLA YP_002974371.1 MIRRTRQIEQWALRILCAVALVFVGFAHQVPLAAAGEFDPAELA QYVLPDGTLPTLCVTTTDTSGQEQHDKAHSHGCEACRISASILLPAPTDIAGSAIHFA ATVELPIRAEAFHRQLFPPNTGPRAPPSDPIPA YP_002974372.1 MSASNAFFSETILLLGGAVVAAPIFKKLGLGTVLGYLAAGIVIG PVFHGITDGEQILAVAELGVVFLLFIIGLELKPTRLWQMRRDIFGLGAAQVVLTGLAL TALAWFSQVLDWRGSIVAGFGLALSSTAFAMQILEGDGDVNTRYGQRSFSMLLFQDLA IVPLLALITILDGGDKGSNAPLFDFAIAVGAVAAMIVMGRYLLTPLFQIIARTGAREA MIAAALFVVMGSASLMQLAGLSMAMGAFLSGVMLAESSYRHELEADIEPFRGVLLAIF FIAVGLSLELDVLADNALFVIVAVPIVMAVKAIVIYGICRISGSSHDDAIRIAFLLPQ GGEFGFVLFTTAGAAGLMSTSTASLLVAIVTLSMALTPIGSALSKRLLNGDEQEELDE DFEGAGADVLMVGFSRFGQIAAQILLAGGRSVTVIDFSADRIRQASSFGFRIYFGDGA RKDVLRSAGIDRAKIVLVCTQKKEITDKVVELVQADYPHTRLYVRSYDRIHSIELRNK GIDYELRETLESGLLFGRRTLEALGVSEVDAYEIGEDIRKRDEARLVLQVSEGLQAGR DMLFSHPVRPEPLVKPKRAADPFEHDPLAGTADATADA YP_002974373.1 MSSEIDSSTLLSRASQLIDLARKAGADAADAVVVRSRSQSVSVR LGKVEGTESSESDDFSLRVFIGKRVASVSANPGFDLQALAERAVAMAKVSPEDPFACL ADEASLSRSYPDLQLLDTTEVSSEMLREAGLAAEAAALAVKGVTNSSGAGASAGMGGL VLATSHGFEGSYMASRFGHSVSVIAGEGTGMERDYDYDSRLYYAELDDPAEIGRRAGE RVIKRINPRQVPTGKDITVIFDPRVARGFVGHIAGAINGAAVARKSSFLRDKMGQQIL KSGLSITDDPLIVRGPSSRPFDGEGVSGERLVMIEDGVLKHWFLSTSTARELDLETNG RGVRGGTAVSPSSTNLALEPGDISPEDLIRSVGNGFYVTELIGHGVNMITGEYSRGAT GFWIENGELTFPVSEVTIASNLKEMFMRLTLANDIDRKFGVAAPTFAIEGMTLAGR YP_002974374.1 MSDMEKARWQSDLTLIADAAKEAGAVAFGFFNQSPEVWWKNEDR SPVSAADFAANKTLETILRKARPDYGWLSEETDDDADRLSRETLFIIDPIDGTRAFLG GQKVWCVSVAVVHRGRPVAGVLYAPALEEFYEAVEGGVALKNGVPFTVSAAGPEEMSR LAIGEDLLKTFPTEFRDRVTREKYIPSLAYRIAMVADGRLDGTFVKGNSHDWDLAAAD LILVCAGGGLVDIEGRPIVYNRAEVTHNVLCAAPTPRISEFLAAFAGRRDS YP_002974375.1 MTDSGDKKQLLHLVFGGELESLDDVQFRDLQGLDIVGIFPDYAT ALTAWKSKAQQTVDNAHMRYFIVHMHRLLDPQEKPKG YP_002974376.1 MSSRMARFGLNAYRLAGTVASPVVGLYITYRTAKGKEDRARRLE RFGYPSANRPQGPLIWFHAASVGETNAVIPLIREIRRRDIHVILTTGTITSAKLAAER LGLEAIHQYVPLDLKPSVSRFLDYWQPDCAIIAESEIWPATVLELGRRRIPQILINAR MSDRSFARWRRRPAIAEALFENLALVIAQSDVDAERFRDLGAVPVITSGNLKVDTDAP PYDSAVFARYKKQIGERKTWAAISTFDGEENAAAIVHRALRERDRQLTIIVPRHPERS DEIEAALVKQGLKVARRTRDDVLSADVDIFLGDTIGEMGLYLRLTEIAFVGRSLFAEG GQNPLEPAMLGCAILSGGHVQNFRDAYQKLARSGSARMVRDTEMLAKGVHYLLINDDA RRNMIEAGITAVHEMRGALTATVKGLEPYINPLTVKARLLPKAVAQV YP_002974377.1 MSAVASIKGILFDKDGTLLDYDESWLPVNRELARIAARGDELLA NRLLSACGMDPVTGHIVPDSLLAAGNTRQIASGLVAAGSMVDVDELTVRLDDLFSSAA EFSVPVTDLAGFFERLHRRGFKLGVASSDNERSIRQTAERFGFARYIDYIAGYDSGFG VKPEPGMVLGFCAATGLLPEEVAMVGDNNHDLHMGLNAGTGLRIAVLTGTGSRETLAA AADHVLDDITAIETLLPDLQPA YP_002974378.1 MISEAPPFWWRKADWRAWLLLPLSFLYGRIASHRMAHARRASVP IPVICVGNFTVGGAGKTPTALTIARAAKAKGLKPGFLSRGYGGSLDVTTVVDPDHHRA IAVGDEPLLLAQEALTVISRRRVEGAARLVAEGADLIIMDDGFQSARLAIDYALLVID ATRGLGNGHIVPGGPVRAPIRQQLRSATALLKVGGGNAADRIVRMAARAAKPYFTASL KVRGDNTLAGTKVLAFAGIADPAKFFRTVESRGAEIVVAKSFGDHEHLTEEEIDDILT TAERQGLLIVTTSKDFVRLSGHQGKAARLVEKSRVIEVDMVFEDHLAPSLIIDRAIVA CRERRLREMKAGA YP_002974379.1 MELGWLEDFMELAAVRNFSTAAAARHVTQPAFSRRIRALENWIG AALIDRSSYPVRLTNAGETFLESSRELMREIYRVRDECRQQARAGIEVLTFSALHTIA LSIFPDLLTSVEQRTGPFITRMHATDFYDCVESLALGRCDIAFCYSHEFGPPVLQTGQ FSSKVIRIDPFHLVSKADQRGNPVIDLATWPGNEDMPLVAYSSDCFLGKVQTQLMLDM QKTGRSFRVTYENSMSESVKRMILAGKGIGWLPESSATREIERNELCIVDARQAEVTL NVLAIRKQGSGSAALERFWSNLQGL YP_002974380.1 MRQVYVNGDYLPETEARISVFDRGFLFADGVYEVTLVLDRKLVD FAGHMRRLRHSLGELDMAFALTNEKLLDIHRELIRRNDIEEGLVYLQVTRGAADRDFL FPADATPRTVVVFTQKKSLVDSPLAERGLHVITVEDLRWRRCDIKTVQLLYPSMAKME AKSRGADDAWMVRDGFVTEGSSNNAYMVTLEGTVVTRDLSTDILRGITREAVLQCAQD LQLRIEERPFTVEEVENAAEAFSTSSSGLVSPVVRINERVVGKGTPGPMAARLRQLYL ERSRASAI YP_002974381.1 MNLFEGHGNREAKIRYLDGDFQILSPGSYVVCAMTGKQIPLDEL RYWSVARQEPYADVISAIDADKRAGVLPNQRR YP_002974382.1 MAIRQLSETLINQIAAGEVIERPASAAKELIENALDAGATRIEI ATSGGGKALLRVSDNGSGMDAADLELAVRRHCTSKISETLEDIRTLGFRGEALPSIGS VARLSIASRRRDSTGGHEIAVAGGKIAHMRPAAANPGTIVEVRDLFFATPARLKFLKT EKAEAGAITEIVKRMAIAFPAVRFVLSGSDRTTLEFPATGDDHLARMAQVLGKEFRDN AIALDAVREEIALTGFAGVPTFNRGNSAHQYAFVNGRPVQDKLILSAIRGAYAETIPS GRYPVAVLAITLDPALVDVNVHPAKSDVRFRDPSLVRGLIVGAIREALARDGSRAATT GASDMLRSFRPGFQPNNQRPQTAWSAETSPSQPYQPATGFGERPQASFDGLSMPTARA EPQFSPQPAVAEPNTRYPLGAARAQIHANYIVAQTEDGLVIVDQHAAHERLVFEAMRK ALHSKRLASQVLLIPEIVDIPEEDCDRLMQHAAELSELGLAIERFGPGAIAVRETPAM LGEVDAHGLIRQLADEIAEWDTASGLSAKLEYVAATMACHGSVRSGRRLRPEEMNALL REMEVTPGSGQCNHGRPTYIELKLSDIERLFGRS YP_002974383.1 MSAGFEKADTSSEIDGAPAEADLQTALFDVVCDSLSAAFIIYDK NDHLVFASRQILEFFPLPSEMLKPGTRLRDFLGAMYDTGIRQQYDVRQGGSLSREDWL SQKIASHWRERFDAVERHGADRWVRFVKRRLPGGYGVSIISDISESKKREEQWRSDLE RVQLTEDILDNLPFPLFVKDRNLTYVAVNLAFCEKYQTSADEVLGRKSGDLFSEEIAK RFEESDRHVLETGEMSVSRQRQISRDGIERDIVSRKHRIGKPGRYFLVSTMQDLPRDG ADLDEFGQASAITASSNQSYRRAYVPVPSGIERREPAAMEAIVPENFSGRKVLVVTAD LAAETAALRTLTKYGFESCSVRGEDEEERFLEIATSSGISLDLLIIDNQMGMRCLELA EQYGIPALVMDGFQIANELTFQIARHFNRNSRNAAGSDADWEISTSDDAIGLQVLVAE DNDINQIVFSQILEGLGYRHMLAATGDEAVRLWAEHRPQIVLMDISLPGFNGFEAARL IRQMEETGGSIRTPIIGVLTQAFERDRAECVKSGMDDVIMKPVSPDMLETIFQKYLMN EAMRAQN YP_002974384.1 MMKSADIAFLTVGQNELQAMAYTDPLTGLGNRNRMRDKVLQISA ERASDPAPFTIGIVNLDSFKPINDLFGSTAGDEILCQVAHRLRACIPDGALVTRHDGD EFAFVLPLIFERASAEKFGQMIREVLSAPYDLGDRNVRLSASLGFSIYPFAGEDCEEL LKSAETALYRSKRRGRGQITVYSREIAQEMKRATQLEQALRNAIISDAIDVHFQPIVS LSNNQVVGFEALARWNDPDLGFVSPGVFVPLAEERGFIDALSEALLRKAAEAALSWPR ELFLSFNLSSAQLMDPGTSSSVLSILGRVGFDPHRLELEITETAVMSSADTAHRIIAD LRAAGVRISLDDFGTGQSSLGRLRDFIFDKIKIDRAFVSRINSDRASEHIIKAILTMC EGLELEVVAEGIEDYAEAVKLRTLGCGMGQGYHFGRPADGIATLRFLHENYYDPTMVE RVSA YP_002974385.1 MTRKLLTTVAAGALFATAFAPAAFSQAAPQPADPAAPTQAAPAD PAAPKPMTPDVTKPAGDAAQAPAAAPTADTAQAGYLTEQSPDQVSANTYIGQSVYNGN NESIGSVNDLILKKDGGFVAAIVGVGGFLGIGEKNVAVPMEKITVAQNTQDGSVKLTT SETAESLKAAPEFKTLAMQSAEKAPAATGTDTTATGSTTPK YP_002974386.1 MTDIYEFEGKTLCNTNSVLGEGPTYDPDSNTVWWFNILGKELHE LNLSTAAKKVHPLPVMASVLARIDAGRQLIATEEGLFVRDVASGNLTFYAALENDRPE NRSNDGRTHPSGALWIGTMSKRAENQAGAIYHVASGKVTKIFNGISIPNSICFSPDGT VGYYTDSRINRLMRVMVDPLTGLPSGEPIVLVDSMDDPGSIDGSVVDADGYLWNARWG AGVVDRYSPDGLRISRYMVPAVQPSCPAFIGVNADRLAVTTAWEGLDEDARSAQPSAG ALLELGIDVKGVFDPVYVI YP_002974387.1 MNRIPFPDMKRPLIAILRGIRPDETESVVGALIDNGLTAIEIPL NSPEPFKSIEIAAKMAPAEVLIGAGTVLTVEAVDSLHAAGGKLLVTPNVEPEVIIRAR DYGMVTMPGVFTPTEALAAARAGATGLKFFPASVLGPSGITAIRAILPPELTIAAVGG VSDKNFGDYTKAGIFAFGLGTSIYKPGMTASEVAERAKATIYAYDAAIGSVNV YP_002974388.1 MANPAYVAVDWGTSSFRLWLISRDGTVLAERRSGEGMTSAAKIG FSAVLAGHLAAIEAPENLPVIVCGMAGARQGWVEAGYIDVPAPLASILTGAVSVPGES RDIRILPGLAQRDIARPDVMRGEETQLLGALGRASAGAQAVCMPGTHSKWVHVTDSKV TGFSTFMTGELFDVISKHTILSHAVAGAEEQPADAAAFEAAVSAAFARPALASNLLFA ARSGQLLHGISAAAAQARLSGTLIGLEIAGALQDAANGTTITLVASGRLQALYEQAVK TLSLAFTAIDADAAVRRGLSAAAEAIWPN YP_002974389.1 MTMMPAAQFPEFKNRAVLVTGGGSGIGAAIVEGFARQGAKVSFI DIAEAAGRELAERLSRETTHPVSFHHADLRDIAAIRRTVDSVIASSGPIRVLVNNAAW DDRHEFDAVTEAYWDNNQAVNLKHVFFTSQAVVPSMRAAGGGAIINMSSIAFKLNMGG FPAYAAAKAAVVGLTKSMAGRLGPENIRVNCILPGMVVTERQMQHWLTEESIARSVED QCLKVALKPDAIVGPCLFLASDCAAAMTAQSLIVDGGVL YP_002974390.1 MNSNGDGAAQARETGTLGKLMVLLDLVTHADAPLRFTDILALAG QPRGTLHRQLSHLVEEGLLELDGDGRYAPGLRLLDFAARSWARNEFRLIAEPHLRDLQ QATGETVHLGVLRGQSIIYLDKVEGRQPVRMYSQIGNASPCYCTGVGKAALSLLPAES LVDLIAGLSFTSFTASTHVSAETLLAEIREIADQGYAFDREEHEAGIRCVAAPVWSED RTFMGGISITGPAYRLSMELLHQWTVPVQLAAVRIMEGMRVRLGPRR YP_002974391.1 MAPLSQTSTEEDDYVQEIAGLKTQFDIFRFMKRVTEAYRSRAFM VLNLPPITSFDLQGSTVITSWPAELLALYDQEGLMVNSPVLRRLRTSARPFFYDMSRQ NLSRDDGKSILVASLFERFKMMRCAYFPTHEPSGLRGAISFAGDREPFSPAEMRELCY ISIHIFDRLAEIRNLDTRMTDTLTDREIDCLNWTAAGKTSAEIAEILTLSEHTVNHYL NRATKKLDTVNRTQAVAKALRIGLIK YP_002974392.1 MADVTKEQVLETLKTVRGPDLEHDIVELGMVSDVFISDGKVYFS ITVPADRAKELEPMRLAAERVIKEMPGVKGALVTLTADKKAAAAAPAARPAANPPHGH AGHDHGSHAHAPQQPPRAGKIGVPGIGAIIAVASGKGGVGKSTTAVNLALGLLANGLR VGILDADIYGPSMPRLLKISGRPTQIDGRIINPMENYGLKVMSMGFLVDEETAMIWRG PMVQSALLQMLREVAWGELDVLVVDMPPGTGDVQLTMAQQVPLAGAVIVSTPQDLALI DARKGLNMFRKVEVPVLGIVENMSYFIAPDTGTRYDIFGHGGARKEAERIGVPFLGEV PLTMNIRETSDAGTPLVASEPNGVVAGIYRGIAAKVWEQVAGQPQRPAPTIVFE YP_002974393.1 MITAYCSNCKSVEIGDLSHAASFPEDVVWIDMIEPTRDEELYVE KVLGIEVPTRDDLKDIEPSARLYVENDAVFMTASLLWKADTDVPTLTDVAFILAGNRL VTIRYAHPKSFALFIAALHRLPENWRSGAALLAKLLETIVDRTAEILEIAVSRLDILS MHVFGERARKVRKPSNYLEEKLRDIAGHHRMISKVRDSLGSLARLLTFFHTIPAIQQD REAKELCRTVSRDIQSLSEHASFVAANITFLLDASLGLINIEQNSIIKIFSIASVVFL PPTLVASVYGMNFQVMPELTWAAGYPYSLALMVISAVIPFFFFRWKGWL YP_002974394.1 MSEESHPNERHMTPRKLFYLALGSVGVVYGDIGTSPLYAFREAL KPVAHDGVTRFEVISLISLMIWALTIIVTIKYVLFLLRADNDGEGGTLSLLALLMKTA NGHTAILMLLGLMGAALFLGDAMITPALSVLSAVEGLKLVTPRLADYIVPISVVILAL LFVVQSRGTGAVARFFGPITAVWFLVMAAAGISHISDDYGILAAFNPYYAVSFLLHEG FYGVVVLGAVFLTVTGAEALYADLGHFGRRPIQWAWFMLVFPALTLNYLGQGALVLGN PATMSDPFYLMFPKWALLPVVILATAATIIASQAVITGAFSMVRQGINLGFLPRMEIL FTSETNTGQIFVPSVNAVLFIGVIFLVLSFKTSDALATAYGISVTGAMVVTSIMAFEF VRARWNWSLPVAVIALAPLVVLEMIFLGANLLKIHDGGYIPIMIATAFTVVMWTWRRG TAILMEKTRHTDIPLASFVSSIERKSEHSPAQVPGTAIFLTSDPESAPAALLHNLKHN HVLHDRNVILTIRTINKPRVPSHDRYKIEQISERFSRVELLFGFMESQNVSQALATLR KTGLKFDIMSTSFYLGRRKLVPDAKSGMPYWQDRLYIALANAAANPSDYFRLPANRVV ELGSHVII YP_002974395.1 MECSSVPCPESVLRRKSPSRSKLRLFPENWVSPVIFGLAGWLIF PSVASHADLAAMLAGLDHEGGNWRMVLTNSPAGSIHQAELAFAEPMVTGSIAADAGMV LPDGRKVAFNAKDKGTAKDRGHEDTPDEDRVNRSAKKGRVVAVEKMQPPKDFSAGSIL ERTKMLFTPSFDLKDRSAFVKPKIQGKEIEIATSFYKKQPVVTDNGVPAMLASLVTNN KADVLATAYAPAAPDYARQSPFDSILAEPDSGRFVPEIGPRDHAWAASVLAPSVFSAR EQQCLASGIYFEARGESVKGQAAVAQVILNRVRNPSYPKTICGVVYQNEDWRNRCQFS FACDSIKDRVNSEYHWRVARDVAMAVTSGKIWLLQVGSATHYHAVYVRPKWAKTMEKV GRIGLHVFYRTYGGGWS YP_002974396.1 MADDREESLEKRRAQLGAKLATKGVETGEDEAREARAEVSRKGY AQAMKLSSEFISAIVVGALLGYLLDRFVGTAPWGLIVLLLLGFCAGVLNVLRSAGVVA HPLDDKDDKK YP_002974397.1 MSNDPTHQFLIQKIVPIEIGGIDFSFTNASLFMAVSAAAAAGFL YFATSNRAIVPGRSQSVAEMSYEFIANMLKEGAGKQGMKFFPLVFSLFMFVLTANLLG MFPYFFTVTSQIIVTFALAILVIGTVLVYGFYKHGFHFLNVFVPSGVPGLLLPLVVTI EIISFLSRPISLSVRLFANMLAGHITLKVFAGFVASLGTMGALGIGGAVLPLIMTVAL TGLEFLVAFLQAYVFAVLTCMYLNDAIHPGGH YP_002974398.1 MEAEAAKYIGAGLACFGMAGTALGLGNIFGSYLSGALRNPSAAD SQFGRLVFGFAVTEALGIFSLLVALLLLFAV YP_002974399.1 MFFVTPAYAEEAPAAATGTDAHAAPAAGEVHTETGVAEGEHARG PFPPFDSTTFASQLLWLVITFGVFYLLMQKVIAPRIGTILDQRHTRISQDLEEAGRLK AEADAAVRTYEGELAAARAKSNAIGSAARDAAKAKAEEERRAVEASLSEKIKAAELRI GEIKAKAFADVGTIAEETAAAVVDQLIGGTVAKADVAAAVAAAKKEA YP_002974400.1 MEFAFDATFFAFVGLVLFLALVVYLKVPGMMARSLDDRADQIRN ELAEAKRLREEAQHLLAEYQRKRKEAEAEAAHIVAAAEREAEMLTAEAKKKTEEFVAN RTALSEQKIKQAEVEAMKAVRSAAVDLAIAAAETVLAKRADTKIQSELFGNAVGQVKT RLN YP_002974401.1 MSRPFLLSITRSRAGYLPQINRLQTFGQTRCGPLLSPGASPSSG CRHLLPAGGEKGYAAAFSVPRLSLAGHVPSPRLRGEG YP_002974402.1 MKIRPEVLDHWPEVRERLPAGFDLEATARLRGAFTRVREIKNAE TLLRLALAYGGLGMSLRETCAWAEAGGIARLSDPSLLERLCKAAPWLGDIVAALIAEQ AKVPTGRFAGYRLRVLDGTSICHPGADRTTWRLHVGYDLATAQVDQLELTDIHGAENL QRLTYAPGDIVLADRYYARPRDLRPVIDAGADFIVRTGWNSLRLLQTNGEPFDLFAAL AAQQEQEGEVQVRVHEGMTGTPPPPPLALRLIVRRKDPQQAQAEQERLLKAARKHGKK PDPRSLEAAKYILLLTSLPATTFPPADILTLYRFRWQIELAFKRFKSLAGLDSLPAKK PELARAWLYARLIVAIIAEQIAGQVPDSPPSGCGNPTG YP_002974403.1 MKPRTSPDSPLLFDTAPLVPDFRLELKARKAGHWPVAGADEAGR GPLAGPVVAAAVILDPRRIPEGLNDSKQLSAQRREELFVQILATATVSIASSSSTRID ETDIRKASLDAMRRAICSLAIPASYVLTDGLDVPPGLDCPGQAVVKGDARSVSIAAAS IVAKVTRDRMMARAHSVFPDYGFAAHVGYGTAQHRAGIERHGPCSLHRMSFRPLRKTE GAPETDELLSE YP_002974404.1 MREQSLAGQAAFAPANTADIADAMIVSSGLRVEVDRRRGRGAGL NPTGRFEAQQRETVDDGWQTWEELPPFKTEVQVEKPRTAITRNESPDIPFDRSINPYR GCEHGCIYCFARPTHAYMGLSAGLDFETKLFAKPDAAKLLERELAKPGYKVRVIAIGT NTDPYQPIEKEWRIMRGILEVLNKANHPVSIVTKSAMILRDLDILQEMAAKNLVRVGI SVTTLDRKLARTMEPRAATPPRRLETIHTLAEAGIQTAVLAAPLIPALNDHELERILE SAKAAGAAEASYVILRLPLEVSPLFRDWLLQHYPDRYRHVMSLVRSMRGGKDYDAEFG KRMKGAGPYAWQIARRFEMAARRFQLTRRSMPLRDDLFVPPDGSGVQLSLL YP_002974405.1 MLAKICAAHYKWSMLTVVLECQDQESELAQTLSVLVAGAVEGLV SDVVVLDHGSRDGTSRVADAAGCRFHSQWDIKDIVRSARGEWLLFVEPGARPQAGWID EIAEYVALNKLPARFTASRAYRRPFFQRVGRAVPPLELGFLLPKKQALAIAKSGMRLT EFVKGQKPRKLASELIPSWVARAAR YP_002974406.1 MARGRIYGVRSMIYGLIAVILPTLVIAHPHSAASQMMRSCAGRP EIVNFLDKNFAEKLTAVGLINENAILEVYAAESGTWTLIVTDVHGISCVLLSGDSWDT MPVLPGLAT YP_002974407.1 MAGLDEKRPFIAVGIAVLTISDTRTPETDKSGDTLAARIREAGH RLVDRGIVPDDREKIAARVKAWTENQDIDVVITTGGTGFTGRDVTPEALEPLFEKRMD GFSAIFHRISYEKIGTATIQSRATAGVANATFIFVLPGSPGACRDAWDGILKGQLDYR SAPCNFVEIMPRLDEHLKRGATK YP_002974408.1 MMSSENRSHFSASCSSFSEEARAKINLALHVTGQRPDGYHLLDM LVTFADHGDRLDFMRSPTDALTLSGRFGETLAGDGGTNLVLKARDLLREVVGPLAFPV RIHLEKNLPIASGIGGGSADAAATLRGLMRLWGTTLSAETLAALALKLGADVPMCLES RPLIARGIGEKIEPVPDLPAFAMVLANPLKGVATPEVFRRLATKNNPALSLALSGSQA ADWLAAIAAARNDLEPPARGLVPEIAAISAMLQARGALLTRMSGSGATCFGIFASMTA AEGAAAALHDKRPDWYFQATETVSGGA YP_002974409.1 MRQRLAIRLLTSAALAAVLSLSGVGGANAEDAAKTDDAGTAVHF DADSVTTFSGAFLAARTADVDHDYETAIELYKKALQIEPGNPEIRQRLMISLLLNGDI KDGVKYANDLKGDPSVERITTIVRGMDAVRRDDYKSAEAILKYKGPNDLDRMMNDLLL AWARVGAGRGKEALTMVEKMKGPDWFRIFQNYNAGAIAIVTGDVKSARQHLNDAVLDK EGGATAPDTFMRAVMALARLEATQGNKQKALDAVSVGDNLLPNYAPLNALRDSIEKNE KQDQQVKTAEEGAAGVLFSVGGALNRDGAEDIVSLYLQTANALDPNSADTLVLLGGIA EKQNQMDRAIALYKKVPENSPMRRISELQLGLALAQGGKVDEARKHLQALITSDPKDI RSYLAYGSVLSDAKDYQAMATNYDKAVETIGPIPGRANWSVFFQRGIAYERLKKWDQA EPNFRKALELNPDQPQVLNYLGYSWIDMNRNLDEGLGMIKKAVDLRPDDGYIIDSLGW AYFRLNRFDDAVDELERAAQIKAGDATINDHLGDAYWRVGRKLEAVYQWNRALASEPE AAEIPKIKDKVANGLPPASDDAKAADKKQPDPAPVTPPPVDKKS YP_002974410.1 MGVVIPLEESKNKLASIKPLVDLTRADMERVNQLILSKAGSDVQ MIPEVANHLISSGGKRLRPMLTLASASLFDYRGENHVKLATSVEFMHTATLLHDDVVD ESDLRRGKSTARMIWGNQASVLVGDFLLGQAFRMMVDVGSLDALDVLSSAACVIAEGE VLQLSVAKNMETTEDDYLSVIRAKTAALFAAAAEVGPIVAEAGRSGRNALKSYGMNLG LAFQLVDDALDYGGKAADLGKNVGDDFREGKITLPVILAYRRGTEDERAFWRDAIEAG NSTDANLEKALGLITKYGTLSDTIGRAIHYGTIARDALAPLPDTVWKSALMEVIDFCI ERVN YP_002974411.1 MHELIRANDPVLLSFAESLMKDAGIHCFIADQGMSVLEGSLGML SRRLLVDEEMADQARRILTDAGLGGELRERK YP_002974412.1 MTATDTVDAFHRGGFHVVQPKGRGHRSGMDAMLLAALVADDRPV RVADLGAGAGAAGLAVASRLANTQVVLFERSAEMADYARRSILLPDNAHLAGRVSVVE ADVTLTAKARNDAGLTDESFHHVIMNPPFNDAGDRRTPDALKAEAHAMTDGLFESWIR TAGAIIIPGGQLSLIARPQSIAEIVAACGRRFGGIEITAIHPRQGENAVRILVTGIKG SRARLSLRAALIMHEEGSHKFSPLVDDFNNGRAAYARL YP_002974413.1 MDALNPNAKNGWRHERGEASLSDVYRTVGTGRHSSRWRRAAAFA GPGYMVAVGYMDPGNWATSLAGGSKFGYALLTVALLSNLMAIVLQSLCARLAIASGRD LAQACRDAFPKYVSLPLWAFAEIAIIATDIAEVIGTAIGLNLLMGIPLELGVLITALD VFVILFLQKLGFRWVEAFIIALLGVIAVCFGVQILLADPQWGAVLTGFFPTTEIVTNP EMLYLALGILGATVMPHNLYLHSGIVQTRAYGHTVPEKREALTFATIDSTVALCFALL INASILILAAAAFNAHGKTDVVELGDAYSLLSPLLGLAIAPTLFGIALLCCGLNSTVT ATLAGQIVMEGFLKIRLKPWVRRLITRAIAIVPAAIVTIWYGDQGTAELLILTQVVLS LQLSFAVFPLVMFTANKAKMGELVAPRWLSGVAYLIAIVIAGLNVKLLFDFVTG YP_002974414.1 MAGFLRKLLPKRFRKDGITIPVVRLQGAIISGGGQFRPTLNLAN VSPVLEKAFAMKDAPAIAISINSPGGSPVQSRLIFTRIRELAREKQKKVLVFVEDVAA SGGYMIALAGDEIIADATSIVGSIGVVSGGFGFPELLKKIGVERRVYTAGENKVILDP FQPEKEKDIEYLKSLQLEIHQVFISMVRERRASKLRDDATVFSGLFWSGTRGLELGLI DGLGDMRQELKRRYGQKTKLELVTAGRGLFGRRIPGVSPVSLEDAASGLATGLVEAAE ERALWSRFGL YP_002974415.1 MPQLITILILVFSAWWLYRRFVSDARKLAEKSRRAEKERQTGAI GTLVKDPATGEYRLKREGE YP_002974416.1 MNPKRSFQALILTLHNYWADKGCAVLQPYDMEVGAGTFHPATTL RALGPKPWKAAYVQPSRRPSDGRYGENPNRLQHYYQYQVILKPNPPNLQELYLGSLAA IGLDPLLHDIRFVEDDWESPTLGAWGLGWECWCDGMEVSQFTYFQQVCGIECSPVAGE LTYGLERLAMYVQGVDNVYDLNFNGRDGDEKISYGDVFLQAEQEYSRHNFEFANTEML HRHFVDAEKECRALLDAGAPGDNANQRLHKCVFPAYDQCIKASHVFNLLDARGVISVT ERQSYILRVRTLAKACGEAFLLTDAGGVNLSKEAA YP_002974417.1 MASVSLLKSGILYFKLLYENSAPRMLRLLAWPILCAMLFVLTSC SNFDKEFTVYSANTTIEVYTDGSAFVSEHFDILVKKAENYGGVYVDIPQRFTDASGGV HWRDFELAAARRDGIDEYYFKENNVPGYSVYIGAEHCKSCSADLLTGVTKIQIAYWLG RLVRQEGDHEVLFLPAYMGRVHGQGAKKTLTLKLPPGGTVRPSQQDRAAGYDITRSAP NEILVSIPVGKADRKLPDIEIEYPAGTFVTVTSGERVQWWLSDHFLPFISILGPLIAG LFVLMRLGQGWRLPAPSIAGDSKKTESISPALAAYVFWNWKPDTAKAAFMASVCHLAT KRLLRISGLGENAEASDLSARQVRKKGKVARARWYGLPAVTRSVFGRIEGERPVNDRR TVVYALYGFERDLHQIVAEEYWKVRGGADRAALTTSATILVLGIATAYLSGLLIFSAA ICGILLIVFLVVTMFRHPERFPVATGTSEQFKQAISLFVGLPAILIVALCYIGTTEVI GEQQPYLVAILLHIAGIIAVLAMLRMPTSKQRQIRNHILSLHRYFHGEIDGPPMSIEC YEHHLPFAVALGVEQRWTERFNLWRESEKMDAYAPDWRISS YP_002974418.1 MYVILAIIVVIALYLVFIYNGLVRARQMAEEAWSGIDVQLKRRA DLIPNLIETVKGYAAHEKSTLEEVVELRNKAQAVPSGDVAGRAQAEGLLGQALGRVIA LAEAYPDLKANQNFAELQASLETMEGELQMARRYYNGAARDLNVKVESFPSNLVAGQF GFAKREYFEITNEADRAVPTVKF YP_002974419.1 MTRTAKLTIIPPGRPLSGRAMPPGSKSITNRALLLAGLAKGTSR LTGALKSDDTRYMAEALRAMGVTIDEPDDTTFVVTGSGRLLPPKAPLFLGNAGTATRF LTAAAALVDGTVIVDGDEHMRKRPIGPLVEAMRTLGIDVSAETGCPPVTVKGTGRFQA DRIRIDGGLSSQYVSALLMMAAGGDRPIDIELVGEDIGALGYIDLTTAAMKAFGAKVE KTSPVTWRVEPTGYHAADFIVEPDASAATYLWAAEVLTGGAIDLGVPSDAFSQPDARA YDMIAKFPHLPSEIDGSQMQDAVPTLAVLAAFNETPVRFVGIANLRVKECDRIQALST GLNRIVSGLAREEGDDLIVQSDPALVGQHLPAEIDSFADHRIAMSFALAGLKIDGITI LDPDCVGKTFPAYWRTLAALGVTYQDKD YP_002974420.1 MGRRFFGFCFALLLMLAAPAAFAAEVIDSFASDITLEKSGAMTV TETITVNAEGNQINHGIFRDFPLYFTDAAGRRRSVDFDMVSVQRDGDNEPWHTESISG GIRIYAGSADVTVTPGRHRYVFTYRTNRQIRYFDDHDELYWNVTGNGWIFPIRSATAT VKLPPDVGATETTFFTGPEGATGKNARVSESGAGLVFSTTAPLDAYEGLTFAIRMPKG SIDPPSADMESTWWLKDNRNYFIGFGGLLLVLAYYTRSWLKVGRDPARGVVVPRWDAP DGISPALVNYIDNKGFSGEGWTALSATALNLAVRGYVKLEDLKNSIVIQGTGKPLGKE KFQAGEIELLKVAGGAGSTLTIDKANGERVKSVGQAFRSAIEKEHRGKYYNSNLGYTA GGIVLSAAALVILFVFGSLAPDTIALMLIPIAISVFVAVFAAGLVRSLHRGKSLFGKI IAIISAAIGVFVGISILATMVLALASSLVELHETPMLFAVGGIVLLNILYVFIMGAPT PLGAKMMDGIDGLRQYLTLAEKDRMNTAGAPEMSPRHFETLLPYAVALGVEKPWSRTF ETWLAAAAAGAAAAYAPAWYAGNFNSGSFSDRIGGFSSSMASTIASTIPAPPPSSSSS GFSGGGSSGGGGGGGGGGGW YP_002974421.1 MKVLLIGSGGREHALAWKLAQSPLMSEFYAAPGNPGIAEHAVLV PVDIEDHEAVVAFCKDKAIDFVVVGPEAPLVAGLADRLRADGLAVFGPSAAAAQLEGS KGFTKDICARYGIPTGAYQRFNNGPKAKAYIRAEGVPIVVKADGLAAGKGVTVAMTLD EALAAVDDCFEGAFGAAGAEVVVEAYLDGEEASFFCLCDGKHALPLATAQDHKRVGEG DTGVNTGGMGAYSPAPVMTAEMVERTMKEIIEPTMRGMAESGHPFSGVFFAGLMITKK GPELIEYNVRFGDPECQVMMMRLKSDLLPLLLATANGTLDQVKAEWNEDPALTVVMAS KGYPGAYDKNTPILSLPDAGKGEKVFHAGTGLKDGALVATGGRVLNVTASGGTVAEAK SRAYALLDRVRWENGFCRRDIGWRAIEREMGSE YP_002974422.1 MRQIFLGAAILLMAGPVMASSIEVVGKTAPRAESSIVTESCAHC PPLQAELTKKDYTVPELKPGVLQASEVRDVGGEKKIYRTEGWMGGSPVVFVSKATPES MIAAAPPAAPVDGIDMNATTAAVIGDDARPVEAGMTEQPAALNASEFKLRF YP_002974423.1 MKKLKIAHQLFALIGVLMAAFAVATYFEIRTSEQAIYDERFDML RTQVESGISVLNTFYEREKAGQMTHEAAQTEAFEILKRVSFQPSGYLFGFDYNVVQLF HPSPVNLGKDMSGQVDKTGAKFSQALVDNGKAGGGRTFYYWTKPGQPEDQVFLKGGYS KAFEPWKIVVGTGVYLDDLQQKVNATIWKALTASLIVFVCGIAAAVFFIRGISHPLKD IHNALQSVAEEDVSISIPHTGMNNEVGMMAKATQSLQEKIRERHAMSDREAAQQLALE SERENNLRQQQDETTLQARVVATIGQALEMIARGDLTVRCADLGQKYAALRDNFNDAL SHLEAAMAKVSAKGTDIGTSKEEIRRASNELSQRTERQAASLEETSAALDELTVAVRQ TADGAHEASKRVHSVSTEATHSDAIVTQAIEAMSGIEKSSSEITKIIGVIDEIAFQTN LLALNAGVEAARAGESGKGFAVVAQEVRELAQRSAAAAKEIKDQIARSSSQVDHGVRL VGEAGEALKRISDQIKAANEIVAKIAHSASEQDTTLRSISSSMNQLDAATQQNAAMAE ETTASAETLATDTDELIDLIRGFRVSAPQHRQAEPMRRAS YP_002974424.1 MKNLKISKQLILLVVGLMVAFAIATSLQIRSSVNAIYNERYDML RAEVQSAVSVLKIYQAKVTAGEMTLEDAQKQAYATVNAMKYDPDGYFFGYSYDIQMMF HYDAAKIGQVNKGQPDSKGKLYREELVKLGQQGGGLVEYYSTAKPGQPAGDFRKTAYA QAFDPWKVVVVTGVYMDDLDAQINSTILTALSGSIVLFFLAMAAAYVVIRGISGPLNN VHAALKAVAEEDVSIAIPHTGMNNEVGMMAKATQSLQEKIRERHAMSDREAAQQLALE SERENNLRQQQDEATLQARVVTTIGQALEMIARGDLTVRCADLGQKYAALRDNFNDAL SHLEAAMAKVSAKGTDIGTSKEEIRRASNELSQRTERQAASLEETSAALDELTVAVRQ TADGAHEASKRVHSVSTEATHSDAIVTQAIEAMSGIEKSSSEITKIIGVIDEIAFQTN LLALNAGVEAARAGESGKGFAVVAQEVRELAQRSAAAAKEIKDQIARSSSQVDHGVRL VGEAGEALKRISDQIKAANEIVAKIAHSASEQDTTLRSISSSMNQLDAATQQNAAMAE ETTASAETLATDTDELIDLIRGFRVSGESAAPAMHQGRRAA YP_002974425.1 MQSKILVSACLMGQAVRYDGRAKPLLHPVIETWRAEGRLVTICP EMSAGMTVPRPPAEIAEAATGEDVLAGTARVVELTGADVTEEFLLAAENAVALARQAG CHYALLIDGSPSCGSGFIYDGTFSGRKEAGNGVTAAALKAAGVEVFSDREIERLIERI GQ YP_002974426.1 MPNLLLELRSEEIPARMQRKAAGDLKKLVTDALVEAGLSYEGAR EYWTPRRLALDIHGLTARSADVREERKGPRTDANEKAIEGFLRGAGLSSVSEAQVVSD PKKGDFYVAVISKPGRATEEIVSDVMPGIIRDFPWPKSMRWGKASSKPGALRWVRPLQ SIVCTFGPEHEETTVIPFEIDGITASNITYGHRFHAPEAITVRRFDDYAANLEKAKVI LDAERRKDIILHDARDIAFANGLELVEDEGLLEEVSGLVEWPQVLMGSFEEDYLSIPS EIIRLTIKTNQKCFVTRPQGGETLSNKFILVSNIQASDGGKEIVHGNGKVVRARLSDA LHFWKRDQGNLPDLETLEASAAKFGLDLKKPLDQRMAKLDALDVTFHAKLGTQGARVA RIRTLAKELADITGADAALIDRAAVLAKADLRTEAVGEFPELQGLMGRKYAVLQGEDA SVAAAIEDHYKPQGPSDRVPEDRVAITIALADKLDTLIGFWAIDEKPTGSKDPFALRR AALGVVRILLERRIRLPLLATTKDGDLLSFFHDRLKVYLRDQGARHDLIDAVLTSDAD DLLMVARRVEALTAFITSEDGKNLLSGTKRATQLLAAEEKKGTVIADGVSPALLTLDA EKELFAAISGASKDASDAVAGEDFRSAMEALSKLRGPVDRFFEDVLVNDEDAAIRANR LALLRLIREATGTVADFSKISG YP_002974427.1 MAADLRFLAARISAEINARPEQAKAAIELLDEGSTVPFIARYRK EVTGGLDDTQLRNLAERLVYLRELEARRDAIVESITGQGKMTDELMTKVAGAETKAEL EDLYLPYKPKRRTRAEIARERGLGPLAETILADRAREPAVLAEGFVTADVPDVKTALE GARDIIAEGIAENADLLGRLRAHMRQAALLKAKVVDGKQATGEKFSDYFDHSERWATA PGHRALAMLRGWNEEVLTLTIEADAETTSPNKPVERMIVAAYEIGTSRPGDRWLMEVA SWTWRVKLSMSLSLDLMRELRERAEEEAIHVFARNLKDLLLAAPAGSRATMGLDPGIR TGVKVAVVDGTGKVVATSTVYPFQPRNDVRGAQVELASLIRKHNVELISIGNGTGSRE TEKLVADMLAELPAPKPTKVIVSEAGASVYSASATAAAEFPDLDVSLRGAVSIARRLQ DPLAELVKIEPKSIGVGQYQHDVDQQKLSRSLDAVVEDAVNAVGVDLNTASAPLLSRV SGLGPSIADAIVRHRDSEGRFETRKDLLKVARLGGRTFEQCAGFLRIPNGKEPLDSSS VHPEAYGVAKKIVAACGRDLRALMGDSAVLKSVDPRQFIDEKFGLPTVRDIIAELEKP GRDPRPSFKTATFAEGVNEISDLKPGMVLEGTVTNVAAFGAFVDIGVHQDGLVHVSQL ADRFVKDPHEVVKAGDVVKVRVVEVDAKRKRIALSMKRDDGSSAPPPRGDSRANQGSR PQNESRPAAAKPESQGAFGAALAEAMKRK YP_002974428.1 MASAFLSIVEKIIRKGSLKLTIANGETHTIGDGTGETVVARLAD QEAEDAIRRDPAMKLGEMYMQGRFILEQGNIYDFLSLVKQNTTNEIFDFKMAALLLGR IAKQQLKSRVPVNRNKHNVAHHYDLSAKLFDLFLDEDWQYSCAYFEPPGIGLDEAQLA KKRHIAAKLLLEPNQRILEIGSGWGGMGMYLTEATDGAEFTGITLSEEQLKVSRTRAE KRGLADRVRFELQDYRTMTGRKFDRIVSVGMFEHVGIGHYGNFFRKVSDLLDDNGVMV LHSIGRPKPSFGTNAFIEKYIFPGGYIPSVGEVVPPLEKAGLLVKDIEILPLHYAYTL RHWRERFVARKAEAVALYDEQFFRMWEFYLAGSEIGFRWDELFILQIQIAKNQFAVPD NRNYIARNEAKLKEFEAGRAPLEKVTF YP_002974429.1 MSSAFPEIYLVRHGETEWSLSGRHTGRSDIPLTANGEAAARKLA DRLAGLSFSAVWSSPSERARKTCTLAGFGSGAVMKDDLAEWDYGAYEGITTKAILADR PGWQLFRDGCPKGEFAANVGARADAVIHALRQTAGTILIFSSSHFLRVLAARWLGLPP EDGSRFVLDTASISVLGYEHDLTEPVIRRWNQR YP_002974430.1 MSHRSVVSISLAIALFSAGSAVAQESGQHFWSGDWYLSVGVAGF SAPKFEGSSHNEFKFSPLISVGRQGAGPRFSSRNDNPSFALIDKGAFRAGIVGKFVPS RDDGDGSELKGLKKVKWGAEAGGFVEVYPTDFLRARAEVRQGIRSHDGIVADLAVDAF TDIAPDLQLSGGPRATFATSGYYDAYYGVNAKQAAAGGLDPYKPSSGIQSYGAGAALT WKATENLSASSFLEYKRLAGPAADSSLVRERGSKNQVLIGVSATYKFNFSLQ YP_002974431.1 MSGMHDTGDFNDRVSDAPSDNWVYRILPPWLWPYAQLARWDRPI GWQLLMWPCFWSATLAANAAIGEGLYSGSLLVSHLFLYFIGAVAMRGAGCTYNDLVDH EIDMEVARTRSRPLPSGRVTRRRAKIFIGLQALVGLFVLLQFNWLNVFLGVLSLGIVA FYPFAKRFTDWPQFYLGLAFSWGALMGWAGILGGLSFAAILLYAASVAWTIGYDTIYA HQDKEDDELIGVRSTARLFGDRTRQWLIGLYGLTLALMFIAFVLAGANLIAFLGLLGA AGMFAWQIVRLDIDDADQCLALFKSNNRVGLIIFFGLFVSLLFAIP YP_002974432.1 MTKQTPLSAAKIDDARKKIDPIFLNTNLLRADRLALSLVAKDET ENPIRSFKGRGTGYFLADVVGDRTPLVTASAGNFGQGLAYNAARHGRSLVVFASVNAN PLKIEAMRRFGAEVFLAGEDFDAAKEAAKIYAAERKLQFVEDGASAAIAEGAGTIAAE LTEEVEDIDAVFIPLGNGALAAGIGCWFKSRSPRTRVVAVAAKGAPCMALSYNAGDVI STPEARTIADGIAVRIPVPSAVGWLKDTIDDVVLVDDDQLLDAMRFAHDTWKRLVEPA GAAGLAAILEQASALKGCRVATMLCGANLTDQQIKAWLPSAIKHNTNAC YP_002974433.1 MDDIDRTILSMLQENADIPGKVIADAVNLSPSAVERRISKLKQD GIIERIVAVVSPKAVDRTLSVLVELEIQNEHRHTLEQFQRWLDHAPEVQSCWYVTGDM DYVLLVAVRNLEEYNIFIERLMGEQQALVRKYKSLIALKTVKHGLGLSVLE YP_002974434.1 MNNPVMKPAWAGTTLRLDPSRFPQQVSYAIHDCSDDVNITIDER GAVLRKVLPSSGLPLSIALPKRVFKGVAARAIDHGDGEVTVTLELHHTDPELCIPLLV AHDLSDIAADWRSWSEAFRIPMLMVEADGVARPLEDHIGALRTSDLKPRRRHSYFANR RPRFLVRRTTGRLGVAMKIEGKEIIARN YP_002974435.1 MITASLAYTILSRDMTSSLNKVASQATVKKDAQYYADHINKVTS VDDFLGDYKLYSYAMKAYGLEDMTYAKAFMKKVLESDLTDPNSYANKLSDTRYREFAA AFNFNEPAKDVQTDAQEDDLIGLYKQSFIDADKAASAESSYYGTAIDGVTTVDDLVNN TRLRTYVLKTFKIDPTYASKDFLRQVLTSDLSDPTSVVNTQGGDKYKALAAQFGFNAD GTVNGTAQTAAQKASVIETYTLNSQSVIIDNSVGSDVYYVGKTAADYNKAYYTAKIGT ITNVDDLVADKRLTSYITTAYSMGADFTAAALRTVLTDPGYAQLMGFTNVYNAFNFKA DGSTSSTARVQTVDQANSLQAAASGTKNYYTVTSQSSGITNVDALLADNVLARYIKDA YGLGTSFSNADLKSILTDSTYAAAQGHADLNADFNFQADGSINGSVIQTAAQRKSTTD KSAANAAHFNSMIGNVTNVDDIMSDAVAVSYIRNSMQIADSVSDATLRTFLVDRTAAS AQGYSDVHDLFNFKSDGSVPTLYASQSATQSASTTSKASDAAVYYQATIAGISNVDQL LADQKLNNFVRNAFGIPSTVTDVALRNILTDQSGTGTYADVAGAFNFKADGTLEDGLQ AQTATQISSTKFAAEARTDDYSARMATIANVDDLLADSAITNFLKSTYNLPFDISDAD LKSILTDATAAAAAGHADLNADFNFAADGSLPVVSSVQTADQAQTTNDNYAARYDDER DEALDEVASNYQKLMADSSSLLNFSDVKSVNDFLRSNSAADFSKSNDNLPDLYHVALQ AFGLTDQDVPRSMMRKVLTSDAYDPDGYIASLKDERITNLARAFNFGPDGKAASPFQA LPDATLAKYATDYKSHITMLMKDGPVKDKAAKDATAEVDYFAKGMAKVKSLDDFLDDS RLTDLVLKANNLDPKDYDKATLKKIFTSDPDDKKSYLNTKADARFQDIVAAFNFDKDG NLTRAKIGTIQNKAAEEHTQELYVQQTMEAQQGESNDGVRLALYFARKAPSITSIYSI LGDKALYQVITTAYNLPSQISGMDVTKQRDLLSRFVKLEDLQDPKKVDKLLRRFTAMY DVQNAAQQSPALMILTGGGTQQS YP_002974436.1 MSISTELLDRFAAIVGEKYALRSEADLAPHLIENRGLYHGSSPL LLKPGSVEEVSDIMKLATETGTAIVPQTGNTGLVGGQTPRQGKSDIILSLERMNKIRD VDPVANVLVADGGAILAEVQKAAEAHGRLFPLSLGSEGSCRIGGNLSTNAGGTAVLAY GNMRQLCLGLEVVLPTGEIWDGLRRLKKDNTGYDLRDLFIGAEGTLGIITGAVLKLFP QPLGHQVAFAGLNSVTDALALFNLASSLCGASLTGFELMPRFGVEITTRHIDGVRDPL ETAYPWYVLIDISTSDSAETAERMMNGVLEQGFEAGLVLDAAIAASVAQQKAIWHMRE SMSDAQKPEGGSIKHDVSVPVSRIPHFMAEAEEAVMAAMPGARICAFGHMGDGNIHYN ISQPLGADKAAFIARWREMNHIVHGLVLAHGGSISAEHGIGQLKRDELAAIRPTIEIE LMRRIKRAFDPANIMNPGKVVSLDP YP_002974437.1 MARTIDITADRQAALEAASAALADGFAIAIPTETVYGLAADATN PAAITRIYETKGRPRFNPLICHMADLAMAEEHAEFDPVSRALARAFWPGPLTLVLPLK PQSSIHSLAIAGLDSVGIRVPKGFAGALIGAFGRPLAAPSANTSGGVSATSAAHVEAD LGARIPLILDAGPSAVGVESTIVKAEGGRLRLLRPGGLAAREIERVAGQPLLRARTAS AAIEAPGMLASHYAPGASVRLNATAVEPGEALIAFGSAAVSGAESARIVLDLSPRGDL AEAAANLFDYMKRADASGAQSIAFSPIPEEGLGEAINDRLQRAAAPRD YP_002974438.1 MAKLRYFDAKEAGKLQEPQLIAAHSEFLRTGRIPRERRHWLAEE KRYFTHDEVAAKTGRKLQVAGEKTHQHINGFHHSIQFPKMIFHRTLEDSPHLGYCHVT AARTKFAHYEEVSWAFYISNFYSDIGENDNFFERIDVGYSRMYFAVAIKPGENSAEKM TIDRSVRGNGLLFRTHDPQAAIRNILLLGARNEQLREIIRQL YP_002974439.1 MLKAMLKNGRGSRLAEGQMPAGVANATDIDIRQPTSLRSGSLRH VAIDDNCPESRAMSSTGMGSLKPCRILSSISKPMSHYNQAPGKLGA YP_002974440.1 MDIRSNVLRQLKSRREGFSLEQPFYIDEDYFQLDMEMIYYRDWL FIGHDCELPKPGAYFTVQIGSYPVVIVRGRDNVIRAFHNSCRHRGSRVCTKEHGSSVR LVCPYHQWTYDLEGKLAFARHMGDDFDKTGFNLKPVHCEIVAGYVFICLANTAPDFQP VRDKIEPYVAPHRISESKVAFQSTIIEKGNWKLVWENNRECYHCAANHPELCRTYPEA PSVTGTDGGADDPEIAGHWARCEAAGLPSKFQISPDGQFRTARMPLIEDAESYTMSGK RAVQRQLSDDISISHIGAMLLFHYPTTWNHLLGDHAISFRVLPLSANETAVTTKWLVH KDAVEGVDYNLEELTHVWTETNDQDRRIVEENAFGIHSPAYEPGPYSALHEGGVMQFL EWYSNFMVNRLQGDQAKISAVA YP_002974441.1 MFGLRNKIATAVLAAAVVLTGFAPSQAIQMPTAPQVEKSSDVEN VQYRRYYRPGYRPGYRPGYRPGAYYRPGYYGGYRGYSYYRPGYRRYNGYWYPLAAFGA GAVIGGAIVAQPRYVAPAPRMGSSHVAWCANRYRSYRAYDNTFQPYNGPRQQCYSPY YP_002974442.1 MDTLPVNIPGFVWAYRFSPEEKTAVRLNNSATVAELTADNCFYW LHLNLVDARVPALLDTLDGLTEDAKSALTTRDTHAAITVDEQMLYGTLVDCQRDFAED TNNLGWLHFAMSDRFLITTRLQPLRSVERARALIDRNPGKFSRPVDLFELLVIEFQRT LIAIVIELTEELNQIEDIVYDSAQRDERRRLAPVRRTVVRLHRHLRTVLALMRRAAAA DDDEMPFGFDDVARRLTSRLETVDHDIYALQDRARLLHEEIDSKQSSETNRHLYLLSI MTAFLLPPTLVTGFFGMNTANLPFAVGDYGTEYAVVLIVGSIAFAWWLLRRVDIL YP_002974443.1 MYKAPVEEIAFTLKHVAGMGEAMSKGMLGDLGEDLVDAILAEAG RFATEEVAPLADIGDRQGARLIDGEVRLPDGWRDLYRHWIAGGWNGLTAPEAFGGQAL PHMLNVAALEMWNSGSMAFALAPTLTMGAIEAVSTHGSAALKDTYLEKMVSGEWTGTM NLTEPHAGSDLGVLKARAERRDDGSYRLFGQKIFITWGEHDAADNIIHLVLARLPDAP AGTRGISLFLVPKFLVNDDGSLGPRNDLFCHSLEHKLGIHGSPTCTMIYGDGKFGSEK GAVGWLVGEENKGLACMFTMMNNARLAVGMQGVAIAEAATQKALAYARERTQGRAPGS GSAGMSPIVEHPDVARMLLTMKALTQGARAISYVCAHAIDMSHRAGDSSRHWQERAAL LTPIAKSFSTDAGVDVASLGIQVHGGMGFIEETGAARYLRDARIAPIYEGTNGIQAID LVTRKLPLSGGDQVKGFIAELREIADDVRRSNLDGFGETAVRLDAAIVDLEQATAWLM KTLAENSTAEALSGATPYQRLFGLVLTGCYLAKGGLAEAADGAGENRIALCRFAAENL LAETAALRDRVVNGAASLAAARILLA YP_002974444.1 MTDHIIVEQPSAHPGVQSIRFNRPEKKNAITRAMYRTMADALNA ANTNPGIRATALLGTEGCFSAGNDMNDFLAAAMGGRGLEQEILDFLYALVNAEKPVVS GVDGLAIGIGTTIHLHCDLTIASSRSQFRTPFVDLALVPEAGSSLVAPRLMGHQRAFA LLAAGEAFSAEEAKEAGLVWKVVDPGEVDSLTLGLAAKLAAKPPEALRIARDLIRGDR GEIIARIDEEARYFSARLKSAEARAAFEAFMRR YP_002974445.1 MPVGKTKRRNPYYNGPVSDHFDGTHFFNPEGIEPLGFRDLLRWQ FGGGRERWPQSVPSPYPAAKPDPCVDGEDLRVTMVGHATMLVQVAGLNILTDPVWSER ASPLAFAGPRRVVPPGIAFDDLPPIDLVLVSHNHYDHLDIATLRRLAAKHRPLVVTPL GNDTIIRRAMPDIETTSMDWGERISHAGISIDAEPAHHWSARGTADRRMALWASFVLS TPAGKIYHVGDTGFHDGINYKAAQQKHGGFRLAILPFGAYEPRWFMKGQHQNPQEAVI GMKLANAAYVAGHHFATFQLTNEAVDAPARALQDAMSEHDIPPERFRPLRAGEVFNVP AV YP_002974446.1 MSTETVTIEKLGAQGDGIASSAGGPVYVPFSLPGETVAIARVKS QGTIMSITTPSPDRQEPPCRHFGPDGVNGTCGGCTIQHMADAPYRAFKRQLVIDALKS KGLTSEVGEIVPARPGERRRVVFAARKTEKDMLVGFNQAESHHIVAIEECPISSAGII ARLPAIRAIAASLATSAEPFRVAVLETLSGLDISVDDVKKLSDPQRRKAIETALSLRG IARVSLNGEILVEPSKPMVEFGGIQVSPPPGGFTQATKPAEEAMAELVIAHAGKSKRI ADLFAGSGTFSLRLARIGRVHAVEAEAKALAALDHAARNTQGLKPVTVEKRDLFRRPL MTQEFKPYDAVVFDPPRAGAEFQCQELARSGVKKIVAVSCNPLTLARDLAILVEGGYR ITQVTPIDQFLWTSHVEVVATLEK YP_002974447.1 MSDQNTQRLDQLLVSLGLFASRSRARDAVQRGTVKIGGQVVTKA GALFGADAAIEIDDPAQDYVSRAALKLAAALDHFRLDPAGHHCLDVGASTGGFTEVLL QRGAAHVTAIDVGHGQMHPRISGDPRVTNREGLNARNLTADDIDHPSTFIVSDVSFIT LKLALAPALEIAEPGAVAVLLVKPQFEAGREAIGKGGMLKDPSSAPAVAAELERWFVE DMGWKSLGLIPSPISGGDGNQEFLLAGLKP YP_002974448.1 MLKLFTLLVAAGIASPALANDTMAEVKTGGLIFAQSDDVSMAEE DLFISASEVRVDYVFENSSDKDVESLVAFPMPDISGQVDNNSAISDYDSDNFLHFSTV QDGSPITAKLQQRVVSLGIDVTDEFAKQGIPVLPYSQKTTKALAKLPETVRKDWIARG LVYPMGAGDAAGVDLVPLWTLRSTYWWRTTFPAKKKVSVAHRYKPAVGGTVAISFLEG GEPKGERFEEYSRKYCLDGDFVRVAQQRAREAEAGGANYTESWISYVLSTGANWAGPI KRFQLTIDKGKPGSLISFCGSNVQKIGPTTFRMTAQDFDPAKDFDILILNPPETAQ YP_002974449.1 MSAKNISLNGKLAATFAALILIFVAISTFVYSKATASAAASAEQ EKSELLVNQIDDALQAMLEQAVNLRGFILFRSDSTYGDVFTNRERMLKAIAAAKQTAA GEPQLVEMIDGMQKAADLYFHELAEPQTKARKETDMPIEEVVKIGVNATKGQLDGFRQ ASAKIKATAREKSNALAEIRADANSDLKTTLLAGGVLASLAAAVLAWLMSRTIVRPVV GMTAAMDRLAGGQNDIEVPATDRGDEIGRMAQSVLVFKQAAIEKLRLAGETDRMRDDA ERQRRAGDEQKAREEGEIRYAIDALAGGLAELANGDMAGRLQTPFASQYDSLRNDFNH AVEKLQAALQSVGRNASAINAGAGEIRSAADDLAHRTEQQAAAVEQTAAALEEVTTTV RDSAKRAEDVGNLVERARLGAEKSGEVVRKAVSAMQQIEKSSGEISNIIGVIDDIAFQ TNLLALNAGVEAARAGDAGKGFAVVAQEVRELAQRSAKAAKEIKALITTSGEQVVAGV GLVGETGKALEVIVSEVQEINHHVSAIVTATREQSIGLQEINTAVNNMDQGTQQNAAM VEEQTAASHALAQEANALEELLRQFKLGQVAPTPRASVAGTTSRPVASPAHALTRTVA KAFGGRQAAAAAVKEDWTEF YP_002974450.1 MTQLPKTPLLDQVIYPADLRKLEDRDLPQLAREVRDEMIDAVSR TGGHLGAGLGVVELTIAIHSVFDTPDDRLIFDVGHQCYPHKILTGRRDRIRTLRQEDG LSGFTRRAESEYDPFGAAHSSTSISAGLGMAIAADLDKSDRRVIAVIGDGAMSAGMAY EALNNAGALDARLIVILNDNDMSIAPPTGAMSAYLARLASGRTYMGFRDFGKKLTAYL GKNIDRAITRAVEHARGYVTGGTMFEEMGFYHIGPIDGHSFDHLLPVLRNVRDNGRGP VLIHVVTQKGKGYPPAEAAADKYHGVNKFDVITGAQARVKPNAPSYTSVFAEALVQEA ALDDKIVGITAAMPNGTGLDKLAEAYPSRCFDVGIAEQHAVTFAAGLAAEGYKPFAAL YSTFLQRAYDQVVHDVAIQGLPVRFPIDRAGFVGADGPTHAGSFDTAFLTTLPGFVVM AAADEAELKHMVRTAVAYDAGPISFRYPRGEGVGVDMPVRGEILQIGKGRIVKEGTKV ALLSFGTRLADCLLAAEDLDAAGLSTTVADARFAKPLDHDLIRQLARHHEIVITVEEG SIGGFGSHVMHFLATEGLLDNGLKLRSLVMPDIWMEQAKPEAMNAHAGLDRAGIVSTV FKALGRGVAVGVAG YP_002974451.1 MSFFPGKDPLPGDAFACDAIENLIIPRTSDIGGFQVRRALPSRQ RRLVGPFIFFDRMGPAILKPDEALDVKPHPHIGLSTVTYLFDGEIRHRDSLGTEKVIR PGDINLMTAGRGIVHSERTPDNLRGHPLLMSGLQTWLALPDDKEEIDPAFAHTEKSAM PLIETSGVRGRVVIGSFEGMTSPVGVFSDTLYVDLDLQAGAKFPFGAAHEERAVYVLS GEVVISGDRFAADQLLVFRPGDAITLEAGRDGCHLMLFGGAALNSKRYIWWNFVSSSK ERIEQAKEEWRTGRFDIVPGDEEEFVPLPEG YP_002974452.1 MTDRLKGKVAIISGGATGMGGAASKLFAAEGARVAIIDRNGDAA AETVKQIRDAGGEADCWTADVSDEAAVKAAVAGVEARYGAVTVLFNHAGTIVIKPFLE TTVEEWDWLHAVNVRSMFLMTKAVLPKMIASGGGSIVCTSSISAVAATPMEVLYDTTK GAVHMFARAIAVEFRDRNIRCNAVCPGFIRTPHGLREVADLQALGVDVSDAAIAAQQG RIGEPEDVARAALYLASDESSFVNGAHLFVDNGFTAI YP_002974453.1 MTDSAKPEVSGLSFEKAVAELESIVARLERGDVALDESIEIYER GEALKKHCETLLSAAENRIEKIRLDRAGKPQGVEPLDGA YP_002974454.1 MSTRLYEHPIFLEHVTPAGHPERSDRIRAINVALEHPNFERLER RQAPQANEDAVLLAHPEEHLIAVMREIPEEEGEINQIEADTYASSKSLQAALTGIGGA MAAVDDVFTGRADNVFVAARPPGHHAEKMTAMGFCFFNNAAIAARHAQKTHGAERIAI VDWDVHHGNGTQDIFWDDPSVLFCSTHQMPLYPGTGAKDEKGKHNTIVNAPLSPNVGS DHFREAFKSRVLPALDDFRPDLIIISAGFDAHHRDPLAQINLTGEDFDWATGRVLELA DRYAKNRVVSLLEGGYDLEGLAESAGMHILRMMKG YP_002974455.1 MSQSKRPDVAEIRVPFRDVDMTGRMFLASYISYAESVLASFWSS RPDVDDEPVYSPSKVSCFLHRPLHYDEPATFTATIDKIGMHSIGFLVSIDTGEERAAE VEIIWQARTREDQSPASLPEETRDWLYRFLD YP_002974456.1 MIRTKVDTLWFKRCCAFHLQFFPDREALSKLCGLQGSIERDSTA PLLRVPSTSLHMTVVTLVSAATQLSIPNDQVWRLNGGRWKEVADRLVEETPPFELHFH EVAASEAAIFVKAEEPPELRRLRSAISHAICFEQWRPTPP YP_002974457.1 MSENVGRWLRDEIENSILSNEFSPGERLDEMVLATRFGVSRTPV REALMQLDAIGLIEIRPRRGAIVIDPGPHRVYEMFEVMAELEGLAGSLAARRLDKVSR EAITATHGRCEQSASAGDSDAYYYDNEEFHKAIYAAGRSDFLEEQCVQLHRRLRPYRR LQLRVRNRLSTSFSEHCAIVDAIFAGDGDEARRLLRTHVGIQGERFSDLVASMAVR YP_002974458.1 MSEASFFTDMLQSITDRGRQLLFSGSRATQVAAKADLQTLCEML LSSRGEASGMALAAEILDRWGALESEGAQAFLDMLHEKFGPDTAKLDQAIENYRTDKS SAAIIALHQAAEPRRQELLRRLNHAPNGTAKLVRMREQLLASKDQSAGYHALDADFTH LFGSWFNRGFLTLRPIDWSTPAYILEKIIKYEAVHEIAGWEELRSRLAPADRRCFAFF HPRLADEPLVFVEVALTRSVPSAIGDVLVEGREQINADEATTAVFYSISNCQDGLRGI SFGNFLIKQVVEDLRRDLPGLKNFVTLSPVPGFARWLAKARAPAADRLLPEAARETLM LLDDPSWPDNEKTAAELERVLLPLAARYFLTERTPEGRPIDPVARFHLGNGARLERLN FLGDRSTKAMQQAHGLMVNYLYKLDDIVANHEALAQRGEVIASPAVKSLLNQNDEGRS GGNAQQGSRPFANIMNSTLGGGRK YP_002974459.1 MSNHLFDAMRAAAPGDAPFIRIDSTRTWTYDDAFALSGRIAGAM DTLGIRPGDRVAVQVEKSAEALILYLACLRTGAVYLPLNTAYTLAELDYFIGDAEPRL VVVASAARGGVETIAKPHGAIVETLDADGSGSLLDLARDEPADFVDASRSADDLAAIL YTSGTTGRSKGAMLTHGNLLSNALTLRDYWRVTADDRLIHALPIFHTHGLFVATNVTL LAGASMFLLTKFDADEVVSLMPQATMLMGVPTFYVRLLQSPRLDEQAVANIRLFISGS APLLAETHTEFQARTGHAILERYGMTETNMNTSNPYEGKRIAGTVGFPLPDVTVRVTD PATGLVLSPEETGMIEIKGPNVFKGYWRMPEKTAAEFTADGFFISGDLGKIDREGYVH IVGRGKDLVISGGYNIYPKEVEGEIDQIEGVVESAVIGVPHPDFGEGVTAVVVCKPGA VLDEKTIVSALQDRLARYKQPKRIIFADDLPRNTMGKVQKNILRQQYADLYTRR YP_002974460.1 MGIELLAIGLLVAMFIIATIQPINMGALAFAGAFVLGSMIIGMK TSDIFAGFPSDLFLTLVAVTYLFAIAQINGTIDWLVECAVRLVRGRIGLIPWVMFLVA AIITGFGALGPAAVAILAPVALSFAVQYRIHPVMMGLMVIHGAQAGGFSPISIYGGIT NQIVAKAGLPFAPTSLFLSSFFFNLAIAVLVFFVFGGARVMKQDPASFGPLPELHPEG VSESIRGHGGTPAKPIREHAYGTAADTATSLRLNNERITTLIGLTALGIGALVFKFNV GLVAMTVAVALALLSPKTQKAAIDKVSWSTVLLISGIITYVGVMEKAGTVDYVANGIS SLGMPLLVALLLCFTGAIVSAFASSTALLGAIIPLAVPFLLQGHVSAIGVVAAIAIST TIVDTSPFSTNGALVVANAPDDRREQVLRQLLIYSALIAIIGPIVAWFVFVVSGLV YP_002974461.1 MNTIYITTSIPYVNAAPHVGFALELVQADAYARHFRLLGQDVRF QCGTDDNSLKNVRSAEAAGLPVKDFVDANADRFERLGGLLDISNEEFVRTSRDPRHIA CVHALWRACAGHGDLYRKAYEGLYCVGCEQFYEPSELDDGRCPEHGIALETIREENWF FRLSRYGDRLIELVESGELRIVPAHRRNEVLALLRRGLTDISVSRSAERARGWGVPVP DEDEVVYVWFDALANYLTGLSHGSDETLLPRYWNGGQRIHVVGKGISKFHAIYWPAIL LSAGLPPPSSILVHGYVTVDGKKIGKSAGNGIDPERIIQSYATPDALRYYLLRHIRSA DDGDFSAERLEAAWSGELAGQLGNLANRVLALLSTSFDGIVPPVPDSAFVEEAARLPA KVAEAFDAYELHVGLADIFAFIGEANRRFTKRAPWADAKALLADLTPEDRKATAARLG SSLAEQVYGLAIIARCLLPFLPSSATKLHSRLGIPSPRLYRDPLIVTDVKAAPQAVLF PQRASA YP_002974462.1 MTGADTQIFMPAEDLEDLYENAPCGYLSLQPDGRIVKVNRTLST WIGIPAEQLLGKRLHDLLNTSGRIFYETHFAPLLRMQGFFNEVALDLVTVDGRKLPVL ANAVERRAEDGALLFTRVTMFQAAERRRYERELVDARTAADAAGATIKSKLAFEQQTA ELREEFIAVLGHDLRNPLASIAAAARMLRKEKQTDRAIKVLDLMQGSVVRMSALIDNV LDFARGRLGGGITLERRAEHLEPLLRQVIEELRFSHLDRGIEVTIEFDGPINCDSGRI GQLVSNLLGNALTHGTPDEPVRLSAATVDGRLELWIANGGAPISSDAMTRLFQPFFTG EAGTSQRGLGLGLHIASEIARAHGGTITVSSDDKETRFTFVMPLD YP_002974463.1 MTVIDRNHVQVRGDGQRAMIFSHGFGCDQNMWRFVAPAFEADFK TVLFDHVGAGRSDLTAYDARKYSSLSGYADDLVEICRALGLTQTVFVGHSVSAMIGVI ASLEAPELFESLILVGPSPRYINDDDYFGGFSAAEIDELLESLDDNHMGWSAAMAPAI MGNPDRPELGEELTNSFCRTDPEIAKAFARVTFTSDNRSDLPEVTARTLILQCRDDII ASEEVGEFVHRQVPNSQLVVLNASGHCANLSAPDEVISAIRRFVR YP_002974464.1 MRGDQEEMAAWFRSLEPVQPKDMVGLWSGVGIQSGHPLDGVLEN LNWFGKRFHSDMRADALLFNWRPGRLVAIEPNFLPVELAIKMAPLGRTLVARHGFSYL QKVLRARGTTASLRLQALDGIETAAMIYDKQPIVDYFRRIDDDGIAGMMCLRGDPRRF FFELRKVMD YP_002974465.1 MSTRDLVLTALFAAIIVALGLLPPISLGFIPVPITAQSLGVMMA GVVLGARRGAIAVLIVLVLVAIGLPVLSGGRGGLAIFASPTAGFLIGWIFAAFVTGYL SERLVNHQQSGLVQTVSFFLTAMAGGIVVLYAFGITYLATVAGLGFTKAFVGSMAFIP GDVIKAFVAALLGRAVMVGYPLLPSRA YP_002974466.1 MQSLYVEGNSVMHRLSPRVKLLSLTVFGVVLFISGNLVLLSIAV LLTAVLYRMIGLPFADGLRRLRPVFLTIAVVALFNLIFNPWQEALVPLLRLTALMLLA ATVTATTSITEFIDEVTALARPLERTGWVQADDIGLALGLVLRFVPEIVGRYQAIREA HQARGLKVRPTTLLAPLIILTLKDADNIAAAIDARGIRRHVS YP_002974467.1 MDIRFEGAGVSFGARVALQPLTLDISGKRIGVIGLNGSGKTTFA RLINGLNKPSVGRVTVNGRDTGDEKTAVADVGFIFQSPQNQIILPIVKDDIAFGLKRR GFSKAEIEAKVEGVLARFGAEALADRRAHELSGGELQVAALCSVLATGPGILILDEPT NQLDLKNRALVEGIIAGLPESAIIITHDLELIADFERVLLFHEGRLAADAPAAEAIAR YKEIAA YP_002974468.1 MTNLLSNSDARRVFLAKQGLSAPPNRALTKAGLLQLIHELGFVQ VDSIQTVERAHHQILFSRNQTYRREHLTALLEKDGALFEHWTHDASILPSAFFVYWKH KFLHQEKVLIERWRKWRGEGFEAAFAETYERVERDGAILSRDIKADGHVSGGWWNWHP NKTALEYFWHTGKFAIAGRSNFQKIYDLAERVIPTEFREPEVSREEFVDWACRSALTR LGFATHGEISAFWNLVSPDEAKAWVSAHRDELIEVLIEPALGGKARPSWAFADFLSTL DTYPGAPPRIRVLSPFDPMIRDRNRTERLFGFFYRIEIFVPEPKREYGYYVFPLLEGD RLIGRIDMKADRKKSTLDVKRLWLEPGVKPSAGRLERLEAELERLARFAGVEKVVFLE GWRG YP_002974469.1 MSYDQKRVVDAIRAFEAGEMVVVMDDNDRENEGDLIVAAVHCTP EKMAFIVRHTSGIVCAPMPKEEAKRLNLNAMVAENDSAHTTAFTVSVDFKHGTSTGIS ADDRTLTVRNLANPNVGASDFVRPGHIFPLVSREGGVLMRSGHTEAAVDLCKLAGLPL IGVISELVNDDGTVTRGPQVVDFAAQHGLKLVSVADLIAYRQRKETLIELGTSFDIET PFGKAKAHTYSLPWDPMQHLAVVFGDIRDGVDIPVRLHPENVAEDLFGKNSPVDFYMQ KIAEQGRGVIVYLREGSVGVGHHDNGRKARNQGREAHAEAQTRDSEWLEIGLGAQILK DLGVSSIKLLTSRERHYVGLEGFGIKISTTEIC YP_002974470.1 MSHNTFGHLFRVTTWGESHGPALGCVVDGCPPGLSFKLKDLQVW LDKRKPGQSRFVTQRREDDLVKILSGVMLDADGETMTTTGTPISMLIENTDQRSKDYG EIAKQYRPGHADYTYDLKYGIRDYRGGGRSSARETAARVAAGGIARLVVPGVTVRGAL VQIGKYKINRRNWDWDQVDQNPFFSPDAAIVPVWEEYLDGVRKKGSSIGAVIEVIAEG VPAGLGAPIYAKLDQDIASLLMSINAVKGVEIGNGFAAAETSGEDNADEMRMGNDGTP IFLSNNAGGILGGISTGQPVVARFAVKPTSSILTERQSIDAEGKNVDIRTKGRHDPCV GIRAVPIGEAMVACAVADHYLRDRGQTGRLK YP_002974471.1 MLSRLSDSKQLRRVLKNTRVSFLVSSLVALVVIMVGFGLDRANT ASHVRELHIRTENETNLIRARVMAEINLDLSVVRDLTNLISVSAANGEEMERQINWLL IQNPSFIHIAVAPDFIIRDIHPPQSGNERIGRDVRETLFFRQVMTGAAGDQSARFYGP ISTDGRDAFAIFFPVYVKENGQRRIWGAVEVAIDQQMFYQATGLMPARDRENRERYPH LGHLSIAIRDIGAAAATNAALPPPFFGSSDIDDKNPMRQKIGFAGGKWELSAVPNSGW NAIPENRTELRLIILAAGFIIIVPIFFATLLLGERNRNITALETREAKLLELSQRLNL ALESSNIGIWELEDHSSSLLWDARAAALHGKAAKEGSRVLDEWLAAILPEDRETAEVH FFSCSIAGVACTAQYRILLGNGGIRHLRSVGAFYTDAAGVSKTIGIVWDVTADAEITD TLRKAKDMSEVKNAELELALDELSSREGELAELSSRLDLALNSYQCGIWEARPGLGGS IWNERMHELYGLTPRNGFMTEEIWLSRIHPEDRSLALESARHFKHNGDTHTLVCRVIV DDGSVRYVRSVGKVHQTGTSEMKIMGIAFDATEDVLMTIRLKAAKDDAVAKNIELELV KNRIEHNSLHDPLTALANRRKLDIALESLTHDGRQQRQKFSILHIDLDRFKDINDTLG HAAGDAMLVHASKVLAKNVRGSDIVARIGGDEFVILALDVGDKEMAQLSTRIIEEMRQ PIDFQGFSCRCGVSIGIALANGIHVDARKVLINADIALYRAKSTGRNRFEFFNHNLQA DIINTKRTADEILAGIDNGEFTAWYQPQFCARTMELTGVEALVRWKHPSKGWLAPDKF LRIADEINVVQMLDRIVLETALHDKMRWTARGIVVPKVSVNVSARRLHDGSLLESLAD LHIRPGELSFELVESIFLDESEDVVSQNLERIKALGIDIEIDDFGTGHTSIVSLLKLK PKRLKIDRQLVQPIVNASQERALVSSIIEIARSLGVETVAEGVETAAHAALLRDLGCD ILQGYAFSQPLSFDDFTAEATGTGWRLAS YP_002974472.1 MASHFFVQLIVQRFKRIVAMRFVVATLLATASFLSPMSGFAESA DVEATIKKVDTANLVLTLDDGKTYQAPEEFNFDGLEAGVKVIVFYTEVDGKRVINDLD IVK YP_002974473.1 MLIYVIRHGQTAWNAERRLQGQKDIPMNATGLEQARQNGIALAE ILGDTVDQFDFVASPLQRTRATMEIMRIAMGLPPLAYRTDPRLVEISFGDWEGSTIKE LKATQRERVAERNASKWDFIPPGDDAESYEILSWRTGSWLNSVDRPTVCVTHGGVIRT LFQAIADLPKSTAAEGAIPQDRIIRIETTERTIVWL YP_002974474.1 MAQASGLMAGKRGVIMGVANNRSIAWGIAKAIHAHGGEVAFTYQ GDALKKRVEPLAAEIGATLVGHCDVADEATIDEVFANVEKLWGKIDFLVHAIGFSDKD ELTGRYVDTSADNFAKTMQISVYSFTSVARRAEKLMTDGGAMLTLTYYGAEKVMPNYN VMGVAKAALEASVKYLAVDLGPQNIRVNAVSAGPIKTLAASGIGDFRYILKWNEYNAP LRRTVTIEEVGDVGLYLLSDLSRSVTGEVHHADSGYHVIGMKAVDAPDISVIKD YP_002974475.1 MPHRNTGGFNMRDPYKILGVKRDAAVDEIKAAWRNMAKSAHPDH NQDDPTATARFAEIGRAYETLKDPRKRSLFDNALRMAEAKKHEQTIMQQRQAAREAAV RAKAAQANAERVMEELARAAQKAAADGNRQQAGSVEAADEMVDRIFGAQARAAAGGQA QARAQQAQYQQAQNQQTQNAQADPGRRMDGDAAEAEVKGDEESGEVGPNAAANLSLPL SILTNLVRRFTGAKDTGLDKAPDEVTTATVTIDDVLKSGWITASLPEGREIGFALPAG TTDGHEVRLKGQGFKLPGMQRGDAVINIRIASDPRFTVDGFDLHAVLPLSIENAVLGT EARIEGPSGPLNVTIPAWSGSDKTIRIPGQGLPREEGGRGDLVVELRIILWEKPDDKV TDLMRSMREGLFL YP_002974476.1 MEVITKSSRHTKGLRRRSRALFVIGTAMLSLSGCVGGGMDFLSD AKVDRSVATGTVPQTPPSTDTLSDEMTVRNAVTSADVQRLEGQPLPWANASTGSAGVI DTIVENNESGQVCRQFRTTRHSYVGIAKFYGKTCLVGGGNWQLLSFQPES YP_002974477.1 MSANELTSGDFTESGEPFKLFAEWLKEAEASEPNDPNAVALATV DEDGLPNVRMVLLKGFDDDGFVFYTNFESQKGREILGQKKAAMCFHWKSLRRQVRLRG PVEIVTDAEADDYFKTRARGSRIGAWASKQSRPLESRFALEKAVAEYTARYAIGEIPR PAHWSGFRIRPTSIEFWKDQKFRLHDRVEFRRPSPEGEWDKVRMYP YP_002974478.1 MTGQFKRLGKRLAIGAGLEFSWLLASAGLMREARGRGVVFTLHH VRPHVAEAFEPNAHLEITPRFLDVALRRLRREGYRFVPLAEVPALLAEPEGAKPFAAF TLDDGYNNNLEHALPVFERHQAPFTVFVAKGFAEGSHSIWWETLAILLRRAAEIRFDF GRGMERLVLDSPQQQWHAFDRFAAYVHRSDEARAVAAIDLLARENGIEPTDIVRELVM GPGQLQWLAAHPLATLGAHTISHRALARLPEAEARIEMQASADYLEAVTGIRPETIAY PYGTPAAATAREARIARNLGFTLAVTTQPGLPAAKLTGYLPRISLNGFYQKRRYVSAL ASGIPLKMMGR YP_002974479.1 MRKLWAAVLTVTLAVSAPLAALAGNASLILDARTGKVLAAENAD TLNHPASLTKMMTLYLTFEALKRGKIAWDTPIKMSKYAASRPPTKLGVKAGGTITVRE AVYGMIVKSANDAASAMGEKLAGSESGFARVMTAKARQLGMSRTVFVNASGLPDGRQV TTARDMSTLAIALMKNYPNEYRLFSTASFNFRGRTVRGHNNLMYRYQGMDGIKTGYTN ASGFNLVSAVRDGNRRVVGVVLGGRTARSRDAKMAGLLDRYLGRASSSGGARLVASVG AKETVEVASAADASDVPVPFNAPRQVDDLAAKSLAYADDAVVPLERPVAMDEILNAGK TPKTSAEKTNGDWQIQISAAPSADAARALLAQAKSEAGAPLVSASPYTEAVGQGANKI YRARFVGFASKDAAVSACDALKQRSYDCMLLPDRG YP_002974480.1 MLRRLADQFETSSAAYAAANGIERDPDWFLLKLQEEVGELTQAW NRLTGRGRRRDRTPEELDRDLADETADLLGHILLFARRNDIDLAAAIERKWRFQPALT SKG YP_002974481.1 MLRHTYNPKVTRELAVMRVVFPLVGLLICIAILGFYLWRLHHFE RTVATIEAVWEEEVHRRGVKIVTMAELSFSRTTPTGESIVCRYNFEIGTPHDDFNIGD KLDIVPATGTCQRADIIGKSEPNL YP_002974482.1 MADVEIRTFTADDADAVLSVILPIQREEFGIDITADAQPDLRVI PDFYQSGKGQFWVAVKGGAIVGTVGLKDIGNNQAALRKMFVAAEVRGHEHGVAARLLD RLFTHAREAGLTDIFLGTTDKFVAAHRFYEKNGFAEIAKSALPRAFPLMAVDSKFYRY KVG YP_002974483.1 MTTDLLTLFDADEATMRKHVAEALSGADDGELFIEHAQAEALTF DNGRLKGGSFNTEQGFGLRAVAGEAVGYAHAGDLSVAALKRAADAVGAVTRGYSGSYA AAPQGTNKKFYSDENPIGQPSFEEKVKVLQDIDAYLRGKDDKVRQVTASVAASWQVVD ILRADGHRVRDIRPMTRINISVMVGEGDRQESGSYGHGGRIGFGDFIAEGSWQYGADE ALRQALVNLEAIDAPAGTMDVVLGSGWPGVMLHEAVGHGLEGDFNRKKTSAFSGLLGQ MVAAPGVTVVDDGTIDNRRGSITIDDEGTPSAYNVLIENGKLVGYMQDRQNARLMGMA PTGNGRRQGYSHVPMPRMTNTYMLGGDKTPEEIIASVKKGIYAVSFGGGQVDITSGKF VFGCTEAYLIENGKVGAPIKGAMLIGNGPDAMKRVSMIGNDMKLDTGIGNCGKAGQWV PVGVGQPHLRMDQVTVGGTQT YP_002974484.1 MGFRSLAQSLLVTASIAAAATTPVFAQQPAPSQTRPPASTPAPT PAPAPQQPSAAKPNVEQVTPGQTQPPAPGTVKSNHGAWSVVCDKPAGASTEQCALMQN VIAEDRPEVGLSVVVLKTADRKSKILRVLAPLGVLLPNGLGLNVDGKDIGRAYFVRCF ADGCYAEVVLEDELLKTFRSGAQATFIVFQTPEEGIGIPVDLKGFAEGFDALP YP_002974485.1 MKKAYAALTTLVCLLFASGTYADQPMPWQATLQPAATPIMREIH WFEQYTLWFIVPITLFVLALLIVVCFKFRASANPVPSKTSHNTLIEIAWTVGPVLVLL LLAIPSFNLLTAQLTLPENPDVTIKATATQWQWNYEYEGSGENPLAFDSYLLKDQDRA AAGKEDKSVYPRLLAVDNELVLPVNKTVRVLVTSAPTDVIHAFAMPSFGVKIDAVPGR LNETWFRAEREGLFYGQCSELCGKDHAFMPIAIRVVSEDKYKQWLTAAASDLPGAYKT LMAATDGPAKTVNVAEAQ YP_002974486.1 MAGPSAHDDHSHDHAAHHDHAHDDHHDHGHKPSFANRWLFSTNH KDIGTLYLIFAIIAGIIGGALSVAMRMELQEPGIQIFHGLASMVYGYEGDAAIDGAKQ MFNMFTTAHALIMIFFMVMPAMIGGFANWMVPIMIGAPDMAFPRLNNISFWLIVPAFA LLLLSMFVEGPAGAYGTGGGWTMYPPLATTGTPGPAVDLAIFALHIAGASSILGAINF ITTILNMRAPGMTLHKMPLFAWSVLITAFLLLLSLPVLAGGITMLLTDRNFGTSFFSP EGGGDPILYQHLFWFFGHPEVYILILPGFGMVSHIISTFSKKPIFGYLGMAYAMVAIG AVGFVVWAHHMYTVGLSLDAQRYFVFATMVIAVPTGVKIFSWIATMWGGSISFRTPML WAIGFIFLFTVGGVTGVQLANAGLDRSLHDTYYVVAHFHYVLSLGAVFAIFAGWYYWF PKMTGYMYNELVGKLHFWIMFIGVNLVFFPQHFLGLAGMPRRYIDYPDAFAGWNYVSS IGSYISAFGVLIFLYGVFEAFAKKRVAGDNPWGEGATTLEWQLPSPPPYHQWEQLPRI K YP_002974487.1 MTVIDNHEVLAKDGELSEASARDYFELLKPRVMSLVVFTAFAGL VLAPGHIHPVLGLIAILCIAVGAGASGALNMWYDADIDAIMSRTANRPIPAGRIAPSE ALAFGLVLSGFSVVILGLAVNWLSAGILAFTIFFYAVVYTMWLKRSTPQNIVIGGAAG AFPPMIGWACVTNSVTIESTVLFLIIFLWTPAHFWALALFKMRDYEAVGVPMLPNVAG ERVTKHQIVAYAVLTAVCAVLPSFLGFASFGYGLVAAALGAIFIYCSIAVWRMPDGDL KMIPAKKLFGFSIFYLFAVFSALMIDRLASLLVSHAGGWF YP_002974488.1 MELVKLTEKQLKSRRNRNVALGLVLAGLVVLFYVMAIVKIGGGT AG YP_002974489.1 MSDNPAAPKKQVRNNGAVVMMCLSFVFGMGAMSYAAVPLYRIFC QVTGYNGTTQRVDQVSSVVLDRTMRVTFDANVAPGLQWDFKPVQREVNPKIGETIQVN FTAENRSNETQRGQAVFNVTPGEAGVYFNKVQCFCFTETDLKPGEKLDMPVVFYIDPE IVKAVESRDIHTVTLSYTFYPKEGPKPVASNEGGAEKIEKKL YP_002974490.1 MADAHQKNHDYHIIDPSPWPILASLGAFIMAIGGVCYMRYLNGG SFKVAGAELANPWLFYIGFALVLYVMYGWWADTVKEAHEGAHTRVVSLHLRYGMIMFI ASEVMFFVAWFWAYFDASLYPNEAIQASRLLYTGGTWPPKGIEVLDPWHLPIYNTVIL LLSGTTVTWAHHALLHNDRKGLIQGLTLTVLLGIFFSSVQAYEYAHAPFAFKNSIYGA TFFMATGFHGFHVLVGTIFLAVCLIRALRGDFTPKQHFGFEAAAWYWHFVDVVWLFLF FCIYVWGGWGAPVAAG YP_002974491.1 MTNDSHSVQIIILNGAPRSGKSSIARAVQEHFEGPWINLGVDSY NAMTPKRYLPGIGLRPGGERPDLEELVPFFYAALYESIAIHASLGLNVIADLGHHDSY SQPLGILGDCARRLEDFPVLFVGVRCPIETIMQRRDIVQEGRETLYLSATEDVPVPEP VQRWQDEVHRPGIYDMEVDTSVLTPLECAEAIRHQLDLGIPQPSAFERIAGAR YP_002974492.1 MNEDSAHFPPVDPVKTGIKGCCPRCGQGKLFDGLLGVKPRCAAC GLDYSFADSGDGPAVFVILIVGFIVIGMVLWLQVNYEPPIWVHILLFAPLTIILSLLT LRWFKGILIAMQYRHNAREGRLSD YP_002974493.1 MTDIDHAAPRRRLPVFTGILVLIALAILISLGTWQVERLHWKEG LIADIAARQAASPVPLADIEAMAAAGGDIEYRKVTATGRYINNKERHFFATWRGQTGY YIYTPLELADGRILFVNRGFVPFDNKEPEMRMQGQLTDQQTVTGLAREKLPGKPSWVV PDNDVAKNIFYWKDLDVMAESVGLEKAIVIPFFVDADSTPNPAGLPIGGVTQVDLPND HLQYAFTWYGLAAVLVVVVAISWFRKGGKTAQ YP_002974494.1 MNIAAKPPLTIRLCGPRGFCAGVDRAIQIVVLALKSYGAPVYVR HEIVHNRYVVEGLEAKGAVFVEELDEIPAEHRAQPVVFSAHGVPKSVPEDAASRNLFY LDATCPLVSKVHKQAMRHNRLGRHVVLIGHAGHPEVIGTMGQLPEGSVSLIETIEDAD AYVPVDPDNLGYVTQTTLSVDDTAGVITRLHERFPNLTAPAADSICYATTNRQEVVKQ AAPGCDLFIIVGAPNSSNSKRLVEVALRAGAKKSILVQRAAELDWDEIGAISTLGLSA GASAPEVIVNEIIEAFRARFDARVELAETVQETENFLVNRELRNIELTAADMAFVNG YP_002974495.1 MKARPHLAVYTDIAEDDLKWFLTEYDAGTLLSYKGIAEGVENSN FLLHTSRDPLILTLYEKRVEKSDLPFFLGFMQHLSARGLSCPLPLPRRDGALLGSLSG RPAALISFLEGMWLRKPEAKHCREVGKALAEMHVAGDGFELKRANALSIDGWRGLWEK SEARAGEVESGLQTEIRSELDFLSAAWPSGLPAGVIHADLFPDNVFFLGDQLSGLIDF YFACNDLLAYDVSICLNAWCFEKDGAYNITKGTAMLEGYQSVRPLSEAEIAALPVLSR GSALRFFLTRLYDWLTTPEGAMVTKKDPLEYLRKLRFHRQIKSPAEYGLSL YP_002974496.1 MKHVDIFTDGACSGNPGPGGWGAVLRYGDVEKELCGGEADTTNN RMELLAAISALSALKSPCEVDLYTDSAYVKDGISKWIFGWKKNGWKTADKKPVKNAEL WQALEAARDRHKVTLHWVKGHAGHPENERADELARKGMEPFKKGKAVSF YP_002974497.1 MKRDELGDLAAFLTVAEERSFTRAAALLGTSQSSLSHTVRRLED RMGLRLLTRTTRTVVPTEAGEQLAETLRPAFNDIRSRLDALGAMRHAPGGTIRITSIR HAAETILMPAVKGLMQSYPDINIEISVDSRLIDLVNERFDAGVRLGEHIEKDMIALKI GPEMRMLVVASPDYFARNPKPATPHDLTQHRCINLRLATVGGLYAWEFEKDGKPLNVR VEGQFICNDVPMIVDAALGGFGLACLPDDYLLPLIREGKLVPVLEDWSPPFPGYHLYY PSRRLASPAFSLLVNALRYRS YP_002974498.1 MKTVAASLAISAFAAAGAEAQQNPRVAPPAVYQVAPGLGHFTDD VLFGEFWKRGDLSPRDRSLVTISVLISTGKGHVLANHVKRALENGIEPREVGEVVTHL AFYSGWPNAISAVTEIKKVFDELKIAPVADSDDTRVQFDAATEAARSASVDAEVAPTA PALADLTNRALFGDLWQRPDLSARDRSLVTVAALVAMGQSDQLAFHLNRAMDNGLSRQ EAAEAITHAGFYAGWPRAMSAVPVLKTVFASRQASAASNPAEADIKVVKRGSQPVSGP AERFTGKVQVSAAYKGDGAARIGGATVAFEPGAHTAWHSHPLGQTLFVVSGRGWVQKE GGTVQVMEPGDIVWIPPMVKHWHGASADQAMVHFAVSEALDGNSVTWMEKVAAEDYSQ GRQADN YP_002974499.1 MQKSSARRHFLTSLPLAAIGLAMTSAGAGAQQTPSANTLVAYFT RTGNTRVIALQVRRARQAALIELQPAEPYPEDYEETVAQARRETEQGFLPPLVQLVAN LDQYREIFLGFPIWGTTAPPVIRSFLRSHDLSGKIIRPFITHGGYGLGNSLEVISSLA PRATIEPPFSLEADQERRTMEQVRSWLG YP_002974500.1 MKLFACDNCDQVVHFDNRRCVRCNHRLGFLPGDLAMHALEPRDE TLWQLVSNPDRDVRFCANASLDICNWLVDGDGESEFCVACRHNRLVPNTDTQDGIDRW RRISQAQRHLFYSLLRWKLPHPDRAQDPQGGLVFDFLEDSIESNGYVVPAMTGHEEGL ITIRAAEADDATREQARSSMNEPYRTLLGHFRHEAGHFIWNKLVRDRGGLADFRRVFG DERQDYATALQTHYAGGAPLGWQDDFISAYAASHPWEDFAECFAHYLHIVDTLETARA FGIAIDPRGHEEISGEVDFIPYRARSAEQLVSAWVPLSIAINAIQRSMGQPDSYPFVL STPVVAKLEYLHRLIQGAATAPQQRAA YP_002974501.1 MGSTSDKISGKANEIAGKTKQAVGKAADDREMQAKGAVQEAKGK GQVATGKVKDKLKGAVDRL YP_002974502.1 MSLLTLKNRRERGFFDGQFLIAMPGMEDRNFARTVIYICAHSDA GAMGFVINRPQSLTFTDVLLHLDMIKQEDSIVLPKRARDFPIQTGGPVESGRGFVLHS DDYASDSSIPVSDDICLTATLDIVRAISKGDGPTRATMLLGYSSWAAGQLESEVVNNG WLTCPANEELIFDRSLDDKYERALAGMGVTAAMLSAEAGHA YP_002974503.1 MMVISSGPRRLLIVVVSAVAALLPFYSAHAEMSAWADNEGGRMR LVALAPDAAGKIRAALQIEPKPGWITYWKEPGGNGIPPQITVAAESGVTLDAIAYPVP KHFFNGAIEDIAYDAPVTLPLSLTAAGKGPVAIDAAAFIGICKDICIPFQANLQLKLG PAIQSHPQEEGILQAADARLPKPPSPDFDVTAHAMSPDRKTLSLTLALPGGGPGEGPG EGTDAPDIIVTGPSGHAFTKQIGGKRDGATFKVDVTIGKLPENYDISGKRWGVLVIDG ERAMETTLAFQ YP_002974504.1 MTIAIGDKLPAATFKEKTADGPVEITTDQLFAGKRVVLFAVPGA FTPTCSLNHLPGYLENRDTILGKGVDDIAVVAVNDWHVMGAWAQSSGGMGKIHFLADW DAGFTKAVGLDADLSAGGLGLRSKRYSMLVEDGVVKALNVEESPGQATVSGAAAMLEQ L YP_002974505.1 MSLVNLSLANVIAWLLAAFFFVGAYGNTFVSPEIAADYARWGYP ESFHYLTAICEFTTAVMLIFKPLRLYGSLLGSAVMLAAAGTVLFHGEYAHAIPPLVVL GFCGLVAVLTMRRAG YP_002974506.1 MKPTTDDIVEIDQILSLWAHLVDNHAWDRFGEVFTEDAHFDAGV FGFAPVTGIAAICHMASQDGHATAHHTTNVHVQEGAGDEIVAVSKGLGLLSNGAVASV TYTDRLRRTPEGWRLARRTLALQAPSH YP_002974507.1 MSTHQKIALVTGATRGLGFETARQLGREGVFVLLGARDLAAGQA KAETLRAEGLAIEAIEIDLNRPETIDAAASSIGERFGRLDILINNAGILLLDTDDFPS MASIETLRESYEVNFIGMVIVTQKLLPLIRKAVSGRIVNLSSSVGSLWWTGDANNPSP DVKWLGYAASKAAVNMLTVQLALELKDTPIKVNAVCPGYVMTELNRGGGYITIEDGVR APVKYALLDDAGPTGQFFNTNGPINW YP_002974508.1 MGLREDKKDRTRAQLLEAALDLIHKRGFEETTIADIAAAVSVSP RTLLRYFPTKEDVIVSWVQDGMTIVRAELTERLASEPPVVALLAAARAMLLAFDEKSR FFLAIETAIRSNRSISARKEQMIAELIDEVAHILASPDRRSPLPDIVAYTIAGTVFAL IRASINSWVDGGAAMSLADLFDRAAGIVHFEQNVT YP_002974509.1 MSEVVHTFTTTVPRWQPYVVPVDFATATDEQHAAMQTTPSNKGI SPYVLTLAHDPETLAVRSPLFNLIMYGKDGLAASERELGAVAASVVNRCVYCAAVHAS RFNNLTKRTDVIEAVFADGLEATLDEHLQAIFNFSARLSTTPPEAVAADAQALADVGL DELEALDLVLSSAIFGWANRLMHTLGEPMKD YP_002974510.1 MTLIETLASVRPGSALAEAMGKRAEILRLSEAAHDAVLLPRDPG GLSHGLRAALAARMARHNRSPALASHYDTLVARADEPATALLAEPDTKVSDPRTAEIV RHADRLTVAPRDATRADVEALRQVGVTDADIVRLAELAAFVNYQVRVLAGLKLLGEMR YP_002974511.1 MSIMHSNSFDIRQLEAFAAVMSAGSVTGAARLLGRSQPAVTRLI QDLEADIGYALLHRSGPRISPTARGLLFHAEVERHLASLAHIRERANAIGLDEPPSLT IAATPSLAAGVLPQAISAVAPDLIPRHLHVQALAAENVVQAVLARSADFGISSLPLEH PGLDVHWIAEASCVVALAANDPLANDDVVRLSDLAERRIITLANPYRLRHRIDEALER AGVAPQRMIDVNASLTALTMVRAGLGVAIVEPATVCGVPLEGIVMRVLDHTIPFLFGA ISPAAMPLTPTVAAVIDAARAVALAMPGCRLHDAGGVEALADTVYGGSQMTEDVPS YP_002974512.1 MSDLSMFPNNLEALEARLRQDLAWLELPAKSWVPPRVVDGQGVV DVVIIGAGMAGLVASAMLKRLGVANHILLDKAPAGSEGPWVTFARMRTLRSPKQLTGP AMGLPALTFRAYYEALHGRDAWIALDRAPRETWMDYLVWYRRVLDLPVRNGVAVDAIR PRADGLLDVVCRHDGRSETTIARHVVLATGRDGLGGPFVPSIAHGIERKFWAHTADPI DFAALRGKRVGVVGAGASAMDNAATALEAGAARLDMFVRRTDLPRINKFTGIGSQGVV HGFAGLPDDWKWRFLDYAMRSQTPPPRPSVLRVSAFPQAHLHLGSPIDRLQQQGDHIV VTTPKGSYPVDFLIFGTGFRVDLKNRPELAAVASHIGLWRDRFPVPADMRNDELETSP DLGEAFEFLEAEPGACPALARIHCFNFPATLSHGKLTGDIPAISEGADRLARGIVRAL FVADRERHFADLQAFDTPELLGDEWADDETATFSDLSAERTPTRNA YP_002974513.1 MLEIKNLKLSYGNTQVLNGVDLSVNRGDVVSIIGPSGTGKTTLL KCINYLAKPASGTIAFDQVRMDYQKPDNTAVQAIRLRTAMVFQQFNVFKNMTVIQNVM DPLVVVQRNSKDEARSIALQELERVGLSNKIDSYPSQLSGGQLQRTGIARALAVKPDV MLFDEPTSSLDPELVGEVLKVIRDVTSSGITSLLVTHEMQFAKNISNRIVFMDRGVVA AQGSPAEIFDTPSNPRLAQFLNSEHSIQ YP_002974514.1 MSSIKSTSRRTFGLAVAGVAFALATAGLSHAEDVRTIKIATAAE SKPLSWGAIGVEPQGYEPDVLKAINAKLPQYKFVMEGAADIAQETGLATGKYDIATGG YYRAPAREKQFLLPESPIGASLIKIYSRKDSGINEMKDLVGKKIVPVTAGGGIYKFAT AWQEKNPDFKIEITASSAGIPYPDRLKEVENGKYDALVLPSNLGEQTVIDQQKLDVKA SEPVAINNTFVLIHRSEENKALSADIDKALKELKADGTLAKLSQKWFGEDITTYMK YP_002974515.1 MDLSIMIPELLKALPLTLAITFTAMIVGFLLALITTTFRVRRIP VVSQLADLYVSYARSVPVVLQLFVAFYGLPLVVALFEVEDFVSPNVAAIVGLSLYHGG YLSEVLRPAYLAVERGQHDAADSLGYTFLQKILRVVGPQAVHIALPGYGNSIIYLIHN VALVMYIGAADVMATAHLVMERDYNQYQFETYLVLAVIYSLLCLVAWIVVRFFETRNA RFAPNTAPAKATMMASV YP_002974516.1 MFDSEVLLPDLWDILGAVPVTLAMALGIFVFSTIVGSLFAMVEY RRVPLLRELVVAYKVVFKGVPMVIVIFLAYYGLPPTLQFLTSLVGIDYNGHSTPNWIT LIVALTACVAAFQAEVVKGALNSFDNGQADAAYSLGYKRSQVFRRVMLPQVIVAAIPD LANSIMVIMKALSLGFAIEVVDIFAQSQLTAALNFYYLEAFLIAVVIYMVIAYIVTYA ADRMERALRVWA YP_002974517.1 MIRPWPLLADHIRNARGRSEDEKFSSALQGIEQLADFIARSSLR DALFGWTSMADLCVQQTDHAPPSVAYLRISAQSSGLIEFRYIDTNVERRQWTRTETPE RAVHRLRTFLDQLHWVSGPDSLAAIPN YP_002974518.1 MPPSPDRPSVSLLGQSAFSRVIGMALVITVLWLAIHWAVLLP YP_002974519.1 MTPLIRLENLTVAYNRHPAVHHVSGAFASGSLTAIAGPNGAGKS TLLKAIMGELRPAEGRVEHRLGRAEFGYLPQAAEIDRRFPISVIDTVMFGAWKKTGAF GRIAPAEVKRAGEALSAVGLDGFGRRHVGSLSAGQFQRVLFARLLVQDAGIILLDEPF TAIDARTTRDLVDIVTRWHGEGRTVIAVLHDFELVRAHFPQTLLLARELVGWGATADV MSSVNLLKARAMAERWDEDANACAPEEAPAA YP_002974520.1 MTAYDLFLAPFADYGFMRRALVACLCLGLGSGPIGVFLMLRRMS LMGDAMSHAVLPGAAIGYLVAGSLSLTAMGLGGLIAGLSVALLSGAVSRMTVLQEDAS FASFYLASLALGVLIVSLRGSNIDLLHVLFGTILAIDAPALYLIGAITSLTLVILAFI YRPLVAECFDPGFLRAVGGRGPVYHVLFLLLVVLNLVASFQALGTLMAVGLMMLPAAV AQLWSRSLPVMMAIAAASAAASGYLGLIASYHLELASGPTIIMTAAVLYGFSILFAPS GLARRFFPRPHLKG YP_002974521.1 MTPHRLLLSAAIPALMALSAVPASAETLKVVASFTVLADVVKQV GGEHVKVTSLVGPNGDPHEFEPSPADAKNLNAAEVTFVSGEGLEGWMDRLITASGYKG KPVTVSEGINTRTMEEDGEKITDPHVWNSPVNVKIWVANIEKALSSADPADAAAFKAN AEKYTKTLDELNAYAHAKFDKVADDRRKVLTSHDAFGYFGREYNVSFLAPLGLSTESE ASAADVAKLIEQIKGEHVKAYFFENSNDPRLVKQVAKATGAEPGGELYVESLSDAKGP APTYEKMFKYNVDQLAAAMAKSS YP_002974522.1 MHSSTLRRAVTTVALANLGYFGVEFAVALSIGSVSLFADSVDFL EDASVNLLILMALGWSARSRARVGMALAAILLAPAIATIWTAWQKFTVPVAPEPFALS LTGLGALVVNLSCALLLARFRHDGGSLTKAAFLSARNDAVANIAIVGAGLITAFFWRS AWPDLIVGVGIAIINADAAREVWTAARDEHRAAA YP_002974523.1 MILHCVFLRLKTAVTSEDKQSLFAAIVALKQLIPGIVDIKYGPN VSPEGLHGGFVDGFAVTFESAEARDAYLIHPEHVTVGERIVSSTDGGLAGILVFDLNL YP_002974524.1 MLKFLLALSMGVFCVSPLQAGDITPVTKSCQPGPKQAQCERWVT AIKKAVGLAYKGDHGAQVTVAFCLSTGCHGAVAIDKVASCSWHLVIANSGSTTVLDSS NTRNTCRPMTADQKDEARALASDLVQKIYKRPMVKTDQM YP_002974525.1 MSRAIKHLIIGGLIMTISAPTAATANEDDLKLDIVNPKNLYDPS PNGYSTAVIVPRGARLAYISGQGGQDSTGTLSPDFAVQVKQAYANLRTALDALGAKPD QVAKLTVFVVDHDMSKLEVLTSNVKDMFGEALPAQTLIPVPKLAIDPMLFEVEAVVVL D YP_002974526.1 MAAKRSGAESKGSGRRGAPSLQSRQEPRSEPPLSLERIVATAVD LLDAEGVDGLKMRRLADRLGSGAMSLYWHVGNKEEVFDLALDSVLEYRGPPDIVESRD WRGEIVHMLEDWRASMLRHPWSASLLPRRALGPNILIRLELLSKTLSGAGVTDADLNV AIWSLWNYVIGATITRANFDLSDDDRAAAQQRLTGLSQHYPTIERSRLLLDNDWDGAF SKGLDFLLDGLAPRL YP_002974527.1 MTKHRIYSISVASVYPHYVAKAAKKGRTKTEVDDIICWLTGHNQ QSLDDQLAAKTNFEDFFAQAPRMNPSRSSITGVICGVRVEDIQETTMREIRYLDKLID ELAKGKAMEKILRK YP_002974528.1 MPPEKKDRSPVALEQRDISADQRMFSPSVARNSAPIVAVLKRVL PTHGAVLEIGCGTGEHTVCFAGAMPNLTWQPSDPDADARTSTSSWIKFAGLKNVLAPR DIDVCSGQWGVEQTGHFDAIVSINMIHIAPWAASLGLFAGAGRLLHAGGLLLLYGPFM RDGAHNAPSNAAFDAALKERNPSWGVRDIADLEQVGEAAGLNLRETIEMPTNNMLLVF SSGSA YP_002974529.1 MPTPEQPSLIVRQKSPQNIEFPFASLSDWLIPTELFFVRNHFPS PDLDARDWRLRVGGAVERPIELDLDSIKAMRSTTLTAVVECAGNGRVYYEPPKEGLQW QNGAVGNAAWTGVLLREILEMAGVKRTACEVLLVGADSGVVDTNKKTASPGPIAFARS LPLEKAIADSTILAYSMNEEPLTRDHGYPLRAVVGGWFGMAWVKWITHITVVEQPFLG YWQVRDYFRWERNLGEPRLVPLAEMEVKAQIARPVQGAHLIAGQPYRIFGAAWSGEAA IRQVQVCTGDGRGWREGRLLETERPFAWRLWEYMWTPEEVGRYILRCRAIDGAGCVQP DLQRSDCESYAANWIVPVEVTVVPEPQTYQEEFVI YP_002974530.1 MSTDRETMRSYDTVAAEYAAEAAAMPEWVATEIDAFVTELGGSG RVLEIGSGGGRDALELEKRGMSVRRTDIANGFVELLRESGFEADLLDPLTDDLADPQR PGTPYDGIWACACLIHVAREDFGTVLGRLAEATRTGGRLHASVREGESQDVSTHGSAA APRRYVETYWRESALRSALTDAGWIVSEIRRCVGKPHDRWLSVRASRA YP_002974531.1 MGIKNYLIEGGSGTGKTSVATELERRGYHVVHGDRVLAYVGDPE TGQALAGPPKGADRIVWGYAHWIWPVDKVRAIAADTTYPATFFCGGSRNFHKFLDLFD KVFVLDIDVETLNGRLDGRPNEPGFEPAERALVLRYHHTREYLPIGINIDTTGTVPSV VDDILAQLT YP_002974532.1 MLPKSPSGRVIAVIAALFLAAFAFVAGVAQAAEPVKISRDDTAL DLTATTEIYANQGEAFQVSTAAGADGIRRRIEVRASSENHQGDWAVFALANVSEEQLE RVIVAPHFRLVNSKLFWPDLGSQRISAITPSEGFALDRQPSDEADVFRITLNPGAVIT FVAELSTPELPQIYLWEPNAYKDTINAFTLYRGIVLGIAGLLAVFLTILFVVKGTSML PATAALAWAVLGYICVDFGFLGKLISVASADQRIWRACAEVALASSFVIFLFTYLNLN RWHAHLGYATLAWVLGLALLFGVAIYDPSIAAGIARLSFALTATMGLLLIIYLGFNRY DRAILLVPAWALILVWLFGAWLTVTGRLDNDIIQPALGGGLVLIVLLIGFTVMQHAFA GGAFQQGLFSDLERQSLALTGSGDMVWDWDVARDRVVTIPDVSIKLGLSPGAMHGAAR NWLPRLHPDDRDRFRATLDVLLEHRRGRLNHEFRIRAEDGHFHWLLIRARPVLGSNGE IIRCVGTIVDVTEQKNSVERLLHDALHDNLTGLPNRQVFLDRLQSVLALAPGGDTLRP TVMVIDIDRYKLVNDSLGVAAGDNILIALTRRLRRLLKPQDTLARLAGDQFGLILVSE HNPAKVADFADAISKAIMVPINFANREIILTASVGLASWVDRQESATGLLSDAELAMY RAKRAGGNRVEPFQPAFRDFGTDRLQLETDLRRAIERKELSMVYQPIARLEDVEVAGF EALMRWEHPKRGNIPPSEFIPIAEASDLIGPLGMFALEQATNDLMSWQHQTGELPIFV SINLSSVQLLNNELYDDVRSVLAKTHCQPSRLKLELTESMVMENPEQARLVLQKLKEA GIGLALDDFGTGYSSLAYLTRFPFDTIKLDKTLVRDSSDKKAIVLRSVISMARELDMK VVAEGIESNEDAIELAKMGCNYGQSYLFGPPIPSESVLRLLRDRFPLTKRA YP_002974533.1 MPKSVFRFLQRQPEAVELENDRYFLRLPRYQDFNQWHRLRAESR KFLEPWEPTWRRDELTEGAYRARVVRGKQEYASGQAVPLFIFLKGDMTLVGGVTIGYI RRGAAQSCMIGYWMGERHAGQGHMFAALQLVIPYIFAGLELHRIEAACIPDNARSIRL LEKAGFQQEGYLRGYLKINGQWHDHVMFSRLATDTDKGRITDSR YP_002974534.1 MTVECTRLKSGLTVVTETMPHLESVALGVWIKSGSRNETDNEHG IAHLLEHMAFKGTARRTARQIAEEIEDVGGEVNAATSTETTSYYARVLKDYVPLAVDI LADILTESAFEEEELEREKQVILQEINAANDTPDDVVFDRFSEVAYRDQTLGRAILGT PETVVSFTPQQIRGYLSRNYTTDRMFVVATGAVEHEEFLRMVEDRFANLPTAPSAPPV MEPARYIGGSVREPRDLMDAQILLGFEGKPYHARDFYCSQILANILGGGMSSRLFQEV REFRGLCYSVYAFHWGFSDTGIFGIHAATGGENLPELVPVIIDELHKSADAIHQKEIE RARAQIRAQLLMGAESPAARAGQIARQMMLYGRPISNPEMMERLEGITVERLTDLAGR LFYDTVPTLSAIGPLDQLAPMEDITASLSVPASKTLQASR YP_002974535.1 MTNVDYISTRGEAPSLGFCDALLTGLARDGGLYVPSKWPSFSKK EIRALRGKTYQEIAFTILSPFTNGEIPDDTFRAMIDEAYGTFRHPAIAPLVQTGPNSF VMELFHGTTLAFKDVAMQLLARLMDYALEKRGERATIVGATSGDTGGAAIDAFAGRER TDIFILFPHGKVSPVQQRQMTTSSSPNVHALAVEGNFDDCQNLVKAMFNDVAFRDRVR LSGVNSINWARIMAQIVYYFTASVALGGPDRKISFTVPTGNFGDIFAGYCAKRMGLPI DRLVIATNENDILARALKTGRYDMKAVKATSSPSMDIQISSNFERLLFEAYDRDASKV RAAMESLKQSNGFEIGSQALKAIRRDFRAGRASEKQVAETIRKTHAETGYLLDPHSAI GVFVAAKKEKPNTPMVTLSTAHPAKFPAAVKSACGIDPALPTWLADLMHREERFQIIK PELKAVETFIGKHARGETTAGAER YP_002974536.1 MVLGKVSRLIVSASFLALLTGCNSLGIGGDSKSSAPTQPNGTAQ IMPLAPANPSSNNPSIGTATTAQGTVAPVVQGACPQIFMRDQDAIFRTYAKGKKDDPQ QIVYQASFGDYTRQCTLNDSNLTMTIVAQLRLITGPAGTPGPLTLPIRISVVDGETVL YSEVTKFPTEIPAGAPGTQVIFRKDGIKMPVGSGALVRVNIGFDTLPAKTKKSS YP_002974537.1 MDGFDLIIFDCDGVLVDSEIIAAEVESVLLTEAGYPIGVEEMGE RFAGMTWRNILLQIEREASIPFSASLLDKSEHMLDLRLAEDVQAIPGVEFALSRLPIK RCICSNSSTKRLDMMLTKVGLKPLFAPNIFSAKDLGPDRAKPKPDIFLHGASQMGVSP DKVVVVEDSVHGVHAARAAGMRVIGFTGASHSYPAHADKLTDAGAETAISRMNDLPGV VAALAAWDNVL YP_002974538.1 MSVTLNSPISWKNLSADDSTMLNNLQPNIIKAHVREFLTLFFLR FDDKEAAKSFLRAISTTLMKSATQHLMEIEAFKAKPSTPGTPYIGLGLTDAGYQALQI AQRPSDPIFRAGMQNSDLNDPDVSRWDSYFRNPIHAVVLVGDMLNDTKVTAHDQVVNL IQTSQGVTIVGTQDGLGLHNDNEEGIEHFGYVDGRSQPLFLLEDIDAEENATDGIANW DPAFPLEQVIVPDPAAPDPAVHFGSYFVYRKLEQNVRRFKRQEQKLADRLFGSDDERA GAMVVGRFEDGTPVTMQSEDGVESPVPNNFNYFSDKSGAKCPFSGHIRKTNPRGSGGF ENSAGERKHLMARRGQTYGVRTDNPNDGKIANKPSKYVGLLFMAFNSDIGNQFEFTQK NWANNPGFPAVPTGFPPPGVDPVIGQTKQDAARPDMEGAAIWGDPSSLKTVATVPQAV KMKGGEYFFMPSLAFLSSL YP_002974539.1 MASIQHKIIIASIAIFALAGGATGVGIWSASTLSENNADVARSA QILRNHMQADMMHDAMRADVLASMLASNPAAGIDPAAVKADLVEHDASFREMIGANKA LAADEKTKALLASIERPLLTYIESATKIVDLASQDPSAALKALPEFMAQFSTLETAME QTGDQITAASDDISKHSADIKASVDIVLKALLAAAALFALGLYFLTRKTVTKPILSLS NDMQKLADGDTAIACTGIGRTDEIGTMASAVEIFRQAAIANKQLEQDAEAARLQGEAE RITARKQADEDAAERLRAATSGLAAGLKRLASGDLAFQIDEPFAPDFENLRHDFNMSI RQLDQTLSAIATAIAAIDEGTREIASGAGDLSKRTEQQAASLEETAAALDQITANVSN SSKRTDEARTEATDANRNAAKSSEVVSHAEEAMRRIEASSQQISSIIGVIDEIAFQTN LLALNAGVEAARAGDAGKGFAVVAQEVRELAQRSAQAAKEIKGHIQKSSVEVESGVKL VLDTSQVLKAISEQIARINQHMDAIAVSAREQSTGLAEVNTAVNSMDQVTQQNAAMVE QSTAASGQLAQEAATLRELVSRFRLRATASTQSAAGRRGGQLAA YP_002974540.1 MRLSAFVRPAAAVVLLFAFTSTDVIAKGKHRSCAQLQAMKDNAL TGGKHAGDGTDKRAKIERKMRKKGC YP_002974541.1 MDVAPSSTKAHLCRLGNRLACFQTVFSRYSQASGDLPLEHDAEK CERFSDDIMLYFFDLDQDDFRPIGPKIILI YP_002974542.1 MTIEDIIETLEKTDMPDSRIDAFITCAFLLKQLRPAEPNDFDGP HDYLPSSIKSEHGFLMARPFTHDVNHAIDLCREVRPDAVWHLARGRQTPDESLYGAQL REIKESESVLGEAESNHAALALTLAALRAHVRQEDEKRAGA YP_002974543.1 MKEGPDIAQIGALIGDPARANMLAALTGGRALTATELAGVGGIT VQTASTHLAKLEAGGLLTQRKQGRHRYFTLADEAVARLIESMMGFAAGRGHLRHQPGP KEPALRKARICYDHLAGDYGVRMLDSLIASGSIDAVGDGLALTEKGEGDLKRIGIDVS DLRSSRRPLCRSCLDWSERRAHLAGSLGKALLSSFLDKGWARRTAESRSILFSPEGDR QFLMFFPVDP YP_002974544.1 MITCFIRYEIDPFKKEAFAEYARNWGQAIPKNGADLIGYFGPHE GSATTAYGVYNIESLAAYEAYRARLAADPLGRENYEFARREGFILKEDRIFLKNVSAP HAKLVLP YP_002974545.1 MIAVIFEVVPYMGERHKYLDLAGELRGELEKIDGFISIERFESL TNRGKLLSLSFFRDEAAVKEWRNLEAHRAAQQAGRGGIFADYRLRIASVVRDYGMFER DEAPADSRRVHDAA YP_002974546.1 MASVFPLADLKASVKSDSRPGSWVDTIIKGDCVSALEALPSHSV DIIFADPPYNLQLGGTLHRPDQSLVDAVDDEWDQFASFEAYDAFTRAWLLACRRVLKP TGSIWVIGSYHNIFRVGATLQDLNFWILNDIIWRKTNPMPNFKGRRFQNAHETMIWAS PSAKAKGYTFNYDALKAANDDVQMRSDWLFPICNGSERLKGEDGKKAHPTQKPEALLA RVIMASTKPGDIVLDPFFGSGTTGAVAKRLGRHFVGIEREQDYIDAASARIAAVEPLG KAELTVMTGKKAEVRVAFNVLVESGLIKPGQVLTDARRRYSAIVRADGTVASGGEAGS IHRLGAKVQGLDACNGWTFWHFEDGQSLRPIDDLRSVIRSDLAKA YP_002974547.1 MAFDPAEEIERFHAAINALDFPAIEAYFAEDATYVSNGVGSLAG RVQIMAAFRKYFDDYPDQTAENSLVETLTPLSGRSVWSVRATHSKSGKPLIREGEETI TFNAEGRVTRVEVTDYQDF YP_002974548.1 MTTDIRHIVFDIGKVLIHYDPHLPFSRLIPDETERNWFFTNICT HDWNIEQDRGRTWAEAEALLIKQHPAREEHIRAFRKYWHEMVPHAYDDSVAIMEGLIA EGRDVTMLTNFASDTFREAQARFPFLTKPRGVTVSGDVGLIKPDIAIYETHTKSFGLD PAATIFIDDAPVNVEGAKAYGWNAVLFSGPEKLRSDLAAYGLKV YP_002974549.1 MTITTLDTPLAKPLLDWYDRHHRELPWRVSPGMAARGVKADPYR VWLSEVMLQQTTVQAVKPYFERFLQRWPEVTDLAAAENDAVMAAWAGLGYYARARNLK KCAEAVANEHGGVFPDTEEDLKSLPGIGDYTAAAVAAIAFNRQAAVMDGNVERVISRL YAIATPLPAAKPAMREKVALLTPADRPGDFAQAMMDLGATICTPKRPACSLCPFRGAC EALKLSDPELFPVKAAKKEKPVRYGAAFIAVTGDGEILLRRRIDSGLLGGMTEVPTTA WTARIDGETSAAAAPFEAAWQASGTVIHVFTHFELRLSIWRAAIAAKVAMDDGPNDGW WEPVTNLEAQALPTIMKKAIAAAIPLAFKTSKG YP_002974550.1 MSYPRKGAKQISELANGLIDPVLARRAGINTALLGSWSEIAGED FADCTRPEKIAWARGGNETGGFRPGVLTIACEGARALFLTHAQGELIQRINSFFGFAA VHQIRIVQKPVSQAIRRSRTPPPLKGEAARKLDSMMEGIENDKLRQAIQRLGTAVMGK RGR YP_002974551.1 MALKNIPGILCPDLLWILSAMGHGDELAIVDKNFSACRVSKKTV TGKLVSMNAASATDAIAAILAVMPLDHFVEQPLMHMEDPDQAGTMLPVHADVELLCST VEMRSIKSRSIERFAYYPLAESCFAVVHTGETRPYGNFILKKGVV YP_002974552.1 MATITDVARAAGVSVSTVSHVVNGTRHVNEATAALVKNAIESLG FVPNAVARSLVRSSTDAVGIAISISTNYYFNDIVSSIERACAAIGQLVFLCDTQNDPE TELRLVRELVQRRVDGVILAPSGDPENRAIDYLKSSRMPFVLVDRLTVADADGVCLDN EAAMETLIDHLVGHGHRRIGLIAGEPGLLSTIERVRGYVGAMRKHGLEIDRSLMSEGN ANSADARQSALEILSRVDRPTAIASGNNLATIGVMRAVRDLGLRISEDLALIGFDDFD WADCFEPRLTVMAQPSEMIGMQAAEMLSRRIKSSTEDVRQVRLPAKLVIRRSCGCGGH YP_002974553.1 MTSALALAGITKSFPGVRALKGVSFSLQPGEIRALVGENGAGKS TLMKILSGAYSADEGRIELFGEAVLDPTPAGMIARGVAVIYQELAQAPHLTVAENVLM GRLPCKGALIDWGEAKRRTIEVIDRLGFDVDPTARIGTLSVAKRQMVEIAKALARNAK IIVLDEPSAVLAQAEIDQLFRVVRQLARESGVAFVYISHRLREVFELSDTVTVLRDGT VIHNGPSNGLTTDDLIRSMVGREVGDVFPTRTPRIGEEALSARGISTPALLKNVSIHV RKGEIVGLFGLAGAGRTELLRAIYGADPRGAGEVRINGAMASIGSPRAGISKGLGLVP EDRKTEGLFLIQSVGFNIMSASLAQIVRFGLLSLRRERKIVNGLIERLRIRTPNAAAA TQNLSGGNQQKCVLARLVSAGCEILLADEPTRGVDVGAKREIYDLLVELAEARGLAIV IASSELPEILGLCDRLYVLREGEVTAELDARTATEEDVMHFAALH YP_002974554.1 MKYLPRGTGLAGALIVLIIAASLISPHFLNPINILNVLRQVALY GILGIGMTFVILTKGIDLSVGSIVALVGVTGAVLMEQGVPIPLMVLICLSIGALVGCV NGLGISYFRIPAFIMTLGCMVMVRGFALMIADGGTVNPGKLADSFFVLGGGYMLGVPT PIYVFAAVCIIAAVVLSFTQFGRAIYAVGSNEEAARLSGINVPLVIFSVYIICGVLAA LSGLIFLSRLSVGDPNSGLGLELEAITIAVIGGTSLFGGEGTVLGTIGGAMVLAIIAN ILNLAGVSPFSQQVVKGAIIVLAVLLEAGRKPRK YP_002974555.1 MLNITRRMLIISAGLATVLTAAPAFSADKIVIGFSQASSNSAHR NTMTKRNQAYAAEHFKDVDLIVTNAEGKSAKQISDVESLMVQGMKVLMISAQDSAAIA PTIKQVLAAGIPVITLERSLDIPVTLHVGPHNKPIGTLAGKYIAEALKGKGNVVEIKG DPAVAPAVERHEGFAEAIAGTEIKVIAETHADWDQEKALKFMEDTLQRFPAGQIQAVY AHNDNMAFGALRAIQAAGRDKEGILIIGIDGENAAIRAVAKGDLTATFTYSTVAPEGV IAAHALATNDTAALEKLGTLTKKDDGSMEIEIASKMITKENAAEFFCKGFGDDPECK YP_002974556.1 MSFPEELVWDKINDGWTRMSRKQRCLWEAIKRMPEEWELRGYDR CWVVALIGPTVIYYNHFENGFELSPWHQYGYVEQYQALQYELEQAVQRQLAIIDTGHD TGPWGSPPMPGEYRKS YP_002974557.1 MSEMQLTKRRLLSGIAIAAAAVALVACNDSKDAADASSSGKTMA DGTNVDTMQTAATSATEMPESDGDVDMAEVLKPGVLPEMALGKADAPVKIVEYMSMTC PHCAHFHNTTFDTIKQKYVDSGKVQFIIREFPFDPRAAAAFMLARCSASNPEQLSTPE QYFPMVSMLFKQQQIWAAADDGRAALLQMSKLAGFTEDSFTKCLTNQKLLDEVNATRE RGSKDFGVNATPTFLINGKRYSGDMPVDTLSKLIDSLL YP_002974558.1 MKFNKLRLVGFKSFVEPTEFIIERGLTGVVGPNGCGKSNLVEAL RWVMGENSYKNMRASGMDDVIFSGSGNRPARNTAEVALYLDNADRTAPAAFNDSDEIQ VTRRIEREQGSLYRINGKESRAKDVQLLFADASTGARSPSMVGQGRIGELIQAKPQAR RQLLEEAAGISGLHSRRHEAELRLRAAEGNLERLDDVTSQLESQIESLKRQARQANRF KTLSADIRAREAMLLHIRWVQAKEAEAEADSALNQATSVVAEKAQMQMEAVKNQAIAS LKLPELREGEARAAAALQRLQIARSQLEEDAGRILRRRDELTRRLAQLAEDISREQRL VADNAVILARLDAEEAEISEILADSGRYAEETREAFEEAAAKLSDSERIFTQLTAERA EAAAGRNQLERAIRDLTDRRMRLERQMDEANQELSAVGEKISGLPDPDEKRAIVEAGE IAVADAEAAVQVVDQALAGARQIEALSRAPVDQARSSLNALETEARTISRMLAAGAAA GKFPPVADELKVDRGFETALGAALGDDLESPLDAEAPAHWSDNGDGASDPALPSGVAP LVAHVRAPAALTRRLRQIGLVAEGEAQSLMAALKPGQRLVTKEGAVYRWDGHVTGADA PSAAALRLAQKNRLAELESEAALARDVLAEAEERQAAAAEAIRVEERRLAEARDMSRL SARHLAEARDALVAAERASGDLIRRRDVVSEAASQLRTQLEEIAIQEENARIELEDAP DLTAIDERLRFQQAEVATDRGALAESRARHESLARENEARQRRIMAIGQERDTWRQRA ASAEDHVATLREREEEARDEAAELELAPDEFDDKRRALLSELQKAEEARRQASDLLAE AERIQREADQKATTALSELAECRERRGRAEERLVSAREKRQESEGRIREVLNVAPHEA LQLTGRPTMQALPDPREVERELERLRIERERLGAVNLRADEEQKELSEKLEALIKERD DVIDAIRKLRGAIQSLNREGRERLIAAFDIVNAQFQRLFTHLFGGGTAELQLIESDDP LEAGLEILARPPGKKPQTMTLLSGGEQALTAMALIFAVFLTNPAPICVLDEVDAPLDD HNVERYCNLMDEMAASTETRFVIITHNPITMARMNRLFGVTMAEQGVSQLVSVDLQMA ERLREIA YP_002974559.1 MTKWVYRFGDGQAEGRARDHEILGGKGANLAEMCALGLPVPPGL TIVSDACNTYYKNGRHIEDAVKAEVRAGISAIEAVTGRHFGSVSQPLLLSVRSGARVS MPGMMDTVLNLGLNDETVQALSHDAGDARFAWDSYRRFIQMYADVVMGLGNDAFEEIL EDEKAKLGHEFDTELSASEWQHIVSLYKKLIEEELEQEFPQDPEVQLWGAVGAVFSSW MSARAVTYRQLHNIPEGWGTAINIQAMVFGNLGNASATGVAFTRNPSTGESALYGEFL VNAQGEDVVAGIRTPQSITEEGRISSGSEKPSMEKLMPEAFRELCRICTELEIHYRDM QDIEFTIERGKLWMLQTRSGKRSTRAAMKIAVDMVDEGVITEDEAVLRIEPSSLDQLL HPTIDPRVTRQVIGTGLPASPGAATGAIVFTAEEAVEAEAEGRKVILLRVETSPEDIH GMHAAEGILTTRGGMTSHAAVVARGMGIPCVVGAGTMRIDVRNERLLGVGVTLKKGDI ITIDGSAGQVLKGEVPMIQPALSGDFGRIMGWADRARRMTVRTNADTPADALAARSFG AEGIGLCRTEHMFFEGERIHVMREMILAVDEKGRRLALDKLLPMQRLDFTGLFTVMHG LPVTIRLLDPPLHEFLPKTDDEVAEVAFAMGMEASVLRQRVDALHEFNPMLGHRGCRL AISYPEIVEMQARAIFEAAVAAAKETGAAVVPEIMVPLVGLRTELDYVKAHIDAVAGD VMNEAGMKIDYLVGTMIELPRAALRAHVIAEAAEFFSFGTNDLTQTTFGISRDDASAF IPTYQRKGIIEHDPFISLDFDGVGELISIAAERGRRTRNDMKLGICGEHGGDPASIRF CETIGLDYVSCSPFRVPIARLAAAQAVIAGNLEIRRGPKDLRATV YP_002974560.1 MRIRLLITVMATIVAGLSACQTMTPEERRAADEQRCQSYGFRRG TDGFATCLQRIDLDRRAESRAQSAEMMNNMAWELNGPYIYRDRWRHRH YP_002974561.1 MPNKDPIPTPNADTPRGPTPTPGIPDPIQQKPPLTPAQDPADTK NPQDPPLNPALLPIGDPAGAA YP_002974562.1 MALRDRFSKKLSCPQCGNEGFAEASEVDDPKRKHPDFKVDQLPR GFGVQRPSNHQENFVIKCECGRKFPFRSVAEAAAERR YP_002974563.1 MISPEIAARPQASVLGGIDHQSEPMLPMELLELELSLEGYAGGD AGFCEAVRQAAARSGGELLFDLPAGGLIDDCRRIAVLRIPGDGQEMRVVLALLDGNGT EIRMQAPDEETAPLVRFADAFIELLERI YP_002974564.1 MVERAGADEQPVSLMAIRFDRPVSNAARFSRLLAAFSLVLALAV MIAHRFGGLATPYLVLLLIAAAGGALLSAMLAAVGLRSLWMTGAEGGLAALAALIYAA FPLGLGAYATERYMTRPDIYDVSTDPVSVPDWLSPPHSDQIWLKRNVQVTPEDREKQL AAYPELTGRRYEGALDRVLEAVRKVAKMSGMTITKSSGDTEPDRDAEDKPVKPPQPGD DAVADAPNTIPVPTPRPYDDDVAKLIRGVNGVTLQGTTRTLILGLRFDIIIRLREEAE TTFVDIRVASRYGQHDLGFSAEIAGDYLKALDAELLGIAGG YP_002974565.1 MSEDRFSLLIIGGYGTFGGRLARLLGDEPRLRLLVGGRSLEKAD DFVADLRTPKDGAEGLGSHNLGAMVQAVSFDRDGDLIEQLTRLRPHLVVDASGPFQSF GKDAYKVVEACIDLGIDYADIADSTGFVAGISGLDAAAKAQGTFALSGLSSLPALSFA ALEAMAPHFSRVDTVAAGIAPSAHVKIGLNVVRAIASYAGKKVPVLRHGQPASGRGLI DAMRVTVAPPGVKPLRSRKFLLVDAPDLKLLPARFAGLQSTFTGVGTEPQPLQRLLSL AARLVRLRLLPSLLPFSRLLQRASHAFAVGEHRGGMFVRVGGVDHAGRRLSCGWHLIA EGDDGPFIPVIGVDALVRRLLSGVRPENGARPAAGELQLADFEAAFQRFAITSGITME HEEARQPLYQRILGSAWERLPPALAALHAGGARVASGRARIERGGGLLARIVARLIGF PPAGEDVPVTVRFVADGDKEIWTRDFGGTVFRSWQVEAKGRDRDLLAEVFGPFRVLMA LVPDGEKLRLVVRGWRFCGIPLPMFLAPGGETYEEERDGRFHFHVEIGGRLTGLVVRY TGWLVVEE YP_002974566.1 MMMRAQADYSYRNDADVPAFADDRPLIVFDGECVFCSGWVKFAL KHDKQQRYRFLAAQTPLGAALYRHYGLHARDYETNILIENGRAFFKSDGSIRMVAGLG FPYLLVKIFRLLPRRAADALYEYIARNRLKIAGRQSCMVPTPQQRGRFIT YP_002974567.1 MDSPAFDLAFEPAYGQAVPVVSGVERVTVNNPGPFTFFGTNSYI VGSSSVAVIDPGPEDEAHFQALMAALAGRAVTHIFVSHTHRDHSPLARRLQAATGAVT VGQGPHRPARPLRDGEINPFSESSDLSFVPDITLSDGERLSGDGWALSAVLTPGHTAN HAAFALEGRDILFSGDHVMVWSTSIIAPPDGSMADYMESLDRLIARDDRLLLPGHGGP VTEPSTFLNALKAHRLRREQAVLARVQAGDQRIAEMVKVIYRDTDPKLHGAAALSVLA HIEDLLERGEIAADGPPSLAALYRPAA YP_002974568.1 MRVEILGQERRRRWREEDKLAIVMSVGVSGATITEIAHRHDVTR QQIYAWRSELKKKGLLPVSSNALFIPVDLNAVQNDAPKLRESCSGMIELRLICGRTLR FESSMAPDVLTQIIRAVEAA YP_002974569.1 MIGPGTGVRVYLACGITDMRKGVEGLAALAQDVLRQKPTGGAVF AFRGKRGDRLKLLYFDGQGFCLYYKILQKGRFPWPSASDGTARLTSAQLAMLWEGIDW RRPDWGAPPARVG YP_002974570.1 MSSATTNLPDDPAFLKAMIAALQAENAKMSATLQAHDQLIQTLR LRIAKLKKQVFGKSSEKIEREIEQLELALEDLLIAAAEGSTAPIDEPDEAASVVPLAD TSEKIMRRRPRVSDKAVRERRELDPGSCCPECGGELRLVGEDVSEILDMIAAQMKVIE VARLKKSCRCCEKMVQVAAPSRPIPGSMAGAGLLAYILVSKFDDHLPLYRLNEIFARM GADIPDSTMVDWCGRAMQVLQPLIERIETAVMASDLLHADDTPIRVLDRSLRDKGLGK GVKKGRIWTYVRDQRPWAGSSPPGAVYYFAPDWKEEHVHRHLKQSSGILQADGYKGYG KLYSPGEKGESRFKEAACWAHWRRDFHDIWTSNKSEIAREALHRIGALYDIERGINGQ PPEIRLAARQKQSKPKADAFRHWAEAQLTRIPGKSDLATAFRYGLSRWSSLCLFLEDG RVAIDNNAAERALRPIGVGRRNWLFAGADTGAETLARAMTIIETAKMNGLDPQAYLAD VLDRIHDHKINRLDELLPWNWSAITTIDAKAA YP_002974571.1 MATVTYVRTIKFVAEILEEDPELLQAIVSNDDNLSYGSIISVYT GDDESVTALTDDGMDELEQMLKDARRSPQEWNDFLDSFVDDELLVARIKAKSPR YP_002974572.1 MEAVQKLTTLMRSYRNRQCVLFAGAGFSLTAKSVDLEGNEIDVP SGRKLTEYFKSDLGEDSDDLSSLADLYEDEHGEHGLYKLLKAFYVVNTVSPSQESVCQ FKWKEIYTTNYDNVIETCLGKSGQPHAVYTP YP_002974573.1 MSRIFITGSTDGLGLAAARTLMKEGHDVVLHARSRERAAAISEI SAAALGLVIGDLASATATRSIAEQVNAIGRMDAVIHNAGIYLERSRGETPDGHAKTLA VNVLAPYLLTAWIARPDRLVYLSSGMHRSGSSALDDIDWKKRPWNASQAYSESKLYIA TLAAAIARHWPDIFSNAVDPGWVPTKMGGAGAPDDLEMGHLTQTWLAASDAEAAKVSG GYWYHRQRREAAAEVGDLGFQEVLLEKLAELTGVRLFAEGR YP_002974574.1 MAGRREEKREDLKARLIEAARERIAKDGLANLRARDITQDAGCA LGGLYTVFSDLAELVIHVNSATLKALEARLTLPEAKDRPPTDRLRNLAQGYLSFAVEH RNLWKALFEHFPPESSPTPQWHLNEHLFLMDVIAEPLAELQPDMPPEDRAIRARTLFG AVHGVVSISLEGRFVGLPLERLAREVDELVQTIAAGAERRRG YP_002974575.1 MFKLISILLRGRAHDAEQAFADCHAVPLLSQQIRDAAQSIQSAR RSVAVAIAQNEQEKGQHATIVARIADLEVRASAALAKGNEGLASEAAEAIAYLEAERD ASEKAQSQFTSAIDKLKAIVRASEARLQELQRGERLARATQEAQKLDVVVAGPGFATL DDAEETLARLRLRQSQNELTAAALKDMEGAIRPAGIIEKLANAGFGAPLRSSADDVLA RLKSRITPAA YP_002974576.1 MNDSFQKHSSSWVSFSYISFGSAAFMLALGLYMMPLDLWGKGYL AMGILMLVQTTVNITKTLRDNAESEKLIRKVEDARTEKLLVKFNRNDED YP_002974577.1 MQHNLMTSRKFAPLFWTQFLTAFNDNFLKNTLVFLILFKMSASE GAALVTLAGVILIVPFLLLSALGGELADKHDKAKIAELLKRCEIAVAALAVIGLAFSS IFVLMAALFGFGIVSALFGPIKYGILPDHLERRDLPKANAWIEGGTFIAILAGTIIAA FAFSGGDNVLIFGTMMMGLSVLCWLASRMIPPTGSKAPDLEIDRNVIRSSYTLVMEIR QDKRLWRSALMNCWFWLVGAFVLSILPTMVTELLGGSELVVPAYLTVFAIAVAVGSAI AAWMSSGRIVLLPAPVGTALLGLFSLDLAWNLWGLQSTSHATTIATFFAGENTIRVAI DLAGMAIAGAFIAVPTFAALQTWAHEDRRARVIGAANVLSALFITVGLGLVAAVQALG ASIPQILIGLGIINFAVAWLMLKTLPTNPFRDFISIMFRAFMRLEVEGLENIKKAGRA PIIALNHVSLLDGALALAITEEEPTFAVDYKIAQAWWVRPFLKMCKFLPLDPTKPMAT RSLIKVVQDGNPIGIFPEGRLTVTGTLMKVYDGAAMVADKTGSMVVPVKIDGLEKSYL SYLDNGKIRRRLFPKVKVTILEPVKLEVPPELKGRKRRTAAGAALYQVMSNLLFQTAD TSSTVLDRVIQAGHEFGMKKLAVEDPVTGRLTYGKLLTGAAVLGAKFRTLFPEQNLGV MLPNANGAAATLLGVMSAGKVPAMLNFTAGAANILSACKAAEVRHVLTSRAFVTQAKL GPVIAEMEKQVTIVWLDDLRAEISLKDKILGYLRKARPLVKRQPDDPAVILFTSGSEG TPKGVVLTHRNILSNAAQAAARIDFHSGDKVFNILPVFHSFGLTAGTVLPLISGVPVY FYPSPLHYRIVPELIYASNATIIFGTDTFLNGYSRTAHPYDFRSIRYIFSGAEPVKAS TRQTYMEKFGLRILEGYGVTETAPVISINTPMYNKSGTVGKILPGMEWKLEPVPGIDE GGRLHVRGANVMAGYLRAEKPGVLEPLVDGWHDTGDIVTIDEDGFVKIRGRAKRFAKI GGEMISLAAVETLAAELWPGALSVVSSLPDAKKGERLVLLTDAPTATRAEFLAFAKSK GAMDMMVPAEVNIGKVPVLGSGKVDFVAARKLAEAAVQGEQAA YP_002974578.1 MPGSALKGRRDRRNRCDGGFAANALEIRDDTMNFRTMTAAGLAI ALAGCTTIPSAGNPIEARWVGKSAGIFFAAYGPPISDSEQGSTTVYTWRGGYKTVRIP AKYAEGADGKRGKQIASARTAYLRCQAEITTSSDYTIRDIRTVADIPGVDGPSYCAEF LAPEQK YP_002974579.1 MGFRPVERGVIIFLQESYNRRKSVPIREIDLMYQTMLAELGQRS LDGSFVAEFPLEGRFVSVPVKGKEYWYFDHPGQDGVKRSYVGPKNDEELTKRVTDFGA IKDDLRNRRRMVATLTREGGMNAPPRFTGDIIEALANAGLFRLRAVLVGTVAFQTYSG ILGVRLPASLMQTSDADFAQFHSISTAVNDSIPPIGEVLEKLDPTFREVPHLNHPTRS TQFVNAKNYKVEFLTPNTGSDDNQQKPADMPALGGISAEPLRFLDYLIYNPIRTVILH KSGITVNVPAAERYAVHKLIVASRRQNDDNGVLKREKDVQQASHLFEAMGATRRHSDL ALAYCEAWERGQSWRDAIARGLSFMRPDRRLQLMSVLAEGMAEIGEDPARYGVETGPD GAGGTSTPAPKSRR YP_002974580.1 MAYEPDMAIVFDSVTKAVIVSFRGVTVYLPGPYADRKAGVFAAE AHCRRLGWRD YP_002974581.1 MPHFDQSTVENTLLKLLSVDGFARLSGEMERVDLPLRHVLVASD VPSTHVCFLERGLGSMVVGSTDEEAVEIGHIGPEGASGMHVVLAVETTPTRTFMQVAG SGIMVPMETFQRALADDPEMKDFFLRYVHTTVLQLAHSALASARFNMHERLARWILMC HDRLEGNNLAITHEFLALMLGVRRSGVTNELHVLEGVHAIRSTRGNVRIVDREKLIEI AGGCYGVPEREYEKVLGLPLRAR YP_002974582.1 MTDFNLSLQIEDVNMLSDAVRTWYRHNHATPTEQSTQLLCSAAI DLYNQGHRTREELGTLLIMKFDSLHSLDVNAPTSTSHH YP_002974583.1 MLKNPIVGLAVGALMVFVPHAAATADPLPKGFERHKFNGSVRPE VKDGVTRFEIFDRQCSHVDYGDGRGENDCRNGNVRSTIRYTRDMKVGESVEYKFDFRL DPTFAYKGWQNNSANGFYPDGWDSHLRLASWEGPAIHNFIYMLKADTRNGVNFLARQC QKPQDFGKWATFSLKIRWANDESGWVTASCDNKVIYAAEGEATNQAPHCWESNECEPQ SNRDPKSFNFILGPVMMGWGNEWKTYDHHTSQFDVVQPDGIGIDVRNVSVTRGVSDYS AKQAVLLKTLQQQLAHLGCKPGNVEGKPDKATRQAALSCRKFESGSLPQALNLTTLQA FADAYAKPETASLPSGNAAVGTEDVSSKPRTYIKLGEMLALTTGKDTKVNSDFFGKIK GAKKGQNELDFIILGQFDYTDNSFSQLSFVLQDKLSKPEVNAAAKCGYGTIRFPDGTD HLEIRMEGSGNTFSSPPRTDCLIQALGKRSASQVPYLTKGFADLAKSMVSDGSWKKLR HEGLKIFVKRVADGEITVGG YP_002974584.1 MIRQTDHAMLRSVVGDRAMDDSEADRAAIMAVIRAETEAWLQRD FEALASHWVQSPQTRRMEYFASLGVRVDEGWDPIAARIKKIVERFPEKHAFSERVRWE KVNIVVDGNMAWMTFDQIGSDTGEDRKRQLRILHRIDGAWKIGCMVMMESSVEEANCP LIEVDADARILWTNRLARERMHDHPGLVAAAGRLRARQRERDPALREAVRLAFRELQS QRPLNIAPKQAWAVALGEDAAGIPLHCWVLLEDGKTLVSFDDAETLVRRIAGAREVFG LSPAQTRLARLIIDGHDLAAAADLLGVSVNTLRTQLQRIFDKTGVRSQAALVRALLSA GAPSQ YP_002974585.1 MDLQSLVAAHMPNRRRPTQMGAAAEDRYYRNQITLPRLKLRLIG LTAATAGLTLFLAGIIHT YP_002974586.1 MSRRRRFKPVKITINGKVRTVYNVVQAGNTLLNDWPEQTPAAKV AERLVLDVFNDAAGPEQVRRAFITAAKASDIKFSS YP_002974587.1 MSDCLIKAFTGCACPPGECAKKPTVPAPVTFVSWRTQAIACLTF GFLAAIVSAAWMETQFKTRDLRYQEHVSWK YP_002974588.1 MSAANPAPEPSTESPSLPAARRAGANEKTRARFTAGAPDLLKAL VEAERFFDGSDDHGCPLHQKILAAIDTVKGGAA YP_002974589.1 MQHIRNIETEESRRDTRWNAARTMGDCRAYMANEAQRMGALGFT FLRRPEHSIRGPSWLRGAAASVEEHYRYAREIMGITDIDQLYA YP_002974590.1 MIRPSSRSGRIWFYIVTIAVLLLAYSAAREMYGL YP_002974591.1 MLNDQEQFEREERAKRLVMARKNAGFAGPKAIVDRFGWNANVFK AHESGRNGFGIADAKKYARAFKVNLNWLQFGTGNALDPDELPASVADVPKISWVSAGQ LSEQAPITDFSEFPTVAALDLPDGEWIALEVEGNSMNKISPPGSIIFVNLRDKRLAPN ALYVVADETGAATYKRYRPNDDPPFQPASYEDVPPPEFQGAVTIVGRVRRSIIEM YP_002974592.1 MRFTISSEERLAMDVIPTGVVIHRPQGPRGGRMSVIPIRNEDIP FLAKALQQVLNANREVESI YP_002974593.1 MTISAATPAGEFQRRGARYTGCPICAKALSIAEVIERHCENCDR PTRPEEISETMIPPGALPITNPDSPAPP YP_002974594.1 MSIQAEATPAQQSTHMNALELFRSGRDYIEIAALLGRSVPSVET EIHRLRSAEKGDTAHEDHAGAEIRRFPRRTARPGAPVNFAGGRRRLRI YP_002974595.1 MGKDTVSQEIMEILRPVLGEELAAAIIEHRKRTLKKPLTAYAAR MQAREYLMTGDPVGAAEMQIFRGWQAIKCDWYLKEKAREAGSINSSTRRTTVDAARDF LSGEDHSWDAFGFPGIRRH YP_002974596.1 MQIRAYLVAIEGIPLEAVWQAAKLFISGKVRDHNRAFAPSSASF AEQCRNQQAAIEAESRPRMEAEPESPQPKVPAYKMQLLRDAANGSRSAKRELARMFPD NPIIARAARYEEALR YP_002974597.1 MTITFWTEDKIVKAEKLWKEGLSAREIANLFGSKKNTVINMAHR NRDRFPSRQDTWHPQPKAGPPVQPIRHPDRVTRVTMSGAHVTMPRVPCIDGYAEP YP_002974598.1 MSTVTPRETEVIRWMAAGKTAAEIGTILGISHITVNTHIANAKA RLGVFKDTALVAAALRNGIIR YP_002974599.1 MGGKATKVKTGRANKGAGRPRKENVERFPCGKIKPFETEKENIS VAISARRRIHGFGRTVDDETVKSPFAGYTLGRMFLDGLITAEQRQAGDDYAEAIARYH KTTGIPAPSPRAQSLFTVKGHEGEVTETFADRARKASNRMMALQGILLRCPDGPQVRS MVYNVTVMDYEHLRQMPPQQLLWLRRGLTALRGARDG YP_002974600.1 MIYELRIYDCLPGRLPALLNRFAEHTLAIWDKHGIKQAGFFTTI VGESSQRLTYLIAWESLADREVKWNAFTTDPAWLEARDESERDGQILANISSQLLSPT AFSAVK YP_002974601.1 MDEPENPLIGGKDSNVVRIGETVRRGGRAWSPAVLDLLRHVERQ GYDGCPRALGLDDQGREVLTYIEGDVGNGEGFLPDKGGRFDLRLPDYVWRDNVLERLG QLLRSYHDAAASFSWRDREWRLDARHPVETVCHNDLTPWNTVFQAGLPVAFIDWDAAA PGPRAWDLGFVAWRWVPFWRDEKCEAHGLQTGVREKARRFQLLLDAYGIEPEIGIMQA GIERVRQMQQHMRDLAAAGSAWEMELASRGVLDEGMLEVAWMEDHATDLIQRPRG YP_002974602.1 MFVDGSRKFLLFLAVASCQLTFAPRLGAADDTVTIDSGMLKGER SGTVVSFKGIPYAAPPVGDLRWRNPRPTERWNGTRDARNFGPSCMQTDDLPKSEDCLT LNVWTPAKRSRTPLPVMVWIYGGALAQGNTPQYPGGQLAARRVVFVSMNYRMGRLGYF AHPALIKESPDEPVGNYGYMDQLAALEWVQQNIEAFGGDPKKVTIFGESAGGGSVMAH MISPLSRGLFRGAILQSPALPTARAESTPLSPLKEAEKAALDYAASLGINGSDAEALT ALRALPAEKLTEGASVEEVLAGMSTGKPVIGISGAMIDGRFLLETPEAAFAAGRQAPV PVIVGANNRDLGIGQAATKDDLFALFGKHAAEARTLYDPTGQQTLDELKQQVLADKTL VEPSRHLADEMIRAGQPTWWYRFSYVAEALRNDPAWKGTPHGFEIPYTLNIPDALVKD KVTPADWAMATLASAYWVDFATSGDPNGGSRPKWPHHDPFVHRVIDFTNHGVTFGADP LKPRLDLWQRYWEEEE YP_002974603.1 MSEPTVADATNRIYESLQADNADIDVHIAALKAALTRAGVKEAV FDPARLVQNNRSGRKRMEAYFRQRGVMVKFSAS YP_002974604.1 MNMRTAPAALMPALHPVSLRVNTYLINLDRAPLRRFRMERLLAS FGLAFERVAAVDGAGLSLPHPGFDEASYLRRHGRRPNPFEIGCYLSHVECAKRFLGSH AEFALILEDDLDFDDDLAELLDAALEHQARWDILRLSTVNTGRKHKVEPLTASRSLAI ALTREKGSGAYLINRKAAGWIADVLVPMRLPYDLAFDLEFDDGLSACFVDPLPVSQRA DPCSQIQAGLSTYRLGRRRPWSVLPYRAAAELRRFAARFSRLAAWRMRN YP_002974605.1 MISSENRAHFSASCSSLRRSTPFRLAVTFGVLFVVTFILSGAII YHMLRLGLERDLEQSLHEMNSLIVSTYNPGDTEDLINTLNNYASFQSTSDGLYSLTDA GGRKLAGNFAAPRIPNGVYTVTSRDVGLKAHERYRMQVSTIGPYSLVVAENFNDVDEM LRIVLVSFEWAAAIVVATAIGGGVFLAVRAQARLDRVALTMNDVSHGALDARIAITGN GDDLDTVAIQINAALERLQRLVESMRQVSADIAHDLKTPLNRLRLTLDAAVAGNDQQA DVSALLDEARHESDRINATFEALLRISQIEAGARKERFQATDIDAVLAVISEVYVDVA EDALMALDITERCPALIRGDRDLLTQMIANLVENAINHCPDGTDITVSLRCQGGRAIV SVADTGPGIPAGERDKVFRRLYRLDKSRATPGSGLGLSLVKAIADLHSAKITMADNHP GLIVSIGFPLISAAGANFASAMPRAG YP_002974606.1 MIGLMRILLIEDDRKTSDYIAKGFSEAGHVCDVVGDGRDGLFQA QREAYDVIVVDRMLPGLDGLAIVRSLRAAKVGTSALFLTSIGGVDDRVEGLEAGGDDY LVKPFAFSELMARVNALGRRPPVQEQRTVLKVADLELDLIRREARRAGQVIELQPREF TLLEVLMRGEGRVITKTMLLERVWDFHFDPKTSVVETHISRLRAKVDKPFQVQLLHTV RNTGYSLHAPR YP_002974607.1 MKMRKFTIADASLERSPGQEADISVGNLVDERHGGPITIGYGRY APGQSLTETMAVDDVMIVLEGRLSVSTDGGTVTAGPGEIVYMPKGETVTIHSHEEGAL TAYVTHPHWRPAHA YP_002974608.1 MPCSSALAPFVIAALVALGPLPAGAQNNAAPPPAAISHKAKLCQ MCHGRKGLPTVKNTPIIAGQHESSLLIALQEYRNGARTDDLMGRIAKNLSDDDMKALA AYFSALPWPAYREPADAASMTRFQALDVEKKCTSCHREGFVGYANTPRVANQKLDYLI KTLSDFHDNKRPNMPSMTALVRNLSADDIAAMAHYLAGL YP_002974609.1 MAHSLNTAPSPAGGPNQPKLDTDDIWQARVDLAACFRMAARLGM EEGICNHFSAVVPGYDDLFLVNPYGYAFAELTASMLLICDFHGNVVSGSGQPEATAFY IHARIHKNIPRAKAAFHTHMPYATALSMTEGDPLIFAGQTALKFYGRTAVDQNYNGLA LDAREGDRIAAAIGDADIVFMKHHGVMVCAPNIAEAWDDLYYLERACEVQTLALSTGR EVLAVAPEIAEAAYRQMREGDPESARLHLESVKRALDRSEPEYKR YP_002974610.1 MNYGKAVVLVVEDSTIIRMSAVDLVFSAGYEALEACDADEAIRI LVSRNDIDLVFTDVQMPGTMDGIKLSHYIRNRWPPVRLIVASGAAILEESDLPMGSRA FSKPYDNHAITDAMAHLLSIGNTPELVS YP_002974611.1 MQKTPPAHFEAASTLDVVVSSNEPLLFLSDDQKVIAASASFCRV FDIDPKTVCGRRLSEIGNGEWAMPQLASLLTATALGSANIEAYEIDFRRPNQKTRHLV VNARTLDDGDIDHIRLLLAITDVTDVRAEARLKDDLVRDNAILLQEVQHRVANSLQII ASVLMQSARRVQSEEARGHLHNAHHRVMSIAALQRQLSMSNSGKVELRTYFTQLCQSL GASMIADPDRLSIQVMVDDSAVEADVSVRLGLVVTELAINALKHAFPVERPGAIVIAY RSSGKDWTLSVTDNGIGMPAGRDAPKAGPGTGIVEALAKSLHGDIQLSDAGPGTAVTI RHRESAGLRTDLSTAASEPNGLRNAIPAEPMRLAPPI YP_002974612.1 MVWVWEDGPIKLANVVTLSRGLLIAPILLLLSYGYAGPALVLYL IASMTDLVDGWLARRTKRASEYGARLDAIVDNIFSVAILFFLLSSYPGLASRHGVALT VLFGGPVIYLLVSWLLTRRLLMFHFWSAKAGALLLFALWPLLYITGWEAFIPLAATVV GLSRLEQVIFILRGGVDQDAPHMFASVERSHE YP_002974613.1 MSEAASWIGQDLPPIVRDGIEYFLLSYQSELYLIPNRCPHRGGP FKFGFINERNRIVCPMHHNAYSIEKLIARDTTLKLTAEPV YP_002974614.1 MRSYQGRYVLLPSAAPAGSILSRLQKHYDPREMLRLDEMRANLE DELVGPLVAEARSLSDRQDCAGYVANLIPNLKANRPSGFLEFLDSSSNRDHYYRNFLL QSSVDLLAEASASALGVIGEYGEPQSALFRILIDEFGYGVHNKKHSVLYRSTLRGFGL SEEYNAYWLLFDTPTLELHNVIHFMFQSPRNLFLQIGFLLFAEASYQRSTEEHFRYLQ RFHPGVDAHYFGEHAHIDIHHSAMVADEVVAPLVSKYGPEVGSEIVAGAELTRLAFER SGDHLLAVTLAFEAAVKAGHAEFGMPTNLASPGRCAVPATNWNTGSALQIGGIGFLTD PSAFKVFPPLSVGREVMP YP_002974615.1 MTFIGKQDLLDSANASRREQAEFHLRYMIFASTLKLSAAMKIPA FGDLSNIRR YP_002974616.1 MVEITTLSEVTIDGKLSLGATASSKGLFDFYGDDLRAWFHGQRA AHDAIMVGAGTVLSDDPELTVRHAPGPNPLRIVPSSMGRLPLDAKLLNDGHPTVVVVS RSAKEADIEALKSKPNVEVVRCGERRVDLPGLMNILDARGIKSMIVEGGSRLLHSLHE ARLVHRIVIKHIPVITGSADAPSYMRPDEYSRPFELSKWRLVECFTKSGIAVTIYEPG TART YP_002974617.1 MRTVTGLFDDYSDARSAVSKLEAAGIPSNDISIVSNKAGRIDRD SDVGEDAATGAGIGAAVGGAGGLLTGLGLMAIPGVGPVVAAGWLAATAAGAVAGAVAG GAAGGLIGALTDSGVPEDDAHLYAEGVRRGGSLVTAKVDDARASEAQAILQGSNWVDP IERRRAYNDQGWTRFDDTLDPYAPEQIAQERDRYRRTI YP_002974618.1 MKKIVFAATILCASAVAALAQTTPAPSADGNTPAVATPDTKNPT APVEGANSFTEAQAKDRITEAGYTDVKDLKLDDKGIWMAAGMKDGKAVSIALDYQGNI VAK YP_002974619.1 MTKREAIFPANRHALYEKHGYSAAIRSGDLLFVSGQVGSRPDGT PEPDFESQVRLAFENLKATLSAAGATLDDIVDVTSFHTDPENQFGTIMAVKQEMFSTP PYPNWTAIGVNWLAGFDFEIKVIARIP YP_002974620.1 MARKRSETMQENRVKLIAAARKTFAEKGYSAASMDELTADVGLT RGALYHNFGDKRGLLAAVVDQIDTEMAVRAQEIGAGAGNDWQGLLAEGAAYIEMALNP EVQRIVLLDGPAVLGDPSQWPSQNNCLQVTKSTVERLIAQGILKPLDPEAAARLLSGA ALNAALWIAASEDPQSVMPKAVEAFHALAAGLLVQPL YP_002974621.1 MVDGDLIVSWIMFCVVGAFCAYHWYWLIRSIIFYSRNGFDFRED FGPEAYWSDRGGDDECVLMKPKEKFLIFWPSFVVITSVMLTFIVLGLTGII YP_002974622.1 MRKTFYASQSIYSEPGPYREALMLGGDAPELIARWIGSFMQHPR GAVSKERGFTTKQVIDLELRSVTEILAVAAERNLLEGDPTQIKVGGLCRDFAILAASA FRAKGIPARLRVGFADYIVPDFWEDHWLCEWHDGQHWKRLDVEFAAAGGASFNTLDVP RERFLTANEAWFRIKDEPGIASRFGVSSLNLGGEWFVAGSLLREIAALRKLELKPWDY WGLSKDLSPASTELSQQASTTLDQLASRLRSADVDGDGEPETTADWPLPREVISFPQG EPVVVALQNY YP_002974623.1 MIESDIFRALADPTRRAIFEKLAAGGMNASALREGMEISQPAMS QHLSVLRSAKLVREERQGRFVNYEVDPDGLALIAQWLAKYRAYWPARIEALKVLLKDM DQ YP_002974624.1 MNDGKAKEQKDGIDLEFDLDEPPQKVWRAISIPEFRENWLPKDA LADPTPATITPGEEVRYSLRDDAPPFLESTVTFTIVPNATGGTRLRIIHELTDERLDR MAKTPANSNGPPLMLAA YP_002974625.1 MREAMQLVPMVIEQSSRGERSFDIYSRLLRERIIFLNGEVNDTV SALVCAQLLFLEAENPKKPINLYINSPGGVVTSGLAMYDTMRFIRAPVHTLCMGTARS MGSFLLMAGEAGGRAALPNASILIHQPSGGFQGQASDMMIHAEEILKTKQRMTRLYAE HCGRSYEDFERGMDRDRFMTAEEALEWGLIDRILTVREDTASL YP_002974626.1 MSKSNWQVGLRAKLEHLVDDCVVAGARQQDVFDTIIKEIGNLRA ALERDPDPAEDDAVVIEEPANDWPAADK YP_002974627.1 MESAGIGWIAAIIIGGIAGWLAEMLMKSNMGVLMNIVLGIVGAI VANFILSLFGVVLGGWLGYLIAGFIGACILIAIARMVRRTA YP_002974628.1 MKSNHLAMLVAALSLSVSPLATLPAAAQQDTKSGGQAQSGSQTG TDAGSQPGSSATGTGADCTPDASGACPQGKGQSSQQKSGQGSDMKKSAEQPSNDNSST KGSASGKASTEAKPGSQDAGGAATTEQKPAAKSGSSDTSGSATSGTKSSTQNAKPAEG SGNAATTQSGDASKQSTDQNSSTTSKSSSETNVNNNTTTNNQTSNTKTNVNISVEQQT EIRTVVKEVHVAPVKEVNFTVSVGTTIPKKVRLEPLPPRIVKIVPQYESYRFFILADG RIVIVDPDALTIVYIIEV YP_002974629.1 MNIKALGIAAGILLASTSAFAQSSTVTGAVGGAATGAIVGGPVG AAVGGIVGGVAGSVIDPPPQQVLTYVQQAPAPTTRVVVKEKVVVGQPLPEAVVVTPIP DDPKYAYAIVNDQRVIVEPSSRKVIQVIQ YP_002974630.1 MKFGPDNYNHEERCSQGHSSASTIATIEAALSADPEIDSSAIEI RMLGPVVLLEGFITKAVDRDKAISLAAMIVGWENVHDRMLSRFPTQ YP_002974631.1 MLFSSDTDRRDVRHFNGPLVSVVIPAFNASRYIERTLRSAGRQT YRNLEIIVVNDGSTDDTARVVEQVALADSRIRLLSTPNRGVAAARNTGIREAAGRFVA FLDADDLWHHTKIEKQVNALNRLTPQWAAVYVLHYIINSDDEILRSCRPDVARGYIFA RHLTFKYVGNGSALLVRRDVALEIGGFDSSYAAAGIGGCEDLDFELKLAARYQIEVIP ERLVGYRQYPGNMSSNHLPMGRGALEVIRRSLAANPQLPQYATRSAINATQKYAFWEF RQARNTYLSLATIWSILKSEPSFVVRLALEKCLRLRQHCLRLVTATATGRDPPRVNRS KFDQQIVPSSVERPDETAQSRRHLMQLTEVDAELHSTLEPVADRLGQMAKSQTRSS YP_002974632.1 MLTLYFHITHMDGSVLETEGQEYPDLYVATAEAMESLQELVATA LLSRRQKIPLGIAICSEEGTILREVSLLAADHDAATPEMAQSHQASVSTLLGICVGSG TNAVGESNGPGRPGASLTPRPFDAVVRPTPSVEN YP_002974633.1 MTGLQLNPDFAIADEQSLRALFEPTHALAIVKCQNSLGEHAQEF IRRSPFFCIGTQSSEGKADVSPRGDPPGFVKVLDERTLAIPDRPGNNRLDTLSNIIAN PNVGLLFLVPGFDDTLRVNGRASLTNDPHLLTSMSVADRLPKLAIIVNVSEVFMHCAK AFRRSHLWSPEHFQDRTEMPSLIKIILDETTGAPKDGDEMRKMDEDLEQAYRKTLY YP_002974634.1 MSSSDRDNDENLFASLAGGQAVIDWFGFCPRFHDASLERLEIVN GNVFLAIRAFRMTDKLDAQRRFICDRHALVTLRMSAVSGMRLHGSAVSIIFDLRIRRL TADEAASDWETCDAPVKGDIEVTFDTSIGLYGSIYSKDLAFELQPMPI YP_002974635.1 MENHEPFLREAIALSKSAMANGDEPFGSVLVKDGEVILRAENSV FTGHDMTNHAEMNLVKLAAQHYDTAFLAGCTLYTSTEPCAMCSGAIYWSGIGRMVFAC SETRLGEIAGIGLNVPSRAVLQTGARIVTVVGPDPKLEDEAAEVHQDFWPKHLGKV YP_002974636.1 MNLAEVDASAWAELEGAAADPQSAFRYLNLCSVDAGGRPQARMV VLRRVDVGRRLLEIHTDVRSPKWQEISANPLVTILGYGPQPKVQLRLQGSAELNGPAS ERATEAWSLLPRSTRSTYMGGPPGDDIGERPASEGSIADADGQAFFGVLIFRAETLDW FQLRHADNRRAVFAYDHLGALTSCRWVNP YP_002974637.1 MAVEKVAIITAGGSGMGAEAAKRLAADGFKIAILSSSGKGEALA TELGGIGVTGSNQSNDDLKRLVDATMEKWGRVDVLVNSAGHGPRAPITEITDEQWHAG MDIYFMNVVRPVRLVTPIMQAQKSGSIVNISTAWVSEPSAMFPTSAVFRAGLAAYTKI YADTYAGDGIRINNVLPGWIDSLPPTEERRDSVPMKRYGTSAEVAATISFLVSEGAGY ITGQSLKIDGGLTRSV YP_002974638.1 MSMSVGNLPSLNGLKAFDVAARHLNFRLAAEELGVTQGAVAQHV RGLEAELGVTLFERLPKSLALTGEGRSYIADVRRAFELLANATANLKPQPVKLVVSTT PTFASRWLIPRLPDFTSRHPDLDLHILATDRISSFQLDGVDLAVRYGSPPFGPGLAAE LLFEQEIIAICNPNLVAEGAEPHNAEELSRYTLLHDAHNSWPEYIDRFLGGGDPTLFR GISFSQTSHAIEAAIAGQGIATATRAFVSTDIEAGRLRQVFDGALRARSHFYLVKPRY RKSEAVEKLQDWLRLQVQS YP_002974639.1 MSGKSFGQRQASPVLGWWSVREAEERLVRSDGAFVRFLGFVSRD DERGRESSNDRRWMRFEASGANRSIELFVQQRDVFNPSGSRLLIWRLDYAFSLQQFGI GALDYEEWIEIDRLICDALVCWPKWEATGLRPALIAVNGGWHKGQWRKECYRTFSMAY LDALGRNAVLRPPVFSEEPTRQWCFLPPARQATVDEEITTRERFAAAKPEEVENLVFG LLPHRPHLQSGDRVFVPLSQIRGRTVWLYMDDDIITDRISVYAQEDGWTFRIDNEVLV GTIDRRSGTALKFERPWEGVKGSLKREFGNFPLVFLDRFRDLCDNAVWQWKEARFYLC DRSSIKDPAKEICIQPPKRVRADKMVSAAGTAVRVDLVTAEEAQKGRSYFRDEISYIF NDDPPFLNRSIWEQFEYQTEASSLTDRTTGQKIELREIVSGSGKVTDECQGVFCYRDG DGEYLLVVTRESRKTSSAAGQWVLDHEASRSKNRQSSRQSIPDVRWHEIANFSRDALL AWPETEIFGASPSTLLETGGCFQGRWEPQLRRRQYAHLRTVQSDPSAGRDRVEAIALQ PWHAIDPDVDTESSSSRVQAALRKLSARRAETAKINQTRRAGWVRADGRAIFYLQDWQ TLRAPPDGDPYQAPIFEYLDDDITLRLYPKRFDEYEMESLALMEVNPASVFYGEVEPL PAFSPIWHSQPRWRPTREIWCRLVTAMEAQIERTSEQVRIKGLYTAHGYDSAAARTVW LERS YP_002974640.1 MLDFNPLYSTPQVAAIEQFIDQHYPLAGPVSCRLLQRGLNDVYL AVASNGERYVFRLSHRRARGPADVRTETAFLAHLAQSDVPVAAAIPTREGSLFVEGQA PEGVRQAVLFRAIEGRKPDTTDAGDARANGKTLALMHDAAETFQPDGALYRLDLEHLL HRPLARICDSGVVEDADVRGDLEAIVARTAKAIEAVGDLTWTYCHGDCHGFNARINDA GEAVFFDFDDGGPGYLAYDLSVFLWAQTSFGRSSTEMWDAFVDGYRAIRPITPADFEA AHRFVIVRHIWLMGEYASRAQEWGSETVGWIAREANFLRRWETERCVERLF YP_002974641.1 MGQSYNLNEDCTAATIANIKLVEAPAHGSVEFVKENIYSNYKDG IRIKCNSKKSLGVSEYYTSNSGYSGGDMYKVRVSYGEGTIKDVTVNINVIKN YP_002974642.1 MKRLNRKLVIILLLVWLLPGMLAVFVRMAGFNFLPSIEWTSKTN NLSIAAGLLPAAFLSWVAWKAMDVTPVGDGKAAIAMFSAPIFAYLLGKNLFAVALPMT LAMVAGHQVELPFTVAHPDRWGDSKCPTPVELEGLPFLFDTIAVSETMSG YP_002974643.1 MRPLFHPALEDIKPEAILYALSDPERVAIYAKLAGAGGGGTCSA LADLGDRVIPKSSLSNHFKVLRESGLIMSERQGVEMRNQTRCTELDERFPGLIRAILT AYGQLPGQPKAD YP_002974644.1 MAKLTGKVAVVTGASKGIGAAIAKAFAAEGAKVVVNYASSKAGA DAVVEAISAAGGKAIAVQGDVSKAGEAQGLVDAAVKEFGKLDVLVNNSGVYEFAPIGE VTEEQYRRIFDVNVLGVLLTTQAAVKHLGEGGSIINISSVVTSLAPPDSAVYTGTKGA VEGINSVLAKELGPRKIRVNAILPGMVETEGTHTAGVIGSDFEQTIVAQTPLGRIGQP DDIAGVAVFLASDDARWLSGERLAASGGFR YP_002974645.1 MTDDLAPHLDIVLRHAATGSTDTLIPRLDMFVGQDTMGKVPCLY RSMICFILQGSKRVAIGDTLLSYDSAQYFISALDLPLTGQILDAGKGRPYVAVSLVLE PGLLAELAATMPSVRESDQKGMGVAVNPMIAPLRDTLLRLLSLLDNPADIPILSPMIE RELLYRLLQGPQGRLLRQIARPEGALARVRRAIAWIRDHPDAQLRIEALCDASGMSRA SLHRHFLAITGFSPLQYQKQLRLQEARQLLLAGGHSASDAAFAVGYESASQFSREYLR QFGAPPARHVQEMRQAIEHPTVA YP_002974646.1 MTHPIALITGASRGLGRNMALHLAKRGVHIIGTYRNGMTEANAL RQEIEALGGKASMLALDVTDTASFPAFVGAVTETLRSDFHRDRFDYLVNNAGNGLFSN FVDATEEQFASLVSTHLQGPIFLTQKLLPLIADGGRILNVSSGFVRFTLPGYSLYAAM KAAVEVLSRYLAVELGERRIRVNAIAPGAIATDFGGGAVRDNEGVNAYVAQGIALGRV GLPDDVGGAVAAILSNDMAWANGTTFDISGGQLL YP_002974647.1 MTTSKLTLISHPLCPFVQRAAIVLLEKGVSFDRIDVDLADKPDW FLALSPTGKVPLLKVERADDRDATLFESMVICEYLEETQGGARLYSEDALSRAQQRAW IEYGTATLAEAWQFLNAKDRATADAKRAAFRNRLERLEDVRDRGPYFSGSTFSMVDAV FAPLFRYFDLINPTVTKMIFQGLPRVSIWREALALRESVVAAVGRNYGRRFKQHLAEQ QAILAD YP_002974648.1 MMSELDKIRAMAMRHAGRQSPQLPRLFAYTLDHTTEIDPLIYDP AASLVIQGTQRMFIGDKMFEYGPGQSMIVAAEIAALGQICEASEDQPFLAVGLFLDPA LLSDLVLEMAAIPELPIESGYGVSTASASLLGAWGRLLELLDRPTEIPVMAQSLEHEL MFRLLMGPHGGLLRQIVGSDSRLMHIRKAMAWIRDHYTERLNFKAVAALAGMSVSVFY DRFKAVAAVSPLQYQKYIRLHEARRRMIANQVSAAEVGFAVGYESASQFSREYKRLFG APPGQDTERAKAGLGGINRPRSLVARPDVA YP_002974649.1 MPNPIETVSAFCATFVEDGGRPAVRRWFTPNTRWVNEGVSVTTG AEEAIAMIDGLETSMGISTVHIDMLAIAADGSRVLTERLDRFERADGSEIGRVMIMGI FEIDGDKIVEWRDYFDVTAVQKFAAG YP_002974650.1 MKRLAIVILSLVTALTSVPPAMAFPTVAVPKIEAAEAQPVQYRN YRGGYRGGYRGGYRGYRGGGYRNDNWAWGLGGLATGAIIGGMLAQPYYGRSYYGRGYY DQGYYDRGYYGSPYYGPPRYYGSTIYRPRYYAPRSYRQVYSGGNGHTSWCYSRYRSYR AYDNTFQPYYGPRRACVSPY YP_002974651.1 MTLEVLEALKGSVQQGPLSFSWTRSGLADQWTGLNDVIVALKRS DPDNGEGYEVMRGCRVQGIYRTDGYRESVIEIIARSAR YP_002974652.1 MDEEVSDRIVEQRWRNRIIDAIEVLAQGNEGLIEVNYNEFFEGF YDYWRDGQLVVRPNSAITTDEERTVDALGRMLEDISMETRHFQSEAEYIQSGCAERIK PVAQEALKLFLSRGRFREDCEELSPTLDTYQT YP_002974653.1 MFRYLFGAILASVPLAAANAQPPNFCRTSFKPNEPFESRFVGID YALTMHVLAERYCEAEPKPMGPRFLQYVEKQGCGPGTEIYSDVEAAIAKLEGASLKLL AQNGDPGLAISEPQVQEWALATTKELGGCDALKAAHDAELQQ YP_002974654.1 MDLLALADFNLVARHGGFGKAARATGRPKATLSRRVAELESGLD LRLFERGARNLKLTEEGRALFERTGALLAELDETASAIASGGQKPKGRLRISAPLHFS QTAMGRIAAGFTLQYPEVRLEVTSEDRSVDMIEEGYDLVIRVNPDPDESLVGRVFLRD RLVVVASPDLPRPTGGRAAPGVARGAGEAQTWQVKTPGGRSAIRIETVLSLATLIMVR DAVRAGVGAGRLPISLVSHDLADGTLVNWGDMDAPEIALWTLYPSRRLLSARVSAFLD FLKQAFPNGTADELAAYIGR YP_002974655.1 MTILVTGATGNVGRQVVEHLVKRGADVRALVRDPSKAEFPAGVS VVQGDFLDVDSLRNAISGVSTLFLLNAVVPDEFTQALIALNVARSAGIERIVYLSVIH ADVYVNVPHFAGKFGVERMIEEMGFKATILRPAYFIQNDLTVKDVITGYGAYPMPIGP KGLAMIDVRDIAEIAALELLRREQAAAPLALDRINLVGPQTLTGADIAAIWSDVLARP IHYGGDNTEGFEQNLKQFMPAWMAYDMRLMGERFLTDGMLPEAGDVERLTKLLGRPLR SYRAFAAETTASA YP_002974656.1 MIYSTATVPVNPEGETPLTRAEAWQGLERKARDARLFLPAGLCT RCDVVEESPTHFVREATIAGQDLREIITLDRQSKVTFFQATGPREGAIINELFEDEEG QLQLRFYCYLGLRGKQPNGPEEQAEQAQFDGETGYKAALLSTLKRTRELLAEGKL YP_002974657.1 MKILMVLTSHDQLGNTGRKTGFWLEEFAAPYYAFLEAGADITVA SPKGGQPPLDPKSDEPGFQTDQTLRFHGDPDAQAVLASTVKLASVSHDDCDAVFYPGG HGPLWDLAEDRNSIALIQSLYQAGKPVAFVCHAPGVLRHVKAADGTPLVQGRHVTGFK NSEEDGVGLTQVVPFLVEDMLKENGGIYSSGDDWSSYAVKDGLLITGQNPGSSVETAG ILLAAVTAAKAA YP_002974658.1 MKYDLNLLPVFVALMEERNVTRAAEQLGMTQPAVSNALNRLRET LRDPLFIRERYGMKPTEMAEALAPVIRGALASLDDVILGQQAFDPARATRQFTIAPNS YVEFVLMPVIVARLRECAPGIRLRLTPFGNDLAETGAMSGATEMVLGRIVEPPDNMVV QHLMDDGLACVVRKDHPEIAESISEEQYQRMRHVNVLPPGRMRVGLFQMLQQAGLRRD VSVSVTHFLAVPEMVAVTDYCATLPRLICHRLARDPRLKVLPAPVDLGRFPVELAWHV RYRNDPAHRWLRSLISEVAKEVSDAAVAEAMAGN YP_002974659.1 MFTHVMIGSNDLERARNFYDATFAALGGKPGEMDARGRLIYAHE GGRLMITKPIDGKPATVANGGTIGIAAASPDHALAWHAAGTAHGGTAIESPPRERPNG SFVAYLRDPDGNKLTVRSQPTK YP_002974660.1 MPKAAMKLYYCETLNPRKACAAARFLKADVEFVRVDLASGEQRT AEFLALNPNGKVPVLQDDAGTLWEANAIMCRLSDSIESDFWPHDHRQIDVLRWLSWDA SHFTQHGATLWFEALIKPLFGGEPDVAVIEDAKTSFRLHARVLDDHLAKNSVAVGETL TVADFALAAALPFATAAGIPLAEFRHIQAWYGRLEELDAWRNPFPA YP_002974661.1 MTNPETDTDNTRDLVLVRRFDAPCDLVFRAWTDPKALVQWCGPH GFKAVGDRLEAWPGGRHRACLIAPDGEEHWVGGKYLEVEPPHRLVFTHAWELASGENS PETVVTITFRDSDGGTEMTFRQSGFDSASSLKGHEDGWSQSLERLSFFLGTRPEGPDH A YP_002974662.1 MDDKLDAIFSALADPTRRAILGRLANGKATVAELADPFELSQPA ISKHLKVLEQAGLIRSGREANSRPRVLEPAALKTVADWVADYRRFWDQSFDRLDDYLS KVQGYDND YP_002974663.1 MVHVLSIWFLVAAFSGAGLVNAIGTSGTRSDFARLGYPRWWGIV TGALEILSAVLIALPVSRIVGVALGAVIIAAAVFTFLRHRDHAHLAPLSVFVTLIAVA AISS YP_002974664.1 MQWLLNGTGLLLALAIIAIGTSYIASPTTTMRGFGLPLPEDGPN VAWWLRLKGVRDIVAGLLVLAFMVWGVEREVGIVLLIEAMIPAGDMLLILAAKGSTKS AFGIHGLTAMIMVLVAVPMMIGAD YP_002974665.1 MGTVERKTRERAEREDRIVAAARAVAESEGWDAVTIRRLATEIE YSQPVLYSHFANRDAIVAAVAVEGFKELATVLQDAAGEAKGRREPLMDVAMAYFAFAF SRPALYEAMFILPTQLQFAEAETRPELRAGFAAIAAAVSPFCADAEIVTETFWAALHG LAELERAGRIRPGMRDRRIALVVQAIIDAGVHQTGSSDQV YP_002974666.1 MVQYSTDRLDASFAALSDATRRGVLEQLGSADASITELAGTFHM TLTGMKKHIGVLEQAGLVTTEKVGRVRTCRLGSRGLEEEAAWIEARSQIWNARFDALD DVIEALKRKEKVDGGQSE YP_002974667.1 MGDRASNGRTAVERRSELELVVTRTFNGPVRLVFDAWTKPELFK LWWAPKSMGVPILSCEMDVRTGGSYRITFGHDASDAMAFFGKYLDVSPPSRLVWTNDE GGEEGAVTTVTFEEEDGRTLLVLRELYPSKEALDQSFVGMEDVLPEQFAQLDELLITL SASV YP_002974668.1 MGWEALGQWGEDAVRIERLTGGVANDVWSVRVHGQIAVARLGCR SDADLAWEAELLQHLDREGMTVPVPIPTTDGRLFANGVVVMKYMEGGPPETGSDWRRV ADTLRELHRLTQGWPQRPGWRSSSDLLHTETGTRINLAAMPPEGVIRCRAAWARLIGR QTCVVHGNPNSPGNVRITADRVALIDWDESHVDVPDLDLVLPDNAAGLDDGAHDIAAQ ASAAWEAAVCWQDEYAIKRLAEVRAV YP_002974669.1 MHWSKPLRLHLGVLVVASLLCTSTPIIWLAFRQGSDAAVSAGVQ QMREMSLRLIEGYRNTLQGGTEAVALASTLPLLASPPPQDITAKQQFFLEVLRNVPNA TSVYTGYPDGSYLQVINTQRQDVRRILAAPDGTAFAIRTIARRQGPDVISTFRFLDLQ ARPIAERDVDYASFDPRQRPWYQSVIQHGEEVSVGPYVAGTLQLPTLTIAAPMRDDDQ VVVGINIHLMTVSRLLDAQEISPRARAYIIDDADDLIAHSDPAIMNRLLGIWSKNADG AVAVDTTTDSYDTSLETVARLRRDPAFASGGVVRIDLDGERQILQIAPVGVSGLFKGS VAAIVVPLEDLVAEANRLLMHNLLIAAAFVIAGVAASVMLSRMVSRSLYRLADEARRI GDLDVGEKGVSHSWISEINTLASALAASRHAISQFALYVPREVVRRIVNPEGRAAAKA QRQDVTVLFTDIRDFTTISEQHSPEDVVDTLSAYFELLNTIAERNGGTVVQYLGDSIF VMWNAPVPDARHAENGCRCALAMKAAVDDLNEANRQNGRPALITRFGLHTGPAVVGSF GAISRQQYTAMGDTINVASRLEGLNKEFNTSILVSAAIHEAVGTHFALRPLGLVQLKG RAEKVDLWELVGESLRTQE YP_002974670.1 MLKAIYRRWRAKRMGHNMKDQYQPIYTWRETWPGEGHQDFSGFD GDQSFGRVELENAADLKPGLWKWNATHLPWVRKEIMPRSGSEQTSREACRRVEEHYEK LKALHRR YP_002974671.1 MIVGFQDEWLRAFFVEDIHSRNIPSDLESRLFRKLQMIDDAMTD QDLRVPPSNHFEKLRGTLDGLHSIRVNKQWRLIFRWDGGRGEASDVYLDDHSYR YP_002974672.1 MLMTTRKPATVGEILTEEFMQPLGLTQAVLAEAMGVQRKHVNEL CNDRRNVTAATALILARVFGNSPDFWLNTQRRSDLWSVMNSPEERARVDRAKPLAKAA YP_002974673.1 MEGDDDEKPDETVPANGNDGADGEPEAETWQRIDRAALTLARII GRRMAREDFAALAAANDNHRPDAAGKTEDEADKDAESDPEPAYATVLHSSSCSIGYWS KASRRNAEMSCSG YP_002974674.1 MLAKAEIVVEARVKSLSIGESGFIGTKDSPTRWIRADLEIKRVI KGKFPGKEATVYGAVYPPGPFGELTTMALSYGFDGHDTFEWELSRREIGDDVALFSMN ACNYHKFPDGAVAPR YP_002974675.1 MTVSRPHQTNTITFRKEIMMTDYSTTVASGDREQWLTRYYFTRA AFSAIWVAAALTAGQQSLAVAAALLILYPAWDAAANLVDASRNGGLANNRSQAINVAV SAVTTAAVIVALTMSMNWVLGVFGLWAIFSGLLQLGTAVRRWKTNGGQWAMILSGGQS AVAGAFFIAQAQMPEPPSIANIAGYAGLGAFYFLVSAVWRSVTQMRRKRA YP_002974676.1 MINNILPALVLGLTTIASTALPAAPVHAEDQKPEQVHVRGSIVT YSGSALKVKTREGQTVDVTLADGWKLASVANAAVTDIKPGDFVGIASLPNAGGGDGAL EVLIFPPAMKGAGEGSYGWDLKPNSSMTNATVADAVKGVDGRTVTVSYHGKEKKIAIP DGTPVVTIAPATNDDLVPGAAVFIPAEKAASGPLAHQVLVGKNGVVPPM YP_002974677.1 MSNLLTTSDEILASARALIMTGGYNGFSYADIAAVVGIRKASIH HHFPSKTDLVRTLVVRYREDAEAGIAGLEQQVRDPLALLQTYAGHWAQCIEDASRPFC VCALLASELPALPPEVAGEVKAFFRFASAWLTSVMERGAQGGSLKLSSAPRVEAEAFM ASVHGAMLSARAYGTPEVFATILAPTLQRLTPTAAR YP_002974678.1 MCGRVYIKTSLEELVRNFAFAERGAIDALGNRFPRYNGAPTLDY PIIIRDMVREPDVMGPVFASARWGLMPAWMKPGGRPPPINARCEGISTNGLFRSAYRS RRCLVPINGFFEWKDIFGTGKNKQPYAIAMADGSPFALAGIWETRRDNDIETRSFAIV TCEPNEMMAQIHDRMPVILHREDYERWLSPEPDPNDLMKPFPAELMTMWPIGRNVGSP KNDTADIIDPIDPDPEPTLI YP_002974679.1 MPEQITWKSWEHVSGREIKYKWRHAWKEKPGDDYVAFDGDTQIG RIFRSQAGEGIDNRWFWIVAADGSNRLDWPSAGYEDSAYVASRRLEMIYESIKGGKPR TAHP YP_002974680.1 MSIEGHSNAPGANVIVEHYCEHRLADGTRCKEWGGWGHSPSPAV PTRWWCFEHFPHKSYEQEQALRRKLEAVEGGKIIQ YP_002974681.1 MSRKTFFITGSNSGFGLAIASAALQAGHMVIGTVRSQASRTALA KTLPGLRPVVCDVTEFDRIDDVVADAEDEHGPVDVLINNAGYGHEGVLEESPIEEMRR QFDVNVFGAVAVAKAFLPRFRARRRGFIVNVTSMGGMITMPGIAYYCGSKFALQGISE VMRSEMAPFGVHVTTLCPGSFRTDWAGRSMVRTERSIADYDALFNPIREARQAVSGKQ RGEPAKLAAAVLELIESDNPPPQLLLGSDAFKHVSDRIVRLQQEIEAWKPVTLSTDG YP_002974682.1 MLPNPMKGDRRLSRRGEMVALAGRLAPRHGYNPTALAPVRILRT EAVLHDIPVLYRPGAVFVLQGSKQGILEGEVFLYDEEHYLAVSLPVPFRMTSTASPER PLLAVYVEFDMQMAAEIALQVEKHAELPGDEPRSLVSSRMSGDIEDVLLRLLTALGSS VETDVLGAGILRELHYRVLVGPQGGAMIAALQQKGRSGKIIQSLAWLRENYGLEIAVT DLAREVGMSVPSYHVHFKGLTGNSPMQYVKAMRLHEARLMIARQTRTIADVAASVGYA SPAQFSRDFKRHFGRTASEEIKWVQRHLGELGDDHG YP_002974683.1 MSQESNATPKKRGRPPSAAAQRRALEAAHEILMAEGFGRMTIEA VAARSGVGKPTIYRSWANAQELAMAALLVNRLPEAEFGGGTAQAALGAQMSGLVTAFA STRGRQITMALAAADPESEFTKAFRNQVILSSRNAGRVILEEALARGEIVPPPDMEAL LDMIYGPVFFRLLVGHRPVSPEFGDAIVRTALRAVAPSGV YP_002974684.1 MPSFARKVSAYFIVKDAARAIDFYKEAFGATEVFRMTDPSDGRI GHAELRFGETMMMLADEYPDFGALSPDTIGGSPVTFHMDTMSTDAALAQALSAGATML RPATDQSFGERVAQVLDPFGHRWMLSQTIEQVTPEEMQRRWNADISA YP_002974685.1 MREQPIGEAVEDDAWPASDVMWPPEKEIEVSEAHASLVKAVAGS RGVRFFTAFIIDIPSDTYLGDVQMAIDEAAGEACGILLTKHVTGRDAATGEPVLTEEA TRPFKFPCGEGVANAISAFCSKLKMAGIFP YP_002974686.1 MPIFHAQCTCGALALEVDGDPVHNHVCTCTRCQRASGSALSHNV WFREENVRIISGEYSVWFPQGETTPEVMKAFCRVCGGGGFSKSGTYFPGTVVIAGGTF ADPSFPVPDHVHWWDNRPHWLDLAEPIERLAGN YP_002974687.1 MPSGLRFATYYQEYRKLFSATCTLDYFGVLATDRSEDLRCSNAE LEAFEAGLAAASLGSVTKDRKQSGVAYLIEGENTWMTVVVVGKDLLNVWVETSVLKKP YP_002974688.1 MTGKNRSKPRSGKHTADAPAVDAFTRSALASDLTWMPDLTAVGK PPLTADAYVEAYLADPGEWYWTTILLHDPSEVVLKRVLAIIDQAQLPGHEKALGQLGA GPLEDMMSEKLLDRLQHWLPFTPAMRHALGLVRMSSEPLVSQKRLKAMLSR YP_002974689.1 MKTTRLFLCLIAFLSVTLAGNPADADELVHFESAPVKLSPFRIS KAREQGEILSQPQGTPLLGYLSRPQGDGPFPAVVVLHGCEGMRLSVKELWPKRLAAWG YVVLVVDSFTSRNIKDTCQNRLPDRVFDAYGALNFLSKQSFVDARRVALMGFSTGGTA TLEGTKIEGNEQLMDSKFRAAVAYYPLCAATQGDATVPILILTGERDNWSPADRCRKR LAKLSDDSPPIELNIYKGTYHDFDAPEFKVGRRVLGHIEKYNPDAARKSIRSVRTFLR NYILN YP_002974690.1 MDPSIAPASRAAVVTPNDTAIVGARALYIGTTGDVAIAPRRDMD PVIFKSVPAGTILPVHAAIVALTGTTASNIVALF YP_002974691.1 MGRPIKFTQALADRICERIADRESLRSICRDEGMPAMSSVLSWL ADDDKAGFRAKYALAREIQADGFVDEMVEIADDRADDWIEKKNASGETTGWQENGEAI RRSQLRIATRQWVAAKLKPKKYGSKVEPDRGAVTGEVSQLLEDINGKTRGLPNGN YP_002974692.1 MARRADFQTAIDQFSDWRWRLNNLYWITDKAGKRVRFEMNWTQM TFFEQMHYLNVLLKARQLGLTTFIQIFMLDACVFNRDIRAGTIAHTLGDVQAIFRDKI KYPYDNLPDGIRNAVPVVRANQTELLLGNNSSIRVGTSLRSGTLQYLHISEYGKLCAK YPDKAREVRTGALNKAGEEHRKRLAAAEAAEQAAKDTLEIEIQSYELQLSEKNRACAV TAADRQWLLRH YP_002974693.1 MTKGQAAAGIALPPLPDDLRRQEAHAPVVEGEPVIAILARERQA LNRANARQGRTIQFYDDLTSRYGTRQ YP_002974694.1 MRMLLMIIIGVMVASILSILVIKPFDQGGKSETPPPVIQQPEPK YP_002974695.1 MTSNDDILRALGRVEGRLTGIEENVALLRNEVSDEKTNAHDSRA VIHKRLDEQARQIAHLDTRVAISGGADALIREEIRTLKETVEKNQEAVGPALEEWKRM KTIGYGISGLIAFAGLTIGGTIAYASDGAVAALRHWLKIN YP_002974696.1 MKSMDNRQVRIPGPREHDVAEHCRKFGIGSAEEKKLKKLLGSRA PLHEIQANAPPRQPRWR YP_002974697.1 MNNVLEFRPKCPHAENLSDCREALEPAVMKIVSDAIGRGYTAAE AAMVVADIADDYILMLSRQPRY YP_002974698.1 MTNDTTKKAQPAKAAVADGPYDVIYFAKKHRISNEDAKDIIEKH GANRKEADKAGRRISV YP_002974699.1 MHPTKRGELKRSTAFNATRPLIGKTMTDAPENEALFNITGHYVQ ELKAVLQSESIVEGTDYENSAFNEKRRNEGLHLLRFHKTGTAAQATQIWEKHMTARAH R YP_002974700.1 MQLREMGQQDCLAFLAGHTRGHLACLGEKYPYIVPIQYAYEKDR LFIFSMPGLKIDLLRAHSPACVQVEEFGENRTWKSVLVQGTYDELTDTPARHDERLHA WSLLQKQPFWWEPGSLALNSESEDGPAPSIFFGISIEMVSGRQVV YP_002974701.1 MSSTVNIHSWKRLAQHIGRLRYIDFLVKSTPAICLVFIVYIIFK DVFW YP_002974702.1 MVSTRYRPKDLKLARRHIVKAAHRVADQEMLIKKLLRKGRPSGS AYALLSRLYDDQRRKLDRLKLIEAMVEVEGQLCVDVPSNPGPSAPPFAIVHSSGISLP DRQPATPCSADVWRPYVV YP_002974703.1 MALIFPNRSRSFDEARKGVRFTGYDGMFEVRFLVEEAALGSAIT QNSSEAAYLNAFDAARSAIQEAASRAYQHRRGNNFTLTAADFR YP_002974704.1 MQFNGEATLTKPSALAVSERRALIDHLREVIAGLAHGLAADGLL DLVPPLLEINKVLRDMTDEISRADPVYSDGIITGTVRLIKTSQALLDDRVIVQTIH YP_002974705.1 MSSTSDQFFDIDNLRMLDRILRKVGFRGGETHAADEGEITATSF LIHCFQMGIVDEAALKSALKMYLATQLSSGPLPQATEDGSFARWQDDGGAPVKAYRIA RYTASGRADASLRPPAYRFPKTRLPERLLEIP YP_002974706.1 MSAIHANRKDRAGKSKIIAFLRKIATLSPTADDLITQARQARSS SAEEGIDSADNQAYGLARPHKGPFDNATAFSASTYDHDLPTRQQLEAAQRNHSHAVEQ QEANHQKGKSRLRAKTTKLKSP YP_002974707.1 MSHILRNHRTAALVGAAIIAGAACLPLALNASNAVAAPSDNGGI LAPNGSFASIVEADKPAVVTITTTMKATDVSADQESPMDEQFRQFFEDQGIPLPRQAP QKRPSQQAMALGSGFIISRDGVIVTNNHVIDNAVDIKVTLDDGTELPAKLIGTDPKSD VAVLKIEAGKPLQTIAWGDSDRLKLGDQILAIGNPFGIGTTVTAGIVSARGRDLHSGP YDDFIQIDAPINHGNSGGPLVDRSGNVVGINTAIYSPNGGSVGVGFAIPSDEAKAIVA KLQKDGSIDHGYLGVQIQPVTKDVADAVGLDKTGGALVAAVTADTPAAHAGLKPGDIV TSVGGESVKTPKDLSRLVADLSPGAKKSLSVWRDGKTIDLNVTVGTNEEGQKQAAAES PDAQDQSSGQPSLGIGLADLTPDVRQQLNLPRSINGAVVAKVAPDKSAAAAGIQSGDV IVSVNDRPVHNARDVKTAIADAGKAGRKSVLLLVERDGNKTFVAVPFGAA YP_002974708.1 MERGRKARRGAHVKLSDVAKKVGVSPITISRALRNPEIVSEDLR QTILRTVDEMGYTPNLAARALAGRHNGIIGVITPALHQHGFAGLMIGIEDCLRATEFR VQYSNTLHHADGEAGELRSFLTQKPAGVIIAGAESYRDLLPLIENATCPIAHITDLSQ EPARLVVGLDHHAAGAEPTRFLLSRGYERIGFIGRGTDIRSRRRKEGYEAAMREASRF DPDLAIGGDEGSSTGLGRELFARLLQRVPDIDAVFAQSDDLALGVLIECAVRGIRVPE DVGICGFNDLEFSAFTQPTLTTVQIARYDIGYRVADMLLRAIRDEPPGQDKVDCGFSI IPRGSTR YP_002974709.1 MDNTGLSLDRMRTFVRVAERGNLSMVARELGVGQSTVTRHLNEL EEAVGVPLLSRTTRRVTLTDEGSRYYSNCLQILRLVEQAAEEARDARRAPAGAVRLSC TAALGVMHITRLIFDFQDRHPDIRVDLNLTDERIDLVREGVDVALRLGPLADSAMKLH ALGESHRLLVGAPTYLSVHGRPQHPADLSRYETVVMSNVAGSDQLVLSSPDGGSLMIP VSGKLRVDHGLAAREAFAAGRGIGPAHLWLVHDLLDDGQLEVVLGDYRPLPVPVSLLI VPERAAIARVRLLVDFLVAEVSKLPGIRPS YP_002974710.1 MSAHEKPLIAIVGATSKQGRSVATTLLDSQRFRVRAFTRKKDSP EALRLEKRGAEIVTVPLELGRQKDLVAAFKGADGAFLMTPPIAPPETIETPLGRQLAD AAAEAGVGHIVFSTLENVDKITSGTKYAPHFTDKARVADHIRGLPISHSFVMLAFFYT NLLEYYVPRMEGDTLLLPVYLPEDFRAPFVDPLTATGPAVLEIFSNPERYNGKTLPIV GDIISPSEMIETFQRVTGLKAAYRNAFTRDGLLHYFPEFAANELLVRELLGMVEYAVD YGYFGKEHDLEWSRRLNPDTLNWEQYLKTTGWRGDKRSFGR YP_002974711.1 MTDQIIWLNAPGDTAQFHVEEHHQCEPPGHGEIQIRHEAIGTNF LDVYHRKGLYPMPSYPSVIGAEAAGIVDDVGPGVSIFEKGDRVAYGGPPVGAYRSTRN IAAERAIKLPDAVSAKTAASSLLKGMTAYMLLKKTYDVRAGTQVLIHAAAGGLGSILV RWARSLDATVIGTVGSSEKAALAASYGADHLIVGRDADIVADVKRLTNGLGVDVAYDG IGGDTLLKSIRSVRPFGMAVTIGQAAGAIPPVPVEELRPGKALCHPSIMAWCADIGQY REAALAAVGAMETGIVSQIGAEYRLADVAKAHEEMETGRSAGSILLIP YP_002974712.1 MKIPYCFTMIGKEFTKLDWDDLRHFLALAQSGTLLGAAKQLGVE HATISRRVSSLEASLGRKLVDRRGRRIILTSDGEQVARHAALVAAQTAAIEQLGRSSA TELRGHVRISAPPALSSVLLAKPIAAVRRDHPGVEITLVGEKRLASLNRREADIAVRM SRPEDGDYAIVKLGETSFHLYASKSYLETVSPSDWTFIGYDETMNASPQQLRLIELAA GRPIAVRSSVLEFQAATARLGAGVVMLPDFAVLDTSGLQRIETEHILTREVWLVVHSD IKDVPSIRVVVDALKNALVK YP_002974713.1 MPVEAIRTGGCLCDAVRYEVWGEPYQSGLCHCKTCRKITGSAFS ATANWHRRQFQMSGEISTFDKRSFCPVCGSRLFFLFDDGVEVFLGTLDEAPYAISPMV EVWNIRREPWLAPVVGAALHEGNEIASEKAD YP_002974714.1 MANAQCACGALRLMLSEPPQLTALCHCLACQRRTGAPFSANAFY SIGCVEISGTSTEFIRTAESGRKVRMHFCPTCGSTVYWKADAAPSWIGVAVGSLADPA FVSPALSVFEQSKHNWVQLDDEVEHFQGSVSG YP_002974715.1 MSTLYVDHPLQPGDRVPNVVFDAISREGKIALDDFRGRSPLLIG LFRGLHCPFCRRHVAAMAYLNPVLREKGVQSLAVVNTPVERARLYFRYHPMPDLLAAS DPVRASHQAFGLREVGIDTAMAIRIDLPGELPEPMGVMAMDEFLNRKEGYQITEADQQ MMTADHGQLVGQFLIDREGIVRWSFTEVLEAGLQTFQAPNSQELMSVASQVVH YP_002974716.1 MTAAQTAVSAATVVNLPAAEPLKAVRDTRPLRLITCGSVDDGKS TLIGRLLWDTKAVKEDQAATLQRDSTGKQNDLGLPDFALLLDGLQAEREQGITIDVAY RYFSTDKRSFIVADTPGHEQYTRNMATGASTADLAILLIDARFGILEQTRRHATIASL LGIKQFVLAINKIDLTGYDRAGFDKISHDFREFALSLGVKQITAIPMSALKGENVVYS GQAAMPWYSGPTLVETLELATVRSSQTVGFRLSVQRVSRPGESFRGYQGTVAGGSVKP GDSVMILPSGMVANVSKIVTFDLVRNAAVAGDAITLVLDRQVDVARGDMIVSIDAQPQ VGLAFDAQIVALQPEGIEPGKRYWLKSGSRRQRVQVQPLSQLELKTGAWNAAQRLYMN AIGKVRLVFDEAAIFDPYEQNRLSGSFILIDPETNNTVAGGMVTGKRTELGGLHNGEA RVILSLPADLAEQIMASELFASRSHEAEVRRMTAAEAADLWSSAASDI YP_002974717.1 MPDSRPDTELSNPQSAKAPLDPHLKALENESIHIFREVAAEFER PVMLYSIGKDSSVLLHLARKAFYPGRVPFPLLHVNTGWKFSEMIAFRDATAKKYDLDL IEHINPRGKAENITPFTHGSAAFTDIMKTEGLRQALDAGQFDAAFGGARRDEEASRAK ERIYSFRTPDHRWDPRNQRPELWNIYNGMIRKGESVRAFPLSNWTEVDIWRYIQAEDI PLVPLYYAKKRPFVERDGMMILAEDPRLELLPGEVRQEGMIRFRTLGDFPLTGAIRSQ ATTLEEVIAELEIATVSERQGRAIDRDQSGSMEKKKREGYF YP_002974718.1 MTAINPIVEGGPIAEEGPIAEAEALNDRLAGLDLAGRLSLVSGL GGRVVFTTSLGIEDQVITAEIGTHRLPIDIATLQTGRLFAETLSLIEETESQYDIHIH RFEPEKADIDAYAAQYGLNGFYESVEARHACCGVRKLKPLARALEGAAIWITGLRRGQ SANRAETPFAEYDAERHLLKVNPLADWDLEAIKAFVSANGVPVNPLHQRGYPSIGCEP CTRAIKPGEPERAGRWWWEQDETRECGLHVAEEAAAIAAQ YP_002974719.1 MITQKAKYALRALTVLADADADEPVMISDIAAQQKIPKKFLEQI LLDLKHHGVVASRRGKQGGYLLLKPAHTITFGEILRIIDGPLAPLPCLSITAYRRCDD CDGEQNCQIRHVFAKVADATRKVLFSTTIADAIAPKHSAEVTRLLA YP_002974720.1 MSIISARRLEQFQQKCIAVLRPESRENKKIEHFRGSKKNGNTLV AAAMLSMAFATGAIAHHGWSWAEADQIELRGTIEKISMGGPHPTLDIATADDGVWLVE LGNPRQTERSGFVEGVAKPGDQVVALGNRSQDPKEKRLKAVRLTIGEKRYDIYPDRIK TN YP_002974721.1 MIDILEWLSATTPAVALRRSGTLYMFVNAAHILAIGLLLGAILP LDLRLAGFFRKVPVEIVAPFLSRAAGVGLAAAIVTGFCLFSVRAVEYAGNSAFLAKLG LIALGILNLSIVHLGRGWKMVLSLGMVRPGLRFSAALSAAIWIAAVIAGRWIGFL YP_002974722.1 MGKKLSIGNLGEELRGGRLSSPELLSELLCTNTALRRASRRLGQ LYDDAVAPTGLKATQVGLLTQIAALREGGHQWPTLQSLAERLAVSISALTHALRPLVR DGLVGLMPDEHDGRTKHATLTTHGEHRLHEALALWADANQRVEVVLGPSASALRALAD DVASPEFLEAYEARRMLRG YP_002974723.1 MSASSLKPVALVTGASAGIGAIYAARLAEKGYDLILVARRADRL KALSDKLGAAHGTKVEVVEADLTKDADVNRVEKVLKENSAITLLVNNAGNSTLAPVAK TTEEDAAAMIALNVTALTRLTHAVLPAFLSRNHGAIINVASVLSFHALPISAIYSGTK GYVMNFSRGLQQELAETNVRLQLVMPAATATELWDLSGVPLASLNQATVMTSENLVDA ALAGFDKGEDITLPSVADASLWDKFDQARSTLFAATQTGEPAPRYRAV YP_002974724.1 MPSLFDQFTLKGVILRNRIAVSPMCQYSATDGVPNDWHAAHLTS LARGGAGLVNVEATAVSPEGRITPGCTGLWNDVQAEAFGPIVAGIEKAGAVAGIQIGH AGRKASANRPWEGDDHIADSDPRGWETIAPSAIAFGGGLAKVPRAMTKADIDRVRGDF VAAAIHARDIGFRWLVLHFAHGYLGQSFFSPHSNQRDDEYGGSADNRGRFLLETLAAV REVWPEDRPLTARFGVIEFDGRDQETLQDAIDLVGKFKKAGLDFIDVSLGFSTPAANI PWGPGFIAPYADQVRKATGLPGAASWNITQPEQADELIRSGQLDLVMIGKAMLANPHW PYQAARKLGVERPSWTLPAPYAHWLASYRAA YP_002974725.1 MQADFVIIGSGSAGSALAYRLSEDGKNSVIVIEAGGSDFGPFIQ MPAALAWPMSMKRYNWGYLSEPEANLNNRRITAPRGKVIGGSSSINGMVYVRGHAEDF NRWEELGASGWAYADVLPYFKRMEHSHGGEEGWRGTDGPLHVQRGGFTNPLFRAFVEA GKQAGFETTEDYNGSKQEGFGLMEQTIFGGRRWSAANAYLRPALKRDNVRIVYGFAQK IVIEDGRATGVEIERNGRIEVLKANREVIVSASSFNSPKLLMLSGIGPGQHLQDMGIT VKADRPGVGANLQDHMEFYFQQVSTKPVSLYSWLPWFWQGVAGAQWLLSRGGLGASNQ FEACAFLRSAPGLKQPDIQYHFLPVAISYDGKAAAKSHGFQVHVGYNLSKSRGSVSLR SADPKADPVLRFNYMSHAEDWEKFRHCVRLTREIFGQTAFNDYRGPEIQPGESVQSDE EIDAFLREHLESAYHPCGTCRMGAKDDPMAVVDPQTRVIGIDGLRVADSSIFPHVTYG NLNGPSIMTGEKAADHILGKQPLARSNQEPWVNPRAAVSDR YP_002974726.1 MKAQPKASHFIDGEYVEDTDGTVIESLYPATGEVIARLHAATPA IVERAIAAAKRAQPEWAAMSPMARGRILKRAADIMRERNRALSELETLDTGKPIQETV VADPTSGADAFEFFGGIAPAGLNGSQIPLGQDFAYTKRVALGVCVGIGAWNYPQQIAC WKAAPALVCGNAMVFKPSENTPLGALKIAEILLEAGLPKGLFNVIQGDRDTGPLLVNH PDVAKVSLTGSVPTGRRVAAAAAGNLKHVTMELGGKSPLIVFDDADLDSAVGGAMLGN FYSTGQVCSNGTRVFVQKTVKAEFLKRLKIRTEAMLIGDPMDEATQVGPMVSWAQREK VISYIEKGKAEGATLIAGGGIPNNVSGEGYYVQPTVFADVTDDMTIAREEIFGPVMSV LDFDAEDEVIARANASEFGLSGGVFTADLTRAHRVVDRLEAGTLWINTYNLCPVEIPF GGSKQSGYGRENSLAALEHYSELKTVYVGMGPVAAPY YP_002974727.1 MEPVRRKALVDAALRVIGDHGSLAVTMSDIARQAGVSPALAHHY FGSKEQLLIETIRSLLRQLRNDTVAALRAARTPRARLSAVIRVSFHADQFAPETIAAW LAFYAEAQRSEETRRFLVIYARRLRSNLLADLKVLLPADAAERIAEGAAAMIDGLYIR QSLKSAPIGIEASIALTEDYLNALLATASPSPRSEKGRPHRTTQGQMT YP_002974728.1 MADVFEGAPTSSLQSKWIWFAGLGVLLLVCGLIALGNLMLATVV SVYYVGMLMLFGGVIYLVHAFQVRGWDHVLFWILSGLLYVLAGICAFINPILTSAALT LFLSLSLVVAGVFRTWVGMRMKPVKGWRWIVASGVITALAGFVIALGWPVNSLWILGL FLAADLIVQGSTMIAFGLGIRS YP_002974729.1 MPMVTVSISPQQAAGIRAAVDNGGYASSSEVVREALRLWDTARK LNEFRDDILDDDAPSGGRCVADMFADHEAERRRSA YP_002974730.1 MPLPQEMHFVDLPSFGGPEVMTIDRRPLPVPQDGEVLVRAEAIG VNRPDIAQRQGNYPAPKGASPILGLELSGEIVAVGPGVSGHAVGDKVCGLANGGAYAE YCLLPAGQILPFPKGYDAVKAAALPETFFTVWANLFQMAGLTEGETVLIHGGSSGIGT TAIQLARAFGAEVYATAGSKEKCEACEKLGAKRAINYRTEDFAEVIKAETGHGVDIIL DMIGASYFERNIASLAKDGCLSIIAFLGGAIVEKVNLSPIMVKRLTVTGSTMRPRTAE EKRAIRDDLLSEVWPLLAAGTVAPVIHKVFAFDEVVEAHRLLEDGSHVGKVMLRVG YP_002974731.1 MSNIDQTATIPNASSLFRLFAPEHLPATLMLAGGVTLYAVETYI MATIAPSIVRDIGGLALFSWVTSLFVAAAVLGSIFVAMRPRGIGLRSVYMVAALVFGV GSLVAAAAPSMPVVLIGRAVQGLGTGALAAIGYAFIRFVYPEPLWPKASTLYAAIWGV ATVIGPTFGGFFSSGQAWRYAFIVLVPLGLLMAFLAPRLLPEVEDDREQVKTPIAQIG LLLAAVLMVSTAGAIETTATKVALIAASVIAVAGMIFIESRSPNRLLPSGAVSLSQPI SRVYLAMLAMTVVLVSDVFIPYFLQTLHGVAPLASGYLVALVALGWTFAAFLSGSLTG RRAYAAIVIGALIEAAATACLAVFLAKDNPEGHMLLIVPAAIGMFMMGFGIGLGWAHL VAMVLRLVADNEKDKASAAIPTMSSLGGAFGAAFAGVIANGAGLVHPGGISGALSAAH WLYLLMAVPGVLAVAVSLTLPRRASDRTH YP_002974732.1 MRQSPANRILILIKTDGPQLAAAIGDALGISGEAARQQLSKMAE EGLVEPVTVAAAGRGRPRQLWHLTASGNRQFPDGHAELTANLLGTLVEQLGPAALDTV IAAREAETLQRYRQELSKAHDLAARVEALAGIRTREGYMADCWRQADGSFMLVENHCP ICAAATACAGFCRSELETFRAVLSAEVERSEHILAGARRCAYRITPH YP_002974733.1 MSSTFSLILRDNRIRIPTVTLVALAFTYASTAPYQSIIGINELG MSNGAYSALVFLSSIVNVTTSLTLGIWSDRLKERRPLVLGLCVAGMLGFGSIALIHSP AVFIFSTLLLVPMSNSTYSLLFASLRARTNQMDRGQGAAITATVRALFSGSWALAPGL IGLYLVSSPSMTPAYGIAALASCTCFCLYFFFAPGNGSGGTAPDPVGFFASLQRIFVP HVLSRVIVMAMLFGLQRLNAMLLPLIITRAAGGTVVDVGFIAGLTALLEMPFMMMWGF AQRRFRSAHVLAFGALIYCVYLLLLGFASAPWHVYALLLFNACGAAAILSVPITYLQD LIADRPGLGTSLISLNTFIGVGISAGLFAFGTAITDYSGTAFVGAAAGLASIGVLLYL ESSRRQARQPA YP_002974734.1 MREVIEGRRGCGISPSPRKRGSEGPGRDTWLDPGRGGGSRMRGF CGLSPIDIANAYPPVCAFLFSFNNDFPEDTATVRNLDFDGFALISGFRLAANCNRSPH EASVSHALSVSRYNWLKSICGFSRRFPCHLETTSPHHKIPKEVLNERPTIRGNATATC NGERKHNSANKAKFHCPHPIDQPRRALRAED YP_002974735.1 MTNPVTVEVTRGLLVESRHRGAVAVVDGDGTLVFSLGDIEAAVF PRSSCKAMQALPLIESGAADAYGFGDKELALACASHNGEDEHVALAASMLSRACRDAE TLECGAHWSFHQKTLIHQARTLEAPNALHNNCSGKHAGFICACCHQDLDPKGYVGYQH PLQVEIRAAIESLTGAVLGADSCGTDGCSIPTYAVPLRSLAHGFAKMATGTGLEPLRA KASRRLIEACMAEPFYVAGSGRACTALMQIAPGRIFCKTGAEGVFCAAIPEKGIAIAL KCEDGMTRAAEAMVAATLARFFEAESEVHAALMAHAATPMRNWNGIHVGDIRVTSALA G YP_002974736.1 MFAGRYLWWMLMGIGIFMFAALSLDYITWLTIQLSGCGDMAGAC EPVLRLISGMLKPACIWTAIGVLFMATLLRLHTLSLIWFWGPIVAVWFVASTPILLFL AADAAALTQPATLAALPVALLFLAAFIAYLMFALEDGDMPPLAASVPLRLTLRLTALY GALAGAAFMPELSRIAGTLLDMPALSVVIALAQPYLQMVLTFGTGSMAPAYAVLAAFI AALAASLLPHAEAPQPSRSAIMLRRLRR YP_002974737.1 MLTTQRKALILDILRRDGQVIAKRVAEDFALSEDTIRRDLREMA AEGLLKRVHGGAMPLAPDLPDFTARRSVSSQIKARLGAAAAAMVKPGQMIFLDGGTTT AEIARHLPRDMPLTVATHSPTIAAELEHHPTAEVILAGGRLYKHSMVATGAAAMAAIS QLRPDLFFLGVTAAHPVHGLSTGDFEEAAIKRHIARCAAETHVLLTEEKFDLVSPCPV LGISEVAGLIVPAEMTAEQLKPYRDLNGAIAAA YP_002974738.1 MLILIAGPYRSGTGDDPEKMAANLKRLEAPSHALFQAGHVPMIG EWVALPIWHAAGGRSVGDALYEEIFHPVAGRLLQLCEGVLRLPGDSKGADNDVRIARE RGIPVWHRLEDVPGCG YP_002974739.1 MLTLYYAPGTCALASLIALEESGLAFEVKKISLRDGEQRSPDYL KINPKGRVPALVTDYGVLTETPAILAYIAQSAPAAKLAPLDDPFEFARLQSFNSYICS TVHVNHAHRPRGSRWADEPAAIEAMKAKVPQNMVDCFTLIEQTMFEGPWVMGEAYSLA DPYLFVMTDWLPSDGVDPARFEKASDHHARMLQRPAVQRALAYDRA YP_002974740.1 MAGNVDAIFERLKRLAAEAGLPDVEESTSYGNPALKVGGKSFVA VKNAETIVISISLDDKDHLLEMAPDVYFQTDHYVGWPHLPVRAAVISDEELRLRLIGA WLFRAPKKLADGFNDQARS YP_002974741.1 MSLLKFFLRPVLHLGSAEIADSPAWKDDPLRHPEVERMSMREIA DLPLGMPAGFPKEAKPPLAKCA YP_002974742.1 MKNLNMVHLNGLRALEAVGRLGSLQAAADELGVSVGAVSQQVIK AEAQLGQVIFERTARGMIATEAGRPVLLALDEGFARLSAAVSIASRKDDTILTISVAP VFAARWLVYRLDRFAERHPDIKLRLDATTNLVNPALCDVDIGIRVGNGKWPDVKAELL LAQEVFPVCSPDMAATLKEPADILQLPAIIDGHAMFTWEVWMREVGLSGATLSTRHVF NDASLCLDAAIAGQGVMLAWQTLAAFALAEGRLAAPFGIRAPTGFGHYFVTAEGTREP KKVKDFKAWIREEMAGTLALFP YP_002974743.1 MLTIYGVYRSRASRVYWMAEELGVEFRSVPVLQARRLADPLSED APLNTHSPEFIAVNPMAQIPSIRDGDLVMHESLAINLYLARRHGGPLSGQTVEEDGLL TMWTVWAAAEVEPHTVKIVLTYDNELENSEGGQQTIAVACRSLRRPLAVLEGHLADRQ WIVGDRFTVADLNLAEVLRYAQTEEALFDAHPNIKAWIERCQTRPAYKAMQATRGKEP IEV YP_002974744.1 MLLFLAASAVAGGASRALASPRTAAGQPDLRGAIDAVEYRAIPQ SGDRKTGNLEQMIERAARENVPVFLPPGTYRVSNLTLPDNTRITGVPGASRIVYTGDG HLFSAENVRRIELSNLVIDGGNRWLGDYAGGLLQFTGVDEVLIDNCEIGGSRKHGLQL ERCGGRIERSRISGAAQSGLYAVDSSALSVTGNTVSDCGNGGILVHRWKKAEDGTIVS GNRIFNIRANDGGTGQNGNGINIFRADGVMVVNNQISDCAFTAIRANSASDIQISSNQ CRRSGETAIYVEFAFEGAVVSANMIDGAANGISIANFDEGGRLASVTGNVVRNLTLRG PYQHEVGFGIGIAAEADTLISGNVIEGAPRWGLQIGWGPYLRNVVVTGNVVRRAPVGC AVSVADGAGTAVITDNIFQEITEGAVLGFQWEKKVSGEMAGGGSPYAQLTVERNRIS YP_002974745.1 MMTVRDTAFGRRGNGVMRELGARRWESTDALTAETRRIVAATEA MMASTAHHLSEGIENLRLKEIVHELPDFLYVKDRDSRFVFANTVTASSLGLESAALIT GKRDFDLFDFETALHHFDIEQQIMTTGEARIDMEESYVFPGSRRPICRLTSKIPLRND RGEIVGLIGVSRDITERKRQEDLHRGQASLLEMIARNEPLPMILEALVLMIEAQLTGI DGSVLLLDSEGTRLYHGASPNLPGGYSRLIDGVTIGPKVGSCGTAAWRGQTVIVDDVM SDPLWEDFRALIAPFGFRSCWSTPIATSQNNVLGTFALYSREVRRPTDHEMTLVALAT HIAGIAIERKRVDDRIHFMAHHDDLTGLPNRAFLKERLASILDQARRNNRKVTVAYID LDNFKDINDGRGHAAGDEVLKEIATRMANSVRASDMVVRLGGDEFLVVLVHQSSHDAG ITRRLRELQKAINRPIRGADGEITITSSIGVAAFPFDGATSEELLANADRAMYRAKEL GRNTLQHHEGGSTPVGMPLGEHEELHQAITGDQLFLQYQPQVDVATGRITGLEALVRW NHPAKGRVPPVNFIPLAEETGLIVPLGLWVLNEACRQARQWQDMGLAPLTIAVNVSAK QFADPDFATHVAEALERHRLQSRWLELEVTESVVMQNAERALAMMETLRGLGVRLSID DFGSGYSSLAALKTFPFDRLKMDRSLVEALPGDKTAVAIASAVISLAQTLKLSVLAEG VETDAQMDFLRQAHCEEAQGFRFSKPVSPEEIPALLRARSL YP_002974746.1 MGRKFFFCAAAIAIAAAGVYLNNTSLLAEHRPGKPVLLAHRGIA QRFDETDLKNDTCTASRMLPPNHDYLENTIPSMQAGFAAGADIVEIDIHPTTDGQFAV FHDWTLDCRTDGHGVTREHSMADMKRLDIGYGYTADGGKTFPFRGRGIGMMPTLAEVL STFPDRRFLINVKSRDPSEGEKLAAVLNGLSPARRAEIIVYGGDEPIDVVRRMAPDIK TASRKSLKSCLTGYIGYGWTGLLPDECKHAMMLVPINIAPWLWGWPDRFLNRMQDAGT DVFVLGPYRGNDFSTGIDDPAQLARLPPNYAAGIWTNEIEAIGKLMK YP_002974747.1 MEVDDDELIHFEAHGAAPLPPAAVDGHVAHDGARIWYASYGAGP PVILLHGGLGHSGNWGYQVPALLRRGRRVVLVDSRGHGRSTRDSRPYSYELMAADVLA VMDELHLDKAAIIGWSDGACIALILAMTAPSRVEGVFFFACNMDPSGTREFVPTPVID RCFGRHAKDYGALSTTPDDFNPFVEAVSLMMRTEPNYQASDLGLIRVPVAIVLGEHDE FIKLDHAEYLARSIPNAEMIYLTGVSHFAPLQRPGEFNVAVVNFLDDIGTRKNEDLRR YP_002974748.1 MISIDIEERVSIAQKSLSDAMSFQASGDFESAEKAYVRVLHKDY RTIDILPLLASVVAKRGDAETALYYWNKLLGLNPGHLVALMEKGALLRQLGRSAEAVG CYEMARGLSPENPLVRNNLAVALADSGRQPEALAEFRHVLRLQPDNINAWHQIRRISS LIVPFWHIAMMNDTRRNDAFEAAIRRAIELRGADAQILDIGAGSGLLSMMAARAGATN IATCESVPAIAQTAERIIAANGYREQIDIFEKSSTELSVGREMKARADILISEILSSD LLAEGVLKTFEDAHARLVREDAIVIPRAASAIGCLVASETLSNYAHVGEVSGFDMSQF NALAPLRLPVHGTMTEWTRLSDDFEIAAVDLTARKHSAALRKISVPVQANGSAVGVVQ WMKVDLIEGVVFDNHPDGYHDGGWLQVLHTFPQPVDVHAGTSLDMLVGHDGTSLLMTP IA YP_002974749.1 MRPDALLYPAPEGLYCPEGGFYVDPVRPVEQALVTHGHSDHARP GHVNVLATRQTLDIMRLRYGDGFCASEQAAAFGEELLVNGVKVSFHPAGHVLGSAQIA IEKNGTRIVVSGDYKRRPDPTCAAYVPVPCDVFITEATFGLPVFHHPDPMEEIGKLLA SLRQFPERTHLVGAYALGKAQRVIRLLRDAGYAEPIYIHGAMEKLCDYYIEQGIDLGE LLPATIESRDKSAFKGAVVIGPSSAFADRWARRFNEPLPAFASGWMMVRQRAKQHGVE LPLVISDHCDWPELTETIRELHPAEVWVTHGREEALVRWCQLQGIKAKPLHLVGYEDE GD YP_002974750.1 MKAFADLLDRLVLTPSRNGKLKLLTDYFRDTPDPDRGYGLAAIA GTLEVRNVKPAMLRELVLERMDEVLFRYSYDYVGDLAETISLVWDNERDIDRSALAQP RLGEVVTGMNALGRTEVRSYVRDLLDRLDSSGRFAFIKLATGAMRIGVSARLAKQALA DLGDKDVAEIETLWHGLQPPYETLFEWLADGGEKPVLATPAIFHSVMLANAVEEGDLT SLDPVNYAAEWKWDGIRVQLSRSGDRRKIYSRSGDDISGAFPDILDAINFSGVVDGEL LVGGTARSNSPTRTFSDLQQRLNRKTVTAKMLGDYPAFIRTYDLLFDGDDDVRGRTYV DRRDRLTEIIDRAPHDRFDLSPLVPFSSWEELDTLRAAPPDPVIEGVMIKRRDSIYQA GRMKGPWFKWKRNPYNVDAVLMYAQRGHGKRSSYYSDFTFGVWADDEDGEQLVPVGKA YFGFTDAELEVLDKFVRNNTTERFGPVRAVRADKDFGFVVEVAFEGINRSTRHKSGVA MRFPRIARLRPDKPSYEADRLRTLIAMIEAKPA YP_002974751.1 MKLQALRKMTQGNIFNSMCIGGNDLPVQILHAGKMMGAL YP_002974752.1 MSSLEQNSFFHQRRAVLAGLAGALVLPRMAAAFDVPDEPRLAKH DYAKVRHHFRTKLLQKGPAPDKYELLNAPADADKIFYRSGYGELELAAWVSKYKRERA AKPAVLFLHGGNAMGIGHWQLMKPYMDAGYVVMMPSLRGENGQMGNFSGFYDEVDDVL AATERLAHLPGVDPERLFIAGHSIGGTLTMLTAMTTHKFRAAAPISGNPDAFRFFNRY PQDIRFDDSNKHEFEVRSALCYAHSFKCPIRVVHGTEEPHFNDRADLLARRARAAGVH IETETIAGNHTSALPAEIEQSIRFFHGVAA YP_002974753.1 MIVPNDFPELKSLAWNRDPARAMPASEAFALYERNWRFVDTGRL TEREAQLIRELAATHGNGVLLVS YP_002974754.1 MEFRRPEHRTIAAALQLMEADFLLANKCWFAGGTAIVMHLDEYR LSLDVDFLCADGEGYRVLRMAAVESGVGAFFAKPVAALRDIRTDQYGIRTALTLEGQP IRFEIVREARIALEGTLDPQLNIPMLSLPDMFAEKLLANADRCQDRAVAYRDAIDLGM LLTRYVEIPAVAVLKATEAYGQDIERKIGWVLARLEDETELRHAASVLQMDGDVAAQA IAALRGEARRLWSS YP_002974755.1 MNFLRRVFPLAGLVIAVASLSGCNILIPDVAADSPARFVQETSP VFYQPPGVDPRRVRPIPDQPVPQTRDLYKTQFHQTYGLPVTNPVHMAMYGQQRDEDFT LPAIPVSRVQPQFLRQEVDYQTAERPGTVVIDTKAHFLYFVEGNGKAMRYGVGLGRDG YAWSGRGVIQWKQKWPRWTPSVEMVSRQPEVRPFGAENGGMNPGLMNPLGARAMYIFK DGQDTLYRIHGTPDWQSVGKATSSGCVRMLNQDVIDLYDRVSAKAEIVVM YP_002974756.1 MSFDRHLSRRSFLSFSALTAASALAGCASSANTVTSGDTTITYR SPMRLFQSPGASSVPSGAELAVMYGPIEDGGFLIPAVPYEQIDPRYYRQRVVDPTGQP PGTIVVDTPSRFLYLVQGDGMAMRYGVGIGREGFAWQGSGVIQWRQRWPRWKPPNEMV ARQPELVKYSIENGGMEPGLKNPLGARALYIFSNGEDTLYRLHGNPDWRSIGKAVSSG CVRLMNQDIIDLYDRVPTKAPIVVWQ YP_002974757.1 MNSGVVKWFNGTKGFGFIQPDDGSTDVFVHISAVERAGMRELVE GQKIRYDLVRDKKSGKNSADNLQAA YP_002974758.1 MGRPNYKVGDMIVLKSGLTRTAKADKRCRISSILPNDHGHVQYR VQFDAENFERRITEADIDTGESPSRASPEAAAKSDGAEPWLKFSSIKIGK YP_002974759.1 MQVLVRDNNVDQALRVLKKKMQREGLFREMKARSAFEKPSEKRA REKAEAIRRQRKLARKKLQREGLLPAPKKVLRPTR YP_002974760.1 MTATKGEFFNPAKLSSRDKAEATDHTARAIIAAEASARDKKTEK LKALRLQQEAEAEPKAAPAKKRRSPAKPRP YP_002974761.1 MKAQTTKTMEIPQEEKRLEKQLCFAVYATAHAFTRAYKPILDKV GLTYPQYLVMLVLWERGELPVKTIGEQLDLDSGTLSPLLKRLEQSGLLKRIRDLRDER QVIVSLTPQGQAMKGEIDTIMTAIGQAAGCTLEEMAEMRDMLHRLRGNLGRAGTGG YP_002974762.1 MPILYTTKASATGGRAGRAVSENGVLDVTLTVPKELGGDGATGT NPEQLFAAGYSACFLGALKFVAGQQKVKIPEDTTVSAKVGIGPREDGGGFGIEVALTV NIPGLDRETAEKLAAAAHIVCPYSHAMRTSTEVPVTVA YP_002974763.1 MSNSIEIPFSTTLLVRDTCLCLHVQRAARALARLFDDALRPAGL TNGQFSLMMSLNRPEPPPMGPVAALLAMDQTTLTAALKPLQRKGWVKVMENPRDRRGR LLSLTVEGKAVLARALPIWESTHAMIDGKLPDGGAARLRQDLQALS YP_002974764.1 MQNEVVSREEWLEARRALLAKEKEATRLRDSVNAARLALPWVKV DKDYVFETPEGRRSLADLFDGRSQLLIYHFMLGPDWDAGCTGCSFLSDHVDGALPHLN HHDVTWVAVSRAPLDKIAAYKQRMGWQFPWVSSFGSDFNFDYHVSFSPEDLAKDEVFY NFTPMQPADANDELPGLSAFYHNDRGEIFHTYSSYARGPEELIGTLMILDRAPKGRNE DSTMNFVRRHDEYDEAPKAPSCCH YP_002974765.1 MKTAEVLKEHSFLERLIGDWTVTAPDMTGSEPWTETVRSLHGIW FVAEGTGRMPDGKEATSILTLGYNAAKGKYVGSWIGSMMDYMWVYEGELDASGSVLDL YTTGPDFNSERLADYREQIIFTDADHRTFISSAKQPDGSWKQFMEAHYARKI YP_002974766.1 MSETHGKFIWCELMTPDTSAAAKFYSSVVGWTTSEMKMEGMPTY TIFEANGIGVAGLMEFPAELEGKGIPPNWTGYVDVDDVDQSAKDFAASGGSVRRPPED IPTIGRFAVVADPHGAVLCIMTPAPMEKPMPELAFDAPGNIGWHELYAGNGEQALAFY SKLFGWTKDSEFDMGPMGVYYLFAHNGRQTGGMMTKPENMPMTFWCYYFIVPTLDAAI ERVTSGGGKVVHGPMEVPGGSWIIQATDPQGAFFCLVAPKR YP_002974767.1 MHIRLVACAVLALSVAVPAVAADLTYETPPAPSAGEVSSAYDWS GFYLGAQGGYSWSQAKILGSDQDIDSGTSGLHAGYNFQSGNIVYGIENDFNYNFEKND NANLEWDASGRARVGYAWDRTLFFATAGVAAGGGKVDISAAGKKDGILIGWTAGGGIE HAVTDNILVRGEYRHSDFGNKDFGSTIGDVGATQDKVLFGTSYKF YP_002974768.1 MTLINIRNLGVTLGSPLFSKLNLVVNGGDRIGLVAANGRGKSTL LACITGALEPSEGEITKARGLTVGHVAQNVPPALFDTPFYDAVLQALPADQAESESWR VDVVLESLEVPEVMRGRPLKQLSGGWQRLAMLARTWVSEPDVLLLDEPTNHLDLEKIA QLESWLNALPRDVPVVLSSHDRAFLDATTNRTLFLRPEQSPIFALPYTRARAALDEAD ASEARRYERDMKVAEQLRKQAAKLNNIGINSGSDLLVVKTKQLKQRAEKLEDAAKPAH LERSAGAIRLANRGTHAKVLVTLEDAAVTTPDGTLLFKTGRQFICQGDRIVLLGLNGA GKSRLVSMLKQAIERPDTARDGVKATPSLVLGYGDQALADLADTDTPIGTIIRRFDVG DQRARGLLAGAGMTIDMQAKPIGQLSGGQKARLGMLVLLLAEPNFYLLDEPTNHLDIE GQEALEGELMAHEASCLLVSHDRSFVRAVGNRFWLIEKKRLVEVESPEGFFASLESGV YP_002974769.1 MLIAHLPAGYILASAARQRSAAPGIMTAALVGSVLPDFDMVYFF LTGGKVHHHGYVSHWPLFWLALAIVALPVIGRLASRWFPAAAVFFAAVVLHMIMDTVA APILWLAPFDWSKFELTTVPATYSNWVVSFMLHWTFALELLICVTALIVAVCRSRRVG GRQQA YP_002974770.1 MPASITLSQISWSAPDGRPLFSNLDLSFGAERTGLVGRNGVGKT TLLKLVSGELQPHSGTVSVSGSLGVLRQSVQVTSDETVADLFGVTDALAILRHAEAGE ATADELASADWRLEARIAAALNRTGLDALPETPLAVLSGGQRTRAGLAALVFTEPDFL LLDEPTNNLDREGREAVIALMSGWRAGAVIVSHDRELLESVDAIVELTSLSATRYGGN WSHYRERKALELAAARHDLTDAEKRIAEVARKAQATVERQAQRDSTGRKTAAKGGIPR IVLGGMKERSETTGGNNARLADRRRAQALDEARAAREKIEILQPLSVTLPPTGLPTSK TVLKMDGVTSGYLPGDPVIRNLSFDVTGPERIAVTGRNGSGKTTLLALITGELKPWAG TVNVMTDLSMLDQKVSLLDPSISIRDNFRRINPLSDENTCRAALARFMFRADAALQTV STLSGGQLLRAGLACVLGSAPPPLLILDEPTNHLDIDSISAVEAGLRAYDGALLVVSH DETFLQSIGITRRLELSPADPG YP_002974771.1 MIEDGKIFIGASRNPDDSINKPEYLDLKFGNRHGLVTGATGTGK TVTLQVLAEGFSRAGVPVFAADIKGDLSGIAAKGEPKDFLTKRAEQIGFTDYEFDQFP VIFWDLFGEKGHRVRTTIAEMGPLLLARLMDASEPQEGVINIAFKIADQGGLPLLDLK DFSSLLNYMGENASQLSNQYGLISKASVGSIQRALLVLEQQGAEHFFGEPALKISDIM RTSNNGYGQISVLAADKLMMNPRLYATFLLWLLSELFEELPEVGDPDKPKLVFFFDEA HLLFNDAPKVLTERVEQVVRLIRSKGVGVYFVTQNPLDVPETVLAQLGNRAQHALRAY SPREQKAVRTAADTFRANPAFDCATVITNLGTGEALVSTLEAKGAPSIVERTLIRPPS GRVGPVTDDERRQIMDRSPVLGVYDEDIDRESAFELLAARAKKAADAEAAKRAQEEAP QQQGGTTSGWNLPGFGGGNDDDNQGRGQSRGRTSSYQRETVVEAAMKSVARTVATQVG RALVRGILGSLKR YP_002974772.1 MNEIVTQIADRVGIAPDLAEKALGMMLGFLQREAADGPVAKMIE AIPGGADLVAQFNGAGAGGGGLLGGLMSSLGGGGIMGLGQQLMGEGLGMGEITSLAKE TIAIAKQYAGEEVVDEVVASVPGLSQFV YP_002974773.1 MTVWRPSQQIRVKVIGLAWRKDQLLAAEVEDDSGRIKGVRPLGG AIEFGESREEALHREFQEELETDIRIVGPWHLLENIYEHHGATGHEYIFAADIELADA SLYERDEIHYSELDETAATARWFCRDSLRDAGIDLYPTGLDRLLSRWRD YP_002974774.1 MRMFLAAASIILLGFVAPAFSQALADMDSSGRFGGMPPGTVPGA ESNGGLMIPLDPVETGDITVVIPSDRTICPRPGTRQYRAAERDGTLSDACR YP_002974775.1 MDAAPTPPVTLVIFGATGDLTRRLLVPAIINLTRSRLVGEDLHI LGIGIEPGDDEFLRGRLDQFLSHLSGEEPMVKDEAWESLRRRISYTSGDFTKDDIFVE IGKRLGPHANAAFYLAVPPSFFGTIVEKLAAHGLTDESDGVFRRVAIEKPFGTDLASA QALNAQILAQVGESQVYRLDHFLGKETVQNLMTARFANMMIESLWNSRYIDHVQITAA EIVDVGSRGKFYDATGALRDMVPNHLFQLLAMIAMEPPNSFDAEAIRNEKSKVLKALR VYTPEEAKTHGVRGAYAAGPLNGAQLPAYRDTKDVSPDSRTETFVALKLYADTWRWAG VPFYLRTGKALTARDTEIVITFQPVPFAQFRETDVKRRLPPNRLVIQVQPDEGMSMEI SIKSPGLSVDTVPVSLDFRYADKFDIAKTTGYESLLYDLFIGDQTLFQRADGIEAGWA VVQPFLDIWANDQSVPDAYAPGSMGPASADELMQRDRRQWHELGVVLHKNDK YP_002974776.1 MAWSANQYVKFEDERTRPARDLLAQVPLQNLRHAIDLGCGPGNS TELIIERYGADGVSGVDSDMNMLEAARKRLPGTAFVEADLTRWQPDEPADLLFANAVF QWLPDHLDIFDRLMDGLSEGGVLAVQMPDNLGEPSHLAMEETAHAGPWKSAFEAKSVR RRSLPPPSTYYSRLIAKAARVDIWHSVYNHPMADAADIVEWVKGTGLMPYLAHAGEEH REAFLADYLERLEKAYPKMSDGRVLLRFPRIFMVAVKG YP_002974777.1 MFLEIGIVAFLTILNGVLAMSELAVVYSRTARLKVLSDNGSKGA AQAIKLAENPGRFLSTVQIGITLVGVLSGAFSGATLGGRLSGWLEAQGMSSTAADAIG VGSVVVAITYLSLIVGELVPKQIALREPEAVAARVAPAMAVLSKIALPLVWLLNASGN LVLKLLGQTGKAGENVSDAEIKTVLAEAQSAGVIESEESAMISGVMRLADRTARALMT PRRDVEIIDIDDSLDEIRTQLHRTKRSRLPVRKGSSDEVIGILPVKDFYDAMSEHGSA DIKALTQDVPVVSDLSTAINVIEAIRKSPVHMVLVFDEYGHFEGVVSSGDILEAIMGA LQEGPVDEQAIARRDDGSYLVSGWTPIDEFAEFLNLKLDGDLEYQTVAGLVLEELKHL PELGESFTRDGWRFEVVDLDGRRVDKILVSAE YP_002974778.1 MQFKSATGRNIFSVAACGVIATIAASGVLFYIAYNDMRKSSLDQ MLQIAATNALNVEKSMGVALGIVNALETSLSTMKDGGNANRAAADDLLKNMLQDNPMA LGVWTGWEPNAFDGKDKDFVGKEGHDTTGRYVPYWVRSGDKIQHTPLVDYGVSGAGDY YQLPFTQKKIVVIEPYVYAVDGKDVLMTSVAKPIMVDGKALGVAGMDISLDDANKAIS AVHPMETGYLSLVTGAGSIISHPSAELAGKNIKDGGDLTAGWDQLIAKPGVAQEIAGP DGQSYFSVAYPVKLTNDLNWYAVVSVPKSTVFAQLNNMAWSAVAITAIAALLLGLAGW LIARKFIRRIEGVIAETDRIAHGQLDVQLNDKNAKDEIGDLSRSLAILLESNRQKIKL ETDAETSRSREEIERQERSVLHAAREDSIKFAVSELGNGLASLSNGDMTIRLEKPFAD SLDEIRVDFNASVEKLQGALISFSENAAVIQAGSEEIRSGADDLARRTEQQAASVEET AAALEQITTSVKDSTLRAEEAGTLVSRTKDGAEKSGEVVRNAVDAMTGIEQSSQSISN IIGVIDDIAFQTNLLALNAGVEAARAGEAGKGFAVVAQEVRELAQRSATAAKEIKALI TSSGAQVKRGVDLVGQTGKALQAIVGEVQQINSNVQAVVQAAREQSTGLLEINTAVNQ MDQSTQKNAAMVEESNAASHTLVTEVSALSERLAQFNLGQMAKAAPVARTAAKPLARP VAATLAARRQVPASATDHARPAPSPARALGGKLAAAFGTSAAPASTEGNWEEF YP_002974779.1 MTFPNPSAAFDRMLIMGNGGAGKTWLARRIGEQLHHPVVHLDDM HWEPGGYGIARDRALRDEMVMAAAERDVWVMEGVYGQLANMVLSRTTILIWIDLPEEE CIANIKERGIQGGESQTQFDGLLKWVAEYRSRTNNWNSFETHTRLFSVFSRPKFLLSS REAVTDFCRPIVHEHRRGHR YP_002974780.1 MNEPRFPNDEGEAAWMVVQVSADEHWNAYHDIRRAVLFEARGLI GYDANHPDDRRDGHFPLLLLLENTPVGAARLDLMDDAAAWVRTVAIRAECQGKGYGRL LMAGLEKFASRHGVKRLVCGARRRRILRGARMDRR YP_002974781.1 MLIIFGGLPGSGKTTIARALAERLNAVHVRVDTIEQAIRASGIA DDAGPAGYIVAYGIAGDNLTLGRTVIADSVNPLRITRSAWLSVAQAAGVTAAEVEVVC SDKAEHRNRAETRLTDVEGLVKPTWQEISERAYDEWHHAIVIDTASKTVDAALDELVS RLKSLPPNA YP_002974782.1 MSNSFVKMPASDEAKRFFVLGDRVERRLRISGAWLNIFDVTVPS GSRTPKHAHASPEVFRILEGRLTIWRLSDSGPEEIEASAGDIVTIPPFMVHGYSNRGT VPVVFSAIVDRDMAEFIEAEGATEPPKASPSAETIARMTAAANAYGITILAA YP_002974783.1 MRSINVNDHVNAGTAWKDSPREGQEQPPRSMRSFCVGGITGAEP FEPFRPQSFPHS YP_002974784.1 MSSLPVVGAAMTLDEVELHRDWLFEKSRDLELQSFVDAEVLNGD WAPLAARARRLLDGHGGRLGIHGPFWGFTIASEDPDIRAIVTRRLLQGLDVCAAIGAT HMVIHSPYTSWSYNNLDDNAGAREALVERTHMTLRDAIRRAEDIGCTMVIENIEDKDP HIRVALAESFQSPAVAVSIDTGHAHYAHGYTGAPPVDYYVKAAGNRLQHVHLQDADGY ADRHWSLGEGSIRWHAVFRALAKLESNPRLIIEIKDKSKIPASAAYLASIGVAE YP_002974785.1 MKAMFYEAFEQAPEIRTVADPTPAEDGVVISVGASGLCRSDWHG WMGHDPDIRLPHVPGHELAGRIVATGRGVMRFKVGDRVTVPFVSGCGHCSECHSGNQQ VCPNQFQPGFTHWGSFAEYVAIDYADTNLVHLPDTIDDATAASLGCRFATSFRAVADQ ARTGPGEWIAVHGCGGVGLSAIMIATALGANAIGIDISEEKLAFARECGAVATVNASG VADVAEAVREITKGGAHVSIDALGHPVTCFNSIKNLRRRGRHVQVGLMLGEHATPQIP MAQVIGHELEIYGSHGMQAWRYDAMLSMLSAGKIAPQKLIGRRISLEEAVPALMALDK AEATGISVITRFS YP_002974786.1 MAVDTTPRSTTWTHVDGEWFPGNPPLIGPTSHAMWLGSTVFDGA RWFDGIAPDLDLHCQRINRSALAMGLKPVKSAEEIVALAWEGVAKFDGATAIYIKPMY WGEHGSPGSVVAVDGESTRFALCLFEAPMGGHGGTSLTVSPYRRPSPETAMTEAKTGS LYPNSGRMIAEARSRGFDNALVRDLNGNVVETASSNVFMVKDGVVMTPAANRTFLAGI TRARVIDLLRKAGFDVHEAALSVEDFMQADEIFTTGNYSKVVGVTRLDGRDLQEGPVT RKALELYMDWAFGRSESEE YP_002974787.1 MVIAAFLGIACAAYHRALDFWPLDSANGLRGLLDLLGGPLLFLS VAGILFVWFIGVLVALFRRRILGMVSRLIAIIIVPVCFGTMITVPLFDPWLWYVIFNK SRFEASAAASGSAQNGTIIEGRDVSTGIAGAGYNHFVALIFSESDPPKLVYGDSDEEK LAANSVLTHLYGNFYRRDEYF YP_002974788.1 MDRLTSLTVFGRVVECGGFSAAARRLNMSVTMVGNHVQSLEDRL GVRLLNRTTRKVSLTETGKYYYERSLQILAELDEADRTAGALSTTPRGTLKVYTSSAI VRFLLPVVGEFMELYPSISLDFSVGERMVDMIEDGYDLVMRTVPPPDSSLVARKLTPW RHMLVCSPVYFESHPMPKTPAEVADHNCLQYAYYPYGDEWRFEDGEGKKESVKISGNV VSNSAEMLRFLTLTGRGIFLAPSFVVFDDIAEGRLVKIMPDYRPIEFNINAVYPNRSH LPTKVRLFIDLLAERFAEHRKWMT YP_002974789.1 MVELPRKDIFEGARKAEQILAEEAAKAPVAEAPAMPVAEAPIAD APVAGAPKKTGRRIVKRAVIAALLLAGVAFAGDFGYRYWTVGRFIESTDDAYVKADYT TVAPKVAGYISQVLVNDNDAVKAGQVLARIDDRDFQAALSQAKADVKAAEAAITNIDA QISLQQSVIEQARATVDASQASLDFAVSDAARSARLITNGAGTQSRAEQTQSARDQAA AAVERDRAALVTAQNKVPVLQTEREQTVAQRDRAAAAAQQAELNLSYTDIVAAVDGTV GARSIRVGQYVTSGTQLMAVVPLHAVYVVANFKETQLTYISPGQPVEIKVDSFPDISI KGHVDSVSPASGLEFSLLPPDNATGNFTKIVQRIPVKIVIDDEPLSGLLRSGMSVEPE IDTKAVQTSAAAKEGSSNPAG YP_002974790.1 MATLQIAANSNALARPAAAAPAAPAVMSPLRMWAAVVGSTLGAF MAVLNIQIVNASLADIQGAIGAGTDDGGWISTSYLIAEIVVIPLSAWLARVFSLRNYL LTNAILFLIFSVACAFAANLQQMIILRAIQGFSGGVLIPMAFTIIITLLPKAKQPIGL ALFALSATFAPAIGPTIGGYLTENWGWEHIFYVNLVPGALMVGLLWASLDRAPMNLKL LAKGDWPGIVTMAIGLAALQTVLEEGNKEDWFGSDFIVRLSVIAAVSLTLFLIIELKT ANPLLSLRLLLRRNFGFGIVANFLLGIALYGSVFVLPIYLTRIQGYNSEQIGMVLAWT GIPQLLLIPLVPQLMKRFDVRLLIVVGFALFAASNFMNVHMTGDYASDQLFWPNIVRA IGQALVFTPLSAIATAGIEQENAGSASALFNMMRNLGGAVGIASLQTFLSKREQFHSN ILTHSVSIFEEATRDRIARLTGYFMSHGVSDQALAGHKAVVAIALKIRKQANIMAFSD TFFLLGVALVVALLATLLLKKPGQLSGGGAH YP_002974791.1 MTTAIYAPDTDRLPTKTAGHAVSIGALLSIIEWLSGDECHALDE AGLVSGLGRRLQALGVPVDRLTLHLMTLHPEFIGRTIAWAPGEPVEIHDREHGARVAI SNTPLRKVMETREPLVVDTGESVHGRWQHIDVFADRGLMQLVIAPLCNVDGPVSAAVF GTRRPGGFTASERQVIERILPALRNTSELRILRQVELSLLDTYIGPLTASRILAGRIR RDEIESMEAALLLCDLRGFTELSNRLPGSTVLGLLNAYFDRIVPAITREGGEVLKFMG DAVLAFFPGYDAAHSCGAALASARAILDEIDHFQYEGIGVKAGIALHYGEVSYGNVGS GRRLDFTLIGGDVNLVSRIQTACSELGEALLMSAPFRDEAGAGDVVSVGAHGLKGFAD PVELFTIVR YP_002974792.1 MTVLFDEQGEIIRELGVAADIDPEREIERRTAFLKDYLVASGMR GYVLGISGGVDSLTAALLAQKAVRELRDSGHAAEFIAVRLPYGVQADEADAGRALETI GADRSMVVNIKAPADAMLAAAQDGGLAFADAGRQDFILGNIKARQRMIAQFALAGALG SLVIGTDHAAEAVMGFFTKFGDGAADILPLAGLNKRRVRLLAKRLGAPDELVFKVPTA DLEDQRPLRPDEEAYGVSYDEIDDFLEGKPVGEIARRRILAAYRATAHKRALPVAVSA L YP_002974793.1 MKLYMHAAACSLSPHIICRELGLDIELVQVDRQTYRTSTGEDYL AINGNGYVPALVLDDGKVLTEGPAIVQFLADSVPEGAALLPEVGNIRRNEVQSYLNFI TAELHKPMVLLFNPIYSSVHGEVRALISKRLAWLDGRLAGPYLTGDAFTVADAYLFVC LNWSPWTDIDLNQWPALHAFMARVAARPKVREALQAEDLEAFDADGVYFAPHAYLASS GRTGEPVRP YP_002974794.1 MTLVKNLMRNGTNMKDEKKRGRPRAFDTKAALGKARDVFWDRGF AAASLDNLSAATNLNRPSLYGAFGDKEDLYLDTLEGYRQDGMNTLAEALDPSLPLRDN IARVYAGALAIYLHGETAARGCLLIGTASAEAVQHERVREVLGRSLNDFDDEIEKRMR LAVEKGELPRSADPQMLARLASAVMHSLAVRARAGDSRETLEAIARSGVELICGSANN P YP_002974795.1 MTDTQLDAASARPGSIHWKRNLTISLIGSFTTIVAMTLLLPFLP LYVEELGVSDHADIVQWSGIAYGATFFAAALVAPLWGRLGDIYGRKLMLVRASLGMTL AISLMGMAGNVWQLVALRLFVGLAGGYASGSMVLVATQTPKDRSAWALGVLSSGIMAG NLVGPLIGGALPPIIGIRGTFLAAGGMIFLAFLATALLIKEEKSPARKQAAKASGGWK SIADKRPVIAMLATGMLLMFANMSIEPIITVYVAQLVPVESQVTMVSGVVMSAAALGS ILSASWLGKLADRIGHWPVIAGALAVAGLLLIPQAFVTSSWQLIILRFMMGVAIGGLL PCIAAVIRHSVPDSAAGSILGFSISSQYVGQVAGPILGGFVGGHIGMRAVFLGTSVLL LAGAAYAWIVRPRDGETREST YP_002974796.1 MSDMCTSREQTVRQLYAAYLDDRKDIVGAMLTEDFTFSSPRDDH IDRATYFERCWPKEPVFRGFDIEFLAIDGDEAVVRYRAEKRDGGSFRNIESLRFRGDK IASVDVYFGRNL YP_002974797.1 MTSDIFFFIVVGFCAQIVDGALGMAFGVLSTTSLLAFGVPAANA SAMTHVAEMFTTAASGISHAYHRNVDWRLVARLAPAGMIGGAIGAYLLANIDGKAIAP FVSAYLIAIGLVILYKAFRPPPKRDVRDWMVPPVGFCGGVLDAIGGGGWGPIVTSSLV SRGHDLKRVIGSTNFTEFAVTLTISLTFMLTLGWSELNSAIGVIIGGVIAAPFGAILV KRLPVRPLMVAVSMIIIGTSAIRIL YP_002974798.1 MSELRSRADDQQKIYQRWAPVYDRVYRGILRDGHRKLAALAAAA GTDILEIGVGTGLTLGHYPRHCRVTGIDISDHMIARAREKARREKLHHVQALDVMDAH ALTFADRSFDVVCLPFVITLIPEPERALDECARVLRPGGEIILASKLGDGAGLQGAIE TAVAPLVRHIGWSSAFRIHRIVAWAERRGDFAAADILPVFPNGFFKIIRLKQRGLAG YP_002974799.1 MNRKIIQPLTAAVLLCLAGAAHASSDDAWKQLAVDVEAKCKKAA VTIEKPSATVDPFGSSHYGLALVIGKPKGAKGLIAQICVYDKQKKTVEIGSELDAKTL GLMPAK YP_002974800.1 MAFELPELPYDYEALAPFMSKETLEFHHDKHHKAYVDNGNKLAA EAGLSDLSLEDVVKKSFGTNAGLFNNAAQHYNHIHFWKWMKKGGGGNKLPGKLEAAFT SDLGGYDKFKADFANAGATQFGSGWAWVSVKNGKLEISKTPNGENPLVHGATPILGVD VWEHSYYIDYRNARPKYLEAFVDSLINWDYVLERYEEATK YP_002974801.1 MSSSASPLFRFGIIADPQYAAIAPHVAMDRYYANSLAKVAEAIE VFNGEELSFVMTLGDVIDRSFSSFDDILPVYGKLRHEALFLLGNHDFSVSSGHLAEVA ARLGMPSPYYSFVRHGWRFIVLDGNEVSIFAPPEGHPHRALAAEMLAELQAKGARNAH RWNAALSDEQFAWLGDEIAKAAAAGEKVIVMNHYPVHPPSEHGMWDSERTVALLASSS NVVAYLNGHDHVGNYGMAGACHFLNFKGVVDTEMENAFAIVEVYASRIEIRGFGREVS RTLSI YP_002974802.1 MSADLKQALNGYMDAHGGGDGLFATAIDGFFLMRSSTLSMPKPA IYRPALCIIVDGAKQLMFGDRLFDYEAMQALIISVEMPAFGQVTKASAERPMIAINLE LDVTILREVLEEMDAPPKPAGDGGPGVFVQEFGPELQDCILRLIRTLATPKAIPILHP AIMREISFWLLSGKNGDEVCKLALPDSHTRRVAEAIYLLRGNFADAVRVEKLAAAARM SPSSFHQHFKILTTMTPLQYQKQLRLLEARRLMVTDGFNAANAAYQVGYESASQFSRE YARMFGTPPKRDISEMKAMPAEPAIS YP_002974803.1 MPNQNSTSKIAIVTGGSRGLGRNTVVHLARSGVDVILTYNSNRD EAEKVVAEIEALGRKAAALQLDAGNVAAFDAFVESVREILKGWGREHFDFLVNNAGTS YHAPILETTEAEMDKLYNLHFKGVFFLTQKLLPVIEDGGRIVNLSSGLARMAMVGASA YGSIKGAVEVLTRYMAKELGPRGIAVNAVAPGAIETDFSGGMVRDNPEINRAVASMTA LGRAGVPDDIGPMIASLLSDANRWVNAQRIEVSGGMSL YP_002974804.1 MRKASRLFEIIQILRLARKPMTAATMAETLEVTVRSIYRDIAAL QAMRVPIEGGRGIGYIMRPGFDLPPLMFSIEEMEAIVLSLALLERTADEELKQAARRV NRKISGAVPAPLRQAMDNKALYAWGSIAQPAGFDLAIVRRAIRDERKLMLGYRDELGR ASERTIRPVALIYYSQTANIVAWCELRQAIRNFRSDRVERCATAEDFFKGDGDRLREL WTSGWTEKPIAV YP_002974805.1 MNYHENLWLFFTLLVGIIIVPGMDMLFVLANSLTGGVKRGLAAT GGIMAGGAVHSAYGAAGVGVLVTMLPQLFNVLLFAGVAYMIWIGISLIRSSITVEAVE PGTARSGWRAFRQGAVTCLVNPKAYIFMFAVYPQFLRPEYGPIWMQGLIMGVMTVVTQ FAIYGTLAMTAGRSRDLLVANPDVTAFVGRFAGLLLVAVSAFSLWQGWKSA YP_002974806.1 MNWKAAAAAVAIAGMAFSSVTAADGTHDSRIALMKQIGGSAGAL AAIAKGTKPYDAEAVKAALTTIAATAKVFPDQFKPGTETGDKEASPKIWENMDDFKAR AAKLSTDAETALAQLPADPAAVGATMNTLGANCAGCHKAYRIKE YP_002974807.1 MVRRFIRVLLCLIGVAVLGGGAFYLVTAPDPLPESHWAGLGAPD PANGQMVFWAGGCVSCHAAPGSEGDAKLTLSGGLALKSPFGTFHVPNISPDEKAGIGT WTLAEFGNAMKRGVGPGGEHLYPSFPYGSYSRMSDKDVNDLYGFLKTLPKSANVAPPH ELPFPFNIRLALGGWKFLYLNDQPRVALAKSDDKIKRGQYLVEGPGHCGECHTPRDAL GGFKADQWLAGAPNPEGKGRIPNITPASESIGGWSEADIASYLETGFTPDFDSVGGSM VDVQQNIAHLPASDREAIAAYLKAVPGR YP_002974808.1 MPDFKLHCFALSGNCYKVALFLTLAGIEWESIFVDYLGGETRTE AWRKTINEQGEAPVLEHGQTKISQSGIILDYLSEVTGHFGAQTAEERRDIMRWILFDN HKFTSYYATLRFMYGLQKSGETPVVEFLRLRAKAAYAIVDEHLAHQAFMVGDRLTIAD LSLAGYVFMPEETGIDHSAFPAIAAWKDRISTMPGWRHPYDLMPGPTSL YP_002974809.1 MTEIEDSEISLMRPSVVTIRAAKPRDLPELGEMIALLAAHHGDA AATTPEQLERDLFGPLPWINALVAETSEGLIGYAILVPLYRAQEGKRGMDLHHLFVRD GHRGHGTGQLLVDRARETARNAGCGYLSVSAATGNVLAHRFYEQLDFTPRPVTGMRYM QSIA YP_002974810.1 MSHTTLQKKKLVARISRLKGQMEAVERALEAERPCGEILQLLAS IRGALTGLTGEVLDDHLREHVLNAADDAARAEAVEEISEVLRTYMR YP_002974811.1 MSAGIDKVGIDALEHDHVFLGTDHARNERRIWLVIALTAVMMVA EIAAGTVYGSMALVADGWHMSTHASALLISALAYLFARRQARNPRFTFGTGKLGDLAG FASAIVLALIALLMAWESLLRLSNPVPIGFAQAIAVAVIGLAVNLVSAWLLAGGGHVA HGNHAHHGHGNHAHAHGHHHHGHGDHAHHAKTGDNNIRAAYLHVIADALTSVLAIAAL TLGSLYGWLWLDPLMGIVGGLVIANWSWSLMRSSGGVLLDVVPEGETLPAEIRGAIET EGDRITDLHVWQVGPGHHAAIVAVLTSQPRDPAFYKGRLSALEELSHVTVEVTRAA YP_002974812.1 MDLSLTRRMLIGSALCLPVLTLRANAEEGAKESAKESAGEGDDN IEHRLAALEKRTGGRLGVSVLDTDTSISFGYRGSEAFPMCSTFKALAAAFVLARADKG EENLDRRVTYGKDKLVDYSPLSEKHAGTDGMTVAELCEAAVTVSDNTAGNLLLESFGG PAGLTNWLRSIGDGTTRLDRTEPTLNEGRKGDPRDTTTPDAMLNTLGNLTLGSVLAEA SCDRLIAWLVTSTTGKERLRAGLPADWKVGDKTGTGPNGSLGDIAVIWPPDRGPIVAA VYISETTVPVKELNPLFAEVGRMIVEMV YP_002974813.1 MEISSNIRNYYGIGGLFDQPAKSKNQQTTDFTKTARNTAPGLEP SSTPPSIANTIWALQSSEGPYINPPSDEEVAASAAHDSLVDEFSKWGNMTPAEYIRAR YLEQHDLTEADLAAMPADQRAAIEKEIADQIKREMAGIEDDGTETADDITAA YP_002974814.1 MAIIVTSIFFIIVGLTLSGGGLWLVTLGGSVFYLFAGLMFLITA GLLLMRKAVALWVYAVLVVAALAWAIWEVGFDWWQLGPRGGMIILLGLWLLTPWIRRP LGLRSPTGITYAANPWPLAAPVILAILVALYSMTTDPHDLAGELPKDTVAANPAFGGS VPDGEWHQYGRTPFGQRYSPLDQITAENVSTLKEAWRYQTGDVKRPDDVGETTYQVTP LKVKDTLYLCTPHNWAIALDAKTGKEKWKYDANSGMNPDRQHQTCRGVTYYADPTVAA GQPCAERVYLPTSDARLIALDAADGKVCTSFADQGVLHLETGMRFNPAGYYYSTSPPV AVAGKIIVGGAVNDNYSTEEQSGVIRAFDINTGALVWNWDSGNPDVTTPITEGQTYTT NSPNSWSVFSVDEALGMVYIPLGNQVPDQIGIGRSDNVEKFSSSIVALDIATGQLRWV RQTVHHDLWDMDVPAQPALIDLTKQDGTVVPALVGPTKQGDIYVLDRRSGEPIIPIKE IPAPGGAVSGDHTSPTQPISDLTFSPKPLQERDMWGVSLFDQMACRIDFHRYHYEGRF TPPSLKGTIVYPGNFGTFNWGSVAVDPERQIMFGMPTYLAFTSRLVPAADIPPRGQDE KGSEQGLNRNDGAPYGVFMGPFLGLLQIPCQAPPWGYVAGVDLRTGKIAYMHKNGTVH DMTPLPLPFKVGVPGIGGPMLTKGGVAFLGAAVDNYLRAYDVTSGRELWQGRLPAGGQ ATPMTYMTDDNKQYVVMVAGGHGSVGTTPGDYVIAYTLP YP_002974815.1 MLLRFFRYYSPYKQLFFLDFGCAVVAGLLELGFPIAVKLFVDTL LPQRDWWLIAATAGLLLVIYLFNTALMAVVNYWGHALGIGIETDMRRQAFDHIQKLSF RYFDNNKTGHLITHVTKDLEEVGEVAHHGPEDLFIAIMTFIGAFILMFTVHWKLALLT TAIVPFMTWLVSRYGAKMTLSWRDLFRQVGEFNTRVHESVGGIRVVKAFANEDHERDL FARNNEGYRATKLNAYAYMTASITLSYFSTRFVQLVVMISGTWFVISGELSYGGFVGF LLLVNVFFRPIDKITSVLESYPKGIAGFKRFTQLIDTAPDIADRPNATSVDHLTGNIV YSNVSFGYTGDGKVLDGLELSIAAGETIAFVGPSGAGKTTICSLLPRFYEVDSGSILI DGIDIRDMTQKSLRSQIGIVAQDVFLFGGTIRDNIAYGRLGADDAAIIDALRRAALDE YVLSLPNGLDTVVGERGVKLSGGQKQRLAIARIFLKNPPILILDEATSALDSATEYAI QQSLSALSKGRTTLVVAHRLATIRNADRIVVVGTSGIVEQGTHSQLLAREGGYARLHQ AQFGASGPTA YP_002974816.1 MTSALQKNRPGAAFPFDNSYVGLPPHFFAAQAPTAVAEPWLIKL NEALAAELGLDVEALRRDGAAIFSGNLVPEGAEPLAMAYAGHQFGGFSPQLGDGRAIL LGEVVGRSGKRYDIQLKGAGPTPFSRRGDGRAAIGPVLREYIISEAMFALGIPATRAL AAVTTGEPVYREEVLPGAVFTRVAASHVRVGTFQYFAARGDTDGVRALADYVIDRHYP ALKEAENPYLALFEAVSERQAALIARWLHVGFIHGVMNTDNMTVSGETIDFGPCAFMD AYDPATVFSSIDQHGRYAYANQPGIGQWNLARLGETLLPLIDAEPDGAVDKANIVIKS YGERFQAHWLAGMREKIGLAGEEDGDLDLVQALLSLMQAQGADFTLTFRRLSDLAGDD AAEPEFAASFREPDARGAWLTQWRERLSRDPQTATERAIAMRRVNPAFIPRNHRVEQA IEAAVENGDFSLFEALLSVLSKPYEDQPGFVAYREPPKPDERVLATFCGT YP_002974817.1 MSDQIYQAPMVRRATPNFRVIAMIVASAMLMENIDATVLATALP TMARDFGVGAPAMSIALTSYLLSLAIFIPASGRMADSFGSRTVFRSAIAVFVVGSILC ALAPTLSFLVLARLLQGIGGAMMMPVGRLVLMRSVDRKDMVSAMSWLLVPALIGPIVG PPLGGFIVTYLDWRWIFYINVPIGIIGMIFVSIYIDEVKGKASGPFDTIGFVLSGISL GSLLFGFEMSSHEGEGAFSIFLIAIGLLFGIAYLRHARKHPSPIMDFSLMKVPSFGTS VIAGSLTRITQGAQPFLLPLLFQIGFGLSAAAAGQIIIATALGALAMKPMAKFVFRRL GFRRSLILNGILGTIGYGLCAAFRPDWPMPLIFVVLVLSAFFLSFQFTAYNTIAYDEI SKERMSSATSFYTTFQQLMLSLGICIGALALHGSMALSGTETPALGDFSAAFIVVTII SITATFWNLRFSPTAGEEITGYRAKQRKGAVAES YP_002974818.1 MAKYRNCLPQLKGGTFITDGGMETTMIFQEGIELPHFAAFILLA SEDGRQRMRNYYRRYLDVSRRHGTGFVLDTATWRANPDWGQKLGYTSEALKAVNEEAI DLLVGLRSAYERPEQPIVISGAIGPRGDGYKAGFMDAAEAEDYHAFQIGAFAGTEADM VSAFTLTNIDEAIGVARAAQALGMPSAISFTLETDGRLVTGRSIQEAIETTDAMTGGA PAYYMINCAHPTHFETALDPGSAWVRRISGIRANASTMSHEQLDNSETLDAGDPEDLG RRYRTLIDRMPELRVLGGCCGTDHRHIAAICEACLPQAA YP_002974819.1 MRKGEETRTRILDVAEAAVLQKGFGGTSIEELIAETGITKSGFF YHFRDKNELAKALLNRYIENDERIYDEIFSRARELTGDPLQSFLLGLKLLSELLSDLP NGHPGCLVAGICYHERLFDREIQEINRNAVLAWRRRFGRMLREIMEVYPPREPVDVDQ LADMVSSVLEGGIVLSKTLKEPNTLAEQVLMLRTFVKMLFLPVAEMPRASSGSAFHGG AEPH YP_002974820.1 MPVYIALLRAVNVGGTGSLPMAELKAICEGLGFSDVKTYIQSGN VLFRSDEAEKTVEERLDEALGKTMGKRPGVMVRSRKELDGIVANAPFPDAKPNFLLVY FLPEKAPGDALETMVALDGEEAKLAGREIYVHYPNGSGRSKLKLPAVKSGTSRNLNTV RKLAEMAAALEDGD YP_002974821.1 MSVFKSLPTPPAAPKKPISDTRHGITRTDDYAWLRADNWQAMFK DPSILDPEIRRHLEAENIYMNAAMEDTKPLQKVLFAEMRGRIKEDDSSVPMKDGAYAY GTFYVTGGEQPRFFRIARDGNVADETIRTVLLDGDKEAAGKAYFRLAGLDHTSDHNRG IWGYDDKGSEFFTLQVRDFQTGQDLADRIENTGGGGVWAPDGKSFFYSALDENHRPSK VFHHILGQPQSEDRLVYEEADAGFFMGVGGSLLDDFIYIDIHDHETSEYRLLSTKDLT AEPKLVAAREEGIEYSLTEGGDVFYILTNDGGAKDFKIMEAPVDNPVKENWREVVAHK PGTLVISHMAYARHLLWLERKDGLPQIMIRNRETGEEHAIAFAEEAYSLGLQGAAEYD SDIIRFSYSSMTTPSQLYDYNMVTRERTLLKTQEVPSGHNPDDYVTRRVFAPAWDGEK VPVTLLYRRDTPLDGSAPCLLYGYGAYGITIPAGFNTNCLSLADRGFVYAIAHIRGGK DKGFSWYEDGKMDKKTNTFKDFVAAADYLNQQKFTSYAKIIAEGGSAGGMLMGAVANM APEKFAGLIAAVPFVDVLNTMLDDTLPLTPPEWPEWGNPIDSQEEYEQIASYSPYDNV GAKAYPPILALGGLTDPRVTYWEPAKWVAKLRDKTTGNAPILLKTNMDAGHGGASGRF QRLEEIAFEYAFAIKVAGKM YP_002974822.1 MPKRLVLFLSLASLAAPAFAVDPAIKKQLEKLDPSTRLEQSCDT EAMSRINKDSTGFKPDKVIAYTFKDPIPGDNSLDAPGAVFRSKGDWYHLSYNCITGPQ HINVRELNYEIGEKVPREKWDKYYLYD YP_002974823.1 MNRILLASAFLLVNISAAFADETPSALPAPAAVSPVAAAVSSVP AAVSPVPAAVSPAPKASAPKLVEPHLHIARSNLVGHARIALTFDACMGQADERILSTL VRERIPATIFVTARWLKRNPAALAVFLQNPDLFELENHGQNHIPAVDTPTLIYGIASA GSPQAVRQEVEGGAAAMVAAGIPAPHWFRGSTAKYDLSAIGEIRAMGYRIAGYSVNGD GGSLLGAVITEKRIASAKDGDVVISHINQPTHTAGEGVAKALVDLKAKGTQFVRLQDV EDTGDDKTTE YP_002974824.1 MSRAVWNVESEIRNELHPAEVVVWTGQPSAWGTFVFKCPDVLFG VIFVIVGSPALLWANNGVISIDQPAGIMGIIFPGIFMLIGISTIAGVVFDMIATSRTV YAATDRRLLIIRNMIRRRATTIAPTAINVVEAREKWDRSGTLTFRREGDGDSVKKFAF IGIPNVREVAKHVEELRMSARKQAS YP_002974825.1 MASDLDLAESDHDQMVSGRSLWGKSGIRPEWRPIEQSFSTDVAV IGGGITGALVAEHLTARGFSVAIIDREEPGFGSTAASTAMLQWEIDSTLTELEDYYGF ERAAGIYRRSGAAVAGLSKLIAANGIVCSFRPRNTLYLADGREGARDLLEERQLRRRA GLPGVYLEHPDLFTQFELDRDGAIYSPGSAECDPLLLTWALIEMAVRRGARLLDASVT ALHSEGDHVTAETDGGHVIEARHVVLATGYSMPGLDMPKLHRSTSSWALATVPQDPAN FWRDRALIWEDSHPYLYMRTTADNRIVAGGEDDETIDAEARDRKLPAKTMAIQEKMKR LWPKADTRVEHAWCGTFGETVDGLPLIGPVPETPHVFAAYGYGGNGITFSYLAAQMIG AMLAGMHRDWFEDFALDRDGPGLARFHSGMHRSETESQRR YP_002974826.1 MGLRGPHRMGIANGIRKQAKKIAIGERHTSAWAQSLKEAAEELP PPPLHRLEKDGLDVSMAWAIIGIFGILFLAAVYLMSLILIPITLAVVVGMILGMAAEK LSRMGVPRLANAFILSSSVALVIFLLINSLADPLMTLANEGPAFAERTINRVMPYLER IRWLHITPATFESGSMSIGALLENTGNVLHVVTTSLTPALVQGLIFFAALLFFLAGRV NLRKTIIMTFRTRTQRLAAIRVINAVEQVLGFYFATASLIYVGLGVVMTVIAYAGGLS APVLWGFFAFLSSFIPYLGITLMTLAVAVAGILTHDGLLIGLMPAAAFFTVHLLMENL IFPAVMGRRLEINPFVVFLAILFWTWMWGAVGAMLALPLSLIVMTIIDELLIEEKPQP QLPK YP_002974827.1 MTTETSYALTRPAYIDQSHLIVTDLGLVSGFYQSMLGLKVIEKT ASGEVLGVGDLPLLTLTTAKNAMIAPRNAAGLFHTAFLMPDRTELARWLRHAAQNNVT LDGASDHLVSEAIYLSDPEGNGIEIYADRPHEQWKFHEDGMVEMATLRLDLQALYNSA PDERWDGMAAGTAIGHLHLQVGDIPQADAFYRDVLGLKLMARYPGASFFASGGYHHHL GANIWNSRGATARAGNMTGLSDYKVRFNDKATLDAAVSKLDALEINSEKRDGGVFLKD PWGIGLTLSA YP_002974828.1 MYRKIIVAIALGGIDKGEKILRKAASLLDEGGEIIALNVVEDVP SYVAIELPANMVEDAMKDGREKLEALIAAAGVTATIEIRNGPPAKAIISAAESHGADL IIVASHVPDFSNYFIGATADRVVRHAKCSVLVDRQKV YP_002974829.1 MGEFNGIRWAYDRYELIADGIVHGVGLVLALIGATVLIFYATVW SSHGALAAAWIYGVGLVLTLAISFSYNAWPVSRTKWYLRRFDHSAIFLLIAATYTPFL ERGADDPLLLFMLVAIWLFAAVGIFLKCVFPGRFDRLAILLYLAMGWSGVLVAEPVAS RIPAASMLLIVIGGVIYSLGVIFHVWEKLRFQNAIWHGFVVAAAAVHYSAVFTCFSLS PPGL YP_002974830.1 MPAFANNMNPSIMTDAVLLRDATEADLSAIRDIYNHAVEHTTAI WNDTLVDLENRLEWFKARKARGFPVIVAEMSGKVAGYASYGDWRAFDGYRHTVEHSVY VDKDCRGGGIGERLMRELIVRAAAGNIHVMIAGIEAENTASIRLHEKLGFRIAGRFSE VGTKFGRWLDLTCMELRLPGKVD YP_002974831.1 MNSSMAKHGSSLGLLALVLLAFAPVAARAADCGSLASPKLDWQE CTKKNLMLQGSDLEGANLVGTDFSLTDLAGANFKSANLEKATLVRASLEGAHAEGANF AKIEAYRSSFANIAADGASFAGAELQRANFAGARLAGASFEKAELGRADFDKAVLTGA KFSFANLSRADLSGATFETTPMFDRAFMFLTHIEGLDLSAATGLEQTQIDLACGDTST KLPAGLSAPTTWPCPAEHE YP_002974832.1 MSETATTAKIHKTDADWKEQLTPEQYRITRQHGTERAFTGPYWD SFETGLYRCVGCNAPLFRSDTKFDAGCGWPSYFEAVSPESVTEHRDTAFGMVRTEIRC GSCDAHLGHVFPDGPPPTGLRYCINGHSMVFEPGK YP_002974833.1 MFETAIVLLYGLVAVAAMAVTLLEGWANHDGVTLHRLAGLFACL LWPLTLVVFILHGCIARLLTRLSRSTA YP_002974834.1 MADVTALTFLAMCLPLVGALAAPFVIRIFGANGAWLLAVAPLLA FLHFLRFIPKIARGEVVAGGYSWVPSFHLSFSWFLDGLSLTFALLITGIGTLIVLYAG GYLKGHKDQGRFFSFIFLFMGAMLGVVVSDSFLMLFVFWELTSITSFLLIGFDHEREA ARRAALQALVVTGGGGLCLLAGLLLLWNISGVTEMSRLMGAGDIVRESPLYLAALILV LGGAFTKSAQFPFHFWLPNAMEAPTPVSAYLHSATMVKAGVYLLMRLNPVMGATPAWE ILLPFFGGLTLVVGTALAIRQTDLKLKLAYTTVSSLGLLVMLIGFGSDHAVEAAALYL VAHSLFKGALFMVAGIIDHETGTRDITRLSGLMRAMPLTWMIALAAAFSMAGLPPFFG FLAKEEIYMALVGGDIRALTFTAITVFGNALMFAVAFAVALKPFLGQPVETPKPPHEA PVLLWLGPAVLAVLGLLAAIFSTFTHTVLSSPIASAIRQTPVEIDISLTPHIGLPLAV SALTVLLGIGVYGQLARARFLMAVFLRAAGPGPDHGFDVALSGLVRFSGRVMRVLQPG RLEIYVTCTFLCVAAILIIPLVVYGELPRLPAWPADVRLYEWAVFLIAAVGLAAVLVA RDRLTAIVSLGIQGFAVAIIFLLFGAPDLSFTQFMVETLSVVILALVMTRLRLSPADR RPLGRKLFDGALALACGLGFTLLLMRATQAPFNDALTEFFNAYSKSIAHGANVVNVII VDFRGTDTLGEIAVVAVTGLAILALIRIRAGSERKLAANDPATEG YP_002974835.1 MNTLIFRTVAPFLTALMLLFSVFVLLRGHNEPGGGFIGGLIAAS GLAIYGIARGVGAVRRALFFHPLSIAGFGLFLSTAAGLLSILFAVPFMTGLWIYPTLF GAEVPLSSVMLFDIGVYLVVLGAITSIALALEEKEVE YP_002974836.1 MMEPLLAILVGLFFAAAIYLMLSKFSIRIMLGIAILGNAVNLLL FTGGRLTREVPPIIPAGLDSLPAGTANPLPQALILTAIVISFSFLAFLLVLTYRAYQD LGTDNTSDMRAAEPDDRPLPPLGY YP_002974837.1 MAAATSTVDLSAALITAPVPIGHWLAILPVAHCITLGAVLLMLR AYPRLQAWLAIPGLAALALIDAALLAKVAADGPLTMVMGRWLPPFGIAFTVDLFGALM AFTAALAALAGGIYALADIGESGRRYGFFPLLMLLMAGVTGAFLTGDIFNLYVWFEVL LISSFGLIILGSEREQIDGALKYAVLNLIATTLFLVGVGILYAAFGTLNMADIAAKAG DLRGTAPLMTLASLFLLAFGMKAAAFPVNFWLPAAYHTPRIVVSALFAGLLTKVGIYA LIRVMVMLLPVERQELSPVIALAAAATIVVGALGALAENDIRRLFGYVVISGIGNMLA GVALGGLGGISGAVFYALHSMVLMTALYLAAGEIARRGGGFSLSALGGLYRQSGGFTA LSLVLFLAACGLPPFSGFWPKVILVKASLDLGAWWLAASILVGGFLTTIAFGRLFLLA YWRPAAIALTPSGPRWRTGLPLAALTALVIGFGILPEQLLALSQSAAAGLADPHAYLH SVFPEGRAP YP_002974838.1 MIFFLFNLLLATAWVTVTGSASLHNLVLGVLLGALALVIIRESF GGKGRIPRVLPVFSLAALFLKELSLSAWKVTVTVLSPDMKLKPGIFAYPLTVTSDFEI TLLANLITLTPGTLSVDVSTDRRTLYVHALDCSDPEATRRDIANGFERKIMEAFR YP_002974839.1 MITPAAIVAAASSAALVILGLALILTVWRVVAGPTLPDRILALD MLTGIAIGFIAVIAVKTGFSLYIDIAISLGLVGFLATVAFARFVLSRGNIKSKPSASA ANGDTQKRRAGGKKR YP_002974840.1 MIDYIVAAVTALLLIVGSLFTLAAAIGLVRLPDLYTRMHSASKA GTVGSGLLLLAAGLYSQDPAILARAIAGFVFFLLTAPVAAHLLARVAHRSGYDLGALS VRDDIRDR YP_002974841.1 MTDIATGSAPELLVELTADIVAAYVSNHVVPVSDLANLISDVHS ALSNTSVPQPAAAIVEKQKPAVSVRKSVQDEQITCLECGGNFKSLKRHLMTHHSLSPE EYREKWDLPTDYPMVAPAYAEARSRLAKEMGLGQRRKRGRG YP_002974842.1 MASLDQIIDDFAFLDDWEDRYRYVIELGKALPDLAEERRTSENK VMGCASQVWLVTHTAGDPDNPVMSFEGDSDAHIVRGLVAIVLATYSGKTASEIAGLDA FEIFSKIGLVENLSSQRSNGLRSMVNRIREEARARAAA YP_002974843.1 MWFLIKGSFWFGLVLVLLSVFSTESSDKLASGPQLQLSDAFTAA SGAYDYLTGMCSEKPEVCAKGTETLTALGYRAREGARVAYELLDSQFKDETPATAKLA DPQVPVALNMPSLTTPAIQEKVREAKAALNQPMPYRPPVEDDASSETVVTGAIPLPTP KPAT YP_002974844.1 MTALVDRAATSWLSRTGGGEAVRQRELAILRRLVLLSSAALVAA PIGLSAVTSPAVALPAGVAMVCAAFLFSAVGSIALARQGSAAGIATQSAEDFFLAATP GLVFFLDPHGSVATIGGRDRRDFLGWMRDPKGRGFLEQVHVSDRILFLQALDGLRRGE DAQSVDLRLDRPSVSRDQRQFAYLRMDMTARRDADGELAAIIAQLRDVSVEQQLRDEA QNRAADAESANDAKSRFLAAVSHELRTPLNAILGFSDILIGEYFGKFENDRQREYVGL VRESGAHLLSVVNTMLDMSKIEAGRYELILEAFDISSSVKSCESMLALQAKTKGLTLT SRIQRDLGEVVADQRAIQQILINLVGNAIKFTEAGGVVSVDAAARDGILKLTVSDTGI GIPADKLALLGQPFVQIQNDYTRRFEGSGLGLSLVKGLVALHGGYFAIASQPGEGTII TIEIAADGSGAQHAEDAGHGATVEFPPRLKGAVNSGVELKEGRFDGRAQAKIA YP_002974845.1 MAARKRKSPKGKRGRQQPGLLMSGAAALGGLGLQGASVLGGVGL QGASVLGGVIGRNPSVAGGAITFAVIFSFVAANALWYQPGLHPHPIFRTRDPQSPTVL GARRPAEEQQGDVTTFRIERPRDTATTNATPAPAAPGQQPSQLVMDIQQQLVRRGLYS GIPDGIIGPRTSAAILFFEETVGMTQTGDPTPEVLVALKTDAAGPSTVPAEKPPEDVS SKAAAEDPVAAAIRSAEKTVKTAPSAAKQVPSSEITNVDLVLKIQKGLSNMAYANVGV DGVAGEQTRAAIRHFQKHYNLPEDGEPNQAVLKKLKEIGAI YP_002974846.1 MLANPNFLLHRSKMISDPLSEMLNLLDARCLVSGGLIAGGAWAL RFPRPNRIKISAVAKGRCWLCLDNGSEPILLEAGDVALLNGRHSFVLASDLAVTPTDA VGAFKEKVDGLARHGVGEDFHYLGGHIALGPQGMELLSDVLPPIIHVRAALAEAGVLR WLLDQLVREMAAKRPGALLASTQLAQLMFVQVLRAHIMSSAPLTVGWLRAFGDDRIAP ALRLMHGDPGRSWQLGELAKAAGMSRTSFALRFKTVAGVAPLTYLTGWRMRLAERELR EGSMPVSALALSLGYTSESAFSNAFKRMTGMAPRRYRVAMAREAGPIEEVVDVEGQAM TTHYRLLKAAS YP_002974847.1 MSTPQAPIGSGFGAASTATDVIAGHDLSGKVAIVTGGYSGLGLE TARVLAEAGARVVVPARNLEKAKAAVESIPGLALEKLNLMDPGSIDDFADRFLESVEP LHLLINNAAVMANPLTRDARGYESQFSTNHLGHFQLTARLWPALVKAEGARVVAVSSR GHVFSGVDFDDPNFENREYAPYLAYGQSKTANALFAISLDALGAKHGVRAFSLHPGGI VTTDLVRHQSSDFLKTSGYVDEDGKPVIDPQNNKKTIEQGAATTVWCAVSEKLEGLGG VYCENCDIATAVPGDSTEMLGVRPWATDPELAERLWQLSERLTGFIAS YP_002974848.1 MHALKAIDDLAAEPVVEAVGPDPEALRHILLRLAEEASTLGIDL VDIAGAIQDMAGMSARHASAFDHVTRTALSIADTNRSVAVSLRETDRTAAEARHMLKE SADRLTGSVAEIGHMVQSSNEIGTEIAAFSKSLADVDNIAEEISIIARQTNLLALNAA IEAARAGDAGKGFAVVATEVRALSLQTSKATGSIQQTLDELRVKIDRLSAVGSDARDS AAGVRDKSEAMRGAFESMEHVITRILDSSTVMANTTEAVDQQCAGFVEKLGEMSAEVA GSNVRLQQAAKRVDSVVGLSETLIQLTASAGVKTADSRWIEEAQSVARQISGAFERAV AEGQIGLDALFDRRYRPIAGSDPAQVMAAFTELTDRLLPPIQEPVTTLDERIAFCAAI DENGYLPTHNRKFSQAQRPGDTVWNTANCRNRRIFADRVGLAAGRSTAPFLVQTYRRD MGGGNFVMMKDISAPITVRGRHWGGVRLAVKV YP_002974849.1 MRLRADIFVSALLRRVFASGDFAAVEKKGAEEAGAIFIRQHFRD GLETLYAPAPQTAFDEGQAGDRLFEIRLSRGEPEAVRTMLERERKFDPDLWIVELEAE ELGDMIPLAKDG YP_002974850.1 MRDRFRDLEGPLAVRKKDVVLMATVSSFENLSHPTRSELRQFAE LFMPLFQASSDEAKRQAVAALSQCQNMPAAVALFIGNQPIEIAAPFLAASKAVADDTL ITIARMQGAAHVKAIVSRDSLSPKVIDALVALRQSQPRSASASAPVMESPAVPLSPAP TETNEADALEEQRIANEEALRERILGLAGHLGRADEDRLGLRTLTDIQEALLVRFARS REATHFATALADALSASRWLAERIMLDLSGQQLATTLTSLGMGFLDAVFVLERLYPHL AEQQHNVTRGWMVLDALDPEECHERVEAWRRADRYTYKPEAPAMPAPAATPDYRFIRQ APPQRDMRVMGRRSR YP_002974851.1 MSLLVNSSFSPDDLDVLRSALDAWCTERRIDIKSVDAQFAASAA LDLYQAGYDSREKLLHALRDQRA YP_002974852.1 MDFTLPANVRAGASCSTGMTGPRAFAPFPRHLIEIP YP_002974853.1 MAIVVALADRQPRAPRRPDKEPREAKILWFTGVRYERLAESPKH RPAPAPRVNKK YP_002974854.1 MLRIFFAVLTGLFGAALLHLVIILSLPHFTGRDAATRVEAEGDL NNFYLLGNQYDEAGLANGDPFLRTAVCSFDVEDAPVHFTAKGNVPFWSIAIYDSASNE VFSMNDRTSVGGALDVLAGSPIQLTDLRKNLPQELQQAILVEMARPDGYAVLRTLAPQ ASFDEAARNFLTEAGCEQFAAR YP_002974855.1 MFRFPLFIAITLIVAFGGGIMISLYALDATQGFGAIKLGAWEAF PALQTVEADPYAKSHRARAGKLLYGSAEGLTFTASVDDEGARLNAGCRYRISGQTPPA RLWTLFTADNGGNPAAVTTGHPSALNSWTVLRQQDSGFSIEISAVAQPGNWLALPQAG TFRLVLTLFDTPTAGSSGVIDLAMPKLTKTGCGNA YP_002974856.1 MQDPDNPGNGPGNGPENGPEKRPGKAASEAAEKRPAKSRHILLR IDSWIDSTVWNAGFRAAEIWEDITIFFRRFRVRGWKRMVFELAGEGLTLGTAGSVLML LLAQPAFEATKEDWRNRGDFAVTFTDRYGNVIGHRGVIHQNSVPIDELPDSLIKSVIA TEDRRFFDHFGIDFIGLFRAMSENARAGEVVQGGSTLTQQLAKNLFLSNERSIDRKVT EAFLALWLEANLSKKEILSTYLDRAYMGGGTFGAAAAAQFYFGKNITDVNLAESAMLA GLFKAPAKYAPHVNLPAARARANEVLTNLVQSGLMTEGQVIAARRNPATVVDRNEVES PDFFLDWAFDEVQRLSSRFHQHSLIVRTTIDMGIQQAAEDSVETSLREYGEAYHAKQG AMVMIENGGAVRAMVGGRDYGESQFNRASRALRQPGSSFKVYTYAVAMESGMTPQTTI VDAPIYWGNWSPHNYANRYAGRITLETAIAQSINTVPVRLAKEKLGIQPIRAMAKNLG VETPIRDDVTIPIGTSEVTVLDQATAYATFPAGGYQSRRHGITQILDYDGDVLYDFDR DEPAPKRVLSEQADAYMNQMLSRVPYVGTARKAALDNGILTAGKTGTTQAYRDAWFVG FTGNYTCAVWFGNDDYTSTNEMTGGSLPAMTFKRAMDYAHQGVTLRAIPGVENPLPSE KDLAKTAAAKPQDGLPQLIRPRMLSVQSTDILKKLGEKLKNAAPLAPAKVASAE YP_002974857.1 MAANLSDTRREFSEYQEYTEGLIDFAADNKRDA YP_002974858.1 MNDLPFWKSKTLAEMTVAEWESLCDGCGLCCLNKIEEWDSGDIY FTSVSCKLLDGESCRCSSYENRWDFVPDCVQLTKENVPDIAWLPPTCGYRLVNEGRDL YWWHPLVSGDPETVHAAGISARGRAINENEIDLDDLEDYVVDWPLTVGEEKDDEDA YP_002974859.1 MNLSFLFVEWLGTPLWMWSSFFALVIAILSFDLGILHKQNKEIG VGESVRLSALYIALGLTFGGFVWWYLGSEAGLAYMTGFVVEKTLALDNVFVIALIFSF FAVPRIYQHRVLFWGILGVIVLRAIMIGVGATLVAEFAWLLYIFAAFLIVTGIKMLVI KEAEPDVSKNILVRFMRSRFNVTEEHHGERFFVRKANPRTGRMTWFITPLFMALVMVE VADVIFAVDSVPAIFAITTDPFIVYTSNIFAILGLRALYFALAAMIHRFRYLKPALAI VLIFIGSKIFLADLLGLEKFPAALSLSITFAIIAAGVVWSLLKTRDPQQPA YP_002974860.1 MISNFIGWIFALLVVDPLQAEIQQQAERARLPVEIVRQSQACLT SQGPKLIERAAGDYGWAAATVVSIAIGHTAPAELLDGSDPQCAALVGALKGAGAGA YP_002974861.1 MRDGDQLKMDCVMSDQAGNRRPPRGVATARLREMLELARAKGGL SIGEALEAMGSTSIAFTILFLAIPALTPIPGPFGMVFGTALALVSLQIVAGGRKVWLP AIVRDRRVSSAALDLVVGHAVPVIARVEKVVRAGRLQALTGPTVQALLGIPVFLLAVV IALPIPFGNILPVFSLVVLAVALMERDGLVTLIGLLLTLATIVATAALLYFIKAMIFT AS YP_002974862.1 MPRRRTLSDEQLLAMVLALIHAEGPEAATFAAVAKTSGLSGSTL VQRFATKAAMLRAALLYAWDRLDAETARLAEVVPKTQEGAVALLVGLSQDYGDNAAAY GEGLLVLREDFRDPVLRARGAAWGAALTAAIARCFGSAKAPETIARLMLSQWQGSLIW WGFGAEGPVAAYVQTELRHFLAAVPVHSG YP_002974863.1 MTAKLREKVALVAGATRGAGRGIAVELGAAGATVYVTGRTTRAK QSEYARPETIEETAELVTAAGGKGIAVQVDHLIKQQVEALVARIRSEAGRLDILVNDI WGCEKLFEWDKAVWEHSLDKGLRMLQLGIETHLITAHYALPLMIERPGGLLVEMTDGT AEYNATHYRLSPFYDLVKTGVTRMAWAHAQDLAKHGATAVSITPGWLRSEMMLDAYGV REENWREATKVQPHFAISETPHFVGRAVAAIAADPDRARWNGQSLSSGGVAKTYGFDD IDGSRPDCWRYMVEVQEPGRPADVTGYR YP_002974864.1 MAPLGEPDENPERSRGNAELFAEKRWLKILAGYRQPRAGRSAFE LAVTVVPFALFWAAAWAAVHYSFWPGLILVLPAAAFLLRLFMIQHDCGHGSFFARRRV DDWVGRTIGILTLTPYDYWRRAHAEHHASAGNLDERGVGDIETLTIAEYNALSRWGRL GYRLYRHPIVMFGIGPAWLFLFKQRLPFGMMRSGALPWVSTMATNLAIALVAALLIWA VGIVPFLLVHLPTVLLAGAAGVWLFYVQHQFEETHWSKEPDWQFQHAALHGASHYDLP PVLRWITGNIGIHHVHHLSSRVPYYRLPEVLRDHPELADLGRITLMESLRCVKLVLWD EQTKRLVSFREAARLAAA YP_002974865.1 MTTLLTMYHFDYPLFLIRSAVVIALGLSAVAAFHGVTL YP_002974866.1 MAKGQVRSNREVRKPKKDKQAAKVESTFANQMKTATKTAPQGSA PKK YP_002974867.1 MRKTLLLCICVAAAGYFGWVQFGAYQQRQQARDLADIDRERRVA LLEVDGCQAKVDMLLSMTDRLLKAGGMLVPLDIGRDIELCLDRGIMSTSGRAEMERTK LIRLFSVND YP_002974868.1 MSSTFEKSHLTSSDLDMLQGVLDDAGYDAKILIGNERVFNVAAI LLIRLFEEGVTSPAMLSQALEHHFGKLKTPPKPVTPVFNRYAIQGLPRERRAAG YP_002974869.1 MTLSKSRSGAGLALLLLCAANFLDAMDVSTIGVALPAIQAELGM EATSLQWAVSAYVLGYGGFLLLGGRVADVFGHRRVFLWSLAIFAAASIAGGFVNSGPT LIAARLVKGIAAAFTAPAALALLLSVFGEGTARAKALGVFSSTGAAGFVLGMVLGGAA TIISWRATLVMGAPVAILTLFVAPLVLPADPKRTGPRPAFDWAGALTITPGLLLFVFG ITNAAAAGWQAFATWGSLVASLALILLFLVVEARHADPMVPLGMFRRAKLRHANAIAA LFQGAYVGFQFLATLYYQNVLGWSAFTTGFCFALGGVFVMFLAPRFATLAQNRGATGL MAVGVGLQAFSYIFWVTALGHVDPILLVLFSQIPLGLGYALTYPSVQVAALSDVEDDK AGLASGLLFASFQIGGGIVLAAASAVFGAAPHFGWNPYVAGIAFVALLAVAITLLAAA GPRTSAARRPSYQAAE YP_002974870.1 MMNDVTLRKIDLNLLLAFSVLMQERNVSRAAERLLLGQPGLSAA LRRLREALDDELFVRVGRGLQPTPRALSIAPAIEDALSGIERAIRPQAEFDPASWQGE FRIGMCDNLESAFFGPLAARLLQLSPGARLIGIASEKRDAARRLDEGVFDFSVAMHDE PASWHIRAPLFDQVSICIYDEAQLGLKAPLSLRDFANVAHVTVSFEGNTSTGIDMALN RTGDVRQVVATVPRFSALPMVLKAMPAIATVPESIGRCMAQLHGLMLCEPPLSLPADP VTMLYRRVDQTDGRARWFRRLFIDVANKALEASGCCVSIPRAAA YP_002974871.1 MFDHISIGVKDLARARRFYDAALTPLGYERLSNSDSMIGYGPER VGLWVMQVEQPVVADMRSGLHFCFVAPDEAAVDAFHAAAVASGGTDNGEPGVRPDYGQ FYYAAFIIDPDGYRLEAYFHKGEL YP_002974872.1 MLIRYGYEMTLTCPQPTALVCLLSVHDDRAADIRIPETVFTTPD VPTSSYRDLFGNRCLRLVAPAGDLTIWGDATIEDDGKLDRSLPGAREVPVSELPDDCL VYLMGSRYCETDRLSQIAWDMFGTVSPGWGRVQAICDFVHGHIQFDYMQARSTRTAFE AFHERVGVCRDFAHLAVALCRCLNIPARYINGHLGDIGIPVVDPMDFSAWIEVFLDGA WHTFDPRNNTPRIGRIIVARGRDAADIPLINSFGPHVLKAFRVWTYEVTNVEPMQNVA EEAV YP_002974873.1 MALAFLNQSRSFDAARNAVRFIGHDGMFQVLFFVEVGALAKSDA ALQRTEPSETGWLSSFDALRNSIQDVAHKAYSYRRRAFYTLTAADFR YP_002974874.1 MNSHEDEAERRRNAVAVGTWENKCGASGRYLMEVEHDRRIESAR SWTVYRVSASVPVHADHGMIGKSRSEAGEDMMSFNLLNIGYRKEWLRLSDAARSGRQT PPVQPWR YP_002974875.1 MTATRIPQRNGRSIRREAATHIFKVGQTVRVKDGFLVVPSKLPD TYRITATLPLRGNVLQYRIHSDDERHERVATEDSLELVNLSPAGSGATLIERTFGHGH GPNDERLTS YP_002974876.1 MTSRTTQTVVRFSSPFRLPGFDGAQPAGEYRVDYDEELIDSVSR LAWRRVGAFIHLPAIAAQSSTQQMMPIHLSDLETAIEKDHKPS YP_002974877.1 MKFRSLHDRVVIRRAEGDVKSKGGIIIPDTAKEKPQHGEVVAVG PGLRDKSGNLVPLDVEVGDLILFGKWSGTEVTIDGETLLIMKETDIMGIVEKTEAAAD KAA YP_002974878.1 MSAKEIRFSTDARDRLLRGVELLNNAVKVTLGPKGRNVVIDKAY GAPRITKDGVSVAKEIELADKFENMGAQMVREVASKTNDLAGDGTTTATVLAASIFRE GAKLVAAGMNPMDLRRGIDLGVTAVVKEIKARAMKVKSSGEIAQVGTIAANGDAAIGE MIAKAMDKVGNEGVITVEEARTAETELDVVEGMQFDRGYLSPYFVTNAEKMRVELEDP YILVHEKKLGSLQAMLPILEAVVQTGKPLLLISEDVEGEALATLVVNKLRGGLKVAAV KAPGFGDRRKAMLEDIAVLTSGQMISEDLGIKLDNVTLDMLGRAKRVLIDKESTTIID GSGEKAAIQARIQQIKAQIEETTSDYDKEKLQERLAKLAGGVAVIRVGGATETEVKEK KDRIDDALNATRAAVEEGIVPGGGVALLRAKSALTGLTGENADVTAGISIVLRALEAP IRQIADNAGFEGSIVVGKLAGSNNHNQGFDAQTETYVDMIEAGIVDPAKVVRTALQDA GSIAALLITAEVMIADIPARDSAPAAGNGGMGDMGY YP_002974879.1 MSVQSSSKTSITQRFESYQPSKTLLVWACVVTAIATMIIGFSWG GWVTGGTSSKAAVAAGDTARGELASAICVERFNAAPDASAKLIEFKAITEGYKQRQFV EAGGWATMPGQTSPDSRSVQACATALAV YP_002974880.1 MIRFVKKSDPQPPADDNRFQKIREAAADEHKKAANDSTPRRAPR APEDEDPLI YP_002974881.1 MMTNNRQKPIRWGMVGGGRGSQIGYIHRSAAHRDDVFALAAGAF DIDPERGRAFGVDLGLDEARSYRDYAAMFATEARRADGIEAVSIATPNNTHFAICKAA LEHDLHVICEKPLCFTTAEAEELKTLSQARGRIVGVTYGYAGHQIIEQARAMVRNGDL GEIRIVNLQFAHGFHSAAVEEQNPSTRWRVDPKFAGPSYVLGDVGTHPLYIAKVILPH LKIRRLLCTRQSFVKSRAPLEDNAVTLMEYDNGAIATIWSSAVNAGSMHGQKIRIVGS KASIEWWDERPNQLSYEIQGEPARILERGMDYLYPEARIDDRIGGGHPEGLFEAWANL YRRFGFAINRERGLAPAGIEELVFPDVDAGLEGVRWVENCVRSADAGGIWLDYR YP_002974882.1 MTITITTAPCCWGVDDVNNPNLPAWERVFDEAAAAGYGGLELGP YGYVPLDDALVAKALTERNLFIVAGTIFDDLVSPENRETLLRQTDEICAVITKLPQPD QVAGQRFRTPYLTVMDWGHDERDYAAGHSDRAPRLDDKAWAGMVANITAIAELAQRKY GVRAVIHPHAGGYIEFADEIERVARDIPQEIAGFCLDTGHSYYAGMEPVEMLRRYADR LDYVHFKDIDQTVFDRVLGEKIRFFDACGQGVMCPIGRGVIDYPAVKRALEEIGYHGF ITVEQERDPRNVAGSLEDVKQSRDYLRSVGF YP_002974883.1 MKIALDPHMHRHLNLRDLCRKAAELGYEHIELSPRDDFLPWWVR PRAHKERIAEFKAALKDHGVQLASILPMYRWASPHEDERQAAVRYWKEAIAISAEMGC DTMNSEFGRGPSPDRSHRASCCGGMHTHEHSEAAWWRSIEELVPVFEREGVTLNMEPH PEDWCETLHPAIDMLKTIGSKNVKFLYCAPHTFYFGDDMAQMIRDAGPLIAHVHVADT YNHKASSGLRYIINPPGAKVTIHQHMDMYQGEINWDVFFSSLAAVGFDGIITACVFGW EERADDSGRFMRSEIQKYVDKYWPGKLG YP_002974884.1 MLCCVKLWEWVSLMKVTLKDVASQAGVGTATVERVLNGRGGVRP GTVEKVFLAARRLEYRQSLPVAHRGLIRIEVILVRPETSFYSRLNRAFERIAASLDDS ITVHRTFVRENEPAQFARYIANPTARRSALIVVAPDHADVVTSVRKAAGLGIPVVQIM TRPAPELPYVGIDNYAAGRTAAHYMSGMLAQRTGSFVALCHSGAYENHKERIRGFSSY LSEKGSNDHRFIEVMFDLDDEHNAMELLQAALRREPGIIGVYSAGGDNKGVARVLAAN KAGRPFWVGHELTRETQDYLSRGIMSIVLDQAPEVQARRSIDLALNRLGLIEMEVSAE PVRFLTITPENL YP_002974885.1 MNIDPILRSVVAVRSSIPEDAFTAETLGTVREGSGVVIRDNGLV LTIGYLITEAEEVWLTTHDGRVVPAHALAYDQESGFGLVQALGPLNAPAVDLGDAATA KAGDPVVLADGIGEFVEANIVARQEFAGYWEYLLDEAIFTSPAHPSWGGAALIGSDGK LLGIGSLRLQMSDGDEVADINMVVPIDLLPPILDDLLNRGQVNRPPRPWLGAFSAESN GGVVVMSVAEGGPAAQAGLRQGDIISEIRDEEVDGLADFYRKVWSSGPAGAEIPMRIL RNGREAWLRIKSADRNSFLKKPQLQ YP_002974886.1 MDPLSDVLALLKPRSYVSAGLDAGGAWAIDFPPPDGIKFNAVIS GACWLSVDGVPEAVRLEESDCFLLTSRRAFRLASDPALEAIPSDAIYSIARDGIATCN GGGDFFLIGSRFSFSGGNSDILLGILPPIVHVKRDSDHAAVLRWCLDRMTRELRDQQP GGFLMAEHFAHVMLMQVLRLHIASPNARGVGWLFALTDRRIGAAIGALHADPARKWTL QSLAERASMSRSSFALHFKEKVGLAPMDYLTRWRMLLAGDRLTNSAEAIAGVALSLGY ESESAFSTAFKRVMGCSPRQYGRAHPPAGAMRDSLGAQ YP_002974887.1 MSDKQVPIRSGFGAHTTAGEVLAGLDLSGKRAIVTGGHSGLGLE TTRALAGAGAKVTIGARSIEAARSAVAGIDGVEIDRLDLSDLESVRAFAERFVASGRS IDILINSAGIMACPETRVGDGWEAQFATNHLGHFALVNRLWPAISRGTRIVSVSSGGH GNSAIRWEDVHFETGYDKWQAYGQSKTANALFAVHLDRLGRDTGIRAFSLHPGKIFTP LQRHLAKEEMVSAGWIDADGNPIDPTFKTPAQGAATQVWAATSPQLEGMGGLYCEDCD IAIRATVGEPGGVSDHAADPEEAARLWILSARLTGIDAFAAYA YP_002974888.1 MKDWHPDLSRSSSPRYMAIADVIEMDLRSGHLVVGDRLPPQREL AKRLNVDFTTVARGYVEAQKRGLVDSHVGRGTFVTGGADKERQGFAPDAAPDPRRASI VDFSMNMPPETHDPELIARMREGMSAVTANLIPLLRYQGFGGSSMDKEAAASWLSRRG LVPSQERIFVTPGAHPALLAIFGLLAKPGETVLSEIITYPGMRSIAAQLRLNLAGLPM DEDGILPDAFAEACERLKPKALYLNPTLQNPTTLTIPARRREEICAVARKYHVPIVED DAYGFIPQHGPAPLAATAPDLTWHIGGLAKCIGAGLRLAYVVAPDSKAVWPFVSAMRA NNVMASPLTVALATRWIEDGTADTILRFIRAEAAARQQMVAAILPAGSYRADPISFNI WLPLSNGWTRSTFGSHTRSSGIGVVASDAFTVEGAAPEAVRVCLGGPITREKLQGALE FMAHALEGPPEMAASFF YP_002974889.1 MDTEYPPLPPMQTGIKGRCPRCGQGHMFKGFLTLRPECEVCGLD YSFADPADGPAFFVICFACIPSVLLGVWLEVAFSAPIWVQLLVTGPFMLATCIPPLRP LKGWLVASQYFYKAEEGKLA YP_002974890.1 MDRLDAMTVLLAVVEQGSLSAASRHLRSPLATVSRKVSELEAHL NAQLLQRSNRKVTLTEAGRSYVEAAREILNRVEEAERTAAGEYSAPKGELTMTAPIVF GRLHVLPVVVDFLKAYPDINLRLMLGDRLSNLVEDHIDVALRIGNLPDSNLIATRLGA IRRTVYASPDYLTRHALPRHPGDLAGHDCVTFEGMASMLSWTFIEGRHDLTVPIRSRL AVNTAEAAVDAAVAGLGITRVLSYQAARAEMAGLLVPLLADFEPPPAPVHLVYPAQGL VPLKLRALLDFATPRLRATLNKS YP_002974891.1 MKLYHHPLSGHAHRAHLFLSLLGVPYELVEVDLAAGAHKAPDFL KLNPFGQVPVLDDNGTVIADSSAILVYLARKCGRTDWLPEESVAAARIQKWLSVAAGE IAYGPCAARLVTVFGADFRTDEVIARAHRILALIEAELHGHSFLLGDNPVIADVALYS YIANAPEGNVDISAYPSVRAWLARIEALPGFVGFRKTKIGLAA YP_002974892.1 MLEQTRQDATSPWHAGELAMQRSVGVAERMDGPGRNFVRKAMPE QHRAFFPMLPFVVLGAVDAKGDVWATVRAERPGFMASPEPEILEVHLPRDAADPADAG MEDGDAIGMLGIQLETRRRNRLNGVIHRTDAEAFQVRVGQSFGNCPQYIQLRSSAFVR DPDLPTAMPTLHSGQLDDRALGMIEGADTFFVASYVDRDNGERQVDVSHRGGNAGFVH VGADGVLTIPDFPGNRFFNTLGNFLVNPKAGLVFIDFETGDMLQMTGRAEVLLDSPEI ATFQRAERLWRFTPQKIVLRPDALPLRWRRPPGL YP_002974893.1 MSSLVPPFTLETATQKVRLAEDGWNSRDPQRVSLVYTPDSRWRN RAEFITGRAEIVAFLTRKWAKELDYRLIKEIWAFTDHRIAVRFAYEWHDDSGNWFRSY GNENWEFDAAGLMQRRFACINDLPIRESERKYHWPLGRRPDDHPGLTELGL YP_002974894.1 MKTVYERADIVPLLAEIFRELGYEGTSLSRITERTGIGKGSLYH FFPGGKEEMAGAVLADVDAWFEHAIYQPLRKDDADQAIAAMWTNVNDYFRSGRRICLV GAFALDETRERFSAEIGDYFIRWIDALRSALMRAGCSEGEAQTLAEEGVAGIQGALVL SRALDDRMIFTRSLDTLAKRLDAVMR YP_002974895.1 MQTSANSPATIPQHVVDQMENEWRQVRPERENTRPAQQPQQQR YP_002974896.1 MVVHMDRAFFFDTVRHGLFKGNLTQPQVVGITAILDAWEERFAD ADRRWLAYILATAYHETAYTMQPVRETLAESDARAVEILETAFAAGRLSWVKTPYWRP DEDGCSWLGRGLVQLTHKRNYEAMSVLTGIDLVADPDRAMEMDAAVTILIEGMLQGSF TGHKLADHLNATTADWVNARRIVNGTDRAEKLAAYAMVFDAAIRPDAARGMLARLKAW GARVIARLTAGAPRLR YP_002974897.1 MIRHIVFFTVQEEHLQEVRAGLSILTGIPHARLLEIGTNVKTDQ LGTEIDLVVYGEFDDEAALAAYKAHPDYQLSIDRVRPLREKRIAADYDNRTAVTRPL YP_002974898.1 MPKSSRFRSAQTVTVLAVTQLIGWGTTFDMLGVMGRVVAPDLGL ANEVAFAGLTIMMVVSAIVGPATGRWLGRYGAARVLSAASLTFALGLLLLAAANGIVL YASAWVIIGIGGAFGLSAPAYTAVVEREGANGKRVIAILMLFTGLSSAIFWPILSLLN EAVGWRLTFLVCAALQFFVCLPLHLLGLPKPIATHVEGGTAEIAPVPLSKAKQRKAFL LIAAATTISTFVTFGISPSLLEIFRQSGASPAFALQLGSARGVLGISARFLDMLLGRH GNPMLSAVMGISLMMISFLMMLVASPSTPLLVTFVLFYGFGTGVMTVARALLPLALFS PREFGLQSARLSLPQNLANAIAPVIFTAILDRAGTGPALAACAVLAALSLAFVLMLMA LVRGARASESAILNVS YP_002974899.1 MAFPLSVAALAAGLVVSAPSPDATSSFVVRIGGDCSAAAAQVVE QTGGQLLSVQPVGDTCIITVLVQGNGQRPRKVTVKVPM YP_002974900.1 MRILVVEDDVNLNRQLADTLKEAGYVVDQAFDGEEGHFLGDTEP YDAIILDIGLPELDGVTVLEKWRGAGRGVPVLILTARDRWSDKVAGIDAGADDYVTKP FHVEEVLARLRALIRRAAGHSSSEIICGPVRLDTKSSKATVNGTTLKLTSHEYRLLSY LMHHMGEVVSRTELVEHMYDQDFDRDSNTIEVFVGRLRKKMGVDLIETVRGLGYRIQA PKHAN YP_002974901.1 MRIKSLTARVLLLTTVWSTVALVVIGLLISTLYRKSAERGFQDL LRAQLYNVINSVTIGDQGALSGSPQLGDLRFAQPKTGWYWVVEPLGTYTTAPLVSPSL GSALIPVPSVVEAPFDKNYERYYQVTDASGNRVQVAETEVVLDTDGRAARVRVTGNVD VVEDDVRTFSHSLYLALAGFGVGSLIVNALAILYGLKPLDKARAALERVRAGESEQLK GDFPREILPLANEVNALIDSNRRIVERARMQVGNLAHSLKTPIAVLLNEARVLEKSHG ELVRSQAEAMQGQVQSYLNRARIAAQRESVLARTDAEPALERLVRVMRRLNVDTEFDL VVSPPHLAVAMEQQDLEETVGNLLENAARFAKSRVRLSAVEAAEDVKGVEASGRRHWV ELAVEDDGPGLEPDQIREALKRGRRLDESKPGTGLGLSIVTEISNEYQGRLELSRGEW GGLKAKLILPGVTKDVA YP_002974902.1 MILRSQGMIASALLVAVALSGCTTTKSAASRGIFSSKPSASAAF ITALQGGIVGRSGVTLNDSDKQRALEAEYRALEGAAVGQPVLWTGKDVTGKVVAAAPY QVGSQNCRQYTHTLTVDGKDTVTRGAACRNDDGSWSPL YP_002974903.1 MKARFTYLGDMLFWILVAALTAALAVILLYPLLRGAKAADNIRA GEAAVYRDQLRELDRDLDGGLITPEEADYARAEIGRRLIAVSADEPAETPKPARHHRF TEAFVLLVLPVLGLCLYLTTGRPDLPSQPLEARLENPGNDVAVLIAKAERHLAEKPDD GKGWDVLAPIYFRTMRVNDAQLAYRNAIRLLGPSPVRLDGLAETLMAVSDGVVTEEAR QVLEQSLTLEPDNPRARFYIALSMEQAGRPNEARQAFEALAKQSPSDAPWLPLVNQHI AMNGGAPAGTNPAAPGADPAAPGARPAAPGNPTQQDVAAAETMSAGNQQQMIRGMVES LDAKLSEDPNNFEGWMRLVRSYAVLNDKDRAAGALKRGLAAFPPPGEQGRQLLTLARE LGIATEGATQ YP_002974904.1 MTRKQKRLAVIAGGMGFILAAVLLVMFAFSQSVAYFYMPADLAK TPVAPETRIRLGGLVGEGSVVRGTGSTVEFAVTDGSTNAVKVKYTGILPDLFREGQGV VTEGMFAAGTNVFVADTVLAKHDETYMPKDVADRLKSQGLWKEGQGQEAQGKEAQGQE VKATP YP_002974905.1 MIIEIGHYALVLALATALILSIVPVIGARRHDRAMMDVATIGSL AMFALVAFSFGVLAYAHVVSDFSVENVWENSHSLVPLLYKYSGVWGNHEGSMMLWLLI LTLFSALVAIFGRNLPETLKANVLAVQAWISVAFTLFILLTSNPFLRLDPAPAEGRDL NPVLQDVGLAIHPPLLYLGYVGFSVCFSFAVAALLEGRIDAAWARWVRPWTLAAWTFL TLGIAMGSYWAYYELGWGGWWFWDPVENASFMPWLAGTALLHSALVMEKREALKIWTV LLAILTFSLSLMGTFLVRSGVLTSVHAFASDPSRGVFILCILLIFIGGALSLFAFRAP KLSAGGLFAPISREGALVVNNLILTVACGTVLTGTLYPLLLETLTGDKISVGAPFFNL TFGLLMAPLVVIVPFGPMLAWKRGDLLGALQRLYVVAGLAFLAAVVFFYIQHGGPVLS VLGLAAGLFLILGAVADLWYRAGIGKVAGRLAWRRLSGLPRSAFGTALAHAGLGVTVL GIVAVTTFESEYVIEMKPGEVTEAGGYSLHFDGMQPATGPNYTEDRGHFTVRRAGVAV ADTWSAKRLYTARQMPTTEAGILTFGLSQLYVSLGDATKDGGIVVRIWWKPFILCIWG GAVFMAFGGLVSLSDRRLRVGAPRRKAKPARPAAPKMEPAE YP_002974906.1 MMRRLLLAFALLLMAAPAFAVNPDEVLADPALEARARTLSAELR CMVCQNQSIDDSNADLAKDLRLLVRERITDGDSDEAVLNYIVSRYGEFVLLKPRVSMK TVLLWGAPVLLVLAGGLSLLVFARKRAGKPTGSKLTADEQARLSELLKK YP_002974907.1 MLKNFNGRPSLATVLKASTVAGIAAAVLATGVPLEITRSYAEAV KVQAPAVPSFANVVDAVSPAVVSVRVENRVNPVSDNNNDGFSFDFNGRGFDDLPDDHP LKRFFKQFGQDPNDQQGHSRRFGQNGPNGPGGKGRLRPVAQGSGFFISEDGYIVTNNH VVSDGQAFVAVMKDGTELDAKLIGKDPRTDLAVLKVDGKGKKFTYVNWADDNNVRVGD WVVAVGNPFGLGGTVTAGIVSARGRDIGSGPYDDYLQVDAAVNRGNSGGPTFNLSGEV VGINTAIFSPSGGSVGIAFAIPASTAKDVVADLMKDGQVSRGWLGVQIQPVTKDIAES IGLSEPSGALVVAPQAGSPGDKAGMKAGDVVTALNGETIKDARDLSRRIGAMQPGSKV ELSVWRAGKAQPLTVELGTLPIDQKDASADDNSQPQQPEAPASEKALADLGLTVGPSD DGKGLAITDIDPNSDAADKGIKEGEKITSVNNQEVSSADDIVKVLNQAKKDGRTRALF QIQSSEGSRFVALPINGQG YP_002974908.1 MSAAPQEDALSLAETQPVGNVGRMKILIIEDDLEAAVYLTKAFR EAGIVADHASDGESGLFMGSENTYDVIVIDRMLPRRDGLSVISELRRKAIHTPVLILS ALGQVDDRVTGLRAGGDDYLPKPYAFSELLARVEVLGRRKGTPDQDVVYRVGDLELDR LSHEVRRGGKEIPLQPREFRLLEYLMKNAGQVVTRTMLLENVWDYHFDPQTNVIDVHV SRLRSKIEKDYSQPLLKTIRGAGYMIKDEG YP_002974909.1 MSRFKVLFKSTAVRLSALYILLFAICAATLVFYVTAMSERLLTG QIRDAVRQEVEQVQRAYDTGGMNLLLRTMERRARQPGANLYIIAGPSGDILAGNVASV QPGVFEEIGWTSAPFGYQRYTDSGVERRHKAIANIFVLDNGLRILIGRDLGDPERFRL LVRQALMVALAIMGLGAIIIWFGIGRNALKRIDRMSDASKKIMAGDLSQRLPVGGSGD EFDRLSMSLNTMLERIEKLNEGLRQVSDNIAHDLKTPLTRLRNKAADALDIADGETRR TALEGIISESDQLIRTFNALLMISRVEAGSVAAEMSPVELSAIVSDSAELYEPAAEEA GLGLSSSIEPDVEVQGNRELIGQAIFNLLDNAIKYSSDTEGAGKITLKLARRPDGICL SVADHGPGVPADRRDDVVKRFVRLDESRSKPGTGLGLSLVEAVMELHNGRLELSDTDP DKPEKRGLTVSMIFPAKAA YP_002974910.1 MLTKSTHGLKDVAEGLLRPLNQTELKLALADLQEAGGSEPSVAA MLKTEGPLRDFIAAVLTLSPYLREIVNLDPAILAGAITQPLEPQIEALVAEARRCWQP DGEGAAPAESVVMSRLRIIKRKVAFLVALADLARIFDGRATTAWLSELAEASVAAAID HLLLSAHEGGKLRLRDVAAPSDGSGLIVLGMGKLGASELNYSSDIDLVVVFDEQAGIV PDPDDAIEIFPRMMRRLVRILQERTADGYVFRTDLRLRPDPGSTPLAIPVDAAMIYYE GRGQNWERAAFIKARAVAGDLTAGSDFLRGLAPFVFRKYLDYAAIADIHSIKRQIHAH KGHGAIAVKGHNVKLGRGGIREIEFFVQTQQLIAGGRMPALRGRATEETLGELTKAKW IDAETRDELIEAYWFLRDVEHRIQMVRDEQTHLLPETDADLKRIAFMMGFSDTPSFSE RLVGVLKTVERRYAHLFEQESRLSTDTGNLVFTGQGDDPDTLETLKKLGFTRPSDISR IIRTWHYGRYRATQSVEARERLTELAPQLLRVFGESKRADEALLRFDSFISGLPSGIQ LFSLLGSNPALLSLIVNIMSSAPRLAEVIAAKPHVFDGMLDPGLMAELPTRDYLGERL KGSLAQARHYEEVLDRLRIFAAEQRFLIGIRLLTGAINGVMAARAFTHLADLIIAAAL DAVVGEMRAAHGDYPGGRIAVAGMGKLGSFELTAGSDIDLILLYDYDDSASESDGPKP LDATRYFTRITQRLMAALSAPTAEGVLYEVDMRLRPSGNKGPVATRINAFGKYQRAEA WTWEHMALSRARLICGNESLIAEAELIVREVLSADRDIAKVAHDVTEMRGLIDKEKPP SGPWDLKLIPGGVIDLEFIAQYLALIAPTKGVGIAVNGVSTGEALKVLGDRLMATSDL DICLEAFTLYTGLSQLIRLCIDGLFDPKEAPAGLVELVCRAGDCPDIRTLEAEMKRLS KAVRKIFLTVVKA YP_002974911.1 MTITITAFERSPDRGRGLAREMRVRWALEEVGQPYDVRLVSFKE MKEPAHLALQPFGQIPTYEEGDLTLFESGAIVFHIGERHAGLLPDDVNARARALTWMF AALNTVEPPIFDRALVMILERDKPWYDHRLSALEDSIRKRLIGLSHRLGDADWLDGAF SAGDLLMVSVLLRLKGSAILEEYSNLSAYVARAEARPAYKRAFAAQLAVFTAASAG YP_002974912.1 MMDVRRATVAGGRLRVDFDGLNAWRDSLSGHATSEPLLRYLPKA ELVLKRAIPALIVAFLSVVAASHFFGMMSEYGRLEASARHATALSAATASAVFADASD IFDSGDITGAQARLAKYLPQDRLDSGAFVLLVQASGKVFAATTAGLSHVGSNVGDFFP EVSAIRRFGDRAGVIETTIGGVPHYAEITLMGNAGGYIVAATSLDEIGRLWREELALN VTLFAGISSILLVILYAYYTQVKRARDADDIFLESNLRVETALSRGRCGLWDFDFENR EFFWSRSMYDMLGLPGSDKTMGFGEAARLMHPDDGGLYEIARAIGKGASGQVDQIFRM RHAGGHYVWMRARAQVIRSNSGRMHLIGIAMDVTEQHRLAQRYAEADQRLADAIECTS EAFVLWDKNDRLVMCNTHFQQAYGLPDSVLVPGTERSTVNAAAARPVIERRISDADGS GYSRTTEVQLADERWLQINERRTRDGGRVSVGTDITLMKRHQERLRESERRLMATIGD LSASRQTLEIQKSELSTANSNYQAEKERAEAANKAKSEFLANMSHELRTPLNAILGFS EILQNQMFGPLGSLKYDEYARDIHDSGKHLLNVINDILDMSKIEAGHVRLHCERIDLV PLIEESLRFTAMPAAEKNIVIEQRICSGLTLMADRRAMKQVLLNLLSNAVKFTDNGGR IAVRTRRVDGAVVVTIADTGIGIPRSALSKIGQPFEQVQSQYAKSKGGSGLGLAISRS LTSLHGGRMKIRSREAVGTVISLRIPDDI YP_002974913.1 MRTDTGQVIHLADYRPTDFVLERVDLTFELDPTETKVEARLIFH RRPGADPAAPIVLDGDELTLSGLLLDQVELDPSRYDAAPESLTVRDLPESAPFELTIT TVINPEANTKLMGLYRTGGIYCTQCEAEGFRRITYFPDRPDVLAPFTVNIIADKDANP LLLSNGNFLGGAGYGPGKHFAAWFDPHPKPSYLFALVAGDLGVVEDTFTTMSGREVVL KIYVEHGKEPRAAYAMDALKRSMKWDEERFGREYDLDIFMIVAVSDFNMGAMENKGLN VFNDKYVLADPEIATDADYANIETIIAHEYFHNWTGNRITCRDWFQLCLKEGLTVYRD HEFSSDQRSRAVKRIAEVRHLKSEQFPEDSGPLAHPVRPTTYREINNFYTTTVYEKGS EVTRMIATLLGKDGFKKGMDLYFDRHDGEAVTIEDFVKCFEDASGRDLAQFSLWYHQA GTPLVTASGSYDAAAGSFTLSLEQMIPATPGQPSKEPMHIPLSLALFGENGGKIEPTS VDGAEYAGEVLHLTGRTQTAVFHGVGSRPVVSINRSFSAPINLHFDQSPADLAHLARH ETDHFARWQALTDLALPNLLKAARDAREGKPVICETTFVETLIAAAADESLEPAFRAQ ALALPSESDIARELGGNNDPDAIHAGRQAVLKQIADAGKDVFAGLYAAMTTSGDFNPD AKSAGLRALRNSALTYLSHAEETPTRAKAAFDAANNMTDLSHALTILAHRFPDSAETS EALATFRDRFAENALVIDKWFAIQAGIPGAKTLGRVRALMDDPLFKRTNPNRMRSLVG TFAFANPTGFGRADGEGYHFLSDQILDIDGRNPQLAARILTSMRSWRSLEPVRADHAR SALIEIERATDLSTDVRDIVERTLKG YP_002974914.1 MKPLSAETIAQSQNATPRSMRVVTDGISTDLERFSADNTHIVKQ IKLLAINALIEAARAGETGKGFAVVANEVQRLAQIATDITGRFESNVLGRIGLSRAMA DSLVEEMEGVRLTDLAQTLVQLIVRNLFERTADVRWWATDPALWQALQNPARETVAFA AERLGAINRFYTVYLDLVMTDLSGKVIASANPKFQRKIAGTSLAGDPWFRAASVCSSG DAYIVDDVKASPLHENRHALVYATGIREEGKLDGRLVGTLGVYFDWQNQGQAIVEKEA NLPPQLAERTTVMLLDGKSRVIATTNPALLFSHFALANPSGQAKGSYYDNNGSIVAFA RTLGYEDYDGLGWYGVVVQQTENDATIKAALNLK YP_002974915.1 MHSVLRNPMRGIALKVSSVVVFLAMQTFIKLAGSDIPPGQVTFC RSFFALFPIMAYLAYNRQLRAAFYTANPIGHLKRGTIGILSMAFGFYGLLHLPLPEAI ALGYALPLVAVIFAAVFLGETVRIYRWSAVLVGIVGVAIVSWPKLTLFRDGGMEADQA VGALCVLFSAVLGGVAMIQVRRLVEEEKTATIVLYFSITASVFSLASLPFGWLILPWP TALYLIAAGFCGGVAQILLTESYRHADVSTIAPFEYTSILLGGIVAYFVFGDVPSVTM LIGTVIVVAAGIFIIYREHQLGIEQREARKATTPQA YP_002974916.1 MAFTAEQLVGNSSFLMSIRFLAGQMRGMFDAGPRLARLLASHQR WLLTQTAYALNLEYDPRDPTSGFTAVRLTGRITAHKVASRNTVLAFIEELYTYRFITH TPGDERRRPRHFEPADVSHQGMFAWLFSNLAALDLLDGGQRAAFLQANPSLMRLIQPR IARHCIEDAAWREPPEQVALFLWTEAGGLVVDNFIGRMDMESSEPGRLSVGRVETRAL AADFMMSRTHLQRLLAKAAQRGCVGWYDEPRKTHLWISRDFVEEYCAWQAVKFAYVDE AFEWAKAQIEEMAV YP_002974917.1 MSSLISLLRNIETFEHIRVAVCAAREYERELTVKPADAGTRNGA GTAAIVL YP_002974918.1 MNKRFRPIHSGFETLRLAGDQVRSTQGYSRFGFATNEQMIARGT GINSRTARPNAIFSDFQQY YP_002974919.1 MISANDLSPAELAALLHFHADAGVEWLLEEEAIDRFAEFEAMKA ARRPAAQAQQQRPAAGERPAPGQSPARPNAAARPAPAERAASGPQPAIPDGEAVQQAR FVAETARSLVELKTAIETFNGCNLKHSARSTIFASGDTESGIMVIGSAPSAEDDREGL PFSGKSGQLFDKMLAAIGLTRSTILLTQVIPWRPPGNRAPSAAEMDICRPFIERQIAL AEPKAILLLGNFSARFFFGENDTIHGLRGRWKEIAAADCVIPAIASLHPQDLLTAPVN KRLAWNDLLAFQAKLKSLSLLRN YP_002974920.1 MAQALGFDFGTTNTVLATADGGATRSMAFTSTEGTADSMRTALS FMKDAQLGASALKVEAGHAAIRQFIDNPGECRFLQSIKTFAASALFQGTLIFAKRHNF EDLMEVFVRRLRNYAGDNWPSDVSRIVTGRPVHFAGASPDPALATERYNEALSRFGFP EIHYVYEPVAAAFYFAQNLKRDATVLVADFGGGTTDYSLIRFETVAGKLTAMPIGHSG VGVAGDHFDYRMIDNIVAPLIGKGSHFKSFDKILEVPSNYYSSFGRWNQLSIFKTTRE FEDLKKLVRTSLEPEKLEIFIDLIDHDEGYPLYQAVSATKMALSASEEAPFDFAPLGR GGHRSIKRSDFEGWIADDLARIEGALDDVLDKTETKPSEIDKVFLTGGTSFVPAVRRI FTERFERDRIESGGELLSIAHGLALIGERDDIAQWTVQ YP_002974921.1 MIPNFCIQRPVATTLLAIGVILAGLAGYQLVPVAALPQVDFPTI NVSAQLSGASPQTMATSVSTPLIKQFETIPGITEISASSSLGSTSIVLQFDLSRNIDA AAADVQAAISHATRQLPDNLTTPPSYRKTNPADAPVMLLSVQSNTMPRSKLDDIAENI ISPSLSTLPGVAQVSVYGAQTYAVRVEVDPNKLLTRGIGIDTVNKALAAANSQQPVGT LQNNSQSMTITANTQSTSAEQFRSLVIANPNGAPIHLGDIADVQDSVENQYTGSWYDG QRGIILAIQRQPDANTVDVVDAINAKLPQLHAEIPPSVNTVVMNDAAKPIRDAISDVK FTLLLTIGLVVLVIYLFTGHATATIIPGLAVPLSLISTFGMMYVLGYSIDNISLLGLT LAVGLVVDDAIVMLENILRHVEEGMPVREAAIKGAGEVSYTIISMSVSLIAVFIPILL MGGVVGRVFNEFGMVVAIAIISSAIVSLTVTPMLASRLSNHQSRPPLIIRIFDAGFER TLRGYDRAVGWCLRHRPTILGVFLASVALTIYFFMTLPTSFFPQEDIGRLTISTQARQ DISYSAMSALQQQAAAVVKANPAVNHVMSTIGGNPNKPQNNGSMFVELKDKKQRAPLD QTLRELRTAINKIPGLQAFVTPNQSLRFGGRQTASQYQLVVQALNVDQTNLWAGKIQA AMRKDRLFTDVTSDAQNNALQANIVIDTERAAAYGIDNDTLRTTLQESFSGYSAAEIQ STGDSYDVIVEYDTSKPWDDQKLSEIRVASANGSLVPLSNFAHVQRTTGPVTINQTGQ LVSTTVSFNLPEGVSLSDATAAIDQIKKDISVPADVFTSYGGTAQIFEQSQGNTPYLI LAAVLTIYVVLGVLYESFIHPLTILSGLPAAAFGALLALKIMGFDLSIIALIGLLMLI GIVKKNAIMMIDVAVETMRTTGEKATAAIHEACVRRFRPIMMTTFCALLGALPIALGT GASSELRQPLGIAVVGGLIVSQMLTLFITPVIFVEMDRFGNFLGRLIGHKKVEEPELH EARAMAAE YP_002974922.1 MKKFWITVSVIAIAAVGVWQFGNLVPNASRIPYLSQFIKQPAGG NGGGQQAQADQGQDGGQHQGGGRRRGGGGPTVVKTVAAVKTTLPMDVTATGWADADDN TTIAAQEQGLIVSIDAQDGATVKAGDLIAKLDDRTAKATVDKDNAMIVRDAATLSEAA TALTRAQDLFNQKAGTQQSLDQAVAARDTAAATVDADKASLASDQILLEHTDIRAPFD GRLGDIVVSKGAFLSAGAAIVTIAKYDPIYVKFHLQERYLRELKSALAAGPVEVSTAP NSTKGQVRKGEISFYDNTVDTASGTILAKAKFENASGALWPGQSVNIVVHFNNDEQQV VVPTVAVSPGPEGFFAFVAKDGKSHLTAVTVARANGGFTAIESGLQAGDHVVVEGQGQ LSDQQAINEQFDEKALDVASAEEPRQQQPSETIAVGAQQ YP_002974923.1 MTELDASEFRSLITSVFPELTASVFKLAAKGWDSLAVDVDDTLI FKFPRNLGAERALQKEAALLEIVRPSLSMAVPDMRIHDGPPIFSSHAKLDGEHLIVED YDALGESDRQHLAEDLARFYAELHVLDADRMRSAGAGAIQPWQSPETVRTKALALLPP DIRSFAQVIIFDFEALPPDPYGKIYGFFDGHGWNMAFDHAQRRLNGIYDFADSGFGPL HQEFIYSNFISPDLTARIVSAYEMLTGRRLDRRRIAILTGFHRLSELAELADDPANVE QMIRSVATWAASARVG YP_002974924.1 MDLGIKGKRALVLASSRGLGLGIAVALAREGANVLLCGRSGEQL EANCKAINGEGKGRADWIWADLGDERFVEMVTTAVKEKFGGLDILVNNTGGPTPGTTE DMTGEKLEIYFLSMVARVITLTNALLPGMKAQGWGRILTVASSGVIEPIANLALSNTL RPALAGWSKTLASEVAGFGVTTNLLLPGSILTARLDDLDGAAAKRTGKSLEEIRTDKE ARIPVGRYGRVEEFAATAAFLCSQPASYITGSLIRCDGGAARSV YP_002974925.1 MTETTELPERESMEFDVVIVGAGPAGLAAAIRLKQVNPELSVVV LEKGAEVGAHILSGAVVDPIGIDRLLPGWRGEADHPFKTKVSADHFLLLGPAGSVRLP NVLMPPLMNNHGNYIVSLGLVCRWLATKAEELGVEIYPGFAATEVLYNDEGAVIGVAT GDMGIEKNGEPGPNYTRGMELLGKYVLIGEGVRGSLAKQLIAKFDLQKDREPQKFGIG IKELWQVKPENHRPGLVQHSFGWPLGMKAGGGSFLYHLEDNLVAVGFVVHLNYKNPYL YPFEEFQRFKTHPAIRTTFEGGKRLSYGARAITEGGYQSVPKLSFPGGALIGCSAGLV NVPRIKGSHNAVLSGMLAAEKIAAAIVSGRSHDEVTEIENEWRKGDIGRDLKRVRNVK PLWSKFGTALGVALGGFDMWTNTLFGFSVFGTLKHGKTDAQSLEPASQHKPIVYPKPD GVLTFDRLSSVFLSNTNHEEDQPVHLQVKDMNLQKSSEHDIYAGPSTRYCPAGVYEWV EKDGKDVFVINAQNCVHCKTCDIKDPNQNINWVPPQGGEGPVYPNM YP_002974926.1 MTISRRGFLIALPLFVAGCSSTGLNSQTNYAALPDEKFPLKQVP IDKIKPELRRQEVAYETTHAAGTVIVDTPARRAYYVLGGGRAVRYGVGVGREGLAFAG NAYIGRKAEWPSWTPTENMQRREERYRKLAGGMPGGPNNPLGARAMYLYRGGGDTHFR IHGTNQPQSIGLAMSSGCVRMMNHDVIDLYSRVEVGARVVVIQA YP_002974927.1 MTTIAFTNDKSPSQVLGYAGGTITVLIWATWFLVTRHSAATPLG SIDIGLIRFGIPALVLSPVWLKTGLLPKGLPLHLLAIMVSGSGAVFFLLTTLAIHSTP AASSGILLGGSMPLAAALIGIALFRERPDGTRIAGLIAIVAGVLILLTRSLADASLPW TSFVLLPAGAILWASYTHAFRRSGLTAVQASALIAVWSFLIMGALALVFGISLPEATL PEIGLQVLSQGVLSGLVAMVAYGTAVRTLGGTQAAAFTALTPVLATLGGGLLLGEAIG MTEISAAVITGIGVALSTGIATPRR YP_002974928.1 MPNLDKFDIAILKCLQEDARATNVEIAEKVNLSPSPCLRRIRNL ERSGIIRGYTADIDRKEVGLGLTVFVEFKVVQHSRENSEAQQKALLAIPEIVSCFLIS GTADFLAEVVVEDLAAYERLLTETLLTLPNVSDIRSNFAIRSMKTHGPLKLPEGK YP_002974929.1 MNINRFPLRAGHAARAFINNSRGATIAERVEALNALSVQDAGRV LCGMPLDYAVNILDRPELRNAAQILALISAEDAARLLHGMSNDRVADVLLELDGETRA RLFSSLDEPVRIAIQHLMGYPPRTAGGIMTTEFVSVPDSWTVARTLDHVRQVERSRET VYAIYVLDGVSHALLHVVTLRRLITGEPDASILSVAQKGAPVSADPLMKQEDVARLIR KHDLLALPVIDEQGQILGIVTVDDVIDTMISDTTEAAQRFGGMEALGQPYMKISFAGM IRKRAGWLAALFLGEMLTASAMQHFEGELEKAVVLTLFIPLIMSSGGNSGSQATSLII RALALGELKLSDWWKVLLRELPTGVVLGAILGLVGFIRIVFWQSAGLYDYGPHWQMVA VTVFAALIGIVTFGSICGSMLPFVLQKLRLDPASASAPFVATLVDVTGLVIYFSVALL ILSGTLL YP_002974930.1 MRAVAYKTPQPISAETSLIDVELPMPEARGHDLLVEIKAVSVNP VDVKVRAHSAPPADELKVLGWDAAGIVKAIGADVTLFRPGDEVFYSGVISRPGSNAEF HLVDERIVGAKPKSLGFAAAAALPLTSITAYEALFDRLKVQDAVSGAGRSILIIGGAG GVGSIAIQIARALTDLTVIATASRPETQDWVKELGAHHVVDHSRPIAPQVAALGIGAP GFIFSTTNTDSHIGDIVEAIAPQGRFALIDDPKTLDIVPFKRKAVSVHWELMFTRPLY GTPDMIEQHKLLNKISELIDGGKIRTTLSEIVGPINAANLKTAHAMVESGRMKGKAVL AGF YP_002974931.1 MSLPRAKLVKNFPGCPVEATLTYLDGKWKGVILFHLMEGTLRFN ELRRKLPAVTQRMLTKQLRELEESGLVSRTVYPVVPPRVEYAMTPLGMTLKPVIQALA AWGDDYVFCSPEGRELRLASDGLRAPVAALKA YP_002974932.1 MSEIAANISIDSLDEELPSAMTVALVQLALACGGFGIGTGEFAI MGLLPNVAETFSVTTPQAGYVISAYALGVVVGAPVIAVLAAKMARRTLLLMLMLIFAA GNISSAMAPTFESFTLLRFVSGLPHGAYFGVAALVAASMVPVHRRARAVGRVMLGLTV ATLLGTPLTTFFGQSLDWQVAFFSVGVLGLLTVALIWFYVPKDRVSEEAGFLRELGAF RRPQVWLTLGIAAVGYGGMFAMFSYIASTTTEVAMLPETAVPIMLVLFGVGMNAGNFI GSWLADKSLLGTIGGSLIYNVVVLTTFSLTAANPYMLGLCVFLVGCGFAAGPALQTRL MDVAADAQTLAAASNHSAFNIANAIGAWLGGLVIAGGYGFAATGYVGAALSFLGLFVF AASLRLERRDRSTQAV YP_002974933.1 MKLVGFFNRDGGTFKTTDMLAYEKRAEAAFREAGHDFDAIVFSG KEIVPAMERAAKRDDIDGIVAGGGDGTISAAASIAWKNGIALGVVPAGTMNLFARSLR VPLDIWQALDVLASGEVDNVDIASANGRPFIHQFSAGLHARMVRYRNAYSYRSRLGKI RASTKAALGVIFNPPEFEVEFEAIGMRERRRVSAISVSNNPFGENALLYADNLRSGEL GFYTANPLKPLGVARLAIDMLRGKVRENADVMVMHPAEVHLHFPKLRSKANCVMDGEL LPLERDVSIRLHPGELKVLVKQGLAAQVNADERREPAA YP_002974934.1 MSRRIFKVMASDRMDGKADGGDPRFEILIVGMNGDLRGKQLPPG AEAKVWAGDIRLPTSTQSLDIWGDDNDDITGLSLTIGDPDGKVVPDRRSLAPMPWAPE GSMQVLATMHEFEGSPSFMDPRAILASVLERYAARGLTPVVATELEFYVMSGDWRETG RPCPPESLTFRGEPNGFQLYDMSVVDALDGYLQTLRAYARAQDLPADATTAEFGPGQF EVNLLHRADALAAADDCLYLKRIAEQAARRHGLKSTCMAKPYSEHAGSGLHVHASIID GQGRNILDAKGGEPKMLKSAIAGLLDSMRAAQLIFAPFANSYRRFQPGSFAPVDLTWG SGHRGTAIRIPDKDGPAARIEHRVAGADANPYLLLAAILGGMLTGLDGDLDPGEETTP SHTPANTARLTHDFLSAVETFRTSPFIADIFGARYQALYGDTKRKEALAHLRTVSDFD YRTYLPRL YP_002974935.1 MPDTYPPSNLAAIDAAHHLHPFADMKKLNAEGARIIQRGEGVYI FDNHGRKYLDGFAGLWCVNIGYGRREIADAATRQMNELPYYNTFFGTTSTSATLLAQK VTSHAGERFNHIFFTGSGSEANDTWFRMARVYWSAIGKPSKKIVISRRNGYHGSTVAG ASLGGMKYMHEQGDLPIPGIVHIGQPYWYGEGGDLSPAEFGLKVARELEAKIDELGEE NVAAFVAEPVQGAAGVIIPPETYWPEIDRICKARNILLVTDEVICGFGRLGAWFGHQY FGVEPDLAPIAKGLSSGYLPIGGVLVSDRIADVLINDVGDFNHGFTYSGHPVCAAAAL ENLRIIEEERLVERVRDDIGPYFGRAWAELADHDLVGEAASIGLMGGLQLAAEKSTRA RYAKPDQVGALVRNHALANGLVLRATGDRMLASPPLVISHAEVDEMARITRLALDLAW KELKS YP_002974936.1 MDFTGSPRDHIAEGLRGLPYRNRCIYYRSYHDRIVVLRVKHGAE DIKSQDFEL YP_002974937.1 MARAKTFSLGDTYDGILSDLVRNGRFGTETEAVRAGIRMLADHE LKMQVLRKDIQAADAEIEAGLGKEYATGAEFLKDVMNES YP_002974938.1 MTESNRRGLSWIFVLLAAYFVLQVGVRLATSHSLDLDEAEQAFR SQWLAAGYGPQPPFYNWLQYTVFQFAGVSLTALSVVKNLLLFSSYVLYSLTARLILRD KALVAIATLGLLTIPQMAFEMQRDLTHTVAVFFSASIFFYGFIRSLKQPSLASYLIAG IGIGFGLLAKYNFAILPAAALIAALSDARLRPRIFDWRLVLTAAVALVIILPHLFWLK DNLDFATARTLEKMTASGHASYLTQVAMGVSSLALAIISFAGLTVAVFAIVFGKSLRP ALTAGSEWTRLFERMMLVFLAGILLLIVFGGAAGIKDRWLVPMLFILPLYFCLKIEAV GVATDRAFRRFMPIVAVIMIGVPAALYGSVAAARITGHYERLNRPYAGMLETLRKQAE PAAILAGDSLLAGNLRQDIPGVPILSVDYPGFHPDLTGRRPLLLVWFLPQRGGSEALP PDMAEWLQTHLGVSAPQASVIDVPYLYGRGDDRYRFGYAWVNQPG YP_002974939.1 MLERATRTIRTAGLLLAAYFVLNIALRIALPHSLELDEAEQSFF SQYLLAGYGPQPPFYNWMQYAVVSVTGMSIGALIVPKNILLFLSYLFYGLAGRRALKD EALAAVGMLALITLPQVSYMAQQDLTHTTALLFASSLFLYGFFRTLDRPDMASYLLLG LATGIGLISKYNFALMPVVALIAILPDAEWRRRALDWRMLAAIAVALVIILPHAIWLQ GNLAFASSDTLVKMAAGSEPAGAVRIGKGLLAFLVAIIAFAALPVTIFAATFRRDFVR ALSAGNRWTAMMERMMLASLAGIVLIVLFTGSTTVRERWLDPFLLVLPIYFLAKMQAA GLDLSAGLRRFRPVLPVLMACVLIALGFRVVGAGLIGTYSRPNVPMAGFSREMTQQAQ PALVIASDTYIGGNMRLQFPDVPVVIPDFPAPGIPAYAEAKGPVLIVWRGKKTATAAD AVMPERFSSALTAADIALQEIGSLSLPYYFGRQGDNFALGYAWVRPESK YP_002974940.1 MQTTVEPIRGTNDPVQSLELSLVVPIFNEEQSVGPLVERVAAAM VSYPHRWELILVDDGSTDATLVNARKYVGREGLALRIVELQRNFGQTAAMQAGIDTAR GRLIATMDGDLQNDPKDIPSMVSELERRELDLLVGWRKNRKDGLFLRKIPSWCANYLI GRITGVKLHDYGCSLKIYRASIIKQVKLMGEMHRFIPAWVAGVVPSSRIGEMAVTHHA REHGVSKYGISRTFRVILDLLSVMFFMRYKARPGHFFGSLGLGLGALAMLILLYLGFD KFILGNDIGTRPMLMVGVVLLLSSVQMITTGILAEMIARTYYRDDASPNYIVRQIFDD QSQA YP_002974941.1 MERITKSITSASIFLAGYFLLNIALRIALPHTLDLDEAEQSFYS QYLLAGYGPQPPFYNWIQYAIVSVTGISMWVLSVPKNIILFGCYLFYGLAAREVLKSR SLAAVAMLSLITLPQVGLMAQRELTHTVALLFATSLFLFGFFRTLRQPTIGSYLLIGI ATGIGLISKYNFAILPFAALVAVLPEREWRSRLIDWRLLPAAVLAILIVLPHALWLPD NLASASAPTLERMTAEHLAPAGLPRIGQGLLSLVIAVLGFVALPIVLIAAAFRRNFFR ALSSSSPMIRVIERMMVISLLAFVGVILFAGASDIHERWLDPCLLVLLIYLFLKLETA DLDLSAGLARFRPVVPVFMVVILSILLFRIAGIQYIGTYTRTNVPFSGYVAELTATRK PVLIVAGTKFVAGNMRLKFPDVPVVIPFFPGPGVPEYADAKGPVLVIWRGETADDPTI SPGFANDLVKSGIHLPELKTLTLPYLFGDGKRSFSIGYSWVDGGAK YP_002974942.1 MKSSIVESRQSWFMRNRMTVLTVVIVAAYGLFIQWFWGWPVIIR QWADVGAGPVIGALVLLTSTYFLRTWRIYDYFPKETAGRFAVLFRVTQIHNLLNIMLP FRTGETSFPLLMRTEFGIPLTRGTSALFVMRLLDLHALLAAAGIGFAVASADAAVAWS LWTVFLLLPVAAFAARKPLLRLAARLLPKKAQKFVAEIENGLPLDAITFARAWAMTIV NWLVKVMVLAWALGLMGVLPMAASFGGALGGELSSVLPMHAPGGVGTYPAGITAGAIA LGASSERLALAALAQASVNAHLLIIVSALTGTAISLPLGRRGKL YP_002974943.1 MESVIEEIYRTQSRRVLATLIRLLGDFDRAEEALHDAFAAAART WPTDGIPGNPVSWLVSTGRFKAIDTIRRRARFDASQHHIEDSLYTPDATEIGDMEPIE DDMLRLIFTCCHPVIPADAQMAMALREICGLTTEEIAHAFLIPAPTVAQRIVRAKSRI RAAKIPYEVPGREALPPRLDRVLHVIYLVFNEGYSASSGEEVVRADLTAEAIRLARLL LTLLPHPDVCGLLALMLLQDSRRTARRGEQGSLVLLADQDRSLWDHAKITEGLALLTE AMRAGEIGTYTLQAAIAAEHARAPAAEETDWRRIAFYYDLLLAAQPSPIVELNRAVAI AMAEGPAKGLELVDAILARRELQAYHLAHSARADFLRRLGRTDEAIAAYETALSLCRQ EPEQAFLRKRISELAATPERQ YP_002974944.1 MRYICLIYNSADTDGTLTPDETDELVKAHFAFDEELRRDRIMIH ADALEMPDKAIVLQVRNNRLSATDGPYVETKEHLAGFYVIEAPDVVKAREIAGRIPSA RFGAVELRPVRMLTLPD YP_002974945.1 MKFLCQIWFDTEKSKLVPQTEWDALTQECITSDNRWRESGHLLV ALALHEPSTAVTVRLRNGEAFATDGPFAEIKEHLGGFVLIEAENIEEAKTIASSFPIL KYCSIEVRPTYAIQDGK YP_002974946.1 MKYLCQVWFDGGVLDAMTKEEKIELDTNSLNYDKDLVESGHMIV AQALQPPKSAVTVRVRNGEMSVTDGPFAETKEALGGFILIEAKDLNEAIRIASGIPLA RLGAIEVRPIHEFGAK YP_002974947.1 MSILEIALASQIWARRREKHQLVLDDADALKVLLRIAFVVVAFT LLISGLNLAAGRPQMLADGSAPVVTGR YP_002974948.1 MNKRIPPLSPLDISSPPPFQPESFDDPAKAVEALTALYERNTAF LIQSFAELAQGAPISSRYRAFYPQVSIETTSFGHVDSRLSYGHVTAPGIYTTTVTRPK LFKHYLKEQLALLVKSHNVPVIVSESTTPIPLHFAFGEGAHVEASTNAFIDVPMRDIF DTPDLNTTDDEIANGEYIPPPGEPSPLAPFTAQRIDYSLARLSHYTATHAEHFQNFVL FTNYQFYIDEFCSWARKLMAEGGDGYTAFVEPGNVVTLPGSNAPETDSALTRLPQMPA YHLKKKGHAGITMINIGVGPSNAKTITDHVAVLRPHAWLMLGHCAGLRNSQRLGDYVL AHAYMREDHVLDDDLPVWVPIPALAEVQVALEAAVAEITGYEGFELKRIMRTGTVGTI DNRNWELRDQRGPVKRLSQARAIALDMESATIAANGFRFRVPYGTLLCVSDKPLHGEL KLPGMATAFYRTQVNQHLQIGIRAVQKLAAMPKEALHSRKLRSFFETAFQ YP_002974949.1 MNIYILARRFSIITLFAAIFAITFSAVVVHEGGGGAQSHFGASY TCLERNGTFCAPAVR YP_002974950.1 MRPVLWSKEAHQDNLEILRYIAKDSPDAAERVVDAIEEAGKKLG EFATGRPGRVAGTYEKSLTRLPYIISYELRSIAGRESVVILRVIHTARDWPAEE YP_002974951.1 MAGSTTMTIRVRPDVKEKLDRIAADTHRSKSFLAGEAVAAYVER ELEIIEGIKRGMADAEAGRVIPHEQAVAEMREVIEDAKRRKTPRG YP_002974952.1 MIRSFVLAGAITVIAGIAHAEEPIVGNWKTAAGDTAVIASCGGS YCVTLKTGKYAGRKIGTLAGTGGSYAGEITDPAAEKTYSGAGKVSGNSLRMQGCVMKI LCKSQTWTRL YP_002974953.1 MARFEMHNAETATMGGDNSADVFCEMGLMYATGRGCEVDLVAAH KWLNIAAIKGNDRAAELRADVAAAMDKMQLAAALRAAREWMTVH YP_002974954.1 MSAFSRFTPLASALPSTVPFVGPEAIERQRGLAVSARIGANENG FGPAASVFAAMREEAGNIWKYNDPENFALREALAAHLGVSAANIAIGSGIDELLGQIV RLVIEPGAPVVTSLGAYPTFNFHVAGFGGRLVTVPYANDREDLYGLLDAVKRENAPLV YFANPDNPMGSWWDADSIVAFARSLPETTLMVLDEAYSETGPSGSLPSVSSLIEMPNV VRTRTFSKAYGLAGSRTGYVISTAGTAQAFDKIRNHFGMNRLATAAALAALKDQAYLV EVVGKIHAARERIGGIARANGLVPLPSATNFVAIDTGRDGSDARSIVDGLIEHGVFIR MPGVAPLNRCIRISVGPETDMALLEQALPQVLKKIGR YP_002974955.1 MTMLRATHLATVKSAVYDLRWEEFSVKRPARIVAVRPCLTGVSM RSAEIIDISQGGATFIVSTTAGLPKHYYLNILGLAYRIGCAEVYRHKERIGVRFINIM DPEVLRRVVRTDFLVGNMEAIAARRAPIFRA YP_002974956.1 MKTSLLAYAGTFLTLLICDGIWLGLIARNFYRDQLGALMLPSPN LAVGALFYLFFAAAVVVLAVLPALSAGSIATAFLHGAILGLAAYGTYDITNLATLRNW PLAMSLVDMVWGTALTALTAAGGYLAVRFFG YP_002974957.1 MTDVKIPWKSWVVVCNGAKALIMQNAGDAQLMNLKVLETLTQPS EPDREIGADKPGRSHAADGFSRSAVEETNWQDQAEAQFLKQVAERLDELAQEKDVRRI VLVAPPRALGALRPALSADTQAAISVELAKDYTNMPVDEIERHLAA YP_002974958.1 MKDASWDDLQLFFHVATGGGLSAAALRTGLSAPTIGRRMLALER VTGRSLFSRGPTGYLLAKDGQELLDRVRLMQDAARAISDWRGEVLTLPIVSTAADSWT SRFVADHLASVWTPKDSFRMCYKTCDASVDFTYREAHIAIRHSRPESGNVAIRRSVKV AHAAYQAAGYDETNCNWISLGTDTAITPADKWTFEQPDYWITSWTNTPHMLFHLIRGG AGRGVLPCFIGDQERRLVRAGPVIDELTYEMWIVAHDDERQRPEVRIVIDRLAALFAD HEDLFAGHKAAT YP_002974959.1 MDLNWVVLFREIEIGNRRIRRDRFADPLADPLDRPEWRGFLWTV SIIARLVARRAAETKVPCRDDSRIGKSLRFRSSIATRGAGIPD YP_002974960.1 MHLDTEHPKPERAYREFNLDRPGNIIFVGHHLSTGMQVRCLIRT ITLAGALLEVSPNLEMPSHFFLEILGIHDEIGATVVKREGELVTISFNMLINPEFLHH VLRLNFET YP_002974961.1 MTTTYPAIEELKAQAKRLRQAMNDRGTPLTHSAALEMIARQHGV RDWNTLAALAAKPNASPKTPLFVGAHIRGRYLNQPFTGEVLALSALPGGDLHRITIHF DEPVDVVTFESFSAFRRRVNAQIDADGVSPRKTSNGVPHLVLDL YP_002974962.1 MTDLSEGNAFLTGWLPGVFIKTAAPIVAITTVNGLFTVVDAYFL GAYVGPDALSAVSLIFPGLMLLVALQSLVSNGMASILARRLGAGDRQGARRVFAGAHA LALAVTLILNLVYWIVGRQIIDAGAAGNAAVADGAMLFMGAMIACAPISFFLSLHLDG LRCEGKIGFMTLVTLSASLLNIFANWLFMAVMHWGVLGSAAGSIASQCVCLAAVLAFR WRRPAALRPSPGLALAEWRGIVAFGAPMSLGFIGISLASAAILINISLWSEHDYVATV AAYGIITRIMTFTYLPLLGLSIALQTVAGNNHAAGLRLRVGRSLQIAMLAALVYCTLV EIIVELLAGRLGAVFVADPAIIAEVRRILPWTIGAYFLFGQMMILSSYFQSIGDAPRA AIFGLSRPYLLTLPLTFLLPFVFGEQGIWMVPVFAEAGMFILAFLVLSQNAKRRGWRY GLLSV YP_002974963.1 MKKTTCAACDCELGAETITVKLGGKTVEVCCQECAEALNEAEAA TTAASSGKE YP_002974964.1 MSQFSTAPLLKTKTVTIRDIVCNGECRHKSDEECAHKTSLVYPY RGVFMRHVGRNDTVAEANQVLFFNAGQGYRISHPIEGGDACIDLAIDESMLEELTPKE QAQPGPPFAFRRQRRRIDPRAQALVALLRHGLSRNVAETLEAEILALTLVRRSLGERT SHAAGASAGRQKLVDRAKLVLSSDLARRWTLSEIATEVGVSPVYLTQVFQQVEATPLY RYQLRLRLARALDLLGQYEDLTALGLDLGFSSHSHFSASFKQTFGQTPAEFQRAAQLR R YP_002974965.1 MHSSNSTISARNMRPGLFLAIVTAAQWLARKMNERRNCNALMEL SDEQLKDIGLSRGQTESDVHVYSRC YP_002974966.1 MPNLKVKPSGTYGRVTHVTPENAGWTYVGFDLHRMKPGETVSGE TGDREVCLVWVTGKGKASAGTKDFGTLGGRMNPFEGAPHALYIPMESTWSVTAETDLE LAVCSAPGGGTYQAKAIPPGTHPQVTRGKGTNVRYVNNIMPEDDSSAHSLLVVEVITP GGHTSSYPPHKHDQDDLPNESFLEETYYHRLNPPQGFAFQRVYTDDRSLDEAMALEDG DVTLVPKGYHPCAACHGYDLYYLNVMAGPQRIWKFHNAAEHEWLLKA YP_002974967.1 MKAKLGMSPIAWWNDDLPELSDDVSLEECLRQSRGAGFTGMEKG RRFPDDPKVMLPILRAADVTLCGGWFSGTLVDEELAANKDRIAPMIELFKAVAAPCIV YGEVGRSIQGDRSKPLATKPRLSDDEMKAYAHRVTRFGEWCAEQGMPLSYHHHMAAVV ETEPELNAFMRHSGEGIPLLLDAGHLAFAGGDVLRAIGNHHARINHVHVKDIRKPVVD GLDRSRQSFLDAVALGAFTVPGDGSLDFGAIVQRLADHGYEGWFVVEAEQDPRKAPPQ KMAEIGNAELMRVMTAAGYTVETEGFPKG YP_002974968.1 MGKTIRLTMAQAVTHFLKVQMTIVDGKKVPIFGGVWAIFGHGNV AGIGEALYQVRDELTTYRAHNEQGMAHAAIAYAKANFRTRFMACTSSIGPGALNMVTA AGVAHVNRIPVLFLPGDVFANRAPDPVLQQIEDFGDGTVSANDAFRSVSRYFDRITRP EQIIAALKRAMQVLTDPLDCGPVTLSLCQDVQAEAFDYPESLFDEKVWTTRRPQPDAD ELANAIALIKASQKPVIVAGGGVLYSQATKELTAFAEAHGLPVVVSQAGKSAINETHP LALGSVGVTGTSAANAIAEETDLVIAVGTRCQDFTTGSWALFKNDSLKMIGLNIAAYD AVKHDSYPLVTDAREGLKALSAGLSGWKAPAALTEKAAAEKKVWMEAAARAMATTNAA LPSDAQVIGAVARTIGGENTTVLCAAGGLPGELHKLWPATAPGSYHMEYGFSCMGYEI AGGLGAKMARPERDVVVMVGDGSYMMMNSEIATSVMLGLKLNIVLLDNRGYGCINRLQ MGTGGANFNNLLKDSYHEVMPEIDFRAHAESMGAIAVKVASIAELEQAIADSRKNDRT SVFVIDTDPLITTEAGGHWWDVAVPEVSPREEVNEARKGYVEARAAQRIG YP_002974969.1 MVQSNPDSQPEPALDVITIGRSSVDLYGQQIGSRLEDIGSFAKS VGGCPANIAIGTARLGLKSGLITRVGDEQMGRFIREQSAREGVATDGIITDKERLTAL VLLAVEAEGVSPMIFYRSDCADMALDEGDIDENFIRSSRAVLVSGTHFSRPNTEAAQR KAIRIAKANGRKVIFDIDYRPNLWGLAGHAEGFERYVKSDRVSSKMKETLPDCDLIVG TEEEVLIASGADDVLGALKEIRRLSPATIVLKRGAMGCIVYDGPIADDLEAGIIGQGF PIEVFNVLGAGDAFMSGFLRGFLRDEPLKTCATWANACGAFAVSRLLCSPEYPTWAEL DFFLTTGSKHRALRKDEAINHIHWASTRRGEIPLLMALAIDHRSQLVSVADELGVGHE KIVAFKRLAVAAAARVSNGRDGYGMLIDERFGRDAFFDAATKNFSWIGRPVELPGSKP LRFEFSQDIGSQLVEWPLNHCIKCLCFYHPDDPAELKTEQQEKLRTLFEASRKVGREL LVEIIAGKNGPLTDDTIATALEELYALGIKPDWWKLEPQASREAWKKIDAVIAKNDPW CRGIVLLGLEAPADELISCFEATLAAPSVKGFAVGRTIFADPARAWLSGEMNDEEAIA DMAGRFRQLTEAWLKTRGHQ YP_002974970.1 MDNDPQRHARVPRDFESLRSTIIERKASMPKRLAQVAAFALGNP DEIAFGTTASIAAASDVQPSTLVRLAHHLGYGGFSDLQSIFRERLRDRTLSYEERLVT LEQSSGDDEDANLLSGFIAAANQSVNRLAATVQSDTFTKAVNILANAETIYLIAKRRS YPLTAHMTYAFSKLNIRHQIVASPNGVDPEMVQFATPKDAAIAASFSPYAADSLSQSQ ELADRGVPVIAITDSAFSPLAACATHWFEVAEADFAGFRSLSASMALTMALPVAIAER RRKGQPSKPVKGKME YP_002974971.1 MKPLGIGLIGTGYMGKCHALAWNAVKTVFGDVERPRLVHLAEAN AGLAETRAGEFGFEKATADWRALIADPEVDVVSVTTPNQFHAEMAIAALEAGKHVWCE KPMAPAYADAERMLETAKKSSRVAALGYNYIQNPVMRHIKTLIGEGAIGTVNHIRVEM DEDFMADPDVFFYWKSELSAGYGALDDFAVHPLSLLWYLFGHVEAVITDMVRPYADRP LSEGGRRAVENHDGANVLMRLGGGISAVLMANRAAWGRKGRIALQIYGSKGSIVYDQE RMNEFELYQADGRGSEQGFRKILAAPAHQPYDRFIPAPGHGLGFNDLKIIECRELIRA ISGEPSSIVTFEDGLRIEKSVHAMAQSFHERRWIEIG YP_002974972.1 MTDRLVIIGAGQAGFALAAKLRALKDTRPITLIGAEDVAPYQRP PLSKKYLLGEMAFDRLLFRAEHWYADNDVDLRLSTWAEQIKPDSKQVLLQDGSVLDYG TLALATGSTPRRLPAAIGGDLEGVYVARDKRDADLLAEEMRPGRRVLIIGGGYIGLEA AAVARHRGLEVTVIEMADRILQRVAAKETADIMRAIHEAHDVVIREKTGLKHLIGKDG RVTGAALSDGSVIDIDFAVVGIGVAPNDQLAKEAGLEVANGIVVDEFARTSDPAIFAA GDCAALPWQGGRIRLESVQNAVDQAEAAAAVIAGGSDPYAPKPWFWSDQYDVKLQIAG FNLGYDETLLRPGTREGAHSVWYFREGQLIAVDAINDAKAYVTGKKLLESGTNPDKSI LADPSADLKSLLG YP_002974973.1 MNIKSLLLGSAAALAVVSGAQAADAIVAAEPEPVEYVRVCDAYG TGYFYIPGTETCLKINGYIRFQVNVGEDIGGDSDWDATTRGQVQFTAKSDTEYGPLTG VIVMQFNADNATDQAAKLDSAYLDIAGFRAGLFYSWWDDGLSGETDDIGSPVTLHNSI RYQYETDSFYAGISVDELEDGFYKTDEEANNVGVAVGLGGKAGAFSYQITAGYDVDNE DGAVRAMGTVDIGPGTLGLAAVYATGPSSYYTKAEWAIAAEYAIKATDKLKITPGVQY YSNYYVAGDDFSDNEAWKVGLTVDYQIVDNFYAKASVQYLDPEDADDSTTGYFRLQRS F YP_002974974.1 MPDTDEGGFQERFFTSSDGLRLHARDYLSDRAATAGRMPVICLP GLTRNTRDFHPLALLLSRDTKVPRRVVALDSRGRGNSAWDENKANYNLAIEAGDVIAA CAALGIERAIFIGTSRGGLILHLIAATRPDLLEAVILNDIGPVLEAVGLARIRDYLNS GRKPADWNEAAVILKENHGASFTALTEQDWGEMALALYRDIDGRPVADFDPAIAEALK SIDFSQPLPDLWEQFESLSRLPLMLIRGDNTSLLSQETAGEMARRHPGLIRHAAEGQG HAPLPHLGNIPTALRAFLATCR YP_002974975.1 MKKAVLILSAFGFIAAAWSSVASPLPGESASMPAVKPLGFIPET AIPESITTGAIPRNPAIAPVNGDLKAGLDALSDKDPQLALSIRDRMRDETLDRHILTW AIAVSGLKGVPSYEIASAAQELKGWPGLSRLRSYSERAIYDENPAPPAVLAAFGDTAP ETMQGAVILGRALVASGKQAQAAKYIRKVWREEALDKATEDKILIEFSALLTPADHKA RMDYLMYRGRVAQAKRFGDMGQAQSLYTAWAAVDAKAGNAGALLNAVDAKWRGDAGLL FARIEYLRRQDKYTEAAALLEQMPPERSELVNSGEWWNEQRIVSRGLVDQGQFKPAYR IVANYAATSPTDIVEAEFHAGWYALRGLQDPETAERHFRKILQTSNGPISVSRAWYWL GRAAEAGGPGKSSEFYAKAANFPGTFYGQLAAERLGRKTLDVTYPAPSTADRQRFQAR EAVQAIARLEAAGHGWRAAILYLALADQLQSPGELAVLAARAEQSGDHHLSLQIGKIA YGRGIDVAALAFPVGVIPANANITGSGKALAYAIARQESAFNPAAVSAANARGLLQLL PGTAQAVAKRHNIAFSKDKLTADAGYNATLGAHYLGEQIDAFGGSYILTFIAYNAGPK RVPEWIGRYGDPRGRPIDEIVDWIERIPFPETRNYVQRVMENYEVYKARLGQSTDIER DLIGGRSAS YP_002974976.1 MFNGSIPALVTPFTDAGLIDEDSFAAHVDWQIKEGSGGLVPVGT TGESPTLSHAEHKRVVELCIEVAAKRVPVMAGAGSNNTREAIELAQHAEKVGANAVLV VTPYYNKPTQKGLIAHFSAIAEAVDLPIYIYNIPGRSVVDMTPETMGALAKAHKNIVG VKDATGKIERVSEQRITCGADFRQLSGEDATALGFNAHGGVGCISVTANVAPRLCADF QAATLAGDYARALEYQDRLMPLHKAIFLEPGLCGAKYGLSKLGRMSRNVRSPLLSTLE PATESAIDAAMRHAGLLN YP_002974977.1 MAPKGSQRVVNKIVAENRKARFNYEIIDTYEAGIVLMGTEVKSL REGKANIAESYASDEGGEIWLINSYLPEYLQANRFNHEPRRRRKLLLSGREIHRLRSA INREGMTLIPLKIYFNDRGRAKMELALAKGKKLHDKRESEKERDWNRQKSRLLKAHG YP_002974978.1 MFDPREKIALFIDGANLYAASKSLGFDIDYRKLLKAFQKRGYLL RAYYYTALIEDQEYSSIRPLIDWLDYNGYKVVTKPAKEFTDSMGRRKIKGNMDIELAI DAMEQSETVDHLVIFSGDGDFTNLVEALQRRGRKVSVISTMATQPPMIADDLRRQADH FIDLLSLKAEIGRDPSERAPRPVEVAPVSDLED YP_002974979.1 MARVTVEDCIDKVENRFELVLLASHRARLISQGASITIDRDNDK NPVVALREIADETLSPDDLKEDLIHSLQKHVEVDEPEPDPASMIAAGGVAAADSEEQD DVPETITFDQMSEEELLAGIEGLVPPEKSDDY YP_002974980.1 MMRQYELVERVQQYKPDANEALLNKAYVYAMQKHGQQKRASGDP YISHPLEVAAILTDMHLDESTIAVALLHDTIEDTTATRAEIDELFGEDIGRLVEGLTK IKKLDLVTKKAKQAENLRKLLLAISDDVRVLLVKLADRLHNMRTLDHMSADKRARISE ETMEIYAPLAGRMGMQDMREELEELSFRHMNPEAYETVTKRLEELSKRNEGIVKKIEA ELRDLLVASGLTSAYVKGRQKKPYSVFRKMQSKSLSFEQLSDVYGFRLIVEDIPSCYR ALGIVHTRWRVVPGRFKDYISTPKQNDYRSLHTTIVGPSSQRIELQIRTKRMHEIAEF GIAAHTLYKDGATNADGDILSRESNAYSWLRHTIEALAEGDSPEEFLEHTKLELFQDQ VFCFTPKGKLIALPRGATPIDFAYAVHTNIGDTTVGAKINGRIMPLVTRLANGDEVEI IRSGVQVPPAAWEEIVVTGKARAAIRRATRMAIRKQYAGLGHRILERTFNRAGKIFSR EAMKPALHRLGQKDVEDAIAAVGRGEMSSLDVLRAVYPDHQDERVTVKPSGDDGWFNV RSAAGMIFKIPGKTKAGHDGGHSEIDADADIGPIRGLSGNVDVKFAPTGAVPGDRIVG IMDQGKGITIYPIQSPSLQRFDDQPDRWIDVRWDLDEANKSRFMARIMVNGLNEPGTL AKVAQTVAGIDVNIRLLNTVRVAADFTEMMLEVEVWDLRQLNQLLAQMKELDCIATVR RLYE YP_002974981.1 MFDPIKKFARAFRAPTTQEREMAYLDGSFDRIDLEFRQRQVDRG LFRNR YP_002974982.1 MLFRRRKPAGFKEKMRELLWPRKGFLRPIRYLTMRVLRLSASPH AVAAGVAAGVFVSWTPFIGVHFVMAFVITYFLSGSMVAAALGCAAFGNPLTYPFIWGI TWEIGHLLLSRKDQLAGQTVDLAALFHKLNFTELWRPVLEPMLVGAIPPAIVTSVALY ALTFYTARGFQTRRHIRLMERARLRLAVPAGNMPSV YP_002974983.1 MIIGIGSDLIDIRRVEKSIERFGERFTHRCFTEIERARSDKRAN RAASYAKRFAAKEACSKALGTGIAQGVFWKDMGVVNLPSGKPTMLLSGGAALILESLL PVGHRPAIHLTITDDYPLAQAFVIIEALPESL YP_002974984.1 MSEKVEAKPNALWENIKVIIQALILAMVIRTVLFQPFTIPSGSM MPTLLVGDYIFVNKFAYGYSKYSLPFSPDVFSGRLFGADPKRGDIVVFRFPPNPEIDY IKRCIGLPGDRIQVTDGVLYVNGKPVPKVADGAFTSDYKLDPGEDVPVFRETLDDGKS YDTLDQSPVSRGDNTREFIVPEGHYFMMGDNRDNSLDSRFDVGFVPAENLVGRASVIF FSLGNDTSFREIWKWPTNMRWDRLFKVVE YP_002974985.1 MSKAQTLSAADRAKLEALIGHDFAEKERLDRALTHASARTEKGG NYERLEFLGDRVLGLCIAELLFRTFGTAGEGELSVRLNQLVSAETCAAVADELNLHLY IRTGADVKKLTGKRMMNVRADVVESLIAAIYLDGGLEVARRFILRYWQGRAVRADGAK RDAKTELQEWSHAKFGVTPIYRVDERSGPDHDPRFKVTVEVAGIKPETGVERSKRAAE QVAATKMLEREGIWQQSPAGN YP_002974986.1 MTQEEEIAAEAAAETNGPTHSGFVALIGPTNAGKSTLVNRLVGA KVSIVSHKVQTTRAIVRGIAIHDNAQIVFMDTPGIFKPRRRLDRAMVTSAWGGARDAD LIVLLIDSERGLRGDAEAILEGLKEVRQPKILLLNKIDRVNREDLLALAAAANEKIAF ERTFMISAENGSGCDDLMDYLAKTLPEGPWYYPEDQISDLPMRQLAAEITREKLFLRL HQELPYASHVETETWEERKDGSVRIEQVIYLERDSQKKIALGKGGETIKAISTASRKE LSQILEQPVHLFLFVKVRENWGDDPERFREMGLDFPK YP_002974987.1 MATSRPINSFKTPCEQCPLRPLPHFREFSRDELEFVSSFKRGEL AVDAGSTILVEGAHSAHLFTVLAGWGFRYKMLEDGRRQILNYIMPGDLVGLQGTIAGE MQHSVEALSPVSLCVFERDRLMTLYNKHASLAFDITWIAAREERILDEHLLSIGRRTA LERAAYLIAFLFERGRKLNIFNGRKFIPITQQHIADTLGLSIVHTNKTLKKLSERGLI RWQERGCEVLNGEELMAIAGWEGLGEGKRPFI YP_002974988.1 MVYSEKVAEFTDSVPCGAPEGNDVGETAGALRQAPDEGHRIMSA IRVLVLEDSLIIAMEAEDILRLAGVESIDIVGSLEQARAAIAAEKYDFALLDVNLGEG MSFGFARHLLDIGIPFGFVSGYSDTGDFPPDLQHIPLLVKPFDETAMREFLQRLFPAV A YP_002974989.1 MQWQDHAIILGVKRHGETSVIVEVMTRDRGRHLGLVRSGRSRAM QPVLQAGNAVEVIWRARLDEHLGEFRVEPVTLRAARLMETATAVYGVQAMGALLRLLP ERDPHPHLFDALEVILDHLHNPADAGELFVRFELAVLNDLGFGLDLAECAATGARSDL VYVSPKSGRAVSRAAGAPWADKMLLLPPFLRIEGNHAADFESLSAAFRLTGFFLHRHV YEPRGIEAVAARDGFVQAALKALNPTPRTLSGPNGVSA YP_002974990.1 MLTKPASSTTITLWNGREIPRLGMGCWAIGGPFFAGDTPLGWGD VDDDESVEAIHRAIELGIRFFDTASNYGAGHSEEVLGRAIGNRDDIVIATKFGFATDP ETKQATGAFADEAFIRRSVETSLRRLERDRLDLLQFHLNDFPLEQSDAVFDTLEALRA EGKIDAFGWSTDFPDRAARHTSRQGYVSIQHTMNVFEPVPEMISVIEGKGLMSINRGP LAMGLLTGKFTADKAVGAKDVRGAALDWMVYFKDGRIAPEFAARLDAVRDLLTSGGRT LTQGALAWLWARSPRTLPIPGFRTVAQVEENAGALEKGPLPADIMAGIDAALDRV YP_002974991.1 MRVSDLFIYPLKSARGIALPAADIDAYGLPGDRRAMITDAQGHF ITQRELPDLARIEVRPEASAFRLLMQGKTDISVAPPRPETRMDVTVWKSVVSAAVADP ESNRQLSEWLGREVRLVFFDGQARRTANAEWAGEATPVTFTDGYQILVTTTGSLKALN ADLAAHGEGSVGMERFRPNIVVDTDEAWPEDRWAAIEIAGIRFDLVKPCSRCIMTTQD QLTGSREGPNPMPAMGRIRMSADRRVPGPLFGWNVTPRGSGRITIGDTVRIVEERPEG WALKRRAAA YP_002974992.1 MFAAEAFSPHETLAAALIAHAADGDDGSHDLAHILRVFRNAMRI HAEEGGDGRVLAASVLLHDCVAVEKNSPLRAKASALAAEKASAILAELGWSEADIEDA AHAITAHSFSAGVTPQTLEAKILQDADRLDAIGMVGVARCFYIAGRLGSRLYDPFDPA AADRPFDDKRYAIDHFQTKLFKLAEGFQTETGRRLAAARDKSLREFLSAFMDEI YP_002974993.1 MKAEALFWRQCTEAVTADGSIDAQRLMDLVIATYRVHESDYDEI ERSAETLLRENHALRGNISALSKAFDGQKELFEIILNNLPLGLSVFDSDQRLTLSNTR FRQLFDLTDEDVIAGATIADLTAKMRGTESASAKPGRRTGRHSSATARSSSLRRREWL MDDGRIIQSMVTILSDGSNISIHADITEDRRAAERITYLAHHDPLTGLPNRIHFREQV DATLTERRPDQQIALVHLNLDRFKSINNTMGVSAGDKILLQVAERIRASAGSENTLAR LGSDEFAILQTGRQQPRNVTALAERIRRELSKPFLHGEKQVELSVSMGIAIAPEDGEE TDILLKNAGVALSHAKADGRKRERFFASEMEAQIQLRHALEADLRAAVENEEFELHYQ PLYDLVQRRICGFEALIRWNHPARGRVPPMDFIPLAEEVGLVVDIGRWVLHRACNDAA QWPEGIKIAVNVSAIQFSSSDLTRDVSEALAAAALLPSRLELEITESVLMENLSDVLP ILHALKERGIRISMDDFGTGYSSLSYLSSFPFDKIKIDKSFVNDIVDNKEAHAIMHAI ILLGDALGMRVTVEGVETAAQLALLECEECDEIQGYHISPPRPARDVPHLLSLPPNGG VTHLPEAKH YP_002974994.1 MTSTTSVSDTSYAYLATLSRLDANGDGVLSRGERAADEKPGIIK ELLEEDKTSETQPKFSGSLIALMMDTRDSATASSIAVSYPLQQTAPATGDQPEDLYRK TYGQFDFDAVA YP_002974995.1 MKAMRIIAALSLLAVALPAGAQDKQTAVANFVGKDGKEDGRAQL TAAATGGVLIEVEISGLPVNKWVAFHVHETGRCDAATHHESAGGHFNPEKAEHGILAA KGPHAGDMPNQYVGQDGVLRAQVFDSMVTLDGKTDGIRGRALMVHANSDDYRSQPSGD AGERLSCGVIQ YP_002974996.1 MEQQAADVLLATQTALSQASALAVQYSFSVLGAVILLVLGWALA GFTSRWAYEGLSRVHGIDETLARFFTNVLRYALLILVFITVLGQFGVQTASIIATLGA AGLAIGLALQGTLQNIAAGIMLLILRPFRVGEYIETSSVAGTVREIGLFATELKTGDG LYRLAPNSTLWNTPITNFSREPTRRNELKISVAYEDDIDLAMERLMNLAKADSRVLTS PAPSVFIDSLGDGTISVALRYWAKTGDWWLVSRDMVKRVKLAFDDNPDAAPDASDAAP AKTSNGKATAEKPTPTRQ YP_002974997.1 MTFIVVSPLSRIAEMAVRHKARDMISLIAKEQAFHRPGVIAAER HLTLAMNDIVFKGTGDLVAPDETHVRGIIDFAASWRQETPLLIHCWMGVSRSPAAALI AALSLAPDQSDETLARRLRAASPFATPNARLIQIGDALLGRSGRLVAAVRAIGRGADA DGNAPFVLAIRDAACG YP_002974998.1 MTTPKAPRAWQRMLSGRRLDLLDPSPLDVELIDIAHGLARVARW NGQTSGDHAFSVAQHSLVVEDIFRRFNDARPQECLMALLHDAPEYVIGDMISPFKSVV GGGYKTVEKRLEAAVHLRFGLPPHPSRDLKDRIKKADTIAAYFEATVLAGFTPAEAQK FFGQPRGISKDMLMIEPLPAIEAQRLFCERFAAIEVEREMVS YP_002974999.1 MPAVFLKDRSLLFVSGAEAQSFLQNLITTDITALGPDEARPGAL LTPQGKILFDFMIWQDGDGYMIETDAGQRDGLLKRLTMYKLRAAVTLSPSTEEGVTVS WDEGAEGVRESQGARDSRFAKAGVTLTRRAGRHGDGAEVLYDALRISHGIVTSGSDFA LQDAFPHDVLMDFNGGLSFRKGCYVGQEVVSRMQHRGTARRRVVTVSAATALPGTGTE ITAAGKPVGTLGSVEGGNGLAIVRIDRAGAAMAAGTPLLAGDTPVSLVLPAWSGLVFP ASADEASA YP_002975000.1 MHTGKKKVSRISLAPVLLAFSLAAGNVFLAPAAYALSELHKIPG QAANETPPAQGSAQGQSQPQTTPGVPMADPLVNGQNNQGVDKTPGAQDNSKPAEVIYD ISKVPEPVRKMREQIVEAAASGDLERLRPLMGTGADQTQVTVGEPTDDPIGTLKDLSG DPDGDEILAIMLDIVSTGFVHVGQGTADDMYVWPYFAEKDLKTLTPPERVELLRIVTA GDLSDMQEFGGYNFYRLGITPQGKWKFFTAGD YP_002975001.1 MEASLNDIDDMIVHEKMQAALEYQNEAWADGMADGIEPEIIADA AIAHALRETIRLHGEKSAEALLDSLRERMLAGDFSANRTLQ YP_002975002.1 MIPVRRVFLSLLVLAGPTMAQGKNTPPPQQEEIAPPPAVIVPYD DKLARFAEVLGSVHYLRTLCKAPGGDEWRNSMQQLLDSETGNEPQRKEKLTAAFNRGY RAFASVYTDCTPAAIVAEERYRNEGATLATEITSRFGN YP_002975003.1 MTSTAKPGSAAILERDGRFLLVLRRNPPSADMYAFPGGRAEPGE TPEQTALRELHEETGISARNPRLFSTYDLKTHAADGSVNSHFLLSVFRVDADEDAVAE AADDAAALGWYTVEEIRRLPVPQSVLECAERLAGGE YP_002975004.1 MTYIIFAFAALFEIAGCFAFWAWLKLEKPVWWLAPGMVSLALFA WLLTLVPSEAAGRAFAAYGGIYILASLHWLWLVEARVPDRYDIGGALICLAGASLILF APRG YP_002975005.1 MCGRFALTISSVDLRDVFSGLDFDDFPARYNIAPTQPILVVISG EGREQGSNLADRRAVLVRWGLTPGWVKDPKDFPLLINARSETAIGKASFRAAMRHRRV LIPASGFYEWHRPSKESGEKPQAYWIRPRRGGVIAFAGLMETWSSADGSEVDTGAILT TSANSAISAIHDRMPVVIRPEDFTRWLDCKTQEPREVVDLMQPVQDDFFEAVPVSDRV NKVANMGPDLQAPVVVEKPLKAPDKQKPDGGQLSFF YP_002975006.1 MTSKKSSTGEQQAAKPDLAANYRPVGLKAVAAASLMAKHKPTSV KKSA YP_002975007.1 METPFPPFEPNGPDDSARGPRLREIPLRLVFPNLITILAICAGL TGIRLAFENRYELAVSMVLLAAFLDGIDGRVARLMKATSKFGAQMDSLADIVNFGVAP ALVVYVFALDQARSLGWIAALIYAIAAGLRLARFNVMAERENKASWQSEYFVGVPAPA GAMLVLLPVYLGFLGLATDRTFAYISSIYTVLIAFLLISRLPVWSGKSEGNRLRRDLV LPMMLGVVLYVALLMSYTWEVMVFTVAAYLVSLPFGARKWRRKYGTLTIEEPGIGDDD IGRHI YP_002975008.1 MSLFNTVRNTIVPVHKEGYPFVAAFFVASLVLGWIFKPLFWIGM IFTLWCAYFFRDPERVTPQDDDLVISPADGKVSAIQMVTPPAELNLGSEPMLRISVFM NVFNCHVNRAPMRGRIISINYRSGSFVNAELDKASEDNERNGLVIETRHGQIGVVQIA GLVARRILCWANPNEPVDAGERFGLIRFGSRLDVFLPAGAAPRVSLGQTAVAGETVIA EFASAKGPVISRRS YP_002975009.1 MANGKTVSESNLPQTLLNLWPYMWPAGRPDLKMRVVWASVFLLI SKFVLLLVPYFFKWSTDALNGRMDLAGSVPPLLAGAIALVIAYSITRLIQLGLNQLRD ALFASVGQHAVRQLAYRTFVHMHELSLRFHLERKTGGLSRIIERGTKGIETIVRFTIL NSVPTVIEFLLTAVIFWWGYGFSYLAVTAFTVWAYIWFTIRASDWRIAIRRSMNDSDT DANTKAIDSLLNFETVKYFGNEEMEAKRFDKSMERYEKAATDVWTSLGWLNFGQGVIF GIGTTIMLVLSALAVQRGEQTVGDFVFVNSMLLQLSVPLNFIGFVYREIRQGLTDIEQ MFDLLEVQTEVKDAPDATELRIGQGAISFKDVHFAYDAARPILKGISFEVPAGKTVAV VGPSGAGKSTLSRLLYRFYDIQSGAITVDGQDIRKVTQKSLRTAIGMVPQDTVLFNDT VAYNIRYGRTSASDGEVFAAAEVAQIAHFIETLPEGFETKVGERGLKLSGGEKQRVAI ARTILKAPPILILDEATSALDTTTEREIQEALDLVSKNRTTLVIAHRLSTVISADEII VLKSGEIAERGTHAALLERNGLYASMWNRQREATQAEEHLKQVRESDDLGVITRLAPA S YP_002975010.1 MMKNRAGLLALAVLAIAILLMVFVVMPRIGGDATKVGDAINQAS TELKNTVNEASKTSRSAVGDAAAVADQVGRLSADAGVSLSELKALFADGKGPAIDVFT AAKTKAVNALTALAGFTIPEGLDPATQTLAAKAKDGGAKALAIVRSLPENIADALAAI AKAEVALTGAPETAPGANTAAENTGPKLPAFDVLRVEPDGSTVIAGSAEPNGKLEVLD GEKVVTTANVDASGDFAAVLDDPLPAGDHQLVLKFTGKDGKSTLSEEVATISVPKDGN GANLLAMVSKPGAASRIITAPKAGTEVADASNPMAPPADKPATGESSAAPTGELALQT PNLTDTPSGGADTAPAIPGTAAPDKTNAPDVMVNAVEIEGNKIFIAGTTRSNAKVIGY ADDSLVGQDTAGSDGHFVIDGVVALSVGDHKIRVDVVDPTGKVIVRASVNFNRPAGDQ VRVAAQSAPADANGASSMVPLDEGELRKLKAEVGKAFGLLKGLFADGKLPGAEQLAAA RSATDFALRSVADFRPAADAPDVFKQASGSSSQVAGNALKLLQGLPGDAKSVGAALDK LGGMIAELTAAPAPATPSANEVGSNQPKTIEQAPLTANNAAVIIRRGDTLWQISRRTY GLGVRYTTIYIANEDKIINPDRIRPGQIFGLPKDVLPNAEELHRKRMSGQHL YP_002975011.1 MTEQSVSIRSICVYCGSRPGRDPSHMAAGRALGREIAEYGLRLV YGGGTKGIMGAVASGVLSGGGQVTGIIPEFLIDMEATRHSLGQLNELIVTPDMHARKH TMFERSDAFVALPGGIGTLEEIVEIMTWAQLGRHEKPMVFANVNGFWDPMMELMRHMT EEGFLHTAHRVQPLVVDEIPGIIPAIMAQAAQLAADRDGEDEVISKM YP_002975012.1 MSTDASVPLAKNEDSPRGFAFALTAYLLWGFLPIYMKAVAHISP AEVIAHRIVWSLPLAGIVLIVLGRTQDIRAALSSPRMLAMAALTASLITVNWGTYVWA IGAGHSLDAALGYFINPLFSIFLGAVFLKEKLQPLQIAAIALAALAVAILALDSGGIP WVALTLAVSWGFYALLRKTLPLGPNQGFFLEVLILSGPALLYILYLEFGSGQGHLYRT GLADTTLLLGCGVITAVPLMIYANGAKLLKLSTIGIMQYIAPTMIFLIAVFVFHEPFG TARMIAFPLIWAGLFLYSWSMLKGSRGR YP_002975013.1 MKERIYLFDTTLRDGQQTPGIDFSVEDKIAIAAMLDEFGLDYVE GGYPGANPTDTAFFSEKRTSQASFVAFGMTKRAGVSVSNDPGIAGLLQAKSDAICFVA KSWDYHVAVALGCTNEENLECIAESVKAAVGAGKEAIVDCEHFFDGFKANPAYALACA KTAYESGARWVVLCDTNGGTQPPEVRAIVEAVIASGVPGHCLGIHAHNDTGQAVANSL AAVDAGVRQIQGTLNGIGERCGNANLVTLIPTLALKSAYNSRFETAIDEERLLNLTRL SHAFDELLNRSPDHQMPYVGASAFATKAGIHASALLKDPRTYEHVPPETVGNFRKVMV SDQGGKANFINALKRRGIEVAKDDPKLDLLISIVKERESIGYAYEGADASFELLARRT LGTIPEFFTIEGFRVMIERRFDSLGRVKIVSEAVVKITIDGQTLMSVADAEGPVNALD LALRKDFGKYQHEIDDLVLADFKVRILNGGTEAITRVLIESTDSDGVRWWTVGVSENI IDASFQALMDSVIYKLMKNRQLAGKIAAE YP_002975014.1 MTEILRTLYPEIEPYVSGHLDVGDGHVIYWERSGTPGAKPAVFL HGGPGGGISPAHRRLFDPALYDVMLFDQRGCGRSTPHAELHANTTWHLVADIERLREM AGVDSWQVFGGSWGSTLALAYAETHPQHVSELILRGIYTLTKAELDWYYQFGVSEMFP DKWERFIAPIPPEERHEMMHAYHRRLTHEDRNVRLAAAQAWSIWEGETITLLPEPSTS FKFEEPEFAYAFARIENHFFVNAGWMDEGQLIRDAGRLKHIPGVIVHGRYDMPCPAKY AWLLHKAWPKAEFHLIEGAGHAYSEPGILDRLIRATDKFARKQD YP_002975015.1 MTDTIKTGGCQCGAVRFRISGRLGRPSICHCRMCQKQFGGFFSA LVTAPEEGMEWTRGEPNYFQSSVNIERGFCNNCGTPMTYRHPGGLELAIGTFDDRSDL APLIQVNYEARLPWVEEIFEAPVLKDQDFYSRQEAIISFQHPDHDTEVWPAKGVKI YP_002975016.1 MTTESDKSGGCQCGAVRYRAKGELGYPHLCHCRMCQKAAGNYFM PLAGVMRSDFTFTRGAPKWFQSSDLVRRGFCGDCGTPLFYDIPEAEFINITLGSLDDP DAVKPVMQSNTGRKMSWFHALDGLPLEPQAETPDRENAIAASNHQHPDHDTKSWPLGE TS YP_002975017.1 MTTYTGGCQCGAIRFRVSGDVKDSSICHCRMCQKAFGAYYAPLV SVRGADFEWTRGERKRFRSSNFVERGFCGDCGTPLTYEAPDGMAIAAGAFDDPSAFPP VIQFGIEGKIGFVDHLHELPGHRTEEDAQAAPFLLELVSCQHPDHETQAWPPKEIG YP_002975018.1 MGATPELKLYNTLTREKSVFSPIDPNNVRMYVCGPTVYDFAHIG NARPVIVFDVLFRLLRHVYGEDHVTYARNITDVDDKINARALRDHPGLPLNDAIRAVT EKTETQFHTDVAELGCLEPSFEPRATDNIVEMTEIIEKLIGNGHAYVASGEVLFDTKS MADYGQLSKRPLDEQQAGARIAVDAHKKHPGDFVLWKLSSHNEPGWESPWGRGRPGWH IECSAMSKRYLGNVFDIHGGGLDLIFPHHENEIAQSRCAHGTEVMANVWMHNGFLQVE GRKMSKSEGNFVTIHELLHTETFGGRKWPGQVLRLAMLMTHYREPIDFSIKRLEEAER LLAKWPAAEVGDAAPDESVLNALSDDLNTVAAVQALHALAQAAHTDPTASAVFAATAD LLGLLPKKMEIDEAVASAVDALVAMRLEMLKAKNFTEADKIRDELTAKGIQLKDGKDA VTGERVTTWEVKR YP_002975019.1 MRKLARSTIGAAAVLVVAFAAYLAYDFGMLCFNNPSLSNYPIQG IDVSHHQGDIDWKAVAAQPNVRFAFMKATEGGDHRDSKFADNWQRARDAGVVRGAYHF FTFCRPGKDQAQNVLATVPKEQGTLPIAVDLEFVGNCNKIPTLEEMVAEVSAFFTELK GTFPEKPIFYVTQEFFDQYLKGNESRFPDHYLWLRSVFREPRQEECSRLSIWQFADNG TLDGIQGPVDLNALCPSETGLAHLFPTVAAN YP_002975020.1 MNINLEGKIALVTGASRGIGYFTALELAKAGAHVIACARTVGGL EDLDDAIKAVGGTATLVPFDLADMNAIDALGGSIFERWGKLDILVANAGVLGVISPIG HIEAKVFEKVMTINVTATWRLIRSVDPLLARSDAGRAVIISSGAAHKCRPFWGAYSAS KAAVEALARTWAGESQSTPLRVTSVDPGATRTAMRAQAVPGEDPQSLPHPSEVAKAIL PLLGPGVTETGKLFMVRENKLVDYRLPE YP_002975021.1 MNQSHSFPTDDPLDGDTLHEECGVFGILGHPDAAALTALGLHAL QHRGQEAAGIVSFDGKRFYQERHMGLVGDHYTNPMTLARLPGSITIGHTRYSTTGEVA MRNVQPLFAELEEGGIAIAHNGNFTNGLTLRRQIIATGAICQSTSDTEVVLHLIARSR HASTSDRFIDAIRQMEGGYSMLAMTRTKLIAARDPTGIRPLVMGEFDGKPIFCSETCA LDIIGAKFIRDVENGEVIICEIQPDGSISIDARKPSKPQLERLCLFEYVYFARPDSVV GGRNVYTTRKSMGMNLAKESPVDGDVIVPVPDGGTPAALGYAQQSGIPFEYGIIRNHY VGRTFIEPTQQIRAFGVKLKHSANRAMIEGKRVVLVDDSIVRGTTSLKIVQMIREAGA REVHVRVASPMIFFPDFYGIDTPDADKLLANQYADVEAMAKYIGADSLAFLSIDGLYR AVGGEDRNPARPQFTDHYFTGDYPTRLLDKNGESMGNKISMLASNG YP_002975022.1 MPITIFDGIVIGVVLFSAVLAMVRGFSREILSIASWGGSAAAAY YLYPYLLPYAKKYTDDDRIAIVGSAAVVFLIALIVISFITMKIADFIIDSRVGALDRT LGFLFGAARGVLLLVVAVAFWNWLVDADHRPAWVNEAKSKPFLDSMVVKLKSVLPEQF AQMIPESIRDKLQPPAQGAEGTTPPTGDQAPAEDAPTAPAGGAQQPAN YP_002975023.1 MAKARTQFICQSCGTVHNRWAGKCENCGEWNTIVEEDPMGGIGS GPGKTPKKGRPVALTALSGEIEEAPRIHTAMSELDRALGGGFVRGSAVLIGGDPGIGK STLLMQAAAALARRGHKIIYVSGEEAVAQVRLRAQRLAAADTDVMLAAETNVEDILAT LAEGKRPDLVIIDSIQTLWSELAESAPGTVTQVRTGVQAMIRFAKQTGAAMVLVGHVT KDGQIAGPRVVEHMVDAVLYFEGDRGHHYRILRTVKNRFGPTDEIGVFEMSDKGLREV ANPSELFLGERNEKSPGAAVFAGMEGTRPILVEVQALVAPTSLGTPRRAVVGWDSARL SMILAVLEAHCGVRLGQHDVYLNVAGGYRISEPAADLAVASALVSSLAGIALPADCVY FGEVSLSGAIRPVAHTAQRLKEAEKLGFSAALLPSASAELPKGSGGRWSEVGSLPDLV ARIAGSKGALRVEDDV YP_002975024.1 MGALMGKSLVLIRCDSHYLKHDNDSMKRRVASLANPD YP_002975025.1 MFDYSFAHWLAFLSAAVLLNLSPGPDIAFILGHTMKSGKRAGFS ALFGVWSGACLHVLMAALGLSAILAASAVAFSTVKWIGAAYLVWLGIQALRSGGGDGL IKAAGESLPVARIYRQGILVSLLNPKVAIFFLAFLPQFVVEGAGPAWAQLMLHGALII VVAAFIEPPLVLLGGRLADAIRHNQKVGLWLDRGLGALFVALGVRLALSSR YP_002975026.1 MWFMSEFFDAPEDDNFASAGLRLTVDLTALTENWRDMARRSGAA RASAVVKADAYGMGIEDAGEALYMAGARDFFVATVDEGVTLRLYAPEARIFVLSGIWP GTERRFFDNDLVPVISSDEQLAFWMAVLADYGDYPCALHVDTGFNRLGLHIDDAIALA DDVSRPASFAPVLVMSHLACADDPTHAMNRQQLESFHRVSAAYEGIDSSLAASAGIFL GEDYHFDLTRPGIALYGGEAVSGMANPMRPVATAEARIIQVRSVAAGETVSYGRALQL RRESRLAIASAGYADGYMRSQSSGGVPLRQVVPQGGQGFIAGHKVPVAGRITMDLTIF DITDLPDNAVRAGDYVELFGKNMPVDDVARASGTIGYEILTSMGLRHERRYVAEE YP_002975027.1 MNDAARKIAAVAPSEQHYREAPNNIEAEQALLGAILMNNDAYYR VSDFLKPIHLYEPLHRKIFEVAGDIIRMGKIANPVTIKTFLKADEKVGDMTVSQYLAS LVSNAVTVINAEDYGRAIYDLALRRALITIGEDVVNIAYDAPLDMPPQSQIEDTERRL FELAENGRYDGGFQAFNDAVALAIDMAAVAKERDGGLSGISTGIHSLDSKMGGLQRSD LIVLAGRPGMGKTSLATNIAYNIAAAYEGEVQSDGSMKAKNGGVVGFYSLEMSSEQLA TRIISEQTEVSSSKIRRGDINDADFEKLVACSMMMQKVPLFIDQTGGISIAQLSARAR RLKRQRGLDVLVVDYIQLMTGSGKSSDNRVQEITQITTGLKALGKELNVPIIALSQLS RQVESRDDKRPQLSDLRESGSIEQDADVVLFVFREEYYVKNSEPRDIHDPKYPEWEAL FDKVKGTADVIIAKQRHGPTGTVKLAFQAEFTRFADLADPSFTQYEEH YP_002975028.1 MQVILLERISKLGQMGETVKVRDGFARNYLLPLGKALRANAANK TRFEAERATLEARNLERKSEAQTVADVLDGKSFIVVRSAGETGQLYGSVAARDVVDIL GAEGFNIGRNQVHLNTPIKSIGLHKVELQLHAEVEIHVELNVARSADEAERQSKGEEL TSVDAIYGVDEDALRPEDFFDPEADGVDEDEA YP_002975029.1 MKRPNIKTLLIGALAGLTAALLVLGASMQPSFFSALLYTASALP ILIVGLGWGNAAAVSAVVTAAALGAILISPSFALIMTMVTLLPAGWLSHLANLARPAA ELGGPDHLLAWYPLSDILLHLCGLVTLAVIVIGVIIGYGPEITDPIVDLLITSLKQQQ PEFMPDPAATAQTKSLILLMLPALQGGMWVSMLFAAYYFAVRIVAASGRGLRPREDIP SSLRMNRNSIFIFLAGLAACFFGGVPALVGATVIGAFGAGFMLSGFASLHFRTRGKDW RIPALILCYLASMLMLLPALLILVLGLSDTRKAIALTPTKDADAPKQSDTKI YP_002975030.1 MSETSSAPVRRPFHRRRKTCPFSGANAPRIDYKDVRLLQRYISE RGKIVPSRITAVSQKKQRELAQAIKRARFLGLLPYVVA YP_002975031.1 MALYEHVFLARQDISAQQVDALVEQYKGVIEANGGKVGRIENWG LKSLTYRIKKNRKAHYALMDIDAPAAAVQEMERQMRISEDVLRYMTIAVEKHEEGPSA MMQKRDRDDRPREGGRGPREGGFGDRDRGPRPPREGGFGDRDDRPRRPREDRV YP_002975032.1 MKYNSLGRTEISVSEICLGTMTWGSQNSEADAHAQMDYAVEKGV NFFDTAELYPTTPISAATQGWTEDYIGSWFKKTGKRGDIVLATKVAGRGRDYIRGGEG ADAKNIRLALEASLARLKTDYVDLYQIHWPNRGHFHFRQNWSYNPFNQNRDEAVANML DILETLGVLVKEGKIRAIGLSNETTWGIQKYLTLAEQKSLPRVACVQNEYNLLYRHFD LDLAELSHHEDVGLLAYSPLAGGILSGKYVDGGRPKGSRGSINHDIGGRLQPLQEPAT KAYLEIAATYRLDPAAMALAFCLSRPFMASAIIGATSMEQLKIDIGAADITLSNEILA EIAKVHRQYPLTL YP_002975033.1 MTIAFTFPGQGSQAVGMGKDLAENFAEARAVFQEVDEALGEKLS DVMFNGPEDTLTLTANAQPALMAVSIAVVRVLEAKGLDLKSKVAYVAGHSLGEYSALC AAGTFSLADTARLLRIRGNAMQAAVPVGVGAMAAIIGLEHADVAAVCEAAAAIGACQI ANDNGGGQIVISGEKAAVEKAAGLATDKGAKRAILLPVSAPFHSTLMAPAAEAMREAL ATVAKSDPVVPVIANVRAAPVTGADEIASLLVEQVTGQVRWRETVEWFAGNGVTTLYE LGSGKVLTGLARRIDKTINGISVNGPADIDAAVAALMA YP_002975034.1 MFDLSGRKALVTGASGGIGEEIARLLHKQGAVVGLHGTRVEKLE ALAADLGERVKIFPANLSDRDEVKALGQKAEADLEGVDILVNNAGITRDGLFVRMSDE DWDSVIEVNLTSTFRLTRELTHPMMRRRYGRIINITSVVGVTGNPGQANYCASKAGMI GFTKSLAQEIATRNVTVNCVAPGFIESAMTGKLNDKQKEAIMGAIPMKRMGTGGEVAS AVAYLASSEAAYMTGQTLHVNGGMAMI YP_002975035.1 MSDIAERVKKIVIDHLGVDADKVVESASFIDDLGADSLDTVELV MAFEEEFGVEIPDDAADSILTVGDAVKFIEKAQA YP_002975036.1 MRRVVITGTGMVSPLGCGTEVTWSRLLAGQNGARLVTEFEVDDL PAKIACRIPIGDGTDGTFNVDQWMEPKEQRKVDPFIIYGMAAADMALADAGWHPETDE DQIATGVLIGSGIGGIEGIVEAGYTLRDKGPRRISPFFIPGRLINLVSGQVSIRHKLR GPNHSVVTACSTGAHAIGDAARLIALGDADVMVAGGTESPVSRISLAGFAACKALSTQ HNDDPKKASRPYDRDRDGFVMGEGAGIVVLEELEHAKARGARIYAEIVGYGLSGDAYH ITAPSEDGEGAGRCMAMALKRAGLTPADIDYINAHGTSTMADTIELGAVERLVGNAAS KISMSSTKSATGHLLGAAGAIEAIFTTLAIRDNIAPPTLNLDNPERETAIDLVPHKAR EREINVALSNSFGFGGTNASLVLRRYAQ YP_002975037.1 MSDTTNQSNDTQAQKGPIIPKSPSEALRPERVPEPPKRSKKARG QVVLFLNFIMTLAVLVCVVAIIGFYYATSTYRNPGPLQTNTNFIVRNGAGLTEIASNL ERNAIISDARIFRYLTATHLSAGESLKAGEYEIKARASMRDIMELLKSGKSILYSVSF PEGLTVRQMFDRMLQDTVLEGDLPAALPTEGSLRPDTYKFSRGTKRSEIIEQMAAAQQ KLVDQIWDKRDSSLPLRSKEEFVTLASIVEKETGVPDERAHVASVFLNRLGKGMRLQS DPTIIYGLFGGEGKPADRPIYQSDLKRDTPYNTYVIKGLPPTPIANPGKDALEAVANP WKTQDLYFVADGSGGHVFAATLEEHNANVKRWRKLEADKGSDPNIAVDGQPEEQPADS GTTVAPPKKKKIN YP_002975038.1 MALQSMTGFARREGTSGRWRWAWELRSVNGKGLDLRLRLPPGLE RMEADVRRLAGESFSRGNLQASLSVSADENRFEAVLNRQALAAVLAMREQLDGVIDPA PLKLDTLLLVRGIVEFRESEDGEEALAARDADIAAGLLAALADLRAMREQEGSALARI LHDHVTTIEGLTRTIEADPSRSAQEIAARLAAQVALLMDGMAALDRDRLHAEAALLAT KADLREEIDRLKAHVAAARDLLVKGGPAGRRLDFLAQEFNRESNTICSKSNASAVTAA GIELKVVIDQFREQVQNLE YP_002975039.1 MKPAKSSPVQIARRGLMLVISSPSGAGKSTIARTLLETDRQIGL SVSVTTRQRRPSEVEDVHYHFKSVREFERLRDSDALLEWAEVHGNFYGTPREPVEQAM GEGRDMLFDIDWQGAQQLQEKMSADVVSIFVLPPTMTELQSRLHRRAEDSEEVIQTRL ANSRAEIAHWREYDYVIVNDDLNAALDAVQSIVKAERLRRDRRHGMFDFVRELLEETP SL YP_002975040.1 MAPLDGLPPLRDVIQRHGLDARKALGQNFLLDLNLTQKIARTAG TLDEATIVEVGPGPGGLTRAILALGARKVIAIERDPRCLPALAEIADHYPGRLEVIEG DALKIDFETLVPEGPVKIIANLPYNVGTQLLVNWLLPKAWPPFWQSLTLMFQKEVGER IVANEDDDHYGRLGVLCGWRTEARMAFDVPPQAFTPPPKVTSTVVHLIPRDTPIPCAV ANLEKVTQAAFGQRRKMLRQSLKPLGGESLLVKAGIDPARRAETLSVEEFCLLANSL YP_002975041.1 MAIPFSRPLALSQGDPAGIGPDITLTAWLRRRELGLPPFFLIGD PDVLALRARQLNLAVSIRETDKASEAAGIFADALPVMTIPAGIEVVAGEPHEATAKGT IASIEKAVSLVISGEALAVVTNPIAKAVLYEAGFRFPGHTEFLADLAARATGRPVTPV MMLSGPKLRAIPVTIHIPIRDVPAALTGELIMETCRIAHEDLKQRFGIEAPRLAVAGL NPHAGEGGAIGTEDEDVIRPAIERLRDEGIDAIGPLPADTMFHDEARSRYDVAICMYH DQALIPAKALGFDDSVNVTLGLPFVRTSPDHGTAFGIAGKGLAREHSLVAALKLAAQL GRSVESRR YP_002975042.1 MIDAKKAITKFLAGAALALLTGFAGSALAASEVKAVVNGTAITS GDVAKRQAFLRLQHTKADAKAAEEQLIDEALKRQEVTRVHMSVSQQDVDASFARFSSG NKLSPEQMSQILDRAGVGVDHFKGFIAVQMSWPRVVNARYGSTSRLSNYDLVSRMMQN NKQKPVTTEYMLQQVIFVIPQAKRGAITGKRKGEAEASRSKFPGCDQAKVFAATMRDV SVRDLGRMLAPEIPPDWKPLVEQAKGNTTGTRVTDKGVEYLAICSQRQVSDDQAAEMV FRQEDLDKSKGGKDASPENENSKKYLDELRKKAQIAYR YP_002975043.1 MAAGDRKYFISKQLVALLVGATLCSYFGSVPASYGQASAPEQNI ETKIPEGAKLLLSANELVYNRDADLVSAVGGVQINYGGYKMVAQKVEYNQKTGRMMAL GNVELVSPDGNRIYADNLDVTDNFADGFLNSLRIETADNTRIVAESGERVGGTKMILN KGVYTACLPCAEDPKRAPFWQVKAQRVIQNGETHTIRLERARFELLGHPIAFLPFIEV PDNTVKRKSGFLFPTMSLSQNLGFGLSVPYYYVISPSMDATVTATGYTAQGFLIEGEF RQRFENGTHILRVAGIDQAKPGNFSSGTTDAEAEQRGMVASKAEFRINPRWTFGWDVM MQSDNNFSKTYKLRGLTGTDRTNQIYLTGLGKRNYFDMRAFYFDVQDADRTNTAEKQQ AIVYPSLDYHYVAPQPLAGGELSADVNLTNISRTHDDFYTVDGFDRFRGLKGQTSRLT AELQWKRTYVTPTGLVITPLLAARGDAFALNMDDPTGYTGNYVDGNSATRSMFTAGLE MRYPILMTTDNSTHILEPIAQIYARPDEQLAGRLPNEDAQSFVFDATSLFDRDKFSGY DRIEGGTRANVGIQYTGTFDSGYKLHGIFGQSYQIAGQNSFATDDLVNVGADSGLETD RSDYVGLGGVETPYGVSVAASYRLDEKDFEFRRGDLTTAYQNDTFSTQLTYTHLSAQP AYGFAEDNDEIQTNSRVKFKDYWSIFGGIAWDLNNDVISRRTLGLSYEDECTIFTIAY TDSRDSDDETASDWTIGARLTFRTLGDIKIGSDTLE YP_002975044.1 MMFGTLSRYFFRRYLVTTGWFLIGVSAISFLLDFSETAGRMSGL PGYTIGGGVLMTAVRLPLILQQTVPFIALFVGMTVLIGLNRKYELVVTRAAGISVWQF MFPFIAGSLVLGLLTMAALNPLAAWGQRQALLVESDWRGENAVLRKAPQIPWLRQISG RDDVIIGAQTVQESGTKLIDAVLIHFDSSGQVILRQDAATAKLEDGYWQLNNVVERKP GEIPVRKASVQLRTNLKQDFVQERLTAPETIGFFDLSNRIAAAKSFGISTKALETQFN SLLSQPLLLVAMTFIAATVSLKFSRFNQSRSVILGGILSGFMLYVITVLVKAFGSSGV VPPFVATWIPVVVALALGATILLHQEDG YP_002975045.1 MKLLETYILRRVGQMFLVALLPVLAIIWTTQVLQRINLVTDSGQ SIGSFAKLATMILPSIIPVVLPFALVIAITQTLTTMNNDSELTVIDAAGARRSVLIRP ILLLAAAISVFSFFVDNVVEPRAKTVVRQMIAETYADLLSSVIEEKTFRKLDEGLYVQ ISKRMAGRMLKGLFVADERDPAYELIYYAKEGAVDDTGTTLIMHEGEVHRKTPDGNVS VINFDSYSFDLSDMTENRGQATLRASDRDLWFLINPDPADKDYTIRPQSYRAELHRRL TDWILPVVFALFSLAIAGDARSHREARLHPMVSALAYAFAMRWAAFYAANQIDTDPEY IAVLYAIPIVSSIVSIVFLGLHKRLVMPSFIRDRISSFWRGMQERLLAVTGRTGGGAA Q YP_002975046.1 MTVFSKNRWRDLAIRLPKTTFGAFMLLFWTWWALLAVFRAFPAI DIYFSQLFFVGADCDAAAAAGSICGGFPYRDVAAFDLLRTLFFRLPYVVAIVMVWKLV ECYRQHGATFNAARAQKLKVALGTLLIGPVLLVNVVLKEHWGRPRPIQTDIFGGALHF AEAGSLAGKCVSNCSFISGEAASAGWLFCLLLFVPKSLRYALAPPVAAISILTPAMRL SFGAHYLSDVVLGWLSSLVVFAALLALTESQQHQKNSEI YP_002975047.1 MSAKLEVSFSKSAKPNGGLAILLKTAEADSAAGADTVDPAGVIV KAARIARFSAKSMSGLDIVAPEGAPVERIVVIGLGKAAELTAHDWLKAGGAAASRIKN TDKAAVFIDVPGLATSARAAADFALGMLLRTYSFDTYKTKKGDEEEKPAKSVKVTIVT ADPAGAKKAFSDSEAIAGGVNLARDLVNEPPNVLGPVEFAAKAKELEKLGVEVEILTE REMRRLGMGALLGVAQGSVRPPRLAVMQWKGGKGKDRPVAFIGKGVVFDTGGISIKPA AGMEDMKGDMGGAAAVTGLMHVLASRKAAVNAIGIIGLVENMPDGNAQRPGDIVTSMS GQTIEVINTDAEGRLVLCDALWYCNDRFKPQFMINLATLTGAIVVALGNVHAGLFSND DQLSAQLTAAGLSSNEKLWRMPLGRDYDKLIDSKFADMKNTGGRQAGSITAAHFLKRF VQDTPWAHLDIAGTAMGSPQDEINQSWGSGFGVRLLDELVRAHYES YP_002975048.1 MTDVLFYHLTETRLEDALPPLIDKSVERGWRVAVQTREPARRDA LDQHLWTFREESFLPHGTDEADFAESQPVLLTVTPDNANAATVRFIVDGAEPPPADAY ERIVFMFDGHDQEQLEAARAQWKKLKGEGHNLTYWQQTSEGRWEKKA YP_002975049.1 MSNKIGRKGRFFRVLKIGILVVPLLGLAACWSEQVAMPPDAPGL SRSEEYSFGSATQAEDWQATRRVLGQLEGRFGEKSMRILARNLASTTEPQTIKAYYAE RLVHQAGWAEMPVSTFADKAWAFAFVSPNGKHVLAVEALDASESAGGIVPLNILTNLD EDKGSKG YP_002975050.1 MSPINLAIVGVGKIVRDQHLPSIAKNPDFQLVATASRHGTVEGV KSYTTIEAMLDAEPSIDAVSLCMPPQYRYEAAYKALVAGKHVFLEKPPGATLSEVADL EALANKQGASLFASWHSRYAPGVEAAKAFLASTTIKSVHVIWKEDVRHWHPNQEWIWQ AGGLGVFDPGINALSIVTHILPRPIFITEAVLEFPENRDAPIAADIHFRNADGMPVHA EFDWRQTGKQSWDIVAETAAGQMVLAEGGAKLLIDGTLRFAEPEQEYPSLYRRFAEII KAGKSDVDLAPLRHVADAFMLGKRKFVDAFHD YP_002975051.1 MNLHLETDDDPRTRQFIDEHNRVSDAALRTPEFERDRDAIKALI ERQDRLIVPMRRDEWLFDFRQSKDNPLGIWLRLPADQQPLPDAAWEPVFDLDAFCVRE GKRWNWRGAVTCPWEPTRVLLTLSDGGSDLLRLLEFDAEPKQVVEGGFDTPAARSHAT WLSRDEICYFGSIDRFSATRSGWPRVGRRLKRGQRPEDAAVMFEAADEDVYGFNLVID PALSGASADRGLIDIFVAAHEIGVASAFLIADNGTQRRIDLPKEADFQFNHDHCLWRA KTDERVATGSLVLQRFDPASETALLGPERILFEPGEGQSIAQMMLMQEWCVFIISDRL RPRLMVLDLTRPDAKQREIALPADMQTAHFRPLHADLHLGDDTLYIVGQGFLQPPVCY RLELSDRSKQAEPIFVATAPSYFDATDMSSELLEAVSEDGTKVAYRLVLPKQWTKGAL PVLLYGYGGFDVSLSPNYSGVTGRWLEQGGAYVQAYIRGGGEFGPDWYRSAKRQGRDR AFADFVAIARDLVARGYTVPSRIACQGGSNGGLLTGVMLTRYPDDFGAVWCQVPVLDM TRFHLFSAGQAWMDEYGDPETPADRDFMLGYSPLHNVGPATKVSYPPIYIESSANDDR VHPSHARRFAARLEEDGHRPLFHEFGSGGHGGDGNSEERAARAAMGYSFLRQTIMR YP_002975052.1 MYRFFILSCMALTVALSACSTTRQVAPDTSIKTSSVIAPEGRAL AYTGDDAAPRLAGPHHLAGRTLEVSSLAELKLQDKEVILSFDDGPIPGRTDKVLAILD QFGVKGAFMMVGEMAEMHPALARKVAQDGNTIGSHTYDHANLASLSFDAAMAEVIKGE LTVTKATGTDVSFFRFPYLAESHRLRAAIAMRDMVVMDVDIDSKDYFTTTRVSATQRT MNLLHKRGRGIILMHDIHKRTVAMLPSLLSRLEAEGYKVVTLKFKKTEVPNTVVASAD FVTIR YP_002975053.1 MITLTDISARIAGRLLLDNASVSLPSGTKAGLVGRNGAGKSTLF RVITGDLGSESGTVSIPKAARIGQVAQEAPATEDALIEIVLAADKERTALVAEAETAT DPHRIAEIQMRLVDIDAHSAEARAASILAGLGFDKDAQARPASSFSGGWRMRVALAAV LFSEPDLLLLDEPTNYLDLEGTLWLEDYVRRYPHTVIIISHDRDLLNNAVNAIVHLDQ KKLTFYRGGYDQFERQKAEADELQTKAKAKNDAARKHLQGFIDRFKAKASKARQAQSR VKALERMGTVAAVIEAHVQPITFPEPEKQPASPIVAIQSGAVGYEPGNPILKNLNLRI DNDDRIALLGSNGNGKSTFAKFISGRLAPESGEVKIAPSLKIGFFAQHQLDDLIPEQS PVEHVRRLMPGAPEAKVRARVAQMGLATEKMATAAKDLSGGEKARLLMGLAAFNAPNL LILDEPTNHLDIDSRRALIEALNDYEGAVILISHDRHLIEATVDRLWLVNGGTVTTFE GDMDEYRDLIVSSGKKKEEKPQLTEDATSKADQRKLNAERRASLTPLRKKINEIESLT AKLEKQIQAFDAELADPALYEKTPAKAAEKVKQRGEAAARLAAAEEDWLMLSAEYEEA MAG YP_002975054.1 MQNGSANALVPREAARPAPMADIQKIAQHMARLNVAALPRNYEL FHEAIIGLNAGLAQDIAALGPQPQQPMLDELGLKYRLVGHCGLAGETSRNEASRMLRD VADRLAEGLKHKNAFARACGAILKSVSGQDDQSLAVFLSEVDYLTASLSTVLAAEMEI GAKLQDDIKTLETLERGISAMQSAAIADRITGLPNRIALNRTIADLYKREEGAAGSAL IMVDIDNFTDLNDKYGTQAGNKLLKKLAGLFSKSVKKNDFVARTEADEFALLFSNVGM QDAIAIAERLRASVEDNLVFATSDKADPGRLTISIGVALSTDAATPGQLQANARVALL AAQSNPRLPVQAFGR YP_002975055.1 MLRHYRMFAAYNRWANTQVYAAAAELSDAEFRSDHGAFFGSLHR TLNHLIVADRIWMKRFSGAGEAPTTLDALLFEELDALAAARKAEDERIIAWMDTLDEA ALAADFTYVPVTQPGEFTQPLAPALAHLFNHQTHHRGQCHMTLTALGKPSLTLDLIYF LRSEGREWM YP_002975056.1 MTRALYSLCGADEQRFFSPHCWKAVMALAHKGLDFEEIPTTYAP IRAIGGGVSSIVPVLDDNGRLIPDSFDIALYLEEAYPERPSLFNGEGGKSLSRMVEGY SQMIIHPAIMRIALLDIHANLDEEDKAYFRQSREARLGKPLEVVAADSEAEKAAFGAK LEPLRHMLKFQPFIGGQTPLFADYIVFGALQWLRVSAGLAMLATDDPVMAWFERCLDL HQSRGRTVTAA YP_002975057.1 MAIERTFSMIKPDATKRNLTGAITKMLEDAGLRVVASKRVWMSR REAEGFYAVHKDRPFFGELVEGMTSGPTIVQVLEGEGAILKNREIMGATNPANADEGT IRKVHALSIGENSVHGSDAPETAAQEIKYWFSDTEIVG YP_002975058.1 MRKHWIVMAAVAGLMLSACQRQAENLVEVTGHLFVFNYRVASAT YLLTLKKTGPIPDGSVIIAEFENPEGGDPLVLNQKIYPIDDKIALQSEKLHCVRKDRP YSVSVRLVDKDGKVLQELKTQFRSDLDQTVLPSKPLVLGALYDKNPEVFKPDGSVDFS NTDKCPA YP_002975059.1 MSFSWTPHRFAGGALALDVVNSVVLRHDAVRRIDRFAVRDQMQS FPNAAAEFCAERALFGNIAPVAAENEADFIVLREAIDLYFRERILNGGDDQLLARLLE ALAKALRDASPGSLAAATAHSALRLIAMPDPQRMKICGNCGWLFIDRSKNRSRAWCDM AVCGNRAKANRHYRRKKEETP YP_002975060.1 MAYLLQQLANAVPLAALYAALAFGYAVAFGVTKRADITYGAIFA FAGQILLLFTELAYVRFWLVLPAALAVGACASIVYSLAAGLWIGRSIMLPLVNKSPNT VIVAALGIMIVLMETARLAANTRSIWLPPFLNDTVVFWSDGLFKVTLTYIQLINTVLM CAIVAVGTLILRRTAWGRVWRAVTDDPLAAELCGTSADRVFLIAYTAAALVATICGIL ATFYYGSMDFGAGLMFGLKVLLIAAVGGYSDPLRSAGGAAGLAVVETMWGAYGPFVWR DLVVFSLLVLLLVMSRRERVVL YP_002975061.1 MRLTATGAFVVIITPTIRVQHEDFDLQAEVDLLSKGKPGIGAVV TFSGLCRDEGGTLAALELEHYPGMAEAEIRRIGDLAIQRFGLLGLTAIHRYGKIATSE NIVLVVAAAPHRQAAFDGANFVMDFLKTAAPFWKKEHVRDGATGDWVAAKDADDAARD KWK YP_002975062.1 MTRLVYFAWVRERIGKGEEEIDLPSSVVTVADLLNHLKTLGEEY ETALQYPDVIRVALDMEHVEHDEPISGAKEIGIFPPMTGG YP_002975063.1 MASRAYSIPNLLTYGRILAVPLIVLCFFIEGRLSISNTARWVAL WIFVIASLTDFLDGYLARIWNQTSNIGRMLDPIADKLLVASILLLVAADQTIAGWSIW AAITILCREILVSGLREYLAALKVSVPVTRIAKWKTTLQLVAIAFLLAGPAGDEIFPY TTQTGIALLWIAALLTIYTGYDYFRAGVKHIVDDEE YP_002975064.1 MNGRKLPDGGVLYDETDESEDDIEVEGDASVAVPLAAAVDWNAG SLNETGLLGAELIGEFVKRLPNSPGVYRMFNAEGDVLYVGKARSLKKRVNNYAVGRVH SNRIAQMVRQTANMEFVTTRTETEALLLEANLIKRLRPRFNVLLRDDKSFPYILITGD HRAPAIFKHRGARARKGDYFGPFASAGAVGRTINSLQRAFLIRTCTDSVFETRTRPCL LYQIKRCSGPCTHEVSDGGYGELVQEAKDFLSGKSQKVKSHMAEAMNQAAEDLDFERA AIYRDRLAALSHVQSHQGINPAGVEEADVFAIHHEGGISCIQVFFFRTGQNWGNRAYF PKADPQLSSAEVLNSFLAQFYDDKPVPKQIMLSQTVEELELLAAALSEKAGHKVSILV PQRGEKRDLVDHVVGNAREAHGRKLAETASQSRLLEGFKETFGLAYAPQRIEIYDNSH IMGTNAVGGMVVAGPEGFVKNQYRKFNIKSTDITPGDDFGMMKEVMSRRFSRLIKEDG IPDRTAQVATPDAADMPFPTWPDVILIDGGQGQMTAVRAILAELGITDSVTAIGIAKG VDRDAGRERFFPPGRESFTLPPRDPVLYFIQRMRDEAHRFAIGSHRARRKKEMIKNPL DEIGGIGPSRKRALLQHFGTAKAVSRAALSDLMAVEGISEAVAKQVYNHFHDDAAK YP_002975065.1 MNHKRLRSALITGAAKRIGRAIAEDLAANGFSVAIHANGSIGEA EELVAELRRKGYRAIALQADLTDIGETGALVAKASEALGPVDLLINNASVFQHDSARS FNAATWALHFDLHVRAPSILAAAFAQQMPAEAAGLIVNIIDQRVWALRPSFYSYTLSK SALWTATQTLAQALAPRIRVNAIGPGPSMPSERQAMEDFQAQVSALILQRGPALEEFG QTIRFLYDTPSVTGQMIALDGGQHLAWQTPDVAEITE YP_002975066.1 MRVLIAGLMASVFAIAGLSAAQAADAVDQIPEAPVAQDAPVKPA GNWEGFYLGGAGTYNMGDFGSDRHTYGFGGQVFTGYNWQQGQIVYGVESDLGYSGDDV SSGGVKNKYGWNGSVRGRVGYDMNPFLLYGTAGLAIGDVKVSDDTSDESKTNFGYTVG AGVEAFVTNNITTRLEYRYTDYQSKDYDLDSGSFSRGYDENSVKLGIGVKF YP_002975067.1 MNYRHIYHAGNFADVLKHVVLTRLIRYMQKKDGGFRVLDTHAGI GLYDLSLEEAQKTGEWLDGIGKLMEADLGPQVSELLEPYLSAIRELNPQGGIRFYPGS PKLARMLFRPQDRLSAMELHPEDYVRLHRLFEGDHHARITELDGWLALGAHLPPKEKR GIVLVDPPFEEEDEYQRLAEGLEKAYRRFPGGTYCLWYPLKKGAPIKEFHETLQALDI PKMLCAELAVRSDRGITGLTGSGLVIVNPPFTLKDELHQLLPALKDHLAQDRFASHRA FWLRGENKAVKDD YP_002975068.1 MNSRHGEFLWLLAVQALEYTNAMNIATPIHAKSEKPDNRVGHTA CPHDCPSTCALEVEISEDGRIGRVRGANDHSYTSGVICAKVARYAERLYHPDRLMHPL RRAGAKGAGQWQQISWDDALDEIAEAFVKAEARDGSEAVWPYFYAGTMGWVQRDSIDR LRHAKRYSGFFSSICTNPAWTGFTMATGTLRGPDPREMGRTDCVVIWGTNAVSTQVNV MTHAIKSRKERGAKIVVIDIYDNPTMKQADMALIVRPGTDAALACAVMHIAFRDGYAD RDYMARYADDPAGLEAHLKTKTPQWAAAITGLSIEEIEAFASLVGTTKKTFFRLGYGF TRQRNGAVAMHAAASVATVLGSWQYEGGGAFHSNSDIFRMNSAELTGRSMKDADIRML DQSQIGRVLTGDAVALRHRGPVTAMLIQNTNPANIAPEQRLVRRGFAREDLFVAVHEQ FLTETAEIANIVIPATMFVEHDDIYRAGGQNHILLGPKLVEPPPTVRTNLFVIEELAK RLGVADRPGFGFTAREMVDRILESSGLPDYDHFLEHKWFDRQPAFEEAHYLNGFAHPD GKFHFRPDWINQPAPNKPPAAIGALGPHAALPDFPDQVDVIEVADPEHPFRLATSPAR NFLNSSFSETKTSRQKEGRPEVMINPADAEANGITHGDLVRIGNSRGDLRIHARITTE VKSGVLIAEGLWPNKAHVDGEGINVLTGADPVAPYGGAAVHDNKVWLRRDAA YP_002975069.1 MMQPKSRVKIAGEETLSNGWTRLSSYLLDYIDRKGATHRLKREV YHRTPAACILLYDPRRDLVVLVRQFRLAVHLNGDPSWIIEVPAGLLDDDHPETAIRRE AMEETGYRLREARFLFKSYTSPGAVTEVVHFFAALVDIADRVAEGGGLDEEHEDIEVL EIPLDEAATMIETGEIFDVKTIVLLQWALLNRAKLTA YP_002975070.1 MWLSNFTLVLPNEVVSEGSVRVEGGVIAEIRPEPVAGAAIDGGR RLLMPGFVDLHGDMIEREIAPRPNATMPIDFGIHELDKKLAAAGVTTAFAAVSFATES VYGHVRSLETTSAVIEGINRLRDDLLIDHRVHARYEITNVGAAPALERLLNADQIDMV SLTDHTPGQGQYNNLQSYILSISERRAISEEMAAEIVAKRIAMRNNADIEAKLKEIVA LSLKHKLSLASHDDDSVEKVAEMHDLGVTISEFPVTAPAAEEARRRGLWTLMGAPNAL RGQSMSGNLSALDAARAGLLSVIAADYHPAAFVPGIFKLADMVDGGLPAAVAMATGNA ARSAGLSDRGEIAIGQRADLVVVEPGDINRIRATFRAGRFVYSDGTLHPLRALAA YP_002975071.1 MSSPRKRAVVFISGSGSNMMALVAAAKAADYPAEIVGVISDKAD AGGLAKAAAEGIATFAFPRKDYASKDAHEAAIFSALDELKPDILCLAGYMRLLTATFI QRYEGRMLNIHPSLLPLFPGLHTHQRAIDAGMRIAGCTVHFVTEGMDEGPVIGQAAVP VFSGDTAESLAARVLTIEHQIYPQALRLFAEGRVTMEGGKAVGAEASTAAPKTQLISL IGDRA YP_002975072.1 MSQSGKNGLTYSDAGVDIDAGNLLVEKIKPAVRSTRRPGADGEI GGFGGLFDLKAAGFTDPVLVAANDGVGTKLKIAIDADYHDTVGIDLVAMCVNDLVVQG AEPLFFLDYFATGKLDPDQGAAIVGGIAAGCREAGCALIGGETAEMPGMYSSGDYDLA GFAVGAAERGKLLPSGDIAEGDVILGLASSGVHSNGFSLVRKIVELSGLDWDAPAPFA EGKKLGEALLEPTRIYVKPLLKAIRETGAIKALAHITGGGFPENIPRVLPKHLAAEID LAAVKVPPVFSWLAKTGGVESKEMLRTFNCGVGMIAVVAGENVATVSAALEAEGETVI TLGRMIAREEGAAGTVYKGTLAI YP_002975073.1 MPQQVSGNILKRQIFFWLAALVFFIAFLYVFSSILLPFIAGMAI AYFLDPVADRLERLGLSRMMATIGILVAFVIVFALALMILIPVLISQFNDFAQRLPGY ISQLQQFITQAQNSLLPDWVENQMGAIKDNLSGILSEGMGFLTGLFAQIWNSGKAIVD VISLLVVTPVVAFYILLDWDRMVAKVDQWIPRDYVSDVRQIAKEIDQAIAGFIRGQGS LCLILGIYYAVGLSLVGLNFGLLIGLFAGMISFIPYVGSMVGLVLAIGVALVQFWPDY PWIGLVLVVFFSGQFLEGNILQPKLVGSSVGLHPVWLMFALFAFGALFGFVGLLVAVP AAAAVGVLVRFALSRYLQSDLYFGGSPSGRARKTKSVPNE YP_002975074.1 MNDVKNADPKRKAGEQLPLVFSHDAASGRDDLLISERLAAAVSI VDAWPAWPSPVVVLAGPVGSGKSHLARIWRELSGAVDIHPELGSDAAIAAAAGPVLFE DADRLGFDDNALFHVINSVRENGTSLLMTSRLWPMSWPVLLPDLRSRLKAATVVEIGE PDEALLSQVIVKLFADRQLYIDDKLVLYIVVRMERSLNAAQTIVERLDRLALSRGTKI TRSLAAEVLNELGNSEPAD YP_002975075.1 MDSAVAEQQELTPEINDNVPPLEELLKSPERFINREFSWLQFNR RVLEETLNTEHPLLERVRFLSISAANLDEFFMVRVAGLEGQVRQNIVIRSPDGKTPAE QLDSILQEIDHLQMEQQASLAVLQQYLAKEDILIVRPGALSDADRQWLAAEFEQAIFP VLTPLSIDPAHPFPFIPNLGFSIGLQLVSKNGREPMTALLRLPVALDRFVRLPDDGNT IRYITLEDVANIFIHRLYPGYEVQGSGTFRVIRDSDIEVEEEAEDLVRFFETALKRRR RGKVIRIETDSEMPASLRQFVVQALNIPDNRVAVLPGLLALNTLSEITKAPREDLRYA PYNARFPERVREHAGDCFAAIREKDMVVHHPYESFDVVVQFLLQAARDPDVLAIKQTL YRTSNDSPIVRALIDAAEAGKSVTALVELKARFDEEANIRWARDLERAGVQVVFGFIE LKTHAKMSMVVRREEGKLRTYCHLGTGNYHPITAKIYTDLSYFTCNPVIAHDMANIFN FITGYGEPEQGMQLAISPYTMRPRILRHIEEEIQHARNGAPAAIWMKMNALVDPDIID ALYRASHAGVEIDLVVRGICCLRPQVPGLSEKIRVKSIVGRFLEHSRIFCFGNGHGLP SDKALVYIGSADMMPRNLDRRVETMVPLTNPTVHEQVLSQIMLGNVIDNQQSYEILPD GTSRRMEVRRGEEPFNAQQYFMTNPSLSGRGEALKSSAPKLIAGLLEGRNNK YP_002975076.1 MVESEAQGRLPGIAPVSVVDIGSNSIRLVVYEGMSRSPTVLFNE KVLCGLGKGVALTGKMDEDSVARALAALHRFKALSDQARAATMYVLATAAAREASNGP DFIHQAETILNRKVRVLSGEEEAKFASLGIISGFYNPDGIAGDLGGGSLELIDIKGKE FGKGITLPLGGLRLSEYAGGSLSKAQSFARKQLKTAKLLSKGEGRTFYAVGGTWRNIA KLHMEITHYPLHMMQGYEVSFEGMMQFLDQVVTARDSREPALQAVSKHRRSLLPFGAV AMKEVLSAMKPSLISFSAQGVREGYLYSLLSEAERRADPLLAAAGELAILRARSPEHA RELAEWTGRMMPLFGIQETEEESRYRQAACLLADISWRAHPDYRGLQALNVIAHSSFV GISHPGRAFIALSNYYRFEGLHDDGATGQLAQIATPQLIERAKLLGGMLRVVYLFSAS MPGIVKNLTFRKSSSPDLDLEFVVPPEYRDFAGERLDGRLQQLSKLTNKRLAFRFE YP_002975077.1 MVWRETGIMDERLRFVGECLAGEETMTALCAAYGISRKTGYKWL ERYRALGPAGLIDLPRAPLEHGRATAAELVARIVAEKEANPQWGPKKVLARLKRSAPQ LCWPAASTIGEILKRHGLVGRRRHRWRAAGCGPFAPANGPNAVWSADYKGWFRTRDGR RCEPLTVMDTASRFLLALEACATPAEVEAWPVFERLFAEHGLPERFRSDNGSPFVAIG VTGLTTLAVRFIKLGIGLERIQPGKPQQNGRHERFHLTMLPLAMAPEVDHAAQQAVFD AFRQNYNAERPHEALAMDVPADHYRPSLRRLPDRLPEPDYPAEAAVRRVRSSGEIKWN GDLVYVAAALAGEVVAIEESEAGIWTLRFHAHPLGIIDKKTKRLVRPSALQPRPAGAG ADTGLQGGEL YP_002975078.1 MKNVLFASVSLFILVAGAASADQQQFPAKLAGQAILPANTMVPA PADAPEFLKHSGKFTTPDRKRAEALGTAPGKDGARIIDLKLPFDGQPIQGFSGVKTMA DGTFWTLSDNGFGSKSNSSDSMLFLHQMKFDWAGNKAEVVKNLFLSDPNKIAPFPIVL EGTDTRYLTGADFDIESIQPVVDGFWLGDEFGPYILKFDTSGRLTDVIPTTLDGKPVL SPDNPLLSVPANPAAKMPVFNLKRSGGFEGLAMSKDGAKLYGLLEGAIYKDDRTVETI DGHTAIRVIEFDVASKKWTGRSWLYPFEDKGVSIGDFNVLDDTTALVIERDNGAGTTD RACADPKQPKPDCFEAPAVLKRVYKIEFNDANVGKAVRKIGYIDLLNIQDPDNKKKAG SKDGVYDMPFVTIENVDRVDATHIIIGNDNNLPFSAGRAVDKADNNEFSLLEVGEFLN AK YP_002975079.1 MREISPTQNWILITIVLAASGVIYDLMFYSNQTPIVGAIFALFI GMPILAFERKVLFRALYRRIQKLPTFAFIITELLIYEILMSIGFACAGLLLWSLGMVK PASLLDLVIMPFEVFVYALAVCTMLIFVLRVRELLGREVFLSMLISRYRNPVKEERVF LFIDLVDSTAFAEKHGDLRAQQLLSSLFATFAEPVRRHKGMINDYVGDAAIITWPLTR GVKNARCVRCMFDILADIEANAAGWRKNYGQVPKLRAALHGGEIITAEIGVDHHKISY FGDTVNTTARLETLCRSLNRSVLISSELARRMEFPDEISCEDLGTHAVKGRGQALGVM ALSSRAVTVLNAPAVILHG YP_002975080.1 MIETTADLAAACKELAKSDFITIDTEFLRETTFWPELCLIQMAS PRTEVLVDPLAKGIDLAPFFELMADTKVLKVFHAARQDIEIIFNRGNLIPHPIFDTQV AAMVCGFGDSVSYDQLVSRIKNVHIDKSSRFTDWSRRPLSDKQLDYALADVTHLRDVY LSLKAELDREGRSSWLSEEMDILESRETYDMHPDDAWQRLKMRLRKPQELAILKYVAA WREREARARNVPRSRVLKDDAIYEIAQQQPKDTEALGRLRTIPKGWERSTSGAAVIEA VNTALALPKADMPHVPRQAQAPEGAAAAVELLKVLLKLISEKHGVAPKVIANSEDLDK IAAEGEKAEVAALHGWRRDLFGEPALQLIQGAIALRFVDRKVETVSL YP_002975081.1 MPLLSRRNLLKASAVAGAYGVGIGIAGKYGFAEAAPEPQLLTAV KTEAMLTEAGPTTDIMSWGHDGMPPVLRMRKGRPYAARLKNGLDEPTTIHWHGLRIDN RMDGVPFMTQPYVYTGDSFDYAFTPPDAGTFWYHPHCNTLTQMGHGMTGVIVVEDPAD PEFDAEVVLNLRDWRLGGDGQFIAPFRPRDAAKTGTYGTVRTANWHREPRYDAPAGGL VRLRIAVTDVTRIFSLKMEGADATVIAIDGNPVPKRFPLDLLQIGPGQRLDLAMRMPD DEGAVATLEDIRGTAPKTIASLRAVGSSLKRAIEDLGPLVDNPVPKADLTAAEQIPLI LSATAENAAVESICGTLGYSFWAINKVPWPGDTPDPTAPLAELKLGKSYVFNLENTTP HAHPIHLHGMSFTVISSSTREVMPLVSDTYLIQPDEKVQLAFVADNPGDWLLHCHIIE HQKTGMTSYLRVS YP_002975082.1 MHRYRSHTCAALRKSDVGSTVRISGWVHRVRDHGGVLFIDLRDH YGITQVVADPDSPAFKMAETVRGEWVIRIDGLVKARTEDTVNKTMATGEIELYAQEIE VLSAAKELPLPIFGEPDYPEDVRLKYRFLDLRRETLHKNIVKRTQVISAMRREMGNVG FTEYTTPILTASSPEGARDFLVPSRIHPGTFYALPQAPQQYKQLLMVAGFDRYFQIAP CFRDEDPRADRLPGEFYQLDLEMSFVTQEDVWDTMGPLMTGIFEEFAEGKPVTKEWPR IPYDVAIRKYGSDKPDLRNPIVMEAVTEHFAGSGFKVFAGMIASNPKVEIWAIPAKTG GSRAFCDRMNAWAQSTGQPGLGYIFWRKEGDKLEGAGPLAKNIGEERTDAIRTQLGLD DGDACFFVAGDPAKFYKFAGEARTKAGEELNLVDRDRFELCWIVDFPFFEWSEEEKKV DFAHNPFSMPQGGLEALQSQDPLTIKAFQYDAVCNGFEIASGSIRNQSPETMVAAFEK VGLSQQDVEDRFGGLYRAFQYGAPPHGGAAFGIDRIIMLLVGAKNLREISLFPMNQQA QDLLMGAPSPATPTQLRELSIRPIPPVKKD YP_002975083.1 MSLPLEMADADRNSSLAAAVDAAITSALHDKRLVGTVVLIARDG EIVHRRAAGLADRESGLAMREDTIFRLASITKPIVTIAAMRLVEQARIGLDDPVTRWL PDFRPRLPDGGEATIRIRHLLTHTSGLGYRFSEEEGGPYARAGVSDGLAEPGLSLAEN LRRIASAPLRFAPGSDWQYSVAMDVLGGVIEAETGVPLGEAVAELVTKPLGLADTAFS VRDRSRLAAAYMDASPEPALMGETAMVMSLMGPIRFAPNRIFDPASYHSGGAGMAGTA GDILAILETIRRGGAPLLSTETVGMMATDQASGRRQQHEPGSGFGFGWSVITNPPEAG VPFPTGTLKWGGVYGHSWFIDPANGLTVVALTNTTLEGMWGKFTVDLREAIYAAL YP_002975084.1 MKNSRDVENSTARRRGRPPAFDRETVLSAARETFWAHGYEGASI ADLTAAMGITPQSLYAAFNSKADLYRAALEQYRALGSDTFSALGEPIDTVSTFERILR GSAAIFSAPEHPKGCMISTAVLNCASENAVIADHVAAMRRRSLDAFTARIERGIREGD MKSETNPRALARFLCAIVQGMSVQARDGASLEELFDIAVLAIAEVARHRA YP_002975085.1 MNFSRTTRLMLSGAAFFSLAGSAFALDGADLLKKLNAAYAVQGG TISADAVDIDGTTATLKNVSVKSAGGESLAVGEVTLSGVEEDEDGGYYIEEAAFPDIN TTKDGVTVTAQELTLGGISIPATAGGDTLDTMMLYETAHTGPLKVVKDGAEVFSLLET DVNLTLREDESGFDFDGAFKSMKADLSKAEDPQSKDAIEKLALQHVQGDITMKGAWEL APGTIDISEFAFDFTNIGKLNLGFKISGYTMAFVKSLQDAMKQSEANANKEESQQALG LAMLGLMQQLSFEAAQVRFEDASITKRALDYAGSQQNMSGKQMADSLKAMTPIMIAQL NIPELQNAVSAAVNTFLDDPKSLTVKAAPEKPVPFPTIVGAAMGAPNTLPQVLGVKVT AND YP_002975086.1 MGQEILPPSGGDDDHIQPVDLKAALEQRYLAYALSTIMHRALPD VRDGLKPVHRRIVYAMNEMGLRPNSAFRKCAKIVGEVMGNYHPHGDQSIYDALARLAQ DFSQRYTLVNGQGNFGNIDGDSPAAMRYTESKMTAVSELLLEGIDQDAVDFRDTYDES NSEPVVLPGAFPNLLANGSSGIAVGMATSIPSHNAHELCDAALHLIKHPDATVEKLVE FIPGPDFPTGGIIIDSRDSIIESYRTGRGGFRVRAKWQTEDLGRGGYQIVITEIPFQV QKSRLIEKIAELLIARKLPLLEDIRDESAEDIRVVLVPKTRSVDPTILMESMFKLTEL ESRFPLNMNVLSMGRIPRVMALNEVLKEWLDHRREVLQRRSRFRLAAIDRRLEILSGL LVAYLNIDEVIRIIREEDEPKPVMMARWDLTDNQVEAILNMRLRALRKLEEFEIRKEF DELTKEKGEIETLLSSDDKQWQTVAWEIGEVKKKFAKATEIGRRRTQFADAPETDEEA IQQAMIEKEPITVVISEKGWIRALKGHIADTATLTFKEGDGLKIAFPAQTTDKILILT TGGKAFTLGGDKLPGGRGHGEPLRIIVDMDNDQAVLTAFVHDPSRKQLIVSTAGNGFV VPEAELVANTRKGKQIMNVGLPEETQLLVPVSGDHVAVVGENRKLLVFPLAQVPEMSR GKGVRLQRYKDGGISDVRCFAISDGLVWEDSAGRTFTKNKDELAEWLADRATAGRTVP KGFPRSGKFAG YP_002975087.1 MPASTIKLHVSHTYKAPPAVVYDAWLNPEIARRFLFATDDGHVI RAEIDPHVGGRFFVVDRRPTGDAFHQGVFLELKRPQRMVFSFSVEEHDHNCDRVEINI EPLGGGSRLTLTHEMCAEWAEHEEKTRQGWAHVVEGLGRELEQQQFKATG YP_002975088.1 MIRLKWLSRQAIENMHDEQIAEHGGLAGLRDTNALETSLARPLN KAAYGETDIFVLAAAYLYAIVRNHPFVDGNKRTGYLAAFTFLYINRYVINADNAQVIA FVLEVAAGEIDEEGATRFLRDFSVPLSP YP_002975089.1 MNVTIRKIGNSEGVIIPKEVLQRLGLSSGDSLELREVEGNIQLV PESADLAEQMRAARIGMEKYRVALRELAK YP_002975090.1 MFPTALSDHRKGLLLTAIGGLALSMDIPLMRLANGELWSILAAR SIATLGVTLLVATALRIAKGRWPVLVPGWPGLVTGLLYGLTTVIFLLAVFNTSTANVV FIVAFNPMFAALLSWIFLKERPALATLLAMAAMIFGVGLIVRDGLSGGHLFGDSMALL TAFIIAAAITISRASRREMGFVSLLSTVLPAAVGLISVMPAGGFSIEHPAWILFNGAV MMPLAFWCLATGPRYLSAPEVGMFYLLETVLAPIWVWLIFAETPEPMTLVGGGILVAA IAAHSVWIVRRKSIVQMAG YP_002975091.1 MNTQTTPSPQFYLTAPAACPYLPHEMERKVFTHLVGPRAAEMND ILTQGGFRRSQNIAYRPACESCRACVSVRILAQEFEPTKSMKRVLAENSDVIATEFAA QPSSEQYSLFRRYLDFRHQQGGMSDMTVLDYAIMVEDTHVNTRIIEYRRREEGSGLEQ RPKGELLAAALTDTMSDGLSMVYSYFNPALERRSLGTFMILDHVRRTKALGLPHVYLG YWVQGSRKMDYKTRFQPQEHLTPRGWERFDPSSMPESTHD YP_002975092.1 MSYNPNPLYAAPEDWRAYSGVLSRRVFAFILDYVIVALLCIPAA IVLFFLSIVTLGLGFFLYPALFVIVAGIYFGLTVGGPSQASLGMRAMGIAIVRVDGRP MDFMTAIVHLALFWILNSVLTPLILLAGLFTERSRLVHDLLVGTATVRTA YP_002975093.1 MQDRTHLVDDITGHRRMRRNRKADWTRRLVQENRLTVDDLIWPI FIVPGSGIIDPIPAMPGVNRMSIDKAVEAAREAAGLGIPALATFPNIEMELRDETGSN SLEANNLINQATAAIKKAVPNIGIITDVALDPFTSHGHDGILRGSEIVNDETVDQVAR AAVMQADAGADIIAPSEMMDGRIGAIRMALDAAGHQSVGIMSYATKFASAFYGPYREA ISTGGLLKGDKKTYYIDPANGTEAIRDAALDVEEGADMLMVKPGLPYLDICWRMKEAF GLPTFAYQVSGEYTQIKAAAMNGWIDGERAMLETLLSFKRAGCDGVLTYFAVEVAKIL AKR YP_002975094.1 MQPQTDVCAMETESPTIPKRTLADILFILFLRLVAVSCFWFGLQ YWAMLVGYSLVGAGRFDLLSLPWKVASTSLAVLFPVASLGLWLTVSWGPVIWVLAAGG QILMYGLLPDIFGPNQLIILLHVIVAVVYLIFRLLLWLEKRRHRRQVSVDLP YP_002975095.1 MNTKIKPQAVSTFRDQQDHDIRDLYMESLHLVERLHRRLLDVIK DEFDRQGRSDVNAIQALLLFNIGNSELTAGELRSRGYYLGSNVSYNVKKLVDLGFINH QRSRIDRRSVRISLTETGQDIAETVAKLYERHIASIDKVGGIGTDEFTQMNKLLQRLD RFWNDQILYRL YP_002975096.1 MSKKNGIEALSRRAFLASAATVGASALAAPAFAQSALDTLINAP RRGNWDDQFDAKAASRTATAMVSNTPILGPQSVASAQQAIMQYQQIAAAGGWPEVNPG DQRLQLGVSSPAVQALRQRLAITGDLPREAGLSNAFDSYVDGAVKRFQARHGLPADGV LGEFTLKAMNIPADVRLQQLNTNVVRLQTFPEDLGRRHLMVNIPAAYVEAVEDGSVAT RHTAVVGRLSRPTHLVNSKIYEVILNPYWTAPRSIVEKDIMPLMRKDPTYLEKNAIRL LDGKGNEVAPETIDWNGEAPNLMFRQDPGKTNAMASTKINFYNKNGEYMHDTPQQGLF NKLMRFESSGCVRVQNVRDLSNWLLRETPGWNRQQMEQVIATGVNTPVKLATEVPVYF VYISAWGMPDGIVQFRDDIYQMDGNAELALDTTAGMEQPVQ YP_002975097.1 MTNASTESFFNRSLADVDPDIFGAIGKELGRQRHEIELIASENI VSRAVLEAQGSIMTNKYAEGYPGKRYYGGCQFVDIAEELAIERAKKLFGVNFANVQPN SGSQMNQAVFLALLQPGDTFMGLDLNSGGHLTHGSPVNMSGKWFNVVSYGVREGDNLL DMDEVARKAEETKPKLIIAGGTAYSRIWDWKRFREIADSVGAYLMVDMAHIAGLVAGG VHPSPFPHCHVATTTTHKSLRGPRGGVILTNDEDLAKKFNSAVFPGLQGGPLMHIIAA KAVAFKEALQPEFKDYAAQVVKNAKALAETLISGGLDVVSGGTDNHLMLVDLRKKNAT GKRAEAALGRAYVTCNKNGIPFDPEKPFVTSGVRLGAPAGTTRGFKEAEFREIGNLIV EVLDGLKVANSDEGNAAVEAAVRGKVVSLTDRFPMYGYMG YP_002975098.1 MRCPYCGSEDTQVKDSRPAEDNTSIRRRRICPDCGGRFTTFERV QLRELMVIKKTGRKVPFDRDKLVRSFEVALRKRPVERDRIERAVSGIVRRLESSGETE ISSEQIGLQVLEAMKSLDDVGFVRYASVYRDFSLAEDFEKVISEINAKIARDPLDR YP_002975099.1 MRITPHDERFMAAAIRLSRWHLGRTATNPSVGCLIVRGGVIVGR AVTALGGRPHAETQALAEAGALARGATAYVTLEPCSHHGKTPPCSQALIAYGVARVVI SVTDPDPRVSGRGIAMLREAGIEVDTGVLEAEGRHSLAAYLTRQTKNRPYVTLKLAVS ADGMIGREGAGQVAITGPEARAQVQALRAETDAILVGIGTAIADDPLLTVRSPGLESQ SPIRIVLDPSLALPLTSKLVETAREVPVIVVASEEVWPLSADAEGLPPSALPGISPTR GEIGKEPAPRSPASDDDGFASRQDVGQEASPQPISPPVGEMPGRAEGGIPPTDPTDME SRRAALEAAGVEVVHCNPYHPEVLLPALATRGISSLLVEGGAKTARLFLEAGLVDRIQ LYQAPVVIGEGGIESPLDATDIPSGFAHTGTLMFGGDRLDEYERGL YP_002975100.1 MFTGIVTDIGTIESVSSLKEGIKLRVATSYDPATIDMGASISHS GICLTVTGLPQEGSNGRWFEVEAWEEALRLTTIGAWQEGSRINLERSLKIGDELGGHI VSGHVDGKAEILSVTAEGDATRYRLRAPEHLAKFVAPKGSIALDGTSLTVNAVDGTDF DVLLIRHTLEVTTWGDRKAGDFVNFEVDTMARYAARLAEFPST YP_002975101.1 MKGIILAGGTGTRLHPITQAVSKQLMPVYDKPMIYYPLTTLMLA GIRELLIITTPHDVEAFKRLLGDGSQWGISLTYAVQPSPDGLAQAFIIGADFVHGDSS ALVLGDNIFYGHGLPEIMKSGTGRREGATVFAYHVTDPERYGVVGFDKKMNALSIEEK PKKPKSNWAVTGLYFYDQQVVDIAANLKPSPRGELEITDVNRTYLERGQLFVELMGRG YAWLDTGTPDSLLDAAGFVSTLEKRQGFKIACPEEVAWRMGYISQDDLAKLADKLGKS AYGQYLTKLSPDW YP_002975102.1 MRIAVTGKQGQVVQSLLRRGAEMGVEISAVGRPEMDLADPVSIA AAFSALRPDVIVSAAAYTAVDKAESEPELAFSVNAAGAGAVAEAAARIGAPVIHISTD YVFSGDKASAYSEEDATAPISVYGRSKLAGEKAVAAANPNHVILRTAWVYSPFGANFV KTMLRLSETRDHLRVVADQTGCPTSALDIADAILAIASRIVADPAPSLRGTFHLTGSG EASWADFAEEIFTELSKSGGRNVGVERIPTADYPTPAKRPANSRLNGDKLARTYGIRL PEWKQSMTFVMQDLLNKGL YP_002975103.1 MRILVTGGAGFIGSALVRHLVSEIGAEVLNVDTLTYAGNLASLK SVESAPNYQFLRADICDRVGMQEAFASFRPDIVMHLAAESHVDRSISGAADFIQTNIV GTFSLLDAARHYWDGLDARRKSAFRFLHVSTDEVYGSLGDEGLFEETTPYDPSSPYSA SKAASDHLAIAWHRTYGLPVVVSNCSNNYGPFHFPEKLIPLMILNALEGKPLPVYGNG ANVRDWLYVEDHARALFTIASSGRPGEKYNVGGRNERRNIDVVHRICVILDGVCSDKG PHARLITNVTDRPGHDARYAIDASKLESELGWKAQETFETGIEKTVHWYLENEWWWRP LRENVYSGERLGVFKGR YP_002975104.1 MRIETTAIEGIIAITPPRFGDHRGYFSEVFKDAWFRENVADVTF IQDNESLSAQTGTVRGLHFQIPPFAQGKLVRCLAGRIMDIVVDIREGSPSFGKWLSQE LSPENGMQLWVPAGFAHGFATLEPNSVISYKVTAPYSPQHDRGIAWNDPAIGIRWPFY ERDMVLSDKDKTLPRLADLPGHFSCSAQQPRD YP_002975105.1 MTINQPISSLPAGLPDLALLTEKAAGTGSVIVYQPYLDPSQRSQ LDAAAMPLDISFNTQATTREYELFLTLHQHHEAIGLGDDVFWGLLSSKFEMKSVTSFP AFVTEAEKARAEGADAYLYNPLIGHAAIYSNVWEHSLLGGHPGMDPIFLHIQELGYPI APPQDKTTFAFCNYFCGNRKFWSGYFAFCERILGSLENEARLGTPAGTAYAGSAQYSR DANATMRPFVIERLLGLYVQQTAAKGLKIAAFVPAPAHFEWKFGVRLGRMLHGLFAAK EAFLLSRDQAHLTSWQEGRQPLIKQPHLIWGADDPPGWMPRGQFIGGRELMRAYAPQA SGASPVQPQPVQTERPAISAAPKIEQPLRNLLQPFAGGWQAHKDRHCIWIVTPDNYNH SHAFDEVALGLQGAFEELGGSAPITRDMNAFAGRAPIIYGGNLLPAEIVSHLPKDSVV INLEQVSEESIWINSRYTSILKSLPVLDYSPRNRENLAAKGIDHAGVLEIGYSGCLSQ IQHAAVKDIDVLFYGSMNERRHHILKTLKDGGLEVAHLFNIYGAERDAAIARAKIVIN IHHYASGVFEIVRISYLLANRVCVLTEGDIRDPDLQPFIGGLAIEPYDDMIERCYKLI ADADERDAIAAAGLAAMRSRSQADMLMSVMRAGA YP_002975106.1 MTIFIVCHKDLPSYPPPEGSKIIWLNSKPPLDNKGMDVIAGYDF FSEPEEIHAKLSGSLGTIVIAKVVSEEAAKPRNITIWQYRKFLTRLKIGTPNPEYPGM NTATSEEVGIIKPENPAIFTESFFLPRPLNLHNISQHYARFHNIVDFLRYTAFAIEAN TLTQAEALQFFNSSTFVPGGIELGTYPTDWWLDTFVRLVAPSFEFAKRYQPFQSEDPV QKRAISFCQERLGSYLLIKRIAELYGNSLPGSLFGEIATVSNDGVYKSGV YP_002975107.1 MTDIPTLETARLTLRPHRLDDFDAHAALWADEHVVRFITGVPST REQSWSRMLRIAGMWHHMGFGFLAIVEKESGRFIGEAGFLEARREMEPSIEGTMEVGW ALMPSAHGCGYATEALTVLIGWAETHFPGKPMSCIISPENQASLRVAAKLGFRETART QYNGEIIQFSR YP_002975108.1 MATEQKAVINTADLKLDHWKQGELYESTDTSFGALLGLAGLGVS YNEVPPGKSGCPFHNHHVEDELFYVIAGAGEYRFGDARHAIKAGDVLGAPAGGPETAH QIINTGTATLVYLGISTMAKTEIVEYPDSGKFLAKTNRDGAETKRFRHIGRSEGDLDY WDGEPGA YP_002975109.1 MHRVLTITLAAALSSLAFAGVSRAESLGMNTAQGIEQTLRTFHG NDFNVEQVHNWRNLDDETTGPRSAPERSGQAVHGIQASIDANRSLAHRLNNEGVDVRN IVNAEQAADGSLTFYVR YP_002975110.1 MPRETAPHILIVEARFYDDMADALLEGATFALTEAGATFEVVTV PGALEIPAAIAMSLDGDDNGGTHYDGYVALGMVIRGETYHFDIVSNESSRALMDLAVS ESLAIGNGILTVENDEQAWARARRSDKDKGGFAARAALTMIELKQKLGA YP_002975111.1 MNDDKTERPVKTANQRGAARLAAVQALYQMDVGGTGVLEIVAEY EAHRLGQELDGATYLKADVAWFRSIVSGVVRDQVRLDPLIAAALQDDWALSRLDSTVR AILRAGVFEITDRKDVPVAVIVTEYVEIAQAFFDDDEPKLVNAVLDRIAKQVRGEAKK YP_002975112.1 MTILLCVIACGLLSVVYAAWATRSVLAADQGNSRMQEIAGYIRE GAQAYLTRQYRTIAIVGVVVFILAWLLLSGEAAIGFLIGAVLSGAAGFIGMHVSVRAN VRTAQAASVSLSAGLDIAFKSGAITGMLVAGLALLGVSIYYTVLTIGLGHESGSREVI DALVALGFGASLISIFARLGGGIFTKGADVGGDLVGKVEAGIPEDDPRNPATIADNVG DNVGDCAGMAADLFETYAVSVVATMVLAAIFFAGAPILQSAMVYPLAICGACIITSII GTFFVKLGSNGSIMGALYKGLIVTGLLSIVGLGAATSLTIGWGSIGSVGGADISGTHL FLCGIVGLVVTALIVVITEYYTGTGKRPVNSIAQASVTGHGTNVIQGLAVSLESTALP AIVIVGGILATYQLGGLFGTGIAVTAMLGIAGMIVALDAFGPVTDNAGGIAEMAHLPP EVRKSTDALDAVGNTTKAVTKGYAIGSAGLGALVLFAAYSYDLKYFAANGDKFPYFAG IGEISFDLSNPYVVAGLIFGGLIPYLFGGIAMTAVGRAAGAIVEEVRRQFKEKPGIMQ GTEKPDYGRAVDILTKAAIREMIVPSLLPVLAPIVVYFGVLLISGSKASAFAALGASL LGVIINGLFVAISMTSGGGAWDNAKKSFEDGFVDKDGVRHMKGSDAHKASVTGDTVGD PYKDTAGPAVNPAIKITNIVALLLLAVLAG YP_002975113.1 MSLKKRYFKSDMKFFSNAAIALIIASTTALSGCQTSTVLNGGYV ADQQSLNLVPEGSSREQVLLSLGTPSTTATFDGEVFYYISQRRTRAVAFQKLKVVDQS VLAIYFDKDGVVSRRANYALQDGKVFDTIGRVTPTGGKELTFLQQMLSGGSAASAARS LFGGGAGGTPQNPSSLR YP_002975114.1 MILGLFRKKNNNQAIVDRQYAALAAAARMPELYERLNVPDTVMG RFEMLSIAMILFFRRTRASATSGQEIAQEIVDAFFQDIDYSIRELGIGDNSVPKRMKK LAGMFYGRLEAYSKAMDTGDAEALALALQRNIYPETSAPADMSGLAGWMMVAESHLSA AAEEVIATGSATLPPVS YP_002975115.1 MQNDRDGVPFSYHVKVGHISANPVEVHVEADASELKALARTWNV VSVDDLSADLQIARWKRDGVRIKGRVKAKIVQSCVVTLEPVESAIDESFEQIFVPEGS KLARQAGNDAGEMLLDPDGPDLPENFVGDTIDAGEVVAEFAALAIDPYPRKQGIEFSG HVEDSGEDDKKPSAFAALKDWKKD YP_002975116.1 MIRISLDLMGGDFGPQVVIPGAAKALDRHPDISFVLYGLKEQCD PVLAKFPKLKEKSIFHDCELAVAMDEKPSQALRRGRYISTMWRSIEAVKTGEADVAVS AGNTGALMAMAKFCLRTMANIERPAIAAIWPTLKGESIVLDVGATIGADAQQLMDFAL MGGAMARALFEVERPTVGLLNVGVEEMKGQEEVKEAGRLLREANIDSLEYSGFVEGND LGKGTVDVVVTEGFSGNIALKTAEGTAKQIGEYLRAAMSRTLLARIGYLFAKSAFDML REKLDPSKVNGGVFLGLNGIVIKSHGGANAEGIAAAIEVGYDMAKNGLNQKIENDLKK YHAKRLPPMGPEAA YP_002975117.1 MIRSVVRGFGAALPKRVMTNRDMEAIVDTSDEWIVQRTGIRQRY VAGDDETTASLGEAAARAALANGGLTPADIDLVICATSTPDNTFPATSVNIQNRLGMS HGFAFDVQAVCTGFVYAVTTADAYIRGGLAKRVLVIGAETFSRILDWNDRTTCVLFGD GAGAIILEATEGEGTVSDRGVLTAHLRSDGSHKEKLYVDGGPSTTGTVGKLRMEGREV FKYAVGMITDVIQAAFDSTGTTADDLDWLVPHQANRRIIDGSAKKLNIDAAKVVITVD LHGNTSAASIPLALATAAGDGRIKKGDLVMLEAMGGGFTWGAVLLRW YP_002975118.1 MTGKTVTRADLAESVFRKVGLSRTESAELVETVIDEICNAIVRG ETVKLSSFATFQVRDKNERIGRNPKTGEEVPISPRRVMTFKASNVLKTRILKSHVARK IKLKPQNPAP YP_002975119.1 MTLDKSPDAFRTISEVADDLDLPQHVLRFWETRFPQIKPMKRGG GRRYYRPEDVDLLKGIRHLLYDHGYTIKGVQKLLKTNGNKFVISVGHGDLASVEALAS GVQDTGAGEPRVGLADEDQIVGRAKPPITRRFFNFVAGDDEQEVSIGKSSVGKEDRAL LQEALYDLLECKRLLDQVR YP_002975120.1 MNRIMKDIFIVEDDTLIAMLLEDMLADLGYRVCASAPDLERALT TARDTEFDAAILDVSLAGQSSLPVAKLLDERGKPYLYATGYGTAPEGSSPSTLPVLQK PFQLSELEQAMKRLTEA YP_002975121.1 MQQFKVLQRLLRLSKDARRCKKGCRMDLLSATDWLRHRPGYTYP LAIAFVGLTFLLRLAASDSLSGFPFLSFLPAILLASFIGGAGPGFVATLVAGFIVQQF FVEPHNVFWPASAGQWVGLSTYLINAVIIVGLMEMIIIAHGRQSRLRSELNSFNTRLE QTVVQRTAELRHEMEENAAAQAQVRQLQKMETIGQLTGGVAHDFNNMLAIIIGNLDLA QRRLTGSEDPRLPYSIQNARDGAQRAAVLTARLLAFSRQQPLAPEMIDLNKLVGGMSE LLRRTLGEHIRIETVLAGGLWPSFADLSQLENAILNLAVNARDAMPGGGNLTIETANT ELDERYSRMHSEVEAGQYVMISITDTGTGMSPEVIERAFDPFYTTKGPGKGTGLGLSQ VYGYVKQSGGHIKIYSEIDRGTTLKIYLPRHVGAADARLNVAGAQPLPQGSVKDTILV VEDDENVRTMTAESLHELGYTVLQAASGMEALMLLEQNAAVDLIFTDIVMPQMSGRQL ADIVREKWPAIRILYTTGYTRNAIVHNGVLDHGVSLLAKPFSLEQLAHKIRELLNVPA RMEDERT YP_002975122.1 MRKTMLAAAAMLTISSAAFAQSTVIVTDPAPTGSVVLPGEVRTY VMEQNTPSVVYEGDIAVGTTLPDTVEVHTVPDIDGYGYTVVNERRVIVEPKTHRIIQV LE YP_002975123.1 MRLRKTILLVAVAGMMAGCTSTGSVRQPSAPETVAPEKALVLPP PGGPSIVSVVERKRGNGVEQTISLFTSSSVPGQNSLKVQFFGASGANPGAGNAGFSKI NESGIAREVARSAPGVPMATSATFLQNAYGPFGYASGRSRAGDTCVYAWQQIRSSTNA NTQARNFGMIQLRLRLCDARASERQLLGIVYGYTVTGTFDGEVWNPYGNPPPADVALG RTGAPIYPDEGGYRASPMPIGYEPAPAVVGRPRAAAVRSASTAQPAQGVAAVPAPIGP RVPLPGEAPLTSARPDAAAAPIEQSVRAIGVTVPSPDCIGDAAMTAACQR YP_002975124.1 MRKARSVIIWAVVSLCMIVLITLPVNLQTQLITSITVVTVMALI KVLKGEGTWRLVALAFGTSIVLRYVYWRTTNTLPPVNQLANFIPGLLLYLAEMYSVAM LALSLFIVATPLPSRPSRAAKNERFPHVDVFVPSYNEDAGLLGNTLAAAKAMDYPAEK LHVWLLDDGGTLQKRNSGKLLEAQAAAARHIELKQLCDDLDVHYLTRDRNEHAKAGNL NNGMKHSTGELIAVFDADHAPARDFLLETVGYFEDDPKLFLVQTPHFFINPDPLERNL RTFDKMPSENEMFYGIIQRGLDKWNAAFFCGSAAVLSRRALESQNGFSGISITEDCET ALALHGSGWNSIYVDKPLIAGLQPATFASFIGQRSRWAQGMMQILRFRFPLLKRGLTI PQRFCYMSSTLFWLFPFPRTIFLFAPLFYLFFDLEIFTASGGEFLAYTLAYMLVNLMM QNYLYGSFRWPWISELYEYVQTVHLLPAVVSVMLNPRKPTFKVTAKDESIAVSRLSEI SRPFFVIFAVQIVALIITIYKIYAEPYKADVTLVVGGWNVINLIMAGCALGVVSERGE RASSRRVRVNRRCEFGANGKWYTASIEDVSVHGARLHIFNKQLDEMLVGAVGEIRFRP YSGADLETLPLIVRNIEPSGDISNVGCQYVPKSALDHRLIADLMFANSGQWTEFQASR RRNPGLIRGTIWFLGMSFYQTSRGLVYFFRSMRPEREAQQQAAKVNAG YP_002975125.1 MRSIVAASLLLLNASAFAQAQTAPFDMSGERPPGASVTPRLAPP AAAAPVPVTPPVSVIPAPATSAAPVPPSIAVQPQPTAPAPQPAAMANTAAPPRPGDVR RFVVPFSKLGLGGEYDRRSWSVYLTPEQAAAKASFTFAYQNSIVVAPEASVLTVYLNN RPIGQQRVGSPDGSSAVTFEVLPGLLQPGANLVTFEADQRHRTDCSIQSTYELWSNID PAGTYLSFAGRDAAQLSSADAIRAIGVDGAGKTEFDIVVPALEQPGTTKPLLRLAQGL SVLSSMPNQIFAFSTASLPAGGPGKLSVLVGTAAELRPLFPGLPPGAESAALAAFVTD PRSGSPVLLISGPSWQAVSSAIDTIVSPTDRSSDVRRDVLTTERWSAPNAPLVFSDTN IALSQLGVKTTEFSGRRLRTSFNIAVPADFYANAYGEAKVLLDAAYTDNVMPGSHIDI YVNDNIASTVPITTTSGGILRHLPIRVTMRHFKPGLNSMAIEAILMTKDDAACAPGAT AGATPRFALFDTSELQIPDFARVGQRPNLAAMAGTAYPYGRATEPTPLFIDRIDADTL SAAATLLGQMAITAGHPIAVETVASPNTIGDRDAIFIGSISQMPTTALSQTNISTTSQ ASWRPVADTQPGVVDTGTAFEEWNSKVSGGVLHNRVTAFREWLSRNFDISRSSLQFIP GAEQIFTPANADTLLVAQGSSPAGAGAWTVVAAPSAKDLREGLEVLTAQLNWPQISGH ITTYSSKTGKIETVPVTRFDFVPSTPWSIANYRLIAANWLSTNILSYAFLLVVFVLLI GITTSSMLRKLGRSK YP_002975126.1 MRRWRALLLAATVAFAAASPPAVAQQAMINAGAWAAYKAKFLDA TGRIIDNGNGNISHSEGQGYGMLLAYLSASPADFEQIWYFTRTELLLRDDGLAVWKWD PNVKPHVTDTNNASDGDMLIAYALALAGTAWKRNDYILAASRMAQALLAETVVHAAGH TLLLPGSQGFAATDREDGPVVNPSYWIYEAIPVMAALAPSDAWQKLSDDGLTLLKTMQ FGPRKLPAEWVSLSGQPQPAQGFDSEFAYNAIRIPLYLARGGVTDKALLTRLQQGMSQ DGVPATIDLTTGRTKTVLPDAGYRIVNDVVACVVDGTKLPISALQFAPTLYYPSTLQL LGLAYIGEKHPECL YP_002975127.1 MKSSLVAVSAAVVVATLVTGLKDRAALQEKFGLGSAGKPAPELM MMGRIKPEVPAGNSEFNAQLVADKIEAITSPSPSPPDTSDSDAAEPQPAAPQPAAPQT AAPPAQTVAQPAPVTPPIVSAPPAPQQAAAAPQPAVDESALRYFASRGDKVRLQAEIS RLQALYPNWVPPADPLAVPQNGDKQLEAMWQLYSDGRYAELRKAVADRQATDAGWQPP ADLLDRLDVAEARARLVNASDLKQHATVVDIAAATPSLLTCSEIDVLWRVAEAFIQTE RAQRGQDAYAYILKNCTNPAERQATVEKASTLLAYQPMQALLALERPAADGSKEFNAI RDNLARRFMAEGDDDPNLAIAPDYIARLEKLAGTEGLASDALLLGWYQLRRNNDADAE KWFRAARAKQDSATASQGLALALIARKAPEEAEDVMFRWRADSDDATSTYLAATANLM ALEPPADLAEDVLHRIATEVIARKYVPTAQQFGWYARSLNQFQTAARWFETALAWKPD DEPSAYGLVITREQLSDRKGVLDLQRAWTGRSSRITNLEDTSSLMPNAVTQPPAKGGL AAQQPAQPTQRPPAEPLPAERRVTLQPGSEVVVRAAGPSMETVNVPRGPRQTRGCSTT IDAGQLKPADALSRGWCLMDINRPMEAISAFEAALQSPARKVREDAAYGQSLAYLRAG LSGNAAVAATKAPQNRQRAAELQVAILADRALSAFDAGRYRETLIYLDQRAQLQQERI DLMVLRGYCYLNLKMYGDATRIFEAAAATGSRDAARGLADVRNVTHPDTNN YP_002975128.1 MPALHDILNRSYDAFLFDMDGTLLNSIAVVERVWSEWARRHGFE PAVFLKTIHGIRASDVIRGLGLPGVDPAHEADLLLAEEMEDVSGIVEIPGAVRFLSAI AEGRWAIVTSAPIELARRRMEAAGIPMPKVIVSGQEVKSGKPSPEGYLLGASRLGVDP KKCLVFEDAVAGILAGEAAGADVTVITETHATPFETPHFSIANYQAWQPIQTAEGRLK LAAI YP_002975129.1 MSIELDATTYIHAKPATAHSYILPAVVDVLENSFQEARETAVFD LGCGTGGAAAVLAEKGYDVVGVDPSQDGIAKARTAHPDLPLEIGSGYEDLSSRYGTFD AVISLEVVEHVYDPKAFSATMYDLVKPGGIAVMSTPFHGYWKNLALAVSGKMDDHFMP LKDHGHIKFWSPETLSTLLVETGFEAVDFEYVGRIPLLAKSMIAIAQKPY YP_002975130.1 MKHNLTCRQSLASAFQALSDEAVKAGWSEGDVALALAELAEERV VEITARVIMEGSIHPQLVAAGGRNG YP_002975131.1 MSADVSEKRFPSPVRVVSPNSSLIVSTVWDAVEYLKRWPSKRGR DYRVARQHCLDALDGLRSPGAAQASFITAAKTAGLLV YP_002975132.1 MLRSSIHPHDLPLFSEDLDLLSQVLEKVCDERGLARTTPEAERI GAVIIQLYRQGVKDGGKLADLAKTYL YP_002975133.1 MNRSNGLYVIIGVLVVAVIGLGAYIFHEESKPQGIEMSIGKNGV SIEQN YP_002975134.1 MITATQIRGARAMIGMSIEELAAASGLPVETVMALENGEFAGEP HALFDVRSTLEANGIIFLSSGNQDEGGPGIRLRARTSSDDGIRPENLNAANDD YP_002975135.1 MAMTSSVAQTPIARKISTNAVIRTVFANGSISRADIAKLTGLSK QTISDVVRDLEDDGWLKPVGQTDGRPGRNAITYEINARAGLAVSIDLGSTKIAAAICD LLGNVVAETKVPTDPRGGVHLVNQFSDLIVELAFAAGTTADKLRLVVLGSPGVLDPAT GHINVAPSIPGIDAINLRQVFSDRMGIPVIVENDVNLAAQGERWRGHGIETSNFAFVA LGTGVGMGIIANGTLLRGARGAAGEIAYLPIGGDAFDPGGFTLGTFESAVGSVAMLRR YVGFGGRNASTVADLFAAFNAGETSAAAAIEETARLVAVAIAAIGAALDPELVITGGS IGARLELVNAIRGFLPRCTPYPPRIEISRFGNRAALMGGMGIAVERMHDDLFGVKLRE V YP_002975136.1 MDTNCFIRPAIEADIDAFFDICLKTANGGEDAGALYSDPHLPGY IWSVPYLKFAKDFAFVLVQDGRPAGYVVGVPDTSRFDRDLQTNWWPFVRQQIAGLAPT RPRDADVMERIQNPRSGTAWLQDQYPAHLHINILPGRQASGWGRRMIGTELQALRNHG VGGVHLGVDPNNERARGFYRHLGFSEFEQDGSVAFAMRIDAGLG YP_002975137.1 MTRTVKSISILPAYGLKAAVALAGAALLSFGLSTAARADDLAVW DDQTYEGQSAVIEQLNKEFEAAHPGVTIKRTARTFDDMKLTLKLAVSAGDGPVITKVN QGAGDMGAMVKEGLLLPVDDDIKKYGWDKLQSDSVLARDRWEDGKFGVGKTYGISGLA EIVGLYYNKKILADAGVALPQTFEELLAGLDKLKEKGVPPFMMGSAKQHLALHMIGAI DQAHIDASNRAELDDLIYGRGGSWNTKGNIESAKLVQQWAQGGYFFLGFEGISGDDAV QLFISGQGAFLISGTWYFGDMQHNPDIGFMAIPAPKGVSKPMSVGGVDLAWAITSLAK SKPTQDLAGAYIDYMVSEKAAETWANAGYLPATSLAADAKPKLTPLLTSGIEMWKTLN ANDALGHYPDWSSPTMLKTIDDNTPLLLSGNITPEAFVDAMDKDYQAYLKDKK YP_002975138.1 MKRSALDGSQRSNFIYLLPGLLFYAAFVFGPIVAALGLSLTSWD GLSMPKWVGLGNYADLFSDSRFYIALRNNAELMIFYCVLPLVLGITLAACVWNLKQRE QLALRTFLFLPYIMPTAVLGIIWAWLYNPAFGPFNQFLRAVGLGAFALPWLGDFNFVL PAVGIVATWYFFGFCMVIFLTGIQRIDPSLFDAAKVDGASGRKTFFWITLPLLLPEIR VVLLLTVIASIKSFDLIFTMTRGGPANATLVPNIYMYQLGFELNRFGAAAAIAIVGAI LTFVINYAIHRLVGSQNKGRA YP_002975139.1 MSRWSNIPAGTLFLRIVLWLLAFVTITPFLLLLLTSIKSKADVL QGAFALPAYPHFENYVDAWNAGHFNIYFWNSIIVVIPVVAASVFLGLLTGFAFAYLSF PLRRTLFAILTLGMMVPAEAFIIPLYYEMRYLGLINTYAALILPQIAMSIPFSTIFLA SAMQQLPEEVLEAAVLDGAGRFYILRKIVIPLMVPAMSTLALFLFIWTWNEFLIPFIL VNDDAYRTLPLGMLFFQGRYTVNTPVLTAGAVIVIFPLIVTYLVFQRRFIAGLTAGAT K YP_002975140.1 MTAIEATYPRVAQPQRMTLRLIGSAFVAFGVFLSGFVIDEPAPY ELWMAGLIGLWFILGLRISRGVAPLLALLLTFNIGGMLSLTQMKDLATAPMYIAVSTF LALTAVFYAAIIEDSHKRLPLIFNAWTFAAVATSALGILGYFHAFPGAEIFTLYDRAK GAFQDPNVFGPFLVPPSLYLVHGILVGDLKKSPLKAAALLVLALGIFLSFSRAAWGLF ALGVVLLIFIMLLKERSGAFRLRVLVLSLAAIIMLIASLLVALQIPKVAELFSARAQL VQQYDGEHLGRFERHRIGFTMMMERPLGIGPLVFGTMFPEDEHNIWLKSLTSYGWLGF VSYVGMLVWTLALGFRNLLLDRPWQPFLMIAWISVLGHATIGNVIDIDHWRHVYLLLG TVWGCAALEVRHKRERRMRGAA YP_002975141.1 MNKLEKGDQFDVDALRKQVSDIEVRGEAGQEKPSDPTEINPYAR QIAEQFRDGTRSPTIIIGQLRLLEFLALFAIALITYYFSPGDGGDSPLMRAGMAAIAS ALTVISLQLADTYTIPALRSKLRLIPRILVAWTIAFVLTTGLFALLRGTTSAMVDAYI VWFAAGALFLAAERFLVAYGIRNWARNGIMERRAVIVGGGEPAKDLIRVLEQQADNDI RICGIFDDRGEKRSPIMVAGYPKLGTVAELVEFVRLTRIDMLIIALPLSAEARIYDLL KKLWVLPVDIRLAAHANRLRFRPRAYSHVGSVPMLDIFKKPIRDWDSVAKRGFDIFFT IVALALLWPIMVATAIAIKATSEGPIFFMQKRHGFNNEIINVFKFRSMYTNMADPTGK AAVTKGDPRVTRVGRFIRKTSIDELPQLFNVLRGDLSLVGPRPHAVLAQARDRAFGDV VEGYFARHRVKPGVTGWAQINGWRGEVDNDEKIKFRTAYDLYYIENWSLWFDLKILFL TPIRLLNTENAY YP_002975142.1 MAEQQPLRILHCFRSPVGGIFRHVRDLVEEHSKAGHEIGILCDS STGGEYEDSLFDDIRPYLSLGLTRVPIRRSISPSDIATMWDTYKKIKSLRPDVLHGHG AKGGVLARLAGSALRVNRYRVARLYTAHGGSLHYSRSSLSGQFVLRMERLQEYFTDAL VFICEYERDIYARKVGKPRTKTRLIYNGIGERDFEPIPTRSDAVHFIYVGMLRDLKGP DLFVDAFAKTERLLGRPLSALMIGDGPDRDRYREMMVERGLGKRIGMLPAMRVHEAFS MAQNLVVPSRAEAMPYIVLEGLGAGKTIIASRVGGIPEVLGAESAALVEPGNSDDLAR VMAETLSTPDWHARTMPKPEAVKAVFSSAVMARDVLKLYQELVNPAAGQVLPVAS YP_002975143.1 MSVVQPKILLALSLAAMTAALGGCTTYKPAPKAFNEATIQPYTL DSGDRLRITVFDQQSLTNTYTVDQAGYVAFPLIGQVPARGRTLQQLSGQIAQKLQQGY LRDPDVTIDVDRYRSVFIMGEVGQPGQYAYVPGMTVQNAIAIAGGFTSRANQRMVDVT RKINGQVLTGRINISGPIIAGDTIYVRERLF YP_002975144.1 MSGVARDQDVDIDLGQLVRAVWARRLRILTITLVGAGVAFAGAK IMSPQYRTETRILIEPRAPAFASTQQINDASAGPLMDELNIASQVQLLQSADLLKKVI NDLKLYNLPEFDDAASGSAMSSILVKLHLKKNPLENPPEERVIDAFVERLQVYQVPGS RVIGINFTSKDPKLAAAIPNAMANVYLSTQSGAKLDSNSEATRWLEPEIEGLRRKVSE AEKKVAEYRTAHGLLQTNGTTTFPAQQLNDISAELTRVRGDKANAEARAQAVRNALSS GEASDTLPDIMSSQAIQRLKGTESGLQSQISDLQTSLLNNHPRLKSLRAQLSDIRTQI RQETQKILASIENESKVADLRASELERQSDTVQATSARAGEDEVGLNALEREANAQRQ LLETYLVRYREAASRADSNSSPADARIVSKAVEPVDPYFPKVVPIVVVAAVATLIMSA IVIMLAELFSGRALRPTDLASETIEAEAIVEEKHIPQATPIAATAGKPVQPSMLAVVA EEEDTIEDVKVADEAPEDEPEDDNEFSVASVADYLTGSRAPLAIAISPTGDSGSAATV SLTRMLADAGHRVILIDMTGSGYPTELMAEDPAALGVTDLLCGEAAFGDTIHGDRLSD AHLIPQGQSDVRRAMRGVDRLSLLLDALAAAYDLVVVECGSADVAGVSRLTRSRDVEI ILSLPEVEETIFVALMTEFQAAGYERVVLMSGGEAAEQTLGRAA YP_002975145.1 MQTQKLDVHEQPSDDVALADTAISRLRQLSMKLAMAEIDIEVFD TMQPLEDEWRALERDNLQSLHQSYDWCAAWVSAFQRPLAILKGTHAGQTAFILPAEIV KSRGLTTAKFIAADHSNINTGLFAESFAEAGRTIAPHEFAGRLRHALKGRADLLLLQN IPLEWRGRESPLAGLPVVQNQNHAYQLPFLPAFEDTLKQLNAKNRRKKFRVQSKRLEA AGGFEYLIPRTSEEQHGLLDIFFRLKSARFASLGLPDVFADRETQTFLHGLIDKRDDT RQYFGLQMHMLRLKGELEGKIAAISGISRKGDHIICQFGAIDEELVPDTSPGEFLYWQ TISGLHGKGVALFDFGLGDQTYKRSWAPVETAHYDVVLPVSPFGVVAGAAHRIVTHGK AHIKARPKLYKFAQGIRARIG YP_002975146.1 MPVRLRKFIGTILIIVLVLVYALVANTIAVATLGNAPWWGHLLY FLLTGLLWVLPAMVIIKWMAGPPLR YP_002975147.1 MAVANLTTEQAILSEMRRQNRDRRALRFWLGFVLLALFCLVLVG GATRLTNSGLSITEWKPIHGVIPPLSAAEWEEEFRLYQRIPEFQQLNSSMTVDEFKGI FWWEWAHRLIARGIGVIFALPLIYFWLTGRIEKRLRWPLVGILALGGLQGFIGWWMVS SGLSVRTDVSQYRLATHLVMACLIFAGCMWIMRGLSRHSDDPAPTGSSRGFAAAIAIF ALFQIYLGALVAGLDAGFSYNTWPLMDGAVIPSDLLIQQPFWINAFENPKTVQFIHRI GAYTLFALTLINMMIALRAAPWTTHARRAIVLFALVTLQAAIGIATLLMQVPLHWGLL HQAGALVVFGFAVANWRGFYGEYPRGTMIAERD YP_002975148.1 MTLDALVDGVHAWFTAALPDHGIYALMAFAFIAGLARGFSGFGA ALIFVPLGGAIVGPKLISPILLVIDGIASLGMIPPAWRGANRPEVFVMAAGAALGIPA GTAILTLLDPLLLRWSITIIAICLLALLVSGWRYHGEASAPLTSGVGLIAGLFSGAAQ LGGPPVVAYWLGGKSNFTRVRANVVLYFSISSVFSAISYYFGGLFVPAVFALTAVILP SYAVGLYGGSKLFGLAEERTFRIACYVLIAAAAIIGMPLLDSVLR YP_002975149.1 MAPKIFIDGEHGTTGLQIRTRMAGRRDVELLSIPEAERRNAAMR EDMLNGADIAILCLPDDASKEAVQMVSANNNVRVIDTSTAFRVNPGWAYGFAEMDKQQ ADKIAAARFVANPGCYPTGAIGLIRPLRAAGILPDGYPITVNAVSGYTGGGKQMIAQM ENPDHPDAITAPHFLYGLPLTHKHVPEMTVHGLLDRAPIFSPSVGKFAQGMIVQVPLH LDDLAEGTTMENIHAALVAHYAGQDIVSVVPLAESKALPRVNAIDLEGKDTMKLFVFG TAGASQVNLVALLDNLGKGASGAAVQNMDLMLAS YP_002975150.1 MANRSIDHAFTATSLTSAASDPTFAGALSFMRRRFTKELAGVDV AVWGIPFDAATSNRPGTRFGPQAIRRASAIFDNDAQYPFNRELFAEMAVIDYGDCLLD YGNHQDTPAAIERQANIILDSGAFLLTLGGDHYVTWPLLKAHVAKHGPLALVQFDAHQ DTWFDEERRIDHGSFVARATREGIIDPDRSIQIGIRTHAPEDCGVNILYGHQVEEMSA SDIASAIISHTRGAPAYLTFDIDCLDPAFAPGTGTPVSGGPSSAKILSVLQRLHQLDI RGADVVEVSPPYDHADITAIAGATVAMYMLGLHAERRAIAVSQG YP_002975151.1 MSYPKIQQWTYSLPAALLLMAPFDILASLAMDIYLPIVPVMPEA LGTSPAVIQLTLSLYMLVLGVGQIVFGPISDIIGRRPVLLGGAALFAASSFLLAGSSS APVFVVLRLLQAVGASATLVATFATVRDVYAGRPESSTIYSLLGSILSFVPALGPIIG AAIADRFGWRAIFLVIGLLSVAALFNAGMRWHETRPAVTAKIALRAILRSLPFWVYAG GFSTAMGAFFVFFSTAPRILIDKAGFSGIAFSFIFATVALVMIVTARFAKGFVMRWGI AGSLARGMAILLLGAVLLAFGELFLLPSLVSFVVPMWIIAIGIVVATAVTANGALDAF GDTAGTAVALYFCVQSIIVGFAGTFFVILLGGDSAWPLVGYVSATALTTLAGLWCLRE PVR YP_002975152.1 MADLSSLKDLGTVSEAAAPAHVRKVDSLGRSYATGKRKNAVARV WVKPGSGKITVNGKEFAEYFARPVLQMILRQPIVAAARDGQFDIVATVAGGGLSGQAG AVRHGVSKALTYFEPGLRAVLKKGGFLTRDSRVVERKKYGKAKARRSFQFSKR YP_002975153.1 MATFSQKPAEVEKKWVIIDAEGLVVGRLASIIAMRLRGKHKATF TPHVDDGDNVIVINAEKVVFTGKKYSDKVYYWHTGYAGGIKERSARQIIEGRFPERVL EKAVERMVPRGPLGRRQMKNLRVYAGSNHPHEAQQPVALDVAALNKKNVRSA YP_002975154.1 MPLHLDQLAAFINVAELGSFTAAADKEGLTQPAVSLQVKGLEQR LGVRLIERVGRRAQPTAAGLDLLVYARRLLQESAAAEEAMMPYRDGASGRVRIGSGGT ASIHLLPRAIAVARKSMPGLEITVRIGDADDILRDLEANSLDIAVVALPASGRNFEIE PFYEEELLAVAPAGSLMPEGGPDAAFMRDRTLLLYEGGNTRRAIDAWLAAPDTRIRPA MEFGSIEAIKELVAVGLGWSILPGLALKRDRAGLVTTSSLKPRLTRRLGMVLRRDKHL TRGLREMMKCLRAFKG YP_002975155.1 MALELSGILDAPRDIVWTRLRGMARWSLRLLLTIEHHLEKRRSR RTLLELTDDELCDVGLTRTQASAETSKSWFWS YP_002975156.1 MAEIVSFRKDAAGAEEGGLLTRSLRNGVLRLVLNNPPANVLSIA LLEALMQELETADAEPDARVVVIASTGNVFSAGHDLKELTAHRADEDQGAGFFEKTFR LAADLMLKITHLPKPVIAEIDGLVTAAGCQLVASCDLAIFTDSSTFCTPGVNIGLFCS TPMVAVSRAAHRKQAMEMLLTGETIDASTAKDFGLVNRIVPKQYLAQVVSKYAAVIAS KSPLTLKIGKEAFHRQLELPVEAAYDYTARVMVENMLTEDAQEGIGAFLGKRKAEWTG E YP_002975157.1 MPLDVILLVLFGALLHATWNAIIKAGSDKSLDAALISAGGAVSA LPFLPFLPLPHASAWPFIGASAILQFAYFQLVAAAYRAGDIGLVYPLMRGCAPLLIAA TSGFVLKENLSTGALAGTMTICAGVLTLALEARRGSSRAVVLSLANACVIATYTYVDG IGARISGNAISYTLWMSLLPPVLLFSWAASQRGAFAVVRHVRHNWWRGLIGGAGSIAS YGLALWAMTKAPVATVAALRETAILFALVISITVFKEKASIWRYVAGVVIAIGGLLLR LA YP_002975158.1 MKKIALALMLVLAASPALAISRYNPLTMSCASVRAAIHNQGAVI FRYQSPRGLPLYDRYVRNSNYCDATDYAEWTYIPSKDTPRCQVLSCQNIDNLDGMLFV PHHQL YP_002975159.1 MNHDTYTDDYLAGILHSVKTIALTGASPNPARPSNGVMGYLLSR GYEVIPVNPGQAGKLIQGRTVYARLADIPVPIDMVDVFRASEYLDGVVEEALALSPLP KIIWSQLGVRDDAAAAKAEAAGIQVVMNRCPAIEYPRLIA YP_002975160.1 MAKNDPGFNTLAIHAGAQPDPTTGARVTPIYQTTAFVFNDSDHA AALFGLQAFGNIYTRIMNPTQAVLEERVAALEGGTAALAVASGHAAQVIVFHNIMRPG ENFIAARQLYGGSINQFGHAFENFGWQVRWADAADPASFESQIDDKTRGIFIESLANP GGTFVDIAAIADVAHRHGLPLIVDNTMATPYFIRPIEHGADIVVHSLTKFLGGHGNSM GGLIVDGGTFDWSKSGNYPMLSSPRPEYNGMVLHATFGNFAFAIAARVLGLRDLGPAI SPFNAFLILTGIETLPLRMQRHSDNAIAVAKWLKAHSKIAWVNYAGLDDDPNHALQQR YSPKGAGSVFTFGVKGGYEAGKTLVEGLELFSHLANIGDTRSLVIHPASTTHRQLTDE QRIAAGAGPGVVRLSVGIEDVKDIIADLEQALSKI YP_002975161.1 MVTMTNFLTFSIDGVEPEFGAPEADRIISGDPHFRTWNLEEAEG GLYSGIWEATPGKWRIVYEEWEYFSLLSGHSIVTEDGGEPVHLKTGDRMILRPGFKGT WEVVETTRKDYVIKV YP_002975162.1 MSSNLAARHVRTQTSSIIATTVCFLVVALVLTGLMAHVVVTMTR SVNEIDDARASRAARAAVAAFVARLSGTTTDNAIWDDAYSAVSSPAAADWAYENWGKT SEDYALYDGAIVTGPDRSSIVSAYAKGKPFQPSTFFGEAFYQQINAAAAPAKAPVVNF IKTESGIALIASQAIQPFETAAEVPKFSVLSFYKEFTSEVLDTLSNEHELEGLSLETT PKPGSLNTPITDMKGAVIGYLVWPSKAPGSAVFHQVYPYVAASTVILSLFLIGVLLVG ASEARRLRQLAQTARFEASHDSLSGLLNRQGLLRLLEDLESPDPSSPRLYLVDLDGFK AVNDAWGHAVGDDLIRIVSNALMTSHPEVLAAARLGGDEFALVHVGSTAREEIEEAIL ALFAEPFKIDGRTIEVGASIGAAARDGDISPLELLRRADMALYRAKANGKGQAVEYDP ELDRERIRVAELEGLLKNAIGSGAIEAVFQPLVSASTGTVTGLEALARWKTATGNISP EIFIPLAERCGLIDALGVHMLRTSIGHAKSWPGLTLSVNVSPIQLCNPEFAAQVITIL QELDFNPNRLTLEITEGVLMTNPDQARRSIDQLKRVGIKFALDDFGCGYASIGALRQF GFDRMKIDRSLVSALDETANGADILRATISLATALQIPVTAEGIENTRQAAILRDAGC DQLQGYMLGKPMSACDISSRLQEESAA YP_002975163.1 MALVSLFFKSIREALHMLSNKLLSACLGAGLVAALAVSASAADI TGAGSTFVYPVLSKWSADYNKQTGDKLNYQSIGSGGGIAQVKAATVDFGASDAPIKPE DLTTGGFGQFPLVVGGIVPVINVKGIKSGELKLTGKVLADIYLGNVTKWNDKAIADLN PGLKLPDSQIAVVHRSDGSGTSFNWTNYFSKVNEDWKSKVGEGTAVNWPVGIGGKGNE GVAAYVTRVKDSIGYVEYAYALQNKLPYVLIQNAAGQYPKPNAESFSAAAASAEWTKA QDFYLIMTNAPGEKAWPVTATTWAIMYKEPKDAARSKAAFAFFKWALENGQKEASALD YVPLPETLVKQIEDYWTASFKG YP_002975164.1 MSEAMASLPATTAGVKRRDGAAGDRIFYWIVFGCGLFVLVALFT AAFSMVWDGFLAFQTFGFGFLTGMEWDPVAQRFSGLVPIYGTLVTSALAMIIGVPVSL GIAVFITEVAPRSIRGPIGGAIELLAGIPSIIYGMWGLFTFAPFMSDYVQPVLIDWLG PIPVIGALFTGAPLGIGMLTSGIVLAIMIIPFVSSVTRDVFLVVPAQLKESAYALGST KWEVVRDIVIPHTRTAVVGGIFLGLGRALGETMAVTFVLGNTHNIAVSLMEPGTSIAA TLANEFAEASDDLYRSSLSALGLILFVVTFIVLAAAKLMLVRMARQRGE YP_002975165.1 MTTHSGSLYRRRQIGSMIALSLCGIATVVGLVFLVWILWTTLIH GLSALSPSLVTEMTPPPGEDGGGLANAFMGSLMMVALAVIIGTPIGVLAGTFLSEFSR GKKIGEAIRFVNDILLSAPSIIIGLFVYELVVRPTSRISGFAGGIALAFIFLPVVVRT TDEMLRLVPDVMREAALSLGIPRWKVTVNILYRAASTGILTGILLAIARISGETAPLL FTALNNQYWSLDMSQPMANIPVVIFQFAMSPYEQWQNLAWAGAFVMTAIVLLLSVGSR AILNRRNAK YP_002975166.1 MMLENKAFEPRPAHLATRVDKMALTGVNFYYGETHAIRDVTLSF PERQVSALIGPSGCGKSTLLRILNRIYMLYPKMRATGSVTLDGQNILDPGYSMNELRA RVGMVFQKPVPFPMSIYYNIAYGIRHHERISKAEMDVRVEQVLRSAALWEEVTDKLKG SGLGLSGGQQQRLCIARAVALRPEVLLLDEPTSALDPISTAKVEELVARLKTEFTIVI VTHNMQQASRISDNTAFMYLGELVEYGPTAEIFQSPKVKRTEDYITGRYG YP_002975167.1 MMIPSFLSIDRANRHVSLDSRNPAFYGDPNAVYAALHAHCPTFY WSEQKQWFFTGYDHVNGLLRDRRFGRQILHIASREELGLAEPTPHLASFDLSERYSLL ELEPPEHTRLRTLVNRAFVSRHVEKMKPELAELANRLIDGFAEKREVELLSAFADIIP VTMIARMIGIPEEMGPQLLTWSHAYVRMYMFGRTRGQEEEAERASKEFSDYVKTVIAE RRAEPRDDLLTHMIHTEHKGQYLTEEELVSTTIVLLNAGHEATVHQIGNSVRTILDSG GDPAELFRDEATTERTVEETLRICAPVHIFQRWALEPAEIDGISFKRGDKVSLILAAA NLDPAKFTDPLTFKPDRNEAANLSFGAGIHFCIGAPLARLELNVVLPILFERLAGLRL AKTPVVKDVYHFHGLDRLDLQW YP_002975168.1 MRTDRQGVAAVFNIATGLAIWCSEAMTLALVLFVAWRHNVRNEA YLYWGLGFLLTGIGFAMVALRGEIPSVLSIEAGNAIALLGQSAWAAGFLALDRKRIEW WALLPPAIWLAGVFLPWVNSDYSNRVVLYNLASATGATALAMAVAAGDMRRERTRIKL MGVFVIQGCLCFGSAVTMALTLPSDIEATNLGGASAMASAFLLTIAFAFTCRLIMERS ERHLRALTLTDSLTGVLNRRGLLGYFDGIQERAHNEQRQVAVILFDLDHFKRVNDRFG HQSGDAVLTAFARMARQYIPNNIFGRMGGEEFAAFAAVADQTEAEAIAEAIRTEFCRL PVSTGEAIVPVTVSIGIALASSIEANIDKLISAADRALYAAKAAGRNCTVTFGEAEAA TPAPATPSSTAGELVPTVDDQVEALRRMGTLSRAG YP_002975169.1 MRNPVDTAMALVPMVVEQTNRGERSYDIYSRLLKERIIFLTGTV EDHMATLVCAQLLFLEAENPKKEIALYINSPGGVVTAGMAIYDTMQFIKPAVSTLCIG QAASMGSLLLAAGHKDMRFATPNSRIMVHQPSGGFQGQASDIERHARDILKMKRRLNE VYVKHTGRTYEEVEKTLDRDHFMDADEAQSWGVIDKVLTSRLEMEGEQA YP_002975170.1 MSKVSGSNGGDSKNTLYCSFCGKSQHEVRKLIAGPTVFICDECV ELCMDIIREENKSSMVKSRDGVPTPQDIIKVLDEYVIGQRQAKKILSVAVHNHYKRLA HASKNGEVELAKSNIMLVGPTGCGKTYLAQTLARIIDVPFTMADATTLTEAGYVGEDV ENIILKLLQSADYNVERAQRGIVYIDEVDKISRKSDNPSITRDVSGEGVQQALLKIME GTVASVPPQGGRKHPQQEFLQVDTTNILFICGGAFAGLDKIISARGEKTSIGFGASVK SQDDRRVGEVLRELEPEDLVKFGLIPEFIGRLPVLATLEDLDEDALIQILSEPKNALI KQYQRLFEMEDVELNFHEDALREIARKAIVRKTGARGLRSIMEKILLDTMFELPTLEG VREVVISEEVVRGSARPLYIYADRQEEKANASA YP_002975171.1 MTKKTSVASSTAYPVLPLRDIVVFPHMIVPLFVGREKSIRALEE VMGSDKQIMLVTQINASDDDPDPSAIHNVGTVANVLQLLKLPDGTVKVLVEGRARAEI DTYTSREDFYEALGHVLEEPHDDPVELEALSRSVVSEFESYVKLNKKISPEVVGAASQ IDDYSKLADTVASHLSIKITEKQEMLETTSVKQRLEKALGFMEGEISVLQVEKRIRSR VKRQMEKTQREYYLNEQMKAIQKELGDGEEGRDEMSELEERISKTKLSKEAREKADAE LKKLRQMSPMSAEATVVRNYLDWLLGIPWGKKSKIKADLNNAEKILEADHFGLDKVKE RIVEYLAVQARATKIKGPILCLVGPPGVGKTSLAQSIAKATGREYVRMALGGVRDEAE IRGHRRTYIGSMPGKVIQSMKKAKKSNPLFLLDEIDKLGQDYRGDPSSALLEVLDPAQ NMTFMDHYLEVEYDLSDVMFITTANTLNIPAPLMDRMEIIRIAGYTEDEKREIAKRHL LPKAIKEHALQPEEFSVSDDALMSISQHYTREAGVRNFERELMKLARKAVTEIIKGKT KAVHVTAANISDYLGVPRFRHGEAEGEDQVGVVTGLAWTEVGGELLTIEGVMMPGKGR MTVTGNLKEVMKESISAAASYVRSRAVDFGIEPPRFDKSDIHVHVPEGATPKDGPSAG VAMATAIVSIMTGIPVDRHVAMTGEITLRGRVLPIGGLKEKLLAALRGGIKKVLIPEE NAKDLAEIPDNVKNNMEIIPVSRMGEVIKHALIRRPEPIEWDGTVETPVITSVEGLDE TGATIAH YP_002975172.1 MNKNELVSAVAEKAGLTKSDAASAVDAVFDVVQAELKNKGDIRL AGFGSFTVSHRAASKGRNPSTGAEVDIPARNVPKFTPGKGLKDAVNG YP_002975173.1 MNQTSVAVEPSRAVVGAIWMVLAGIAFSLLNVVTQWLTMKLAFP SASAAFWQYGFAFLFSLPFLKRRGLAAMRTHYPWRHLARVALAALGVEAWVAGLATVP IWQAIALVMTSPFFIILGARLFLGERVGPARWAATAAGFTGAMIILQPWSDGFGWAAL LPVLSALLWGASSLITKSLTGIERPETITVWLLVLLTPINGGLALAAGFAVPTGATLA LFLLAGLLTAVAQYFLTLAYAAADAAYVQPFDDLKLPLNVLAGWLFFGYAPAGYLWLG AALILSASLFIMRNEMRRERKPEPDMI YP_002975174.1 MTISSRKAALAAVLLASVAFPAAAEPVFNRIASFPVAENLPVDK DKLSVSSAEIITATEDGNTLIYSDSPLGAIGFIDITDAKAPKAGGALMMDGEPTSVTW SAGKALVAVNTSESKAKPSGRLAIVDVATKKIENTCDLGGQPDSIALNKDKTLGTIAI ENERDEDINDGKIPQMPAGDLVVFQVKNGTVDCGTIKHVTLAGLTGVAPEDPEPEFVA FNSLNEIALTLQENNEIVIIDANTAEVKTHFSAGSVDLTGIDTKRDGALKFSGEAKGV PREPDAVKWLDDNRLVVANEGDYQGGSRGFTIFDRTGKLLYESGASFERAVAHIGHYP ESRSGSKGVEPEGLEAAKFGDDKYFFLLAERASVVGVYKDTGADPELVQLLPSGISPE GAIAIPARNLLATANELDLGKDGGTRSHVMIYERSEGEKAYPQIVSAEKDGNPIGFAA LSGLAAVPGKPGMLYAVSDSVLGSQPTIYTIDASKKPAVITDALVVKRDGAPAQKLDI EGIAAAADGSFWLASEGYSERLVPHALYNVNAKGDIKAEIALPKELVANEIRYGFEGV AIVGTGDDTTLWMAVQREWSDDEKGFVKLVSYNPKKKEWGAVRYPLDKTESGWVGLSE ISAEGDSVYIIERDNLVGDAARLKKLYKVAISELKPAKLGGELPVVKKTEAHDFLGEL KSATNGYVLDKLEGFTFDASGKPYAVTDNDGVSDSSGETLFFPVDLVGTN YP_002975175.1 MKHHAFGRMPFTVTNVGFGAWQIGGSWGDISEADGRAALNAALD AGMTFIDTADVYGDGRSEKIIADVLKTRGGERPMVATKVGRRLNPHVAEGYTKANLEG FIDRSLTNLAVDSLDLVQLHCPPRDALYRPEIFEGLNALQKAGKIKGYGVSVEKVEDG LKAIEYPGVVSIQIIYNIFRQRPDHLFFQEARRSNVAIIARVPLASGLLSGKITRDTH FASDDHRNFNRNGEAFDVGETFAGVPFEVGLQAVEEVRKLVPEGATMAAFALRWILMS DAVTVVIPGARNAEQAKANAAAADLAPLSADVMAATREIYERLIAPHVHQRW YP_002975176.1 MEIKPAGSRPSTKPPADYFTGAVRQDPLMETPAPARARAVSVTF EPGARTAWHTHPLGQTLIVTSGKGLAQSWGGDIREIRAGDTVWFAPGEKHWHGAAPDT AMTHIAIHEALDGSHVDWMEKVSDEQYSGKI YP_002975177.1 MKTREERQALRASMPRTPLSAHHMENARLLPDRGELLYRIPNGG IGVEVGAAFGEYTAEILEKNRPAQLYLIDPWSMDRYSSGLDSIHTNFAAEIEAGRLHL MQGTSLEKLAEFEDDFLDWAYIDTDHSFELTWQELLLCEKKVKRTGRIAGHDFCTGNT VKPIVYGVVEAVTKFCKDYGWQFEFLTVESHAHFSYCLKRL YP_002975178.1 MKRMWPEEFNAIISGAEEVMLETPAEAGEAPLQRKALKARITMQ DYERIWPLAEMRFRLGERDGKAVTLITTNPHYHAWHPKDGGSVDSVSDSGRHYKTDYL VVHFLLDDVKETSPA YP_002975179.1 MTGNVLDIPVKTVDGRETALNEYKGRVLLVVNVASKCGLTVQYE GLEKLYGEKRERGFVIAAFPANDFKGQEPGTDAEILDFCTSTYDVTFPIFSKISVKGE AQHPLYRQLTKSGVKTTGDGPMRERLKSHGLTGGDEEDILWNFEKFLIGRDGKVAARF APDVTADDSRLVSAVDKELAKA YP_002975180.1 MRTLRSALMLCIAIALGAPSATYVTLPGYAFKTNPQCQRHEPKT KFDKRCDWPRVGFKDFTPPLVTVLGI YP_002975181.1 MKTVAIISALLLASVAAPPCIAREPALEVFLSAANQTITTSGET PAREARTYLLRMPSDLPINFSVDADNSACSLEIKKTSQRGVLSTISRFPASFKDSGQS GDEYTFSFFQNRVSFMSGARCAFSFSLTQM YP_002975182.1 MMTELLSSYIPIAIFIAIALVIGLALLIAPFAVAFKAPDSEKLS AYECGFNAFDDARMKFDIRFYLVSILFIIFDLEVAFLFPWAVSFGAIGWFGFWSMMVF LLVLTIGFIYEWKKGALEWE YP_002975183.1 MGVAPVSNQPLVAQQPKGIIDPSTGKPVGSNDPFFGEINNELAD KGFLVTSTDELINWARTGSLMWMTFGLACCAVEMMQLSMPRYDVERFGFAPRASPRQS DVMIVAGTLTNKMAPALRKVYDQMPEPRYVISMGSCANGGGYYHYSYSVVRGCDRIVP IDIYVPGCPPTAEALLYGVLLLQKKIRRTGTIER YP_002975184.1 MSEALTELASYLGEARGNLIAASQMKYGELTLTTTGENLVALLT FLRDDAKCGFVNLIDICGVDWPQRELRFDVVYHLLSPKKNLRIRVKVATDEDTPVPSA CPVYPGADWFERETWDMYGVLFTGHPDLRRILTDYGFEGHPLRKDFPTTGFVEVRYDD AAKRVVYEPVELKQEFRNFDFMSPWEGTEYVLPGDEKAKQ YP_002975185.1 MTEHNVRNFNINFGPQHPAAHGVLRLVLELDGEIVERVDPHIGL LHRGTEKLIETKTYLQAVPYFDRLDYVAPMNQEHAYAMAVEKLLGIEIPIRGQLIRVL YSEIGRILSHLLNVTTQAMDVGALTPPLWGFEEREKLMVFYERASGSRMHAAYIRPGG VHQDLPEQLVQDIGAWCDPFLKALDDIDNLLTGNRIFKQRNVDIGVVSLEECWAWGFS GVMVRGSGAAWDLRRAQPYECYSDLEFDIPIGKNGDNYDRYLIRMIEMRESVRIMKQC VNRLLSDARTGPFSSIDGKVVPPKRGEMKRSMEALIHHFKLYTEGYHVPAGEVYAAVE APKGEFGVYLVSDGTNKPYRCKIRAPGYAHLQAMDFMCRGHQLADVAAVLGSLDIVFG EVDR YP_002975186.1 MQLLPLAVVILLSASGVSAQESDSLGTPLGHKEETPPAQQSSMG ELLSKGYQIKAAIPNGSKFVVFMQKDQSAYACEMQSLTASRCGTLN YP_002975187.1 MSVRRLAEDQFQPAAFAFSDENAVWADKTIQKYPAGRQQSAVIP LLMRAQEQDGWVTRAAIEKIADMLDMAYIRVLEVATFYTQFQLHPVGTRAHVQVCGTT PCMLRGSEALMSVCKSKIHAHAFERNAEGTLSWEEVECLGACVNAPMVMIGKDTYEDL TPARLEEIIDVFAAGNGASIKPGTQIDRVFSAPEGGLTSLTTEEPKARTRAKKADAET VSAPVDAAPVPPSEAARPKSTDAETNAALKTPATAPKAAAKNAKAAEQQPISGTAAAE PAPKAAVKAEAASAEKPALTDKNRPAGIEKPAAPDDLKMISGVGPKIEATLNEIGIFT FAQVAGWKKAEREWVDGYLNFRGRVERDVWVKQAKALAKGGEAEYIKVFGKKPR YP_002975188.1 MLQDKDRIFTNIYGLKDKSLKGAMSRGHWDGTKQILEKGRDWII NEMKASGLRGRGGAGFPTGLKWSFMPKESDGRPHYLVVNADESEPGTCKDRDIMRHDP HTLIEGCVIASFAMGANAAYIYVRGEYIREREALQAAIDECYDYGLLGKNNKLGWDMD IFVHHGAGAYICGEETALLESLEGKKGQPRLKPPFPANMGLYGCPTTVNNVESIAVAP TILRRGAGWFSAIGRPNNVGTKLFMLSGHVNKPCTVEEEMGITFRELVDRHAGGIRGG WDNLLAVIPGGASCPIVPAKDIIDCPMDFDGLRGVGSSFGTAAAIVMDKSTDVIKAIA RISAFFKHESCGQCTPCREGTGWMWRVMERMAKGNAQKREIDMLFQVTKQIEGHTICA LGDAAAWPVQGLIRNFRPEIEKRIDQYTASALDHGAVLEAAE YP_002975189.1 MADNASKSGKKEEVADFAAGFGRLAAEMLENARAMPVHPLMAQP AAAFAAATAIGFGFSTQMAGAFFGAWQSALETTGKVAAALDDTPPDELKPDVDIRPEN IRSEVKAFTKPRADKKARPTLTVVKPVSESIPPSQTKASQPKPAVKAKPVARGKADDL KLIAGIGPKLEQVLNAKGVRSFAEIAAWTDEEIARFDAELGFNGRIGRDDWTGQAKVL AGRSRRKK YP_002975190.1 MAKLRIDGNEIEVPDHFTLLQACEDAGAEVPRFCFHERLSVAGN CRMCLVEVKGGPPKPQASCAMSVRDIRGGPNGELPEVFTNTPMVKKAREGVMEFLLIN HPLDCPICDQGGECDLQDQAMAFGIDTSRYQEDKRAVEDKYIGPLVKTVMNRCIHCTR CVRFTTEVAGISELGLIGRGEDAEITTYLEQAMTSELQGNVVDLCPVGALTSKPFAFT ARPWELNKTESIDVMDAVGSAIRVDTRGREVMRILPRVNEAINEEWISDKSRFIWDGL KTQRLDRPYVRRDGRLQPATWAEAFGAIKAAVGATSGDKIGAISGDLASVEEMYALSE LVKSLGSVNLDCRQDGAALDPSLGRSSYLFNPTISGIDQADALLIIGANPRFEAAILN ARIRKRWRRGKFPIGVIGELAELRYSYDYLGGGPDTLKDLVDGTHAFADVLKNAAKPM IIIGQGALARTDGAGVLASAAKLAGSVGVVAEGWNGFAVLHTAASRVGGLDLGFVPGA KGVNAAEMLTAMDVLFLLGADELDFTAKKAKLTVYIGSHGDNGAHHADVILPAAAYTE KSGTWVNTEGRVQMGNRAGFAPGDAREDWAIIRALSDVLGKKLPFDSLSELRVRLYAA FPHFAAIDEIAETDSAQIAAVAKKAGKMNKSGFASPVKDFYLTNPIARASAVMAECSA LARNNFKVAAE YP_002975191.1 MDSFFSTYVWPAIIMIGQSLLLLVCLLVFIAYVLLADRKIWAAV QLRRGPNVVGPFGLFQSFADLLKFVFKEPVIPAGANKAVFLLAPLVTVLLALSTWAVV PLADGWVIANINVGILYIFAISSLEVYGIIMGGWASNSKYPFLGALRSAAQMVSYEVS IGFVIVTVLLCVGSLNLTDIVNAQHTGLGTMLGLPASFLDWHWLSLFPMFIIFFISAL AETNRPPFDLPEAESELVAGFMVEYGSSPYMMFMLGEYAAVCLMCALTTILFLGGWLP PVDIWILNWVPGIIWFTLKACLVFFMFAMVKAFVPRYRYDQLMRLGWKVFLPLSLAMV VIVAFVLKLMGWA YP_002975192.1 MASLSGSISSLFLKEFVGAFFLSMRYFFRQKATINYPFEKGPVS PRFRGEHALRRYPNGEERCIACKLCEAICPAQAITIEAGPRRNDGTRRTVRYDIDMVK CIYCGFCQEACPVDAIVEGPNFEFATETREELYFDKARLLDNGDRWEREIARNIAIDS PYR YP_002975193.1 MGLQALFFYLFAFVAVASAFMVIWAKNPVHSVLFLILVFFNAAG LFLLLGAEFLAMILLVVYVGAVAVLFLFVVMMLDIDFTELRAGVLEYAPIGGLIGVIL AAELIVVIGGSVISPEIAKSVAMPIPALSERTNTAALGDVLYTNYVYFFQIAGLVLLV AMIGAIVLTLRHRTNIKRQNIPRQVARTPATAVKVVSVKPGQGV YP_002975194.1 MVIGLSHYLTVSAILFTLGVFGIFLNRKNVIVILMSIELILLAV NINMVAFSSFLNDIVGQVFALFILTVAAAEAAIGLAILVVFYRNRGSIAVEDVNMMKG YP_002975195.1 MFLYKAIVFLPLIGAIVAGLFGRAIGAKASEYVTSGLMIIAAIL SWVVFFTVGMGHAEGGPIKVEVLRWIQSGGIDVSWSLRVDTLTSVMLIVVNTVSTLVH VYSIGYMHTDPHRPRFFAYLSLFTFAMLMLVTADNLAQMFFGWEGVGLASYLLIGFWF KKPSATAAAMKAFIVNRVGDFGFVLGIAGVFVLFGSINLDTIFANASNFAPHEGGGEA GEVILNLFGMQLDKAHALTGICLLLFMGAMGKSAQFLLHTWLPDAMEGPTPVSALIHA ATMVTAGVFLVARMSPLFELSPDALVVVTVIGAITAFFAATVGLVQNDIKRVIAYSTC SQLGYMFVALGVGAYGAAIFHLFTHAFFKALLFLCAGSVIHAVDGEQDMRYMGGLRPH IKVTFWMMIIGTLAITGVGIPFTPIGFAGFFSKDVIIEATYASHSPVSGFAFSLLVIA ALFTSFYSWRLIFMTFFGKPRASHEVMHHVHESPQVMLVPLYLLAIGAVLAGVIFEGR FYGEEYAEFWKGALFTGAENELVEEFHHVPALVALSPFIAMVLGFVTAWYMYIRSPQT PRILAQQHRVLYQFLLNKWYFDELYDFLFVRSAKALGRFLWKKGDVGVIDTYGPNGVA ARVVAVTDRVVRLQTGYLYHYAFAMLIGIAALVTWMMLGSSF YP_002975196.1 MTDWPILSTVTFLPLVGVVLLLLMNGESETGRKNVLWISLITTV FTFVVSLFIWIGFDNANPGFQMLEKHDWLGTGIGYHLGVDGISMLFVILSTFLMPFCV LASWLSIEKRLKEYMIAFLILETMMIGVFVSLDIVLFYVFFEAGLIPMFLIIGVWGGK DRVYASYKFFLYTLLGSVLMLLAIMAMYWQAGTTDITALLAYKFPPALQTWLWLAFFA SFAVKMPMWPVHTWLPDAHVQAPTAGSVILAGVLLKLGGYGLIRFSLGMFPVASDYFA PLVFALSVMAIIYTSLVAMMQDDIKKLIAYSSVAHMGYVTMGIFAANMQGVQGSIFQM LSHGIVSGALFLCVGVVYDRTHTREINAYGGLVNNMPKYAVAMMVFTMANVGLPGTSG FVGEFLTLIGVFRVNTWVALFAATGVILSAAYALWLYRRVIFGALEKEKLKALLDLSP REQLILYPLVALTIFFGVYPAPVFDATAASVDLLVNNYTAAVHAAQNVALSMK YP_002975197.1 MTAETILLSLHLSVPELILAVGALVLLMVGVFSGERSGLVVTGL AIVLLLASGLWLLFVPAEGLAYGGVYMADGFSRFMKLVALIGSLVAIFMSIGHARENQ LDKFEFPVLLVLATLGILLMISANDLISLYLALELQSLALYVVAAINRDSLKSTEAGL KYFVLGALSSGMLLYGMSLVYGFTGHTHFSEIAQALSVEGARSLGLIFGLVFILAGIA FKISAVPFHMWTPDVYEGAPTPVTAFLAAAPKVAAMAMMTRIVITAFQPVLADWQQVV VFISIASMLLGSFAAIGQKNIKRLMAYSSIGHMGYALVGLAAGNQTGVTGVMLYMVIY MVMTLGTFAIIMSMRRKDGTVVENVDDLAGLSTTNPFMAVVLTALMFSLAGIPPLAGF FAKYFVFVAAIEAKLYALAIIGVLASVVGAYYYLRVIKLMWFDEATGEFARVSGALRL VFGLSGFFVTAYVLIGGPIGGAAELAAATLF YP_002975198.1 MASDGRRRISLGDFRHEALSETSSTNSECLARARAGDGGNLWVT AERQTGGRGRRGRLWVSERGNLYASLLLIDPAPMERLGSLPLAIAVAVHQAIRRVLPL GAEPLEVKWPNDILIGRKKTCGILVEGEGLPDGRYALIVGIGINISVMPDNPLYPVTC LRQQGSAASPEELFAHLFAAAAEVLEIWDQGRGVGEITTLWRAIACGIGEKITVNLPD RSISGQFAGIDDNGLLMLDTGAGRIMPIAAGDVFFG YP_002975199.1 MAKQDELVFLPLGGVGEIGMNLALYGYGPPEHRQWIMVDCGVTF PGPDLPGVDLVLPDIRFLASERKNLKAIIITHAHEDHYGALADLWPGLNVPVYASGFT SGLLEAKRNFEKSKIGEVPVTPFKAGDTINVGPFSIEGVAVNHSIPEPMSLMIRTPVG NVIHTGDWKIDHEPSLGPLTDETRFRQLGDEGVLALMCDSTNALRDGVSPSEKDVSES LRKIIENAEGRVAITTFSSNVGRIRTVAEAAEAAGREVLLLGSSLKRVVNVAQDIGLM EGVKPFISEDEYGYIPRDKVVVILTGSQGEARAALAKLSRDEMRNVAFAAGDIVVFSS RAIPGNEKAIQDIKNGLVEQGVHIITDTEALVHVSGHPRRNELQRMYEWTRPKIVVPV HGEATHLTAHKELAEQSGIALVPRVRNGDILRLAPGPVEVIGEAPHGRIYKDGTLIGD FDEMGIGERKKLSYVGHVAVNVVLDARYDIVGEPDLISIGLPTYDDEGEDMEDTLFDA AVSAIESIPRARRKDIDMLQEAVRRAIRSAANNTWGKKPLVTAFVTKV YP_002975200.1 MLGRVNHIAIAVPDLAAATAAYRDTLGAAVSQPQTLPEHGVTVV FVELPNTKVELLQPFGDTSPIAAFLEKNPSGGMHHICYEVDDILLARDRLVAAGARVL GDGQPKIGAHGKPVLFLHPKDFFGTLIELEQA YP_002975201.1 MLQIVLQGFAVYFIIWWMTLFAVLPIGLRTQADDNDVVLGTVPS APTRFRAAFVFSLTTLISAVVYGLWYVCDTYFGWGFDALPQLGPSFY YP_002975202.1 MRLSRYFMPILKENPKEAEIVSHRLMLRAGMIRQQSQGIYSWLP LGKRVLDKVNAIIRDEQNRAGAIELSMPTLQSAELWQESGRYDAYGKEMLRIKDRQDR PMLYGPTNEEMVTDIFRSSVKSYKDLPLNLYHIQLKFRDEIRPRFGTMRSREFMMKDA YSFDLTREGAEHSYNKMFAAYLRTFDRLGLRAIPMRADTGPIGGKLSHEFIILADTGE SEVFCHKDFVDFDIPGAHTDFDSVEGLQAIFDKWTSLYAATSEMHDEAAFNAVPEGDR LSARGIEVGHIFYFGTKYSEPMGAKVQGPDGKEHFVHMGSYGIGPTRLVPAIIEASHD DNGIIWPASVAPFDIVVINMKAGDQACDDTCELIYAALTKAGKDVLYDDTDDRAGTKF ATADLIGVPVQIIAGPRAVANGEVEVKDRKTGARETMTIEAAINRFVA YP_002975203.1 MADAAVDRSSKSGLGPAGKPFSTFERLVAWRYLRARRKEAFISV IAGFSFVGIMLGVATLIIVMAVMNGFRTELVSRILGINGHMIVQPVDGPFTDYPVLSS KLAAVPGVKMALPLVEGQVLASAQAGGSTGALVRGARAEDLTKLKTISDNIKSGDMVG YASGQGVLIGTRMADQLGLRVGDLITLTSPDGDITPMGVSPRIKSYKISGLFEIGMSE YDSSIIFMPLEEAQLYFNAEGLVQSIELFVDHPDDIDNLRPKVEEAAGRQIAITDWRQ RNQTFFSALQVERNVMFMILTLIVLVAALNIISGLIMLVKDKGSDIAILRTMGASAGA IMRIFFMTGAAIGIVGTVAGVLLGVLVCVNIESVRQFFSWISGTVLFNPQVYFLSQLP AEMDLSETISIVAMALTLSFIATIFPAWRASRLDPVQALRYE YP_002975204.1 MKRNVVLKLTGVERHYGQGDTLLSILKGADFSISKGETVALVAP SGTGKSTLLHIAGLLEHPDGGEVNINGHACDGLSDEKRTAIRRSEIGFVYQFHHLLPE FSALENIMMPQLIAGLSWKEAGERAGQLLDYMRIGHRGSHRPGELSGGEQQRVAIARA VANAPTLLLADEPTGNLDPETASYVFEALEALVRQSGLAALIATHNHELARRMDRRVT ISDGKIVDF YP_002975205.1 MLDIAMLNEFIVEAKATTYVGGGAARAPCRPSSHDIGYERGDWR YLDSYFGGTDFAGQEVVWLADEPVWAMNYFGCVIAPDLIDGAAAGTVIKTALSAMYRE GRFLGGMEFDHPLGRYIDRSEGSCERFSGSECIMVDGQKAYMLDYRGGLVIP YP_002975206.1 MADTAKGSTGEAIGGTPGFIHLRVHSAYSLLEGALPLKKILYKA TGDSQPAIAITDTNNLFVALEFSQKAMEEGLQPIIGCQVSIDMGDGLETEKRGGQQAL VKLPAIVLLAATDAGYERLVDLVSRAYLGGESNQAVHISASWLEEAGTEGLIALTGAL TGPVDVAIKEGHPAQAEARLLTLKRLFGDRLYVELQRHGTYDKRHEQKIIGLAYAHDL PLVATNEAFFPTRDDYDAHDALMAVAHNAIVSDDSRFRLTPDHYLKSRAEMVKLFADL PEALENTIEIATRCSFVLKTRKPILPRFTGATDDAEEAERAEASELRRQAVEGLDMRL ATLGMSAGYEEKDYRERLDFELSVIERMRFPGYFLIVADFIKWAKQHDIPVGPGRGSG AGSLVAYALTITDVDPLRFSLLFERFLNPERVSMPDFDIDFCQDRREEVIRYVQAKYG REQVAQIITFGSLQARAALRDVGRVLEMPYGQVDKICKLVPNNPANPTPLSKAIEEEP KLQEEAAKEPVVARLLDIAQKIEGLYRHASTHAAGIVIGDRPLSKLVPMYRDPRSDMP VTQFNMKWVEQAGLVKFDFLGLKTLTVLKVAVDFVAKLGVKVDLAAIPLDDKKTYEML SRGETVGVFQVESAGMRKALIGMKPDCIEDIIALVALYRPGPMENIPTYNARKHGDEE LESIHPMIDHLLKETQGVIVYQEQVMQIAQVLSGYSLGEADLLRRAMGKKIKAEMDQQ RERFVVGAVRNGVSKPQADNIFELLAKFANYGFNKSHAAAYAIVSYQTAYMKAHYPVE FLAASMTLDMSNTEKVNDFRQDAKRLGIEVIAPSVQSSFRHFETGDNRIYYALAALKG VGESAVDHIVEVRGDKPFASIEDFCLRIDPRQVNRRVLESLIYAGAFDCFDMDRAELA AGLDRVLGYAQRAQENKLSGQSDIFGSTLTSGPEKISLPPFSPWLPSERLLKEFQVLG FYLTAHPLDSYNNILQKMRVQTFAEFSAAIKQGAANARLAGTVISKQERKTRTGNKMG IIVFSDSSGQFEAVLFSEMLNQYRDVLESGKSFVLTATGEERPEGIGLRIQTIQSLEE KSLQMQKALRVYVRDSGPLRMVAGHLNAKGDGLVSFIVIKEDGKREVEVALSEKYRIT PEIAAALRAAPGVVDVELV YP_002975207.1 MFSRLVFGLGLLSATALVHPALAADAARTLQIFVSKDKQSLAVY DGTEVVATSKVSTGKDGHTTPSGIFSVLEKQKYHESNLYSAAPMPFMQRLTWSGIALH ESNSVPRYPASHGCVRMPGAFAKMLYGMTDLSVPVIISDGELVPRPIEHPTLFRPDTP TAMPLLSDVELRPSMLDSPEKPVQVAMNDTAAMPMPAVQPIAATEPEPPSEPISMLVT RRTLRETVIDIQTLLNQLGFSAGSPDGLLGPSTVQAIKAFKTLRPAEFAADRSLVSDT LLREAYAAAGKGEPPNGVIMVRQSFKPIFEAPVTIADPGLALGTHFFTLHAVDEKAGT ADWLGITLENNLSRESMKRLGITNQESSIITGKPIARSLSRITIPDETRRRIDALIAP GSTLTISDTGLGRETGEGTDFITITRG YP_002975208.1 MMTPTPDKTPGFCRDCLAEQKSEARRCVSCGSPRLVRHRELYAL TLAHIDCDAFYAAVEKRDNPELADKPVIIGGGKRGVVSTACYIARIHGVRSAMPMFKA LEACPQAIVIRPDMEKYVRVGRQVRAMMQDLTPLVQPLSIDEAFLELGGTERLHHDPP ARTLAKFARRIEREVGITVSVGLSYCKFLAKVASDLQKPRGFSVIGREEAVEFLAPRP VTTIWGVGKAFAATLEADGIRTISHLQQMEENDLMRRYGSIGQRLARLSRGIDDREVH LNDAAKSVSSETTFFDDISRYDDLVPILRNLSEKVSWRLKKNGIAGQTVVLKMKSADF KSRTRNRKLEDPTQLADKIFRTGLELLEKETDGTKFRLIGIGVTDLGDAARADPPDLI DQQSGRRAAAEAAMDKLRDKFGKKTVETGYTFGNSKRDH YP_002975209.1 MPLDNDLRFEPVTAERWDDFETLFGPQGAFYNCWCVALRLPHAV RTKMAADERKAHMRERIKAGPPPGILCYAEGAPVAWVQVGPRHDVSQFNSPRTVSRPL EEGDAHDPSIWAVSCFFLLPKLRGKGMSHRLLAGAIDHARRQGARLLEACPIDHVKQS KSVTLCIGSTAIFHAAGFEAIARRKDGRPLMRLELRA YP_002975210.1 MTSGEFDISHIHLGERPLIVCDVDDVVLQFIGPFQLFLQSQGHA FLPRSFRLHGNIVSQADGVEIEDQEVSRLIEEFFEAQELWQTPLDRVVETLDRLSEEA DVLFLTAMQPRFQNQRRRLLDRMGLLFPLLATEQPKGPIVHALHASRSLPVVFIDDMA RNLHSVRDHVADCLLIHLMPNSPVHRFAPVAADDITRATDWTHAAELIKAHFVSGTFT HAVPAA YP_002975211.1 MQSNFKTSKQQAADPHETAIAVLGWLAGDPDMFGRFLALTGVAP GQVRNAVNDPGFLAGLMDFLMNHEPTAMAFCAASGISPETVTAAWRHFSSPGLDSGEY YP_002975212.1 MPKQVMIVEDNELNMKLFRDLIEASGYTTIQTRNGMEALDLARK HRPDLILMDIQLPEVSGLEVTKWLKEDDELHVIPVIAVTAFAMKGDEERIRQGGCEAY VSKPISVPKFIETIKTYLGDA YP_002975213.1 MTARILVVDDIPANVKLLEARLLAEYFDVMTAADGYTALAICER NQVDLILLDIMMPGIDGFEVCERLKASQKTAHIPVVMVTALDQPTDRVRGLKAGADDF LTKPVNDLQLISRVKSLLRLKTLSDELRIRADTAHTMGIDDLTRAGEGRADETAQVLL VDGRANSQERIVKALKPVADVLALSDPQAALFEAAESAFDLVIVNANFDDYDPLRLCS QLRSLERTRFLPILIITEQGADEMVVRALDLGVNDYIIRPVDPNELVARSLTQIRRKR YNDRLRASVKQTIELAVTDPLTGLYNRRYLDNHLNVLFNRSMARGRPLSVLITDIDRF KHVNDTYGHDGGDEVLREFSSRVRSTIRGADLACRYGGEEFVVVMPDTSPEIAAAVAE RLRAAIESAPFMLKHSGEALSVTASFGIASRIASVLTPGQLMKQADLALYEAKNTGRN RVVAAAA YP_002975214.1 MAKATTIKIKLLSTADTGFFYVTTKNSRTMTDKMTKTKYDPVAK KHVEFKETKIK YP_002975215.1 MSQPRTGTPGDVEEIHWPSLVAAISSISAVGIAIGLGLPLLSII LEKRGISSTLIGLNTAMAGVAAMAAAPVTTRLAHKYGVAPTMLWAVLISALSALGFYY AQDFWMWFPLRFAFHGATTTLFILSEFWINAASPPSKRGFVLGIYATVLSLGFAAGPL LFSILGSDGIFPFLIGAAAILLAAIPIFIARDESPVLEEKPELHFMRYVFLVPTATAA VFIFGAVEAGGLSLFPIFAVRAHFTESQAALLLTMMGVGNVIFQIPLGLLSDRIADKR PLLAGMALMGFIGSMMLPLLLNNWLLMAGLLLFWGGCVSGLYTVGLSHLGSRLTGSDL AAANAAFVFCYAMGTVAGPQAIGAAIDVAGNNGFAWAIAAFFGLYALLSGIRLMFIRK RA YP_002975216.1 MSTPTDPAAARYGDTPEVERPLGRSIMRGLMNRCPACGNGKLFR AFLKPVDHCAACGEAMHHQRADDLPPYIVILVLGHVVVGGYMLTDLTFVLPVWVHLAI WAPITVITALASIQPIKGGVIGLQWALRMHGFGGESDGPDDYDIPGRPN YP_002975217.1 MSRIPRERTNPAGKRPGKTGHASKDAPAVEPLNIVHGALPSREV ILRFIADHPQKASKRELAKAFGLKGDSRVELKQMLQELEQEGMLQKNRKSLIRPGALP PVTVLDITTRDKDGDLIGRPAEWPEDQGVAPAVAIRQQSPAGRQGKGKTPVAGLGDRI LAKIFPAVDRGGPAYTARIIKVIDRRRGASMGVFRTAPDGGGRLLPIERRGEEMVIAP DFTGGATDGDLVEVEIARLGRFGLPRAKVLSVVGSVGSEKALSMIAIHAHGIPHVFPP AVIAEAEAARPATMSHREDWRDVPLITIDPADAKDHDDAVYAELDPSPDNPGGVIVTV AIADVSWYVRPNSPLDREALKRGNSVYFPDRVVPMLPERISNDLCSLKQGVDRPALAV RMSFSGEGRKIGHIFHRIMMKSAAKLSYQQAQAAIDGRPDDQTGPMLEPILKPLWHAY EVMKRGRDRRQPLELDMPERKILLKSDGTVDRVVVPPRLDAHKLIEEMMIQANVCAAE TLEKKRQPLIYRIHDGPTLAKQEILREFLATLGISLAKGGNMRANNFNGILAKADGTP HQTMVSEMVLRSQSQAIYGPENIGHFGLNLMKYAHFTSPIRRYADLIVHRALVGSLGF GEGGITPEEEAALDDIAAEISTFERRAMAAERETVDRLIAHHLSGRVGEEFAGRVSGV TKSGVFIALPDYGADGFVPISTLGSDYFIYDEAHQALSGEKTGLGYRLGDSVTVKLAE AIPLAGALRFEMISEGREMPAAVRSFHKAGRRDRGQIRKKPGTRPPRGRH YP_002975218.1 MNVVVVESPAKAKTINKYLGPGYKVLASFGHVRDLPAKDGSVLP DQDFEMLWEVDSASAKRMKDIADAVKSADGLFLATDPDREGEAISWHVLDMLNKKRVL NGKPVKRVVFNAITKKAVLDAMADPRDIDVPLVDAYLARRALDYLVGFNLSPVLWRKL PGARSAGRVQSVALRLVCDRESEIERFISEEYWNISALLKTPRGDEFEARLVSANGKR LQPRAIGNGEDAGRLKALLEGASYVVDSVEAKPVKRNPGPPFTTSTLQQAASSNLGFS ASRTMQVAQKLYEGVDIGGETVGLITYMRTDGVQMAPEAIDAARSAIVDQFGERYMPE KPRFYSTKAKNAQEAHEAIRPTDFDRSPDRVRKFLDADQIRLYELIWKRGIASQMASA EIERTTAEITADNKGEKAGLRAVGSVIRFDGFIAAYTDQKEDGEQSDDGDEDGRLPEI IAREALAKQKINSTQHFTEPPPRYSEATLIKKMEELGIGRPSTYAATLATLRDRDYVT IDKRKLIPQAKGRLVTAFLESFFTKYVEYDFTADLEEKLDRISAGELNWKQVLRDFWK DFFAQIEDTKELRVTNVLDSLNEALAPLVFPKREDGSDPRICQVCGTGNLSLKLGKYG AFVGCSNYPDCNYTRQLSSENGGDADGAALNEPKNLGTDPTTGEELTLRSGRFGPYIQ RGDGKEAKRASLPKGWKPEDIDYEKAMALISLPRDIGKHPESGKMISSGIGRYGPFLL HDGSYANLETVEDVFSVGLNRAVTVIAEKANQAPGRGARGTPAALKTLGDHPDGGAIT VRDGKYGPYVNWGKVNATLPKGKDPQAITVEEALALIAEKAGKTPVGKAAKTKAKPKA AAAEAKSTKTAAKPKATKAKAPAKSKKS YP_002975219.1 MDALSAGPKGVALTERQRIAWLRLIRSDNIGPATFRDLINHFGS AEAALAALPELSARGGATRAIRIASEAEAHRELEAARRFGARFVGIGEPDYPQALKQI DGAPPLLAVKGALAAANRPAVGIVGSRNASIAGAKFAAMVARDCGRAGYTVVSGLARG IDTAAHRASLDTGTIAALAGGLDQPYPPENIGLLEEITGGNGLAVSEMPFGWEPRARD FPRRNRLIAGIGLGLVVIEAAARSGSLITARLAGEFGRLVFAVPGSPLDPRCHGTNGL LKDGASIVTAPADVVEALAPLAQFDLFPSSMAEEPAPDGKPMSVPPGDSDRNRIIDAL GPTPVEIDDVIRHTGLSASAVYLILLELDISGRLHRHQGGLVSLSD YP_002975220.1 MLSNLMSWQITLPIALAAAIIGYLFGSIPFGLILTRAAGLGDVR SIGSGNIGATNVLRTGNRTLAAATLLLDALKASAAAWVVGYFLGEEAAIIAGFFAFIG HLFPVWIGFKGGKGVATYIGTLLGVAPIMVVLFAAVWLAVAFTTRYSSLSALVAMLVI PIALWILGNEKVAAVMAIMTLISYWKHKANISRLMSGTESKIGAKG YP_002975221.1 MSNPIVLKNVRIIDPSRNLDEVGTIIAENGVILAAGGKAQNQGA PDGAVIRDCTGLVATPGLVDARVHVGEPGGEHRETIASVSRAAAAGGVTSIIMMPDTD PIIDDIALVEFVKKTARDTAAVNVYPAAAITKGLAGEEMTEIGLLMQAGAVAFTDAHS SVHDTQVLRRIMTYAREFGAVICCETRDKYLGANGVMHEGLFASWLGLSGIPKEAELI PLERDLRIAQLTRGRYHAAMISVPESVEAIERARSRGAKVTCGISINNLALNENDIGE YRTFFKLYPPLRPEDDRVAMADALASGAIDIIVSSHDPQDVDTKRLPFGEAEDGAIGL ETMLAAALRLHHGGQVSLMRLIDAMSTRPAQIFGLNAGTLKPGAAADIALIDLDEPWL VAKDMLLSRSKNTPFEDARFSGRAVATYVSGKLVHAI YP_002975222.1 MVFFPHRHLIGIKGLTEQDITYLLDKADEAVKISRQREKKTSTL RGLTQINLFFEASTRTQASFELAGKRLGADVMNMSVGNSSVKKGETLIDTAMTLNAMR PDVLVIRHSSAGAAALLAQKVSCSVVNAGDGQHEHPTQALLDALTIRRAKGKLSRIIV AICGDVLHSRVARSNILLLNAMGARVRVVAPATLLPAGIAEMGVEVFHSMKEGLKGAD VVMMLRLQRERMSGAFVPSVREYYHFYGLDAETLKAAKEDALVMHPGPMNRGVEIASE VADGPQSVIAEQVEMGVAVRMAVMETLLVSQNQGPRSDGMMA YP_002975223.1 MTSANRTDDKLAELNQPSLWSGINAYRSDPLIVDLTAALPRGIR EDLENMGRYVTSPEAQEMARMANQGTPQLRTHGPRGERLDVVEFHPAWHALMRRSMSV GLHSSVWDPQADTDAKDEAHKVRAARFYLTSQLESGHLCPLTMTSASVAALSASPAVQ KDWAPKILSRKYDSSNKPAMQKSAVTIGMGMTEKQGGTDVRANRSAAEKVSEGIYRLS GHKWFMSAPMSDAFIMLAQTKEGMGCFLVPRLLEDGSANGLQFQRLKDKVGNRSNASS EVEFTDTFGFLLGGPDAGIRTILDMVTLTRLDCALASSGMMRASLAEAVHHTRGRSVF GKMLVNQPIMTRVLADMALDVAAATALSFRLADAFDKARGNAEDAAYARVMTPVAKYW CCKIAPALIYEAMECIGGNGYIEERPIARHYREAPVNAIWEGSGNVMALDVLRVLNRG KDLFETVFAGLARDLGPAGKKTIDVLRAAIALCEQDEGAARLLVEQLALAAGAAELYR LGAGRIADAFIETRLAGGWRSTYGMLDSRFDASYIVDLLYPPAA YP_002975224.1 MADKMLIDASHEEETRVVVVRGNRIEEFDFESQHKKQIRGNIYL AKVTRVEPSLQAAFVDYGGNRHGFLAFAEIHPDYYQIPLADRQALLRAEAEEHRRDED VEHVETAPMVDLSKQDQPDVGIAPEAAAVTEETAAVEAAASPEAAEEAPAKKARPRRS RKKAAEPVAETTATEDAVPTDVEAEGASSVDNEDDGSTGGAMAAMVETDSISEDVDTS KRRHDDDDDDDDHGEEEVIESVGAEDAMEEVPDRVQRKPRKQYRIQEVIKRRQILLVQ VAKEERGNKGAALTTYLSLAGRYSVLMPNTARGGGISRKITNPADRKRLKEIARLLEV PQGMGVILRTAGANRTKVEVKRDFEYLMRLWENVRTLTLASTAPCLVYEEGSLIKRSI RDLYNKDISEVIVSGEEGYREAKDFMKMLMPSHAKVVQPYRDIHPIFSRSGIEAQLDR MLQPQVTLKSGGYLIMNQTEALVSIDVNSGRSTREHSIEDTALQTNLEAADEVARQLR LRDLAGLIVIDFIDMEEKRNNRAVEKKLKECLKNDRARIQVGRISHFGLLEMSRQRIR ASVLESTTQVCSHCGGSGHVRSQSSVALHVLRGIEEYLLKNTTHNITVRTTPDIALYL LNHKRQTIIDYESRFGVAIIIDADGSVGAQHFAIDRGEPVENPVKIETLFNFAAIPED DDDDIVIEVDEEEDEELEEKPAVSERPVAARAESEADGNRKRKRRRRRRGRNGNAEQP LSATGEAGDEDEDGDDEGGEGDENTEATPETRAESEESQRRKRRRRGKRGGRRNRAED GSELTAGEAGEDNGGDEDEGDDVSNDGAPSEAVAVEAIAEQADEGQAAMAAVESAPVI TEEVKPARARGRRKPAAAPVEEPVAETAPAVEAEPELVEASADLETSVQEEAKPVRAN RESNISSSEPTVKSTRVENVEGDDGKPKKAGWWQRRGFF YP_002975225.1 MFRHRDVGRSRVGSIAIQIGCGGLLFKRARIAAKSAARRSTFAR RVLAALLVVSLLPAAASSVEAKDPLLAYGARIVGDDARTRIVIDFDREPRFSVHYIAN PERIVVDLPATAFGFAAKDLAARGLFKDIRYGKMDEESARIVLTTTGPVKLALAKVQA DETGNGHRLVLDAEMIDKKAFAELVKTQSWSDRTEAAQTTSAIPAPQKAAPGDFVIAV DAGHGGIDTGAIGVDTKTEEKQVTLAFAKALTDRLNKEPGIKAFLTREDDEFLSLSQR VLIARQNHAGLFISLHADTLKQKDIRGATVYTISDKASDKLAADLAERENLSDQIAGK ETVAEPPEVADILLDLTRRETQAFSISLAESVLNSFKDQVGTINNPHRHAGFRVLQAP DVPSILLEIGFLSNAEDEKLLLDEAWRGKIAGLLTDAVKRYRAAVMANGG YP_002975226.1 MACVLFLVAAAGIAIYLANVAKDLPDYAVLNSYAPPVTTRVHAG NGALMAEYAKEKRLFLPIQAIPDRVKAAFLSAEDKNFYNHPGVDLTGLGRAILVNLQN FGSGRRPVGASTITQQVAKNFLLSSDQTIDRKIKEAILSFRIEQAYSKDKILELYLNE IFFGLNSYGIAGAALTYFNKSVTELTVAEAAYLASLPKGPANYHPFRHPEAALERRNW VIDRMVENGYVSQPDGEEAKKQPLGVTARTTGPSLFASDFFAEAVRRQLIDQYGEKVL YEGGLSVRTSLDPQMQLAARKALQDGLVTYDERRGFHGPIKQIDASGDWGKALADVPA LSDVPEWRLAVVLAVSDSNVDIGLQPGKDGSGKVEADRQRGTIDAKNMQWAFRSADGA RKTTKSPVGAVSPGDVVYVAKLGDNASTSYRLQQPPKVQGGLVAMDPKTGRVLAMVGG FSYAQSEFNRATQAMRQPGSSFKPFVYAAAMDNGYTPASVIMDAPIEIVSGGQVWRPE NYGGESGGPSTLRSGIEHSRNLMTVRLANDLGMNIVAEYAERFGIYDHMLPVLSMSLG AGDTTVLRMVSAYSVIANGGKQIKPTLIDRIQDRYGKTIFKHEERLCEGCNAGDWQNQ EEPNIVDNRETVLDPMTAYQITSMMQGVIQRGTAAGKIDLGGRDVAGKTGTTNDEKDA WFVGFTPDLVAGLYMGFDTPAPLGRGGTGGVLSAPIFNEFMQAAVKDTPESKFVIPSG MNLISIDRKTGMAAGEGDPNTIIEAFKPGTGPADSFSVIGMDSTMAPEEILKTSPQAN QAVQTGTPGLF YP_002975227.1 MRAEIENVVDETKQAITLLRRHLDWDQAIRRLDWLNNKAEDPNL WNDASEAQKLMRERQQLDDGINGVKQLEQQLNDNIELIELGEEEGDQSVVKEAEDTLK ALKAEAARRQVEAMLSGEADGNDTYLEVHSGAGGTESQDWANMLLRMYTRWAERQRFK VELLEVHDGEEAGIKSATLLVKGHNAYGWLKTESGVHRLVRISPYDSNARRHTSFSSI WVYPVVDDSIQIEINESDCRIDTYRSSGAGGQHVNTTDSAVRITHIPTGIVVQCQQER SQHKNRAKAWDMLRARMYEAELKKREDAASAEAASKTEIGWGHQIRSYVLQPYQMVKD LRTGVASSAPDDVLDGDLNEFMEAALAHRISGAADAVVEDVD YP_002975228.1 MELLLDVEGLKKSFGGVAALRDGRFELRAGSVHALCGGNGAGKS TFLKILMGIHNRDAGSIRRRGKDVDFASPADALASGIAIIEQELSPVPHMTVAENIYL GREPSTRFGGIDFRTMNRSAQGLLDDLEFDIRATQYMMNLSVAQMQLVEIAKALSHDA EVIFMDEPTSAIGEKEAQQLFAAIRRLKGQGRGIVYVSHRLSEIFQIADSYTVFRDGA YVGSGDLKQISRPDLIRMIVGRELAEEYVKTNTPTSETGLEVTALSCPNKIEDISFSA RKGEIFGIYGLMGSGRTEIFNCLFGLEKSSDGRIVVDGARISVSKPADAMANGLALVT EDRKLTGLNLSDSVRANICMASLPEMSPSFAMSRRREAEASRRIQAQFQIKAARDTMP VSGLSGGNQQKVVLGKWFLRNPKVLLLDEPTRGVDVGAKREIYRIICDFAAAGGTVVM ISSEIDEVLGMSDRIMVMRAGRSAGIYSREETDAQSLVHLST YP_002975229.1 MSESDDSNAGGWFSSDRRRLLIQEYGIFLAFLLLVVVLSFSNEF FLTGGNISNVLLQTSINGVLAIGMTFVILTRGIDLSVGSVVALAGIVSASFSTTSATA AIAGAPYPVAIGLAVGILVGVACGAISGAIVSRFSVPAFVATLGMLSAARGLTLIYGG GRPVPALTPAFRWIGTGDVFGIPAPVIILAVVFAASWWILSRTRFGRYIYAVGGNPHA AKTSGIDIGRIRFTVYVISGGLAGLAGMLLSARTGSALPQAGIAYELDAIAAVVIGGT SLSGGVGRVTGTLIGALIIGVMNNGLDLMGIQSYYQQVLKGALIVGAVMLDQKRTYGA YP_002975230.1 MRKLMIALASATALLASSAVAQEKIKIGAAPYGLNAEFMQIWAA AMQEHPAVKSGEVELTVFDGRYDALVQQDQFKTMVTQKYNAIIFAPIDVDAGAAAVQS AVDAGIPVIGSNTRVNSDLLTSYVGSDDTISGYMEAKTVLDKLGCKGNVVILEGPVGQ SAQISRLEGNKKALAECPDVKVLEDQTANWSRAEAQTLMENWLTAHPKQINGVIGQND EMALGAIEAIKAAGLDEKSFAIAGIDGITDALRAVKAGEMTSILQDARAQAQGALDLA IFHAKKGDYKPQSDIWATYKDMPWNDGKDKNYNVPWTPVTADNVDKLLATRK YP_002975231.1 MTDSKQIDLNFSLGGKVALVTGGASGIGDAIASAFAAKGAVVGV IDINETVAKSKADALGNGAKSFVCDVSNPQSVEAVIAAAQAAFAHIDIVVNSAGVAML APAEDLTLEAWDRTIDINLKGTFLVCQAVGRVMLKAGSGGRIINIASQAGTVAIDQHV AYCASKFGVIGLSKTLAAEWGKYGITVNTISPTVVLTELGRKAWDNPRGEELKKRIPT GRFAYPEEIAAAAVFLASSGAEMINGADLLIDGGYTIV YP_002975232.1 MQRFINNPDEVVEDTVKGFVKAHADMVRLGNGNPRVIVARHAPV AGKVGVITGGGSGHEPAFIGYTGRNMLDAVAVGELFSSPTAKSFLDAAREANGGNGVV CLYGNYAGDNMNVKMAIKLAAKEGITIATVVANDDVCSAGPDEREKRRGVAGEIFMWK CAGAKAEQGASLEEVQATAQRAIDNCRSIGIGLGPCTLPAVGHPNFQIAPGTMEVGIG HHGEPGVRVEPLKPAAEVAREMARIVLDDHGLASGTEVAVIVSGLGATPLNELYILHD TIETEITGRGLKIYKTYIGNYFTSLEMVGATLTVMALDDELKTLLDVDVHCPAMP YP_002975233.1 MQQFDNASSGDIVFSLADRIIENRAYLSEIDGKIGDGDHGVNMA KGFGMAAERIKGADMSLAEALDTLGTVLMTEIGGSMGPLYGVMFIQFAETIEKAAQID AGMFSAMLHNGLEGIQAIGSAKVGDKTLLDALVPAIEAFDLANSDGKSFAESLNVLVA AAEAGRDSTRDLVARIGRASRLGERSLGVLDAGATSCALILQSLALGVEARLRPSA YP_002975234.1 MTVKTSSARKNGSVRNSADDASEPIPLRFGDDPYVWACWLYYED GMTQGDIADTMGISRATVNSYLADARARGIVNISIEPARLSSLTIAQELKRHFGLQDC LVVPSDDNTRPLIDRLGRAGAQALQRLVKSGDTLAVVWGRTVMAVGEQTSISGLQDVT VVQATGGTSATFAYTPELCAAAFADAVDARLINIAAPAIVSAPDVRNILLREPLIESQ FEALSHANKVIFGIASMRPNSTIHTSGFFESVSLQQYLAKDAAGIVAGRFIDGQGRPV AGPLDDRTIGISLDMLKKIGLRIAVAGGFDKVPAILAALRGGYVNVLITDAATGRGIL HADGVTEVDQKLLQRPRVEIGTPPSATGFRTHIKKFLNNPDEVVEEMLYGAVKAHAAY LAPVDSSRRALVARTGPRAGKVGLVIGGGTGHEPAFFGYVGKGLADAVAVGNIFSSPP PGPILQCTRAASGGEGVLFVYGNYAGDVMNFEMAAEMAAEENIAVRTVVTTDDIASSP LEDREGRRGVAGNFFIFKVAGAACDRGLSLAACETATRKANARTFTMGVALEPCSLPQ TRRHNFEIGPDDIEIGMGIHGEPGVIREKMMTADEITDRVMDRIFAEMKPASGDRVAV LVNSFGATPLMELYILFRRVEQRLSAKGIAIEANWVGHYCTSLDMVGASISILHLDQE LTELLHHPCDTAFLRVAN YP_002975235.1 MSESAARHLSRMFHRISVAITAEKDRLSDLDGAIGDADHGITMV LGFTAVNGELAKLDLDHMLPSEVFTSAATAFLNAVGASTGPLYATAFRRAAQVLKEDQ HLSTADQAAIVEAMTAGIRERGKGQRGDKTMLDAWIPATEAAVTARARAAGSLEMWTS ILEAAETGANSTRSMVATRGRAARLGERSLGHIDPGAASAVIILRAMKETFAGDTVKE QDR YP_002975236.1 MKLGFRDGVLYDEKRSDWDAAGPRPISWSLWYPAADDARKRDIP ERSWFQKAAVARDAPIRPEARPYPLVLLSHGTGGSAAGLEWLARRLVDRGFAALGVSH HGNTGIEPYRAEGFACLWERAPDLSYMLDHRDAWLSDLSGHIDTNSVFAAGFSAGAYG VMLLLGAIAQFSQFEPSRMKPGGARGPREFPDLADHIPALLRTSDVFRDSWSRMSKSY RDDRIRAALICAPGRSVLGFSEESLNAVDAPALILVGDADKAAPAEECSSWLHARLRR SVLKIFGGGLGHYVFVPEGTALGLAFAAELFTDPPGIERAAVHEEIADLSAALFQDSG IIAEKTTN YP_002975237.1 MAMRRILMTSLLLAGWSITAQAAPAQDALLPASVIFATSTGYWE DDGNAPDVERAPTGAESVAGSEGEGTQRHGYYKLFAVRQPDRTSKVYLQQIAQTEAGP AIASTIELQEFSDLKPYVTDIRPENSNGIIKQPGLFATVYLKTDPAAEPDGWTVLIDE FGDITVEKATN YP_002975238.1 MGRSFQTLSFLASPTTEALAAREELIGLYGDVPADEADVIVALG GDGFMLQTLHNTMNSGKLVYGMNRGSVGFLMNDYRTDRLQERICVAVENVFRPLQMTT ANADGANSTALAINEVYLFRQSYQAANLRVMVDGRVRLEELICDGLMVATPAGSTAYN LSAHGPILPLEAPLLAMTPVSAFRPRRWRGALLPNKVTVDIDILEPEKRPVNAVADNT EVKSVLHIRIAQSEHVTARILSDPDRSWSDRILAEQFKD YP_002975239.1 MAGEVIATVMTVAGEAIATVTIVAGAMGAIGAGPTGAMIAVTGG GGVPSVGRSAASGAIAIVVCRSGSTAADDKGPVIRALLIE YP_002975240.1 MRIMIAVVAFMVASVLSIAMMSPSGAGQTGNGDRIEASVNEPVT Q YP_002975241.1 MAIRPLIFGILFIAVFVVIIISIIWVDTSHPIHQPDPLSPTAPG PATPGQVQ YP_002975242.1 MIRFIPRLAASAAALLVCGFAYGQDIDPDILKAQAGTYLVAAED GRAGCRLTLETGEAIGGYSLSGQDSCIKPLPALAEAYSWNFDGNGGVILIDATRKVLA RFVENEGSPMKTEDGAALLLIAAPDAVDRLPTVSSLAGTWTMQRPDGERLYGVTLNGD VDADGNALLSLSEDCAANVARLKLAVWHIEGFGLTLMGRDGSSLGFDMRADGNFDKSK EEGGKPLSLVRR YP_002975243.1 MSKDNKSGGKTATDPSAKDTHYATLRRAHRDAKRERGEIPTPQP HKRKRGGDDWKPPALAPDQVHLYGLHTVRAALDNPERKKITLFVTQNALARLEVDVEA LGMPFEIVSPQEIDKVLGPEAIHQGVMLETRPLPVRRLEALKESPLLLVLDQVTDPHN VGAIMRSAVAFNAGAVITTQRHSPTESGVLAKSASGALELIPYIQVTNLADALGELHK LGFSTIGLDSEGPAPLEGTFSGEKVALVLGSEGKGLRQKTRETVNALARLDMPGAIKS LNVSNAAAIALYAARLHLKA YP_002975244.1 MGKSKFERNKPHVNIGTIGHVDHGKTSLTAAITKYFGEYKAYDQ IDAAPEEKARGITISTAHVEYETPARHYAHVDCPGHADYVKNMITGAAQMDGAILVCS AADGPMPQTREHILLARQVGVPAIVVFLNKVDQVDDAELLELVELEVRELLSSYDFPG DDIPIVKGSALAALEDSDKKIGEDSIRELMAAVDAYIPTPERPINLPFLLPIEDVFSI SGRGTVVTGRVERGIVKVGEEVEIVGIRPTTKTTVTGVEMFRKLLDQGQAGDNIGALI RGVTRDGVERGQILCKPGSVKPHKKFMAEAYILTKEEGGRHTPFFTNYRPQFYFRTTD VTGIVTLPEGTEMVMPGDNVTVSVELIVPIAMEEKLRFAIREGGRTVGAGIVASIVE YP_002975245.1 MIPKATFAATIFAMYVFTMFFIAAIPQEVVQSAVVQIGEVSVVK YP_002975246.1 MKRRILFTGGSGKAGRHAVPWLVNAGYEVHNLDLVPLDSPGVTN LIADITDSGQVFNALSMHRDFPDLDAGRGVQPFDAVVHFAAIPRILIKPDNETFRINT MGTYNVIEAAVKLGIRKIIVASSETTYGVCFAEGHRDFHQFPLEEDYDVNPMDSYGLS KVVNEKTARAFAERSGFDIYALRIGNVIEPDEYEKFPTFFANPEMRKRIAWSYIDARD LGQICHLCIEKDGLGYQVFNAANDTVSANTPSKELAKRFFPNVPFTREIGEYEGLLSN RKIREVLGFKEEHDWRKYVKV YP_002975247.1 MASKSNPFTFLQQVRSETSKVTWPSRRETMISTVMVLVMVVFAA LFFFAADQLIGWALSFVLNTGN YP_002975248.1 MAARWYIVHAYSNFEKKVAEDIENKARQKGLEHLFEKILVPTEK VVEVRRGRKVDSERKFFPGYVMVRANLTDEAYHLIKNTPKVTGFLGSDNKPVPIPDYE AERILGQVQEGVERPKASITFEIGEQVRVSDGPFASFNGTVQDVDEERSRLKVEVSIF GRATPVELEYAQVEKV YP_002975249.1 MAKKVAGLLKLQVKAGSANPSPPIGPALGQRGINIMEFCKAFNA ATQEMEKGMPIPVVITYYQDKSFTFAMKQPPVSYWLKKEAKITSGSKTPGKGAKAGSL TKAQIKSIAEAKMKDLNAADIEGAMAMIEGSARAMGLEVVG YP_002975250.1 MAGKRTQKINEGVDPTKLYALTLAIGMVKERAVAKFDETIEVSM NLGVDPRHADQMVRGVVNLPNGTGRTVRVAVFARGAKADEAKAAGADIVGAEELVEIV QGGKIEFDRCIATPDMMPLVGRLGKVLGPRGMMPNPKVGTVTMDVAGAVKASKGGAVE FRVEKAGIVHAGIGKASFDAKALEENIRAFADAVIKAKPAGAKGNYVKRVAISSTMGP GVKIEPGSVTGAPAA YP_002975251.1 MERAEKREFVTELNEVFKASGSVVVAHYAGATVAQMNDFRSKMR AAGGTVKVAKNRLAKIALQGTEAEGMTNLFKGQTLIAYSTDPITAPKVVMDFAKTNDK IIVLGGAMGTTTLNAEAVKSLATLPSLDELRAKLLGMIQTPATRIAGVVAAPASQLAR VFAAYAKKDEAA YP_002975252.1 MADLAKIVDDLSSLTVLEAAELSKLLEEKWGVSAAAPVAVAAAA GGAAAAVVEEEKTEFDVILVEAGANKINVIKEVRAITGLGLKEAKDLVEAAPKAVKEG VNKAEAADIKKKLEDAGAKADVK YP_002975253.1 MAQTLSFNGRRRVRKFFGKIPEVAEMPNLIEVQKASYDQFLMVE EPKGGRPDEGLQAVFKSVFPITDFSGASMLEFVSYEFEPPKFDVDECRQRDLTYAAPL KVTLRLIVFDIDEDTGAKSIKDIKEQSVYMGDMPLMTNNGTFIVNGTERVIVSQMHRS PGVFFDHDKGKSHSSGKLLFAARVIPYRGSWLDIEFDAKDIVYARIDRRRKIPVTSLL MALGMDGEEILDTFYTKSLYKRDGEGWRIPFKPETLKGAKAITEMVDADTGEVVVEAG KKLTPRLLRTLSDKGLKALKAADDDLYGNYLAGDIVNYSTGEIYLEAGDEIDEKTLGI ILANGFDEIPVLGIDHINVGAYIRNTLTADKNENRQDALFDIYRVMRPGEPPTMESAE AMFNSLFFDAERYDLSAVGRVKMNMRLDLTVEDTVRILRKDDILAVVRMLVELRDGKG EIDDIDNLGNRRVRSVGELMENQYRLGLLRMERAIKERMSSIEIDTVMPQDLINAKPA AAAVREFFGSSQLSQFMDQVNPLSEITHKRRLSALGPGGLTRERAGFEVRDVHPTHYG RICPIETPEGPNIGLINSLATFARVNKYGFIESPYRRIVDGKVTSDVLYLSAMEEAKY YVAQANAEMNADGSFVDEFVVCRHAGEVMLAPRDSMNLMDVSPKQVVSVAAALIPFLE NDDANRALMGSNMQRQAVPLLRAEAPFVGTGMEPVVARDSGAAIGARRGGVVDQVDAT RIVIRATEDLEAGKSGVDIYRLQKFQRSNQNTCVNQRPLVTVGDEVNRGDILADGPST DLGDLALGRNALVAFMPWNGYNYEDSILLSERIVADDVFTSIHIEEFEVMARDTKLGP EEITRDIPNVSEEALKNLDEAGIVYIGAEVQPGDILVGKITPKGESPMTPEEKLLRAI FGEKASDVRDTSMRMPPGTYGTIVEVRVFNRHGVEKDERAMAIEREEIERLAKDRDDE QAILDRNVYGRLIEMLRGQASIAGPKGFKKGAELSNAVVSEYPRSQWWMFAVEDEKVQ SELEALRGQYDESKSRLEQRFMDKVEKVQRGDEMPPGVMKMVKVFVAVKRKIQPGDKM AGRHGNKGVVSRIVPVEDMPFLEDGTHVDVVLNPLGVPSRMNVGQILETHLGWACAGM GRQIGELIDAYKANGNIEPLRKTIGDVVGDGPKAEQVHEFDDDSVLRLADQWKRGVSI ATPVFDGANEADVNDMLRLAGLKDSGQSTLYDGRTGEQFDRQVTVGYIYMLKLNHLVD DKIHARSIGPYSLVTQQPLGGKAQFGGQRFGEMEVWALEAYGAAYTLQEMLTVKSDDV AGRTKVYEAIVRGDDTFEAGIPESFNVLVKEMRSLGLSVELENTKLDEAQAAQLPDAA E YP_002975254.1 MNQEVMNLFNPQVPAQNFDSIRISIASPEKILSWSYGEIKKPET INYRTFKPERDGLFCARIFGPIKDYECLCGKYKRMKYKGIICEKCGVEVTLSRVRRER MGHIELAAPVAHIWFLKSLPSRISTLLDMTLKDVERVLYFENYIVTEPGLTALKEHQL LSEEEYMLAVDEYGEDQFTAMIGAEAIYEMLASMNLEKIAGDLRAELADTTSDLKQKK LMKRLKIVENFMESGNRPEWMIMKVVPVIPPDLRPLVPLDGGRFATSDLNDLYRRVIN RNNRLKRLIELRAPGIIIRNEKRMLQESVDALFDNGRRGRVITGANKRPLKSLSDMLK GKQGRFRQNLLGKRVDYSGRSVIVTGPELKLHQCGLPKKMALELFKPFIYARLDAKGY SSTVKQAKKLVEKEKPEVWDILDEVIREHPVLLNRAPTLHRLGIQAFEPILVEGKAIQ LHPLVCTAFNADFDGDQMAVHVPLSLEAQLEARVLMMSTNNILHPANGAPIIVPSQDM VLGLYYLSILNQNEPGEGMAFSDLGELHHALESKVVTLHTKIRGRFKSVDEDGKPYSK IYETTPGRLLIGELLPKNGKVPFDICNQEMTKKNISKMIDTVYRHCGQKDTVIFCDRI MQLGFAHACRAGISFGKDDMVIPDAKAKIVADTENLVKEYEQQYNDGLITQGEKYNKV VDAWGKATEKVAEEMMARIKAVEFDEKTGRQKPMNSIYMMSHSGARGSPNQMRQLGGM RGLMAKPSGEIIETPIISNFKEGLTVNEYFNSTHGARKGLADTALKTANSGYLTRRLV DVAQDCIVTHVDCGTQTGLTMTAIVDAGQVVASIGVRILGRTALDDIDHPVTGERIVD AGRMILEPDVVEIEKAGIQSIRIRSALTCEIQTGVCSVCYGRDLARGTPVNMGEAVGV IAAQSIGEPGTQLTMRTFHLGGTATVVDQSFLEASYEGTVQIKNRNILRNSDGNLVAM GRNMTVQILDERGVERSSQRVAYGSKLHVDEGDKVKRGQRLAEWDPYTRPMMTEVAGT VQFEDLVDGLSVLEATDESTGITKRQVIDWRSTPRGSDLKPAIVIKDASGNIAKLSRG GDARFFLSVDAILSVEPGTKVSQGDVLARSPLESAKTKDITGGLPRVAELFEARRPKD HAIIAEIDGTIRLGRDYKNKRRVIIEPAEDGVEPVEYLIPKGKPFHLQEGDYIEKGDY ILDGNPAPHDILAIKGVEALASYLVNEIQEVYRLQGVVINDKHIEVIVRQMLQKVEIT DAGDSTYIVGDNVDRIELEDVNDHLIEQGKKPAYGDPVLLGITKASLQTPSFISAASF QETTKVLTEAAIAGKTDGLQGLKENVIVGRLIPAGTGGTMTQIRRIATSRDEMILEER RKGTGAAVATPMLQDMAEKAPAAE YP_002975255.1 MITTPDNDNNFDGPMIFIIIGKGYESDASEGIDLHIMLKAPDDD TAVREALNALAEEGFIEADLDQIGMLTEVPAEEPHASAYQGAIEGEVAIIRFN YP_002975256.1 MPTVNQLIRKPRQANVKRNKVPALQENPQKRGVCTRVYTTTPKK PNSALRKVAKIRLTNGFEVIGYIPGEGHNLQEHSVVMIRGGRVKDLPGVRYHIIRGVL DTQGVKNRKQRRSKYGAKRPK YP_002975257.1 MSRRHKAEKREINPDPKFGDLVVTKFMNAIMLDGKKSVAENIVY GAFDVVQGKAKQEPLTVFHSALENIAPHVEVRSRRVGGATYQVPVDVRPERRQALAIR WLIAAARKRNETTMVDRLSGELLDASNNRGSAVKKREDTHKMADANRAFSHYRW YP_002975258.1 MAREYKIEDYRNFGIMAHIDAGKTTTTERILYYTGKSHKIGEVH DGAATMDWMEQEQERGITITSAATTTYWKGRDGKTRRFNIIDTPGHVDFTIEVERSLR VLDGAIALLDANAGVEPQTETVWRQAEKYNVPRMIFCNKMDKTGADFYRSVEMIKTRL GATAVVMQLPIGAETEFKGVIDLVEMNALIWRDESLGAQWDVVEIPEDMKAKAEEYRE KLIETVVDIDEAATEAYLEGILPDNDQIRALVRRGTIDVKFHPMFCGTAFKNKGVQPL LDAVVDYLPSPMDIPAIKGIDFKTEAEIERHADDSEPLSMLAFKIMNDPFVGSLTFAR IYSGKLEKGTSVINTVKDKRERVGRMLQMHSNSREDIEEAFAGDIVALAGLKETTTGD TLCDPLKPVILERMEFPEPVIQIAIEPKTKGDQEKMGLALNRLAAEDPSFRVKTDQES GQTIIAGMGELHLDIIVDRMRREFKVEATVGAPQVAYRETITRTHEEDYTHKKQSGGT GQFARVKIVFEPNPEGDEFKFESKIVGGSVPKEYIPGVQKGIESVLSSGPLAGFPMLG VKATLIDGAFHDVDSSVLAFEIASRACFREAARKAGAQLLEPMMKVEVVTPEDYVGDV IGDLNSRRGQIQGQESRGIAVVINANVPLANMFKYVDNLRSMSQGRAQYTMTFDHYSP VPSNVATEIQAKYSGQK YP_002975259.1 MGKSKFERNKPHVNIGTIGHVDHGKTSLTAAITKYFGEYKAYDQ IDAAPEEKARGITISTAHVEYETPARHYAHVDCPGHADYVKNMITGAAQMDGAILVCS AADGPMPQTREHILLARQVGVPAIVVFLNKVDQVDDAELLELVELEVRELLSSYDFPG DDIPIVKGSALAALEDSDKKIGEDSIRELMAAVDAYIPTPERPINLPFLLPIEDVFSI SGRGTVVTGRVERGIVKVGEEVEIVGIRPTTKTTVTGVEMFRKLLDQGQAGDNIGALI RGVTRDGVERGQILCKPGSVKPHKKFMAEAYILTKEEGGRHTPFFTNYRPQFYFRTTD VTGIVTLPEGTEMVMPGDNVTVSVELIVPIAMEEKLRFAIREGGRTVGAGIVASIVE YP_002975260.1 MNGQNIRIRLKAFDHRILDASTREIVSTAKRTGASVRGPVPLPT RIEKFTVNRSPHIDKKSREQFEMRTHKRLLDIVDPTPQTVDALMKLDLAAGVDVEIKL YP_002975261.1 MRSGVIAQKVGMTRVYNDAGEHVPVTVLRMEAVQVVATRTVEKN GYTAVQLGAGQAKVKNTSKAMRGNFAIANVEPKAKVTEFRVSEDNLLEIGTEIKAGHF AAGQLVDVTGTTIGKGFAGAMKRHGFGGLRATHGVSVSHRSHGSTGSRQDPGKVFKNK KMAGHMGQTRVTTQNLEVVSTDEDRGLILIKGAVPGSKGAWIIVRDAVKSAAK YP_002975262.1 MEFNVKTLEGKDAGKVSLSDAIFGLEPREDILARVIRWQLAKKQ QGTHKAKGRAEISRTGAKMYKQKGTGRARHHSARAPQFRGGGKAHGPVVRSHEHDLPK KVRALGLRHALSAKIKADDVIVIDNLVAAEAKTKSLASVFETLGLTNALFIGGAELDG NFKLAAQNIPNIDVLPIQGINVYDIVRRGKLVLSKAAVEALEERFK YP_002975263.1 MTDLRHYDVIVSPAITEKSTLVSENNQVVFNVAKQATKPEIKAA VEALFGVKVTAVNTLLRKGKTKRFRGFVGKQKDVKKAVVTLAEGQTIDVSTGL YP_002975264.1 MALKTFNPITPSQRQLVIVDRSALYKGKPVKALTEGLTKSGGRN NLGRITARFIGGGHKRTYRLIDFKRRKFDVEGTVERIEYDPNRTAFIALVNYADGEKA YIIAPQRLAAGDKVIASEKAVDVKPGNTMPLQFIPVGSIIHNVEMKPGKGGQIARSAG GYAQLVGRDQGMAILRLNSGEQRLVHGSCLASIGAVSNPDHANINDGKAGRTVWRGKR PHNRGVVMNPVDHPHGGGEGRTSGGRHPVTPWGKPTKGKRTRSNKSTDKMIMRSRHQR KK YP_002975265.1 MARSVWKGPFVDGYLLKKAEKVREGGRSEVIKIWSRRSTILPQF VGLTFGVYNGSKHIPVSVNEDMVGHKFGEFSPTRTYYGHGADKKAKRK YP_002975266.1 MGKAKAERRLKDNEAQAVARTLRVSPQKLNLVAAAIRGKKVERA LAELEFSRKRIAGAVKKTLESAIANAENNHDLDVDSLVVAEAYVGKSIVMKRFHARGR GRASRIEKPFAHLTIVVREVQAAEEAA YP_002975267.1 MGQKINPIGFRLGINRTWDSRWFADNAEYGQLLHEDLKMRKFVM SELKQAGISKVVIERPHKKCRVTIHSARPGLIIGRKGADIDKLRKKLSEMTNSETHLN IVEVRKPEVDATLVAQSIAQQLERRVAFRRAMKRAVQSAMRLGAEGIKITCAGRLGGA EIARTEWYREGRVPLHTLRADIDYGTAEAETAFGICGIKVWIFKGEILEHDPMASERR AMEGDAQGPASRDRDRDRDRRRDNA YP_002975268.1 MLQPKRTKYRKQFKGRIKGVAKGGSDLAFGEFGLKAQEPNRVNA REIEAARRAITRYMKRAGRVWIRVFPDVPVTKKPTEVRMGKGKGSVEYWACKVKPGRM MFEIDGVSEEIAREALRLGSAKLSVKTRFVQRIAE YP_002975269.1 MKASDVRAFTADQLKDELAKLKKEQFNLRFQKATGQLEKSSRIN EVRKDIARVKTIARQKAAEVKA YP_002975270.1 MPKRILQGVVVGDKNEKTVVVRVERRFAHPLLQKTVRRSKKYKA HDENNQYKIGDTVSIEECAPISKDKRWTVISAQGK YP_002975271.1 MIQMQTNLDVADNSGARRVMCIKVLGGSKRKYASIGDVIVVSIK EAIPRGRVKKGDVMKAVVVRTAKDIRRADGSVIRFDTNAAVLIDNKKEPIGTRIFGPV PRELRAKNHMKIISLAPEVL YP_002975272.1 MQKIRKGDKVVMLAGKDKGRTGEVVQVMPKEDRAVVRGVNVVKR HQRQTQTQEAGIINKEAPVHLSNVAIIDKDGKPTRVGFKVVDGKKVRVAKRSGEVIDG YP_002975273.1 MAEAKYEPRLKKEYVERIRKALQEQFSYANEMMIPKLDKIVINM GVGEATADSKKPTVAAADLAAIAGQKPVITRARNSIAGFKVREQMPIGAKVTLRGARM YEFMDRLVNIALPRVRDFRGLNPKSFDGRGNFAMGIKEHIVFPEINYDKVDQMWGMDI IVCTTATTDDEARALLKEFSFPFRQ YP_002975274.1 MAKTSAVEKNKRRRTTVANQAAKRAGLKAIIMNQALPIEERFKA SIKLASLPRDGSKTRIRNRCEVSGRPRAYYRKLRMSRIALRELGNLGKVPGIVKSSW YP_002975275.1 MTMTDPLGDMLTRIRNGASRRKSSVSTPASKLRARVLDVLQSEG YIRGYSVVDFGNGKSELSIELKYYEGASVIREIGRVSKPGRRVYVSVKSIPQVANGLG ITILSTPKGVMADHQAREQNVGGEVLCSVF YP_002975276.1 MSRIGKKPVQVPAGITATVDGQKVTAKGPKGELFFVANDEISLK LENNAVVVTPVNQTKDARSKWGMSRTMIEGIFKGVKDGFERKLEINGVGYRAAMQGKN LQLALGFSHDVIYEPPVGISIVVPKPTEIVVSGINKQQVGQVAAEIREYRGPEPYKGK GVKYADERIVRKEGKKK YP_002975277.1 MASRKEALARRANRVRRHLKSVANGRPRLSVHRSSKNIYAQVID DVAGKTLASASTLEKDLRGSLKTGADTAAATVVGKLLAERASKAGVTEVVFDRGAFIY HGRIKALAEAAREGGLTF YP_002975278.1 MAQERRPQRDDRQSREERDSEFVDKLVAINRVAKVVKGGRRFGF AALVVVGDQKGRVGFGHGKAREVPEAIRKATEAAKRELIFVPLRDGRTLHHDVHGRHG AGKVLLRSAKVGTGIIAGGPMRAVFETLGMHDVVAKSTGSSNPYNMVRATFDALKHQV HPKDIAAQRGIKYATLQARRSASGNASEE YP_002975279.1 MAKATKKAEAKTVTIEQIGSPIRRPDVQQRTLIGLGLNKMHRRR TLEDTPSVRGMIRAVQHLVRVVDEK YP_002975280.1 MKLNEIKDNEGSTHSRKRLGRGIGSGSGKTGGRGVKGQKSRSGV AINGFEGGQMPIYRRLPKRGFNNIFASDFVVVSLARIQTAIDAGKLDAKTTVDAAALK AAGVIRRVKDGVRVLADGEIKAKITIVVAGASKPAVEKIEKAGGTVTLLSAPAAAE YP_002975281.1 MASAAEQLASNLNFSTFAKAEDLKKRLWFTLAALLVYRLGTHIP LPGLNPEAYAQAFRGQSGGILGLFNMFSGGAVQRMAIFALGIMPYISASIIVQLMTSV VPALENLKKEGEQGRKIINQYTRYGTVILGALQAYGIAAGLESGQGLVVEAGWFFRVS TVLTLLGGTMFLMWLGEQITSRGIGNGISLIIFAGIAAGLPTALAGTLELGRTGALST FLILLVIIVAIAVIGIIVFVERAQRRLLIQYPKRQVGNRMFQGDTSHLPLKLNTSGVI PAIFASSLLLLPATVAGFANTSAMPSWVTSIVAALGHGQPLYMALYGALIAFFAFFYT AIVFNPKDTADNLKKHGGFIPGIRPGERTAEYIDYVLTRITVIGALYLVFVCILPEIL VSQTGIPLALGGTSLLIVVSVTLDTVAQIQGHLIAQQYEGLIKKSKLRGGKRGR YP_002975282.1 MRLILLGPPGAGKGTQAQRIVEKHGIPQLSTGDMLRAATEAGTE VGKRAKAVMDAGKLVSDEIVIAIVSERIDQPDCANGFILDGFPRTLVQADATEGMLKS KGLDLSVVIEFRVDDKELIRRVDGRYTCVQCGTVYHDTDKLPAKEGVCDKCGSTHFKR RPDDNAETMIKRLEVYYKETSPLIGYYHAKDKLKSVDGMAEIDQVTAEVESILSKL YP_002975283.1 MARIAGVNIPTAKRVVIALTYIHGIGSKFAQEIVEKVGIPAERR VHQLTDAEVLQIREAIDRDYQVEGDLRRDTAMNIKRLMDLGCYRGLRHRRGLPVRGQR THTNARTRKGPAKAIAGKKK YP_002975284.1 MAKEAVRVRRRERKNISSGVAHVNSTFNNTMITITDAQGNAIAW SSAGAKGFKGSRKSTPFAAQIAAEDCAKKAQEHGMKSLEVEVCGPGSGRESALRALQA AGFMITSIRDVTPIPHNGCRPRKKRRV YP_002975285.1 MIQKNWQELIKPNKVEFSSSSRTRATLVAEPLERGFGLTLGNAL RRVLLSSLRGAAVTAVQIDGVLHEFSSIPGVREDVTDIVLNIKEIAIKMDGDDAKRMV VRKQGPGVVTAGDIQTVGDIEILNPEHVICTLDEGAEIRMEFTVNNGKGYVPAERNRA EDAPIGLIPVDSLYSPVKKVSYKVENTREGQVLDYDKLNMTIETDGSITGEDAVAFAA RILQDQLGVFVNFDEPQKETEEEAVTELAFNPALLKKVDELELSVRSANCLKNDNIVY IGDLIQKTEAEMLRTPNFGRKSLNEIKEVLASMGLHLGMEVPAWPPENIEDLAKRYED QY YP_002975286.1 MRHGKAGRKLNRTASHRKAMFANMAASLITHEQIVTTLPKAKEI RPIVEKLVTLGKRGDLHARRQAISQIRDAAVVAKLFDTIATRYATRNGGYLRIMKAGF RQGDNAAMAVIEFVDRDAYAKGAADKARVAAEEQAVAA YP_002975287.1 MFVHDSAALLFRSAVQPAEVQIGQVHLGEVFENRRRVQFLRLIA LLAVIALGLALRRFGYAADLPFIVVKYGGSALWGAMVYLLVALFVARSRPAAIAVTAL FIAISVELFRLYHTPWLDAFRLTTAGALLLGRVFSLWNMLAYAIGIATACAFDPAHRA ALSPRR YP_002975288.1 MAELSLAIPKRWQPASVWLLYVVGLVPAAWTFYLGATDQLGADP VKTFELFLGIWTIRFLILTLAVSPARELFGWNYLRYRRALGLLTFYYALMHFTVYMVL DQAMDISAVINDVLKRPFIMFGMAGLAMLIPLALTSNNLSIRRLGKNWIWLHRLVYII AACGALHFALSTKILDLEQYIYVGLIIALILYRSYRPIARNRQKGKGRTRNRAVASVR ETAG YP_002975289.1 MPSYRPPKIASSEITPRQIYVRRREFLGAAALGAMALYSAGKAS AAALSAVESKYKVDEKATPIKDVTTYNNFYEFGLDKGDPAALSSDFKPLPWTIKVDGM VKKPGTFDLEALMKEFPIEERTYRMRCVEAWSMVIPWDGFPLASLLDKVEPLGSAKYV AFETVVRPEEMPGQKGFFQSLDWPYVEGLRLDEARHPLALLAVGLYGETLPNQNGAPI RLVVPWKYGFKGIKSIVRITLTDQQPKNTWQVTNPQEYGFYANVNPAVDHPRWSQASE RRIGESGFFGAGRHPTLPFNGYAGEVASLYAGMDLKANF YP_002975290.1 MPVYRSRTTTHGRNMAGARGLWRATGMKDSDFGKPIIAVVNSFT QFVPGHVHLKDLGQLVAREIEAAGGVAKEFNTIAVDDGIAMGHDGMLYSLPSRELIAD SVEYMVNAHCADAMVCISNCDKITPGMLMASLRLNIPTVFVSGGPMEAGKVVLHGKTH ALDLVDAMVAAADDKISDEDVQTIERSACPTCGSCSGMFTANSMNCLTEALGLSLPGN GSTLATHLDRKRLFVEAGHLIVDLARRYYEQDDVKALPRTIASKQAFENAMTLDIAMG GSTNTVLHILAAAHEGEIDFNMADIDALSRRVPCLSKVAPAKSDVHMEDVHRAGGIMS ILGELDKGGLLNRDCPTVHAETLGDAIDRWDITRTNSETVRNFYRAAPGGIPTQVAFS QEARWDDLDTDRENGVIRSVEHPFSKDGGLAVLKGNLAIDGCIVKTAGVDESILKFSG PARVFESQDSSVKAILANEVKAGDVVVIRYEGPKGGPGMQEMLYPTSYLKSKGLGKAC ALITDGRFSGGTSGLSIGHASPEAANGGTIGLVREGDMIDIDIPNRTISLRVSETELA ARRAEQDAKGWYPVEVRKRNVTTALKAYAAFATSADRGAVRDLNAR YP_002975291.1 MKSQQLFQPVDSEHDAEKCERFSDDIMLSLYNVEQDSDFRPTRP KIILF YP_002975292.1 MITTRTTTAALGFAILLIASNLAQAASFDCDAKELKPDEKAICD NRALNDADVKMVTTFDLLSGLLAMGSRGTLQDEQTAWLKKRQECGADAACIKAAYDER LKQFGETYKNINRPL YP_002975293.1 MQLISKAKNWAKLLKRDIVALWLAARDSRVPWHAKAVAGAVAAY ALSPIDLIPDFIPVLGYLDDLLIVPLGIVLAIRLVPIEVMNELRTEATGRIEHPSSRV GLIFILAVWLMCIIFLALALRKLA YP_002975294.1 MQGLFKRASVSLFALMLVLPAAAHAQTAKTVPESQMQMQLSFAP LVKQTSGAVVNVYAEKTVQRQSPFAGDPFFEQFFGQQMPNRSEKQSSLGSGVIVEANG TVVTNNHVIEGADDIKVALSDGREFPCKVVLRDDRVDLAVLKIDAKESFPTLPIGNSD TVEVGDLVLAIGNPFGVGQTVTSGIVSALARNQVIKNEFGFFIQTDASINPGNSGGAL MNMKGELIGINTAIFSRGGGSNGIGFAIPANLVKVFLTSADAGVKSFERPYVGASFDA VTSEVAEALGLNKVRGALVVKVSEGGPAAKAGLKAGEIVTAVDGISVEHPDALLYRLT TAGLGNSVKLTVIENGREEQLPLTLARAPETSPRDQRTIGGHTPFTGAVVENLSPRVA DELRMPPESAGVVVSEVKEDSPAARLGFEPKDIIVSINGTDVKSTSELSEIADSDPGL WRVEIERDGQRIRQFFR YP_002975295.1 MSNDLFAPRVPEEVAARRPLADRLRPKTLADVTGQEHLTGEDGV LKRMIESGSLGSMIFWGPPGTGKTTVARLLSGEAGLAFEQISAIFSGVADLKKVFETA RLRRMDGRQTLLFVDEIHRFNRAQQDSFLPVMEDGTVILVGATTENPSFELNAALLSR ARVLTFKSHDEESLEELLKRAETIEQKPLPLTEDARVSLIRMADGDGRAVLTLAEEVW RAAREGESFDTEGLTRIVQRRAPVYDKAQDGHYNLISALHKSVRGSDPDAALYYLARM FDAGEDPLYLGRRLVRMAVEDIGLADPQALVICNAAKDAYEYLGSPEGELALAQACVY LATAPKSNAVYTAFKAASQAAKQNGSLLPPKHILNAPTKLMKGEGYGDGYRYDHDEPD AFSGQDYFPEKMGRQIFYDPPERGFERDIRKRLEWWAKLRKERNPR YP_002975296.1 MPKPNFRFTHYDLKEQRAGTIIEVSLNAANNVRLMTAPNFQRFT EVLDFKYIGGVARKSPIKIAVPESGHWHVIVDMEGHHGLAESSVKVIAAPANQKTPRA S YP_002975297.1 MANAQQRRSLPAAALSPSARFTVGRNRSGRWIVHDRDGLVGGLF INEAAALHFAAGECNCLSADIHRAAAGMVLELAPFARGTANIH YP_002975298.1 MSRLTEPSAESDPLQRGHISTLAADPSHSILSGLVAIAPRLAMT LAFAGLVQMMFDLISR YP_002975299.1 METARMPRLGGAITALVTPFRHRGLDRPAFRALAEWQILSGVDG LAVCSVSGEGPTLSPQERAEVIDLSIQSAAGRVPVVAATGTNSTESTIALTREAEALG AAAALVTVPYYSKPGQKGIIHHFEQVAAASRLPVIVDHAPAQTASDLSTETLGRLAAI PGDCRHSRRDGRHRSHRRPFADPATALPLLFRP YP_002975300.1 MRDVMSSGFQFPRPHRITRGSKQMREKRTAQALIVFGVVLACVE LYIVFGAL YP_002975301.1 MSKMTLISMIATAAAFLAAPDISGADSSTPVIEEKKAAAPIVRK GSLPVNGIDYYYEIRGEGEPLLLLHGGLGQIEMFAPVMPVFTDHRQVIAVDLQGHGRT PLGKRPIELPAIGADLAILVKQLGYDKLDVFGYSFGGGVALNMAANAPDQVRRLVILS APYAQNGFFPEMLPQQAAVGAGMADMMKDTPMFLSYKAVAPDVSEFPKLLDAMGALMR EPIDYSDAVDKLTMPVMLIYGDADMIRPEHMIDFYHKLGGGLRDAGWMRENMSKNRLA ILPDLTHYETFASPLMATMAMTFLDGGGKAPNWAEQVGK YP_002975302.1 MSIKSICIYGAGALGGAIAAKLASQAGNNTIISVVARGAHLDAI RDNGLSLREADSERPLNVRLTATDDPGTLPPQDLVITGLKGHQLAPAAEGIAALLKEG TRVIMILNGIPWWYFHRDTQSRHAELQFDELDPGGRLWRLIGPERVIGCVAYQGAEVI NPGEIQLANNGRFVLGEPSGEISPDLEAIAALLTGAGLSITTTPDIRGEIWSKLMGNA AFNPISALTRALMTDIMADPALSATVGKVMSEVRAVGEALGARFSMTVEQRLEQSRHI GGVRTSMLQDLIGGKALEITPLVGMVVALGRLGAVPTPVSETILALVTQLDRENQRGG YP_002975303.1 MKVWFITGASRGFGALMTKEALASGDAVIATARNPKTITEQFGE HPNLLAVALDVTNEAQAKEAAAAGIARFGRIDVLANNAGYGLLGAVEEATAEEVEKLY ATNVFGLLKVTRAVLPYMRRQRSGHVLNFSSIGGYFGYPGWGVYGSTKFAVEGLSESM AAELEPFGIKVTIVEPGFFRTDFLADTSLAISPASIADYEGTPAGNMRSFAADANHAQ PGNPARLATGIMTMVNSADPPLRMPFGSDTVAVIEQQHASVEKELAAWRELALSTDFP SDATALA YP_002975304.1 MRATELSELAAFAAVARHKSFRKAGEERGVTASAVSHAVLNLED RIGIRLLNRTTRSVSLTEAGELLISHLDPAFGEMAAALDALNRYRDTPFGKVRINVPN SIGPFVIGRVIGPLLKKNPNLQLEINATDRLVDIVEEGFDAGIRFGERVTEGMIALRI KPRIRLVVVGSPAYFETRPKLATPHELKRHLCIQNMFPSGARYAWEFEKDGQAVSFQP TGPLSLDDHELMMQAALGGVGLAYVWEPRVEKAIASGELIQVLDDWCQPEEPLYLYYP SRRHMSAGFRAFIDAMKAE YP_002975305.1 MTTIYLAGPEVFLPDAMPIMAEKRRLARQFGFEPTGPGSDENQT PVKRTAAEIYARNDDAMRRAEICLANITPFRGVSADPGTVYEIGFMIALGKAVFAYTN HPDDYGLRVRSIWYAGLDIDETSGRPRGPDGIAIENHGMADNLMIDGGVEATGGKVFR AAALPADPARDLTVYFEALQTIAGMLASFTPPSSRR YP_002975306.1 MNGRILPVGIRRAPKRLKRLFPALAALMLLASAAVGRDIGPQSS ASREQVEKLVGLWKDHFAGADSLQQRRTAFRTLMETMPGPTRIQVRQVDADGVDAELM WPARLHHPIGQRVILYIHGGGFSSGSIRTHSLLAGSLAKAASSDILLIDYRLMPEYAY PAQINDALTAYRWLLDNGYRSENVIVAGDGAGGNIAIETVLRQMQAAKPLPAAVIALS PITDLAATGDSMTSNAGSDPLVGKDWIETLRKTYLRSRSLTDPQASPLYADMAGFPPL LLQVGSGEVLLDDTLRLADKARVAGVDVTTEVWPGMPHQWQLFPSLLDDADRSSQNIA EFAIRHFADKPQE YP_002975307.1 MAFIHTPNASASEKTTSMYASAEANYGYLPNMYRAFGHRPEVME SWAALLSSIRGHMSLRRYELVTLAAAKELKSSYCMLAHGSVLLREGFTSDGLTAVVNE TDKAPIDAGERAIMAFAAKVARDATSVTQQDIDGLKKHGLSDADVFDVTAAAAARCFF SKMLDALGAAPDHAYIERLEPNVRKTLSVGREVERPLAPSPSRAQ YP_002975308.1 MMSHSFESILESLPQGIVLLDPAGTVTAFNSRTLDILGLPQGAI RKGMNIHGLPGAADCRSVASRRSTAGGPPTSQFALSDGGMVSLTCAPSRDGGWILSYE DISTLIRVEDSLTEQHRRFDAALSNMPHGLCMFDSTKNLILCNASYARMYNLPDLLTQ PGTPLVDILAYRSREGNGPADTAAYFDVVFEATARGAPASQNIVLADGRVIKITHNPM QTGGYVATHEDVTKTVRLAEELRRHHDQLEATVKSRTAEVERQARELERMLAQERNIN ELQRQFVAMASHEFRTPLAIIDAAAQRLLRKRGAVEPEFLSDKVDQIRASVTRIVDLM ESILSAGRLDTGKIDISYDACALRSLIKTCCERQSTIAKSHRLVLDIDGLPEFIDADP RTLAQVFTNLLSNAVKYAPGTSEIRVTAWAETGNVKVSISDDGVGIDAEDVPRLFQRY FRARTSTGIAGTGIGLNLVKQIVELHNGSIEVRSARGCGSTFIVTLPIKRSGNASATN DAA YP_002975309.1 MTKARVTVIDIAKAAGVSKSTVSLVLQGSSLVNEGTRTKVNAVM RELGYVYNRGAANLRQAGAKSRIIGVVVNDLTNSFFAELAVGVDMVVQSAGFVQFLSN TSESIDRQREVVASMREHGISGLIVSPARATDAADFKPLVAAGIPVVVVVRNLPGAKV SSVVSDNRAGMMSAVKHLADLGHKRIAFLGGFPDTAVFDDRLAGYRSGMEAAGLDYHQ ELVISSAPSRAGGVEAIGKAIVLADKPSAAVCFNDAVAFGVCDGLRARRLEPGSDFAV VGFDDVIEAQAAVPALTTVSVDPQGIGRRGAQLLLKQINAGKAEAETVTTAVRLVVRE SCGARKSVKIETGL YP_002975310.1 MKKHLTPTEAAALIPDGAVVTVSSSSGLGCPDLMLKAIGERFDA TGHPRDITTLHPIAAGDMSGIKGVDHIAKKGLLARIIGGSYPSGPSSSEPPLIWQMIT NNEIPAYNIPSGILFDIHREAAAKRPGVLTKIGIDTFVDPERQGCAMNGLASENPVVK RVRFEGDDWLFFPSIVPEVAIIRATTADERGNLTYEHEGAYLGGLDQALAARNNGGIV IAQVKRITKEGSLKPHDVRVPGMLVDYVVIDPEQKQTTQTQYDPAISGEIFRPLDSFS VPEFNVQKVIARRVAQELQAGSCVNLGFGISANVPRILLEEGLHGAVTWVIEQGAVGG VPLLDFAFGCASNADAYMPSPYQFTYFQGAGFDASLLSFLEIGKDGSVNVSKLSFRPH VTAGAGGFVDITARAKKIVFSGMFNAGAKLSIADGALLIDKEGKLKKLVKEVEHVTFS GMRAIEQGQEITYVTERCVMKLTPDGVVLTEIAPGVDLQAHILDQSEFPLMVAPDLKV MDAALFAEANIGLSLPEKKARTLEGSFNG YP_002975311.1 MASGTVRIDVDGHVATLTISRPEKLNALDLDMLKALADAADEVE ANANVRAAILTGEGKGFSAGGDIKAWGGMLPQEFGHLWVRHGHRIFERLATLRVPLIA ALNGHALGGGLELAGVADIRLAEEQIKIGLPETGLGMVPGWSGTQRLVRRFSAQAVRR MALGGEIFTAEEARLLGIVDAVVPTGNALAAAREYAQRIAARGPAATEIAKLMIASAN GEDNGTAVEALGSILAAKTGDLKEGVASFSEKRPATFKGEW YP_002975312.1 MTVLVNPTALNDHKARDFKMLIDGRWEAGASDPIERVAPSHGVV VSRFPTGSRKDAERAISAARKAFDLGPWPRMTASERSAILLKAADLIAARAEELAFLD AIEAGKPITQVRGEIAGSVDIWRYAAALARDLHGESYNTLGDGTLGVVLREAIGVVSI ITPWNFPFLIVGQKLPFALAAGCTTVVKPSELTSGSTLVLGEILQQAGIPDGVVNIVT GTGPEVGAIMTSHPDVDMVSFTGSTGVGKLTMSNAAQTLKKVSLELGGKNPQIVFPDA DLGAFIDAAVFGAYFNAGECCNAGSRLILHKSIASDVVKRIAELSKAVKVGDPLDPST QVGAIITPQHLEKISGYVAGARSSGARVAHGGETLDLGMGQFMSPTILEEVTPDMAVA REEVFGPVLSVLTFETSAEAIRIANSIDYGLSAGVWSRDFDTCLTIGRSVRAGTVWMN TFMDGASELPFGGYKQSGLGRELGRHAVEDYTETKTLNMHIGKRTSWWMPQTEKLA YP_002975313.1 MNKFLTTTAMIALALAGAGVSAHAADVKEVQMLHWWTSGGEAAA LNVLKQDLSKEGFAWKDVPVAGGGGDAAMTALKAMVAAGTYPTASQMLGYTVLDYAQA GVMGDLTETAKKEGWDKSVPAALQKFSVYDGKWVAAPVNVHSVNWLWINKAVMDKIGG TQPKTFDELIALLDKAKAAGVIPLALGGQNWQEATMFDSIVLSTGGPEFYKKAFNDLD EESLKSDTMKKSFDNLATIIKYVDPNFSGRDWNLATAMVIKGDALVQVMGDWAKGEFV AAKKTPDTDFLCYRFPGTDGSVVYNSDMFGMFNVPDDRKAAQVALATATLSKSFQSAF NVVKGSVPARTDVPDTDFDACGKKGIADLKAANEGGTLFGSLAQGYGAPPAIANAYKD VVSKFVHGQIKTSDEAVKQLVQAIDDAR YP_002975314.1 MSTVATTDPVLTPRQSTGISLRGRLQDALPKIVLAPSFVITIIF VYGFIVWTAYLSFTNSKTFPSYALTGARAYQRLWRWTFESDPPSSWYTSITNMAIFGF LYIGICLALGLFLAILLDQKIRGESVLRPIFLYPMALSFIVTGVAWKWFLDPGLGLEQ TLHHFGWTSFHFDWIKNKDFVIYTVVIAGVWQASGFVMAMFLAGLRGIDGEIMKAAQI DGASPFQLYRRIVIPLLRPIFLSAFIVLAHMAIKSYDLVVALTSGGPGGSAWLPSNFM YEYTFKRNEMAVGSASAIIMLMTISAIIVPYLYSELKEKAR YP_002975315.1 MSSVTSPTAISQNSTNTRWIGRTVIYGLLLIFAVLYLMPLFVML TTSFKTMDEIQNGNMLALPQSPTFDPWIKAWGETCVGLTCAGIKGYFWNSIKMVVPAV AISTIMGALNGYVLTKWRFPGHTLVFGLMLFACFIPFQSVLLPMATILGSLGRFGMTL QNATGWNFGFGNPTVNLVFVHVVYGLGFTTLFFRNFYEAFPTELVRAAQVDGASFFQI FRRIMLPNSLPIIVVTVIYQFTNIWNDFLFASAYAGTGDTMPMTVALNNVVNTSTGVV EYNVNMAAAMIAAVPTLIVYILAGRYFVRGLMAGAVKG YP_002975316.1 MAFLEISGLKKRFGAVDILKGIDLELEKGGFLVLVGPSGCGKST LLNTIAGLETITSGDIKIDGRDISGLHPSKRDIAMVFQSYALYPNMTVAGNIAFGMEI RGVPKEERVKAIAQVSDMLQIGHLLDRKPSQLSGGQRQRVAIGRALVRNPQVFLFDEP LSNLDAKLRVDMRTEIKRLHQRMGTTFVYVTHDQIEAMTLATKIAVLKDGVLQQFGTP AEIYNSPSNIFVADFMGSPAMNLLNATVENGAGGLEVSLERPNAAPLRLPVISGNDGL TAYTGRQVIFGIRPEALTDPDGADRKARSLTEGDCLIEVVEPAGSDTFAVTKLGGKSV VARLRADTGIAPGQNTRLAFNLDKAVFFDPESQLRIG YP_002975317.1 MSASPDIVIIGSGVGGATVAAGLAVTGAQILILEAGDQIADLPV NRDQRAIFQRGHFRPKETWYEANGRGFNPGNYYNVGGNSKFYGAVLSRYRRQDFDVIE HREGVSPAWPFPYEVLEPWYCQAERMYQVRGSLGEDPTEPHHSAAYEYLPVPDEAPIA DIRARLKQKGLHPFSLPLGLDLDAWLSKARTPWDAHPNARDGKMDAETAALAVALRHA NVRLETNARVTRLDTGAGSRIDRVTYIKNGQTVTVSPEIVILSAGAVQSSVLLLRSKN HRFPRGLANSSDQVGRNFMNHNASAVIGVSPRFRNTSIYQKTFAFNDFYLSDGEGGPP LGNVQLLGRISEKVLKGSLPQAPEWLLRFITTHAIDFYAMSEDVPNPESRVMVDGDRI ILQWQRTNWDAHLALVAKLKAILKSIGFPIVLSRPFDKRTPSHQCGTIRIGNDPATAP LNAYCRSYDHENLFVVDASFLPTSAAVNPALTIAAQALRVADHIASKDLQASDTRWQT ERTK YP_002975318.1 MGFDYIITGAGPAGCVLANRLSEDPDVSVLLLEAGGGDWNPVFH MPAGFAKMTKGVASWGWETVPQKHMKGRVLRYTQAKVIGGGSSINAQLYTRGNAADYD LWAREDGCEGWDYRSILPYFKRAEDNQRFADDYHSYGGPLGVSMPAAPLPICDAYIRA GQELGIPYNHDFNGRQQAGVGFYQLTQRNRRRSSASLAYLSPIKDRKNLTVRTGARVA RIVLEGGRATGVEIVTSRGLEVVRAEREVLISSGAIGSPKLLLQSGIGPADHLRSAGV KVLHDLPGVGGNLQDHLDLFVIAECTGDHTYDGVAKLHRTLWAGIQYVLFRTGPVASS LFETGGFWYADPEARSPDIQFHLGLGSGIEAGVERLKNAGVTLNSAYLHPRSRGTVRL SSSDPSVAPLIDPNYWSDPHDRTMSLEGLKIAREIMQQAALKPYVMAERLPGPKVMTD EQLFDYGCANAKTDHHPVGTCKMGAGPDAVVGLDLKVHGLEGLRVCDSSVMPRVPSCN TNAPTIMVGEKGSDLIRGLPALAPTIFAYERNDARPRARTEIR YP_002975319.1 MSEVRVAVLGASGWMGKVHTMAYQTFPHFFGVSDGTARIVALVE GPSKAAGDLSHRAPGARILQDWNLAVADPEVDLIDICLPDHLHYQVAKAALLAGKHVY CEKPLANTAAEARELADIAREKGVITRVGHAFPRNPVHDLAKDIIQSGEIGEIKLFRG CQHVDMYGDPNAPFMWRADGKLAPTGIVGDTGSHIFSFMDFLVGRVSSLIADNLIVTP RRPVVEGLAYGEQMKLSGNESWADITNPDATNLLCRFENGAGGIVDFSRVATGRKFMQ TYEIYGTKGSIAYSYDEINRLRFYSNDDRTGRRGFREIDVGPENPTFRAFLPLPNFGL GYNESKIIEVAEVIRSIVANRPMWPTFDTGHHICQIVDACMESSRQKRWVDIPLG YP_002975320.1 MTIDVPQEILDALTDVDMPRLPTEPAPFDVVRLAGLEVPVYRAG CVVVGSGAAGLRAAVEMKRRGDDVVIISQSAWGGTSACSGSDKQTLHTANTADQGDNY KAMARAIRSGGAMDEDTAYVEAVGSSRMMASLQFLGLPLPQDPLGGTLRYQTDHDEVG RATSCGPRTSRLMVKVLAEEAIRLGVPFYNQTTAVKILTEGDGDDRHVVGILAMRASD RTEENPLGIALFVSGVIVLAAGGPGELYRDSVYPNGCFGSLGLALEAGVELVNLTESQ FGIGTRREGFPWNLSGTYVQAIPHIYSLDSDGAEHHFLAEYYRSTQELASNVFRKGYQ WPFHATRMLDFGSSLVDLAISRETAAGRRVFMDFNRNPLSVPGDLPFSLERLDEDVRD YLGKAGADQDMPIDRLKHMNPLAIELYRRYKVDIAEEPLEFAVNNQHMNGGIMVDTWG RSSLGGCYAVGEASGTHGVTRPGGAALNAGQVFGTRAAEHISASGSAKRSAAEDIADI AEAGIADLLAVLRTESPLTVKSIRSQVQARMSEKAGIICDQESVGRALIEARKLNAEI HANGIAYGRAAEAVRGVQWRHMALVSEAVLSALDFFIRNGGGSRGARAICDAEGESLP LARSGPLQDYRFRKEREAHRKEQIVVRLDGDEIRLSTRPNRMLDESAKSFFERDWPAW LTGRIYDLGADK YP_002975321.1 MDESKNYLNTDEIQADDQLSPKPVEGKDPPRRVRRSEEAIAEGS DDTERVRVPPPVSNPD YP_002975322.1 MKIATYNVNGINGRLDILLRWLKEDVPDVVCLQELKTDDAGFPR KEIERAGYGAIWHGQRSWNGVAILAKGKTPILTRRGLPGDPDDTHSRYIEAAVDGILV GCLYLPNGNPFPGAKFEYKLRWFRRLQDYAAELLELGVPSILAGDFNVMPTEIDVYKP ERWLDDALFRPEVRKAYAHLVAQGWTDAVRHLHPEERIYTFWRYWRNSFERDAGLRID HFLLSPAVAPLLRWATVRKKPRGWEHTSDHAPVMIELDVSEAGADI YP_002975323.1 MANPNDSPAVQSMRKEQAEQRNRAQKGELDKAIEDTFPASDPVS ATHTSIPAGRSDVEAAERVKREPDPTRLDEEFPLVDQALRASGETAHPSERFDVDREE MRALQKEAGRMAESASELASGTARLAKAEARTFVHDLEDRIRRQPLTAAAIVAGIAFV FGATR YP_002975324.1 MSIRKRDFLRLGGSAAVALVAAASPASLNFGGSLPVLAVDFAQA KDGNNGNGGGNGNGGGNGNGNGGGNGNGGGNGNSGSGNSSGGNSSGGGGSSSSSSSSS SSTGSSAGSGARATAASDGSIDVRHSNGITEVLQRGRYIMKDSKGRTIINRQATANDA RRLSRFLR YP_002975325.1 MTSITIGVVDDHPLFREGVTRSLSEISGFVVVGEGASSDDAAMI ASDNHPDIMLLDVSMPGGGLSAVSDVLARSPTTKVLMLTVSEEVDTLLGALQRGAMGY VLKGVGSRGLAEAIQTVLRGSRYISPTMSAKVMENSLNGGTSDKNSLTPREREVMDLV AQGLSNKHIGLRLSLQEKTVKHHMTQILSKLGASNRTEAALQWRERR YP_002975326.1 MNWLRRWNARSLASQFFIAGGLISIAAMFVVGFFVSHLIEEAVI HNSGAATALYVDSVIAPLLPDMQTESLLDEASAQALDETLGQGALGKRLVSFKLWRSD GTILYSNEKELVGRKFAVSDKLQRAFAGSLVARYEIASDPESDNERALGKPLMEIYNP VLQPWSGQAVAVLEFYETAEGLGDSLAHARLRSWGAVAALTATFFLVLSVLVFRGSRT IEAQRKDLNERVTELSALLAENRGLQRRLQRASQRAAALNETYLRSIGADLHDGPAQH IAYASLRLDSDVLINASTQPEAREKELAWIRSSLAEAMTEIRNICSGLVLPQIEKSSI TEIVTRVVDAHRHKTESHVETIVDEDGPDLPPAVKICIYRFVQEALNNAYRHGGGVQQ AVRAVSHKGHVRVEVSDRGDGFDPTEVRPTSLGLVGLRERIDSLGGSFDIKTGEGGTT VTMIFEPMEVGE YP_002975327.1 MNQSHRSRVNDACNASVFALVIVLAALLYVFGAAISGDTVGLTQ SIRGAEVFDTRNVP YP_002975328.1 MISMDFEGSDDRAASVASLQPFEEGVAEFYDSYLVPILFEPYAS EMAIVAER YP_002975329.1 MARSNRREAGRRRLAMRLPHMRTLIMAACDPLQLELFEAYQMAV EARDTLRGRPSNSNLVREYDETCFEIEQHVIRAMQEPSYTQRTS YP_002975330.1 MISKLIVGAATAATLMSGVAFAQSSTVNGAAGGAITGAIVGGPV GAAVGGVAGAVVGTAIDPPPQKVITYVREAPAPSARVVMKEKVVVGQPLPETVVVTPI PDDPTYAYAVVNDERVIVEPSSRKVIQVIK YP_002975331.1 MIYSEEKSRGLAVPLIAILLAIAGILAVLVATGGRHSSAAGRVW IPQAQQQGSGR YP_002975332.1 MKAIASVAFGILSSVGVCVAAASFASYVVADSEPHAFKELAAPD LWTTTPAKVDPRQQHYERIAPLYSSYVTEASASMASAARPDSARPPAELQTPQPRLSA SHLSWCANRYRSFDPATNSYRAYSGETRECASPFRRTVAEAGEGVGTKVNAQAVARCA ARYNSYRPEDNTYQPWEGPRRSCDMSDLVATSN YP_002975333.1 MATALITEIQQAQTRLPLLSRADRGTLIVRILRELKTHRREVLG HVPAEHCVWIDRLIASVSSTISEIANMQDAEFHRVLNEFEKLIATLDDISHAEKRSKT IH YP_002975334.1 MTERKSAADHDEAPSDEDDAKPGADISFPYDRMTVEQFRSRFPR ARWSDARKAWFVPGRTASRRIGRWLAEIEAEADAHADAKGRDAFVFDPIDSPYLELGK AGFRIRTPYSKTVVDELREVPFSRWDGDLRIWHVPFRSYEELRRRWSDIEAAARRNEP EERRRRAEERKGTEQDIRSKLRSAERKRHRYPLRSDDLPPIGRPVVIAYGIVVFTEIS GELVDPDVVVDVYPGITEDHVWGYWRPPTFEELVRTWPAKMLPIQNAEWWLPTIEELR PARRTARSREARKYAKTP YP_002975335.1 MPRESVNDLMAFLAVAREKSFTRAAAKLGVSQSALSHVVRQLEA RLGIRLLTRTTRAVSLTDAGERLFQGVGPHFDEIEAQLETITEFREKPAGNIRITASD HAINWIIWPKLQRFLPNNPDIKVELMRENGLSDIVTERFDGGVRMGEQVAKDMISARI GPDFPFAVVGAPSYFDGNGVPEHPQDLVRHNCINERLPTYGGFWAWEFEDNGKEIRIR VEGQLAFNNSYQSVEAALDGLGLAYVPEDVALPHIAEGRLRRVLEAFSPHWDGYHLYY PSRRQSSPAFVALVDALRHRT YP_002975336.1 MKTALPMSLLALTISVGGASARELRPEAINSASIASFEAGKPKP ADPDPAIVRLQVLLDRAGSSPGVIDGLSGENVDKAVAGFEAMNNLPVDGRLDPEVASR LEGNAAIVAPIVESYVVSAEDAAGVVDKIPEDYGEKAKMQSLGYTSVAEKLSERFHMG IDLVHALNLASQFAPGDTVWVVDPGSPRQGKVKKIEADRKTGQVLAYAADGSLLAVYP ATIGSEDNPAPSGKHKVKGVARMPVYRYDPKRNFKQGKNDKVLTIPKGPNGPVGTVWI DLTEPTYGIHGTPEPKLIDKVGSHGCVRLTNWDAEELAAMVKPGVVVDFVNRSSAAPK YP_002975337.1 MTKESSYTAKLPGPDGLYDYTPDEDVIWGELYRRQMTLLSDTAC REYLDGVKMLGLKPDKVPQLLDVNRRLNETTGFGVEGVPALIPPSRFYELLSQGKFPL ATFLRRREHIDYIEEPDLFHEVFGHCPMLTNQSYANFVRHFGETAVRLGKGYSWHLFR IFWFTVEFGLINTPQGRRCFGAGIVSSPNEAKAAMEGKACEFRPFDLLSVLRTPYRID ILQPIYYLIDSFADLEAIVEQDIEATILKAKQLGDFAPAFEAKAS YP_002975338.1 MKEPGNFRRKLLQLVQADGSLSLADLAEKAGMSQSSAWRKIQEL EADGVIRKRVTLLDPGKLDLKLCVIAHVTLEDHHEEAVASFASMVLERPEIMECYALS GAFDYMLKIRASDVESYEAFMTRYLMRNPHVRTVVSSFVLRELKFSTELPL YP_002975339.1 MDEPLDKLDLRLLQELQKDGRLTNNELGERIALSPSQCSRRRTR LEAEGYIRSYRAYLDRQKLGLDMLVVISVTLATHNRDNARRFSQLINGLPEVLEAYAL TGEMDYHLTVATRGLADLSKFVNDVLLPHESVQHVKTSIVLDTLKTFEGFPVLMPGH YP_002975340.1 MGPFPHDAPPSEITADNPAGTDGFEFVEFAHPEPETLRELFTRM GYVAVAKHKTKDITVWRQGDINYVLNAEPGSHAARFVANHGPCAPSMAWRVVDAQHAF KHAVSKGAVPYEGDDKMLDVPAIVGIGGSLLYFVETYGAKGSAYEAEFDWLGERDPHP QGIGFYYLDHLTHNVFRGNMDKWWDFYRNLFNFKQIHFFDIDGRITGLVSRAITSPCG KIRIPLNESKDDTSQIEEYLKKYKGEGIQHIAVGTEDIYDATDRLADNGLRFMPGPPE TYYDMSYERVNGHSEPVERMKKHGILIDGEGVVNGGMTKILLQIFSKTVIGPIFFEFI QRKGDEGFGEGNFRALFESIEADQIKRGVIGTAAE YP_002975341.1 MDQTSIQTSDGEAATANTLKYMPGFGNDFETESLPGALPQGQNS PQKCNYGLYAEQLSGSPFTAPRGTNERSWLYRIRPSVRHTRRFSNASYPLWKTAPCLD EHSLPLGQLRWDPIPAPSEKLTFLEGVRTITTAGDATTQVGMSAHAYVFNEDMVDDYF FNADGELLIVPQLGAIRVFTEMGIMDVEPLEICLIPRGMMFKIMRGGDQTVWRGYICE NYGAKFTLPDRGPIGANCLANPRDFKTPVAAFEDKETPCRVHVKWCGKFYVTDIGHSP LDVVAWHGNYAPFKYDLRTFSPVGAIRFDHPDPSIFSVLTAPTEDAGTANVDFVIFPP RWLVAEHTFRPPWYHRNIMSEFMGLIHGQYDAKEEGFVPGGMSLHNMMLPHGPDALAF EKASNTELKPVKLDHTMAFMFETRYPQQLTKYAAELETLQDNYLECWDGLERKFDGTP GIK YP_002975342.1 MKLATLKDSTRDGRLVVVSRDLTRCSEVGHIARTLQAALDDWEH VAPRLALIAEGVETGAQPTIRFHEHDATSPLPRAYQWADGSAYVNHVELVRKARGAEM PASFWTDPLIYQGGSDAFLAPRDPIVAADEAYGIDMEGEVAVLTGDVDMGCSPEAARG AIRLLMLVNDVSLRSLIPGELAKGFGFFQSKPASAFSPVAVTPDELGDAWDGGKLHLP LLVSLNGKPFGKANAGIDMTFDFAQLIAHAAKTRNLAAGTIIGSGTVSNKLNGGPGKP VEEGGDGYSCIAEIRMIETIETGSPKTPFMKFGDQVRIEMKDKAGHSIFGAIEQTVEK YQGAGPQ YP_002975343.1 MSEVVLYDYWRSSASYRVRIALNLLGVDYQTVSINLLEVAHKTP EYLALNPQGLVPTLVIDGKTLTQSLAIVEYLAETRPECGLLPSDIADRQKVRALAYAV AMDIHPICNLHVVSHLMSMADKADAREEWMKHFITDGLGKLETMIGKADGAFSFGNTP TMADLCLVPQVYNARRWGVELTAFKRIVDIDAGCADLPAFQAAHPDRVKP YP_002975344.1 MRYIVAGLLFLCAFPLSAAEITREPLEGDTDLISVTGVLNEGDE IVFRNLAAASSKALVFLNSEGGDLKAGIEIGRAIRLRGFATATPPGTLCASACALTWL AGSQRFLQPESNIGFHAAYRVVDGKASESGVANALVGAYLNQLGLSETAIAYVTSAPP EGIAWLTAAKAQMVGITYDAIDEDGPDPASEAGKTFPHDPMGTVTAFYSALAAADGET ASALVVPEKRGQGPFNEVSIHAFFGAMSEPLKLTGTALRGNDDVRVSYEYVTNDGRRC QGRADVQTTYVFGKTLVSRIKALDGC YP_002975345.1 MDGLRMDAVLGDDHEIYHVEFQAQNHSMMHERMLDYYLGLVERY TDTKTSDGPTIRQYVLYVGSDRLNMKPYIVRDRFRFCYPMRSIKAFHYGWRNTLWGSG HPEDWILLLLTLPRYSEKPWLDVAVKIANLSEDRRENAETHALLLIACILRNIPRQIQ EEIETMIKIDLNRSRIFRQVFDDGASLSRSTFLLDEIEHYIARNGLEFDQTKREDLAD LEDEEIMDIFRHFMDASDKQGFLDTWSIGRRYP YP_002975346.1 MSGLFPVPLLEGEPVTSILSRLARANGAPNSRAFCRDAGIDYRG LNRGDDVAVDRLATVSGMPLDELRKRAIVATQNSGAMIGDCVYPVRMLRRSKLRFCPH CVLEDDLNETLMPSARRYVRLHWMFPQAATCPVHSAVVIEVEDERITNFPFDFISQVE IVADRMEEFVEASTRRTPTSFEHYVLERLSAIKQHGPLLDKMNLAVGISMCELFGVAD VFGRNVAAASLSDQELIASREAGYRAFLAGDGGIGELLDRIRATHHTNKAGGLVMYGK LYTALAGGYQAPEYDSVREFVRQHTLTSTPVINGADLFGTVTNSPWTTITAISRATGV HDKTIRRRLADLGHIDLLQASNRGFYVAVSAAEEVIALVNDIVTLDEAATLLGTKPST MKILVADGLIEPALKRRWVDDGDYESRNWFSRSTLMGIRESILSRASTSFPDDWRDLF DVSRMVGLRFVDIIALVTEGRLKNIGCVSGEEGLGGLRLDCEEIENLLEASVAENIGR IEICKRLLLSPEAFAFLIGTDALPAEQRQARSGRVPIWTMREDDLEAFDARYVTYARL TQETGIGVRGIARRMREKGVPPAFPVENIQQFIVERRHLVGWNWRDM YP_002975347.1 MTDISADDEMLAHIRNTVSPDTEYRSRLLHELKSTHIVTPADEK IADELEKMVAHVLAGNSKEGYALTITGKSGAGKSESLNRCLDAHPSFKPFEGKYNRLS LSLRADTPPSTTLKSLGTELLRTSRYEVSATSDPDKNWSILIERMKIMQTRILVLDEF QHVLDAPTSMKYMHLSNSIKALTYTKGWPIWLIIAGVPSIANFIDRDPHRQLDRRAPL IVIEDLKNEDDDRNRVEDTLYALIECCELSLGFTLTRDFLLRLMHGGIWRFGTTVHII KNAIEKGLWDKSWSRGRGTLTKQHFIDGYSRLAQNCSLETNVFHAEEWNLIQREVDDK GHLVDAISVKSRRKRAS YP_002975348.1 MSAAARRDYTQFGLNRDDRIYFDKRHWCLKTRSAERETEEESAM WEAGYILETVDGKSERRVEALTFQDVDKLFSASKIDCERGYFSSRNAIDRRMKSPKIF DLPAATILRARMVSEFLELELDRYDTGEFFTRSDAGYAKFIKAFRAENEYLIPPKTGK REVVPGPRQFGRLVERFENNLFEPGSLLPRHRGGVGHKSRFTPDEVKFHAEHAQKYQS TDRPTKLDCYAQMAEANENRKAVGEQEHQVPSLRTFQRLIDELGDYRNELTRAGDPSR VTRKFGMSRNGYQPMRPLEYIEMDEHKLDVIRLLVKNGIWEYLHPDVQRRIEEKIGET NDGRVWLSVALDAYSRSVCGAKLLWSAPDGASAVATLAMVARPKDYETKLYGTMTKWP QGGTAEYIHVDAGASYTSLEFQHAAYSYTGNVAVTPSKHPHLRGRVERFFRTINQRYI HLLSGQTFSNVLLRDRYDAQKYRHMTDDQLAEFLVMLIVDCYHNTKHRGLYGLTPLQA WYFGTQFGNGTVRGYPGEREYAEAFSVVSRYKVGNDGIKILGLPYSNLWLQQQRERRY DLEVIVRFNEIDVSRIQVKDPFSDDWMEVPCILDGVKGLRVFDWLEQLKYMRRKFGPS AKISAKVAQAALAGARAFANASKSAAGIGSPITTRSMLDYWDQQMVGSFTIAREAATD YGDLEAFEAFDTTPRPDPFAPSHPRAGQSTTSGDNVDAGPAGAGDHGPESSTSKHRAA PEPKSAPARTSQVEEPLPQRPRSIRIEKIRKDDK YP_002975349.1 MHVKTTASSRVGKVDRKNNTFHRMESGLEADLVTILLARHDTEW IKAQDGPHRYTVEGKAKEHIIDVGSMATFGSYTLFAVKPEGDSAEETRAEMKLLNNQL PKDSPDRFVLVTDQDITKARVNLARNILHTRDVLNDSDTARVLAALLELGGCARIWQL AEALPDLYLSAVSTAVWDLFDKGIIVHAHPEPEAVLVSRASWIRVIVKE YP_002975350.1 MIATLIESIHMRAQGFDIFDREVDEHTHFAVTTAEAKAGDWEI YP_002975351.1 MLDLIDSILPRLYLSELDEEYGVVDVEEIVEVLDLEADKLRIAA TNVALQKKHAMKHVEGALGIFAAYSAMQSEIEDLNDWRSESHALHPCSLRTFSNRIAS LHHREEGLMDLDAPLLGVAA YP_002975352.1 MSIRLMNAPNNYPFTNGWGLYPERQSDIDRRRPSAKRLLGVIDG TKNVNAKDWQFALGTLEVAFRSVAGESTRDWFTLANHLGQPSRALAHDIYECLRRLKE LDRRSEAASDIFERLDEMALPDILQAYRSSTSEDDPQPTDIREGWCHILWSHDRPDQI LVGAVHGNPLEVVSVLDRRAPGIRHGVLAAWQVEDPDWAATQIARTFALSLDQIGLAA VPASEGFARVKLLTENAVWKTIVRSPWHIEGSDASMDEQADVVREVLAPAP YP_002975353.1 MGQILVDTNIISTAYLPDPPDWIWEWLAEMPRGSLAVSWITIYE TEVGIRAVRRHNLQKALELLSWFEEFLSSRMVQPEMDVAAARTLGAMAAHPPLRHFFY TPERRDRHGRMIKQDRVNLGCDAMLAALSIAHQLPIATLNPADFCLIHQHFPIPGVYD PRADVWHVEPPPRWYLGAPANDDERPLRSFERARPIGP YP_002975354.1 MGAVWTVKEIEGNLPQVLEQARTVGPQRIRDATGIYVLKVEDDF TKPDAAESLLKVRPKG YP_002975355.1 MSTLFSPITLRGVTLRNRTVVSPMCQYSAQDGFANEWHYVHLGR FGMGGFGLVLVEATGVLPEGRISYADLGLWKDEHIAPLARIVNFLHGQGAAVGIQLGH AGRKASTPVWRDKADQPATEEQRRAVGFEHWVPVGPSAHSNDPANADYQVPKALDRDG IRRVVDGFAAAATRANQAGFDTVEIHAAHGYLLNQFLSPLANHRTDEYGGSRLNRTRL VLEVTEAVRSVWPAEKPLIVRLSVSDNNADGWQVDDSVALAAELKARGVDAIDCSSGG FAQGRIRSAPAYQVPFARAIKQGAGIPTIAVGLLGDAFEAEAFIANGDTDFIALARGA LNDPNWPLHANRELGGDYALWPVQTRQVAERDRVLSSPQ YP_002975356.1 MAQDREWRCGDPQQQRKWADATTDALRVLEGKWKIVIICQLFGA KEALRFSELERRCEGVNQKMLIQQLKELEKDGIVTRTVYPQVPPKVEYALTEMGKALG PSMAELIDWAFMRREWMAGELTP YP_002975357.1 MKIGIIGIGHIGGTLARKLASAGHEVWMANSKGAAAVRPFADEI GATATDTKGAISGADALILSIPLLAIENLPKDLFKDLPGNVPVIDTSNYYPGLRDPQI ADLDAGEVESVWVSRQIGRPIIKAFNNILAYSLAELGQPEGTPGRLAVAVAGDDDAAK KIAMAIANEVGFDSVDGGSLAESWRQQPLTPGYCCDFDAPTMRKALNAAVEGEAPTRW PVSKSKMATLGPDATHEDRVAMNRSFAPLQ YP_002975358.1 MKRSTQATPAHSEQDLITCRLIVISRYVDNIRTLSRLAERLGHL DLGTAMMEVARGMEGLSYDIATSETGTLVLRRAAKLIGTVDGVLATKARRATLH YP_002975359.1 MNRKGIETSYLDSAIDAVSASHILHPHRHFRHPDEVAQSPLSTA EKRAILASWASDLYAVESLPELRHPPGLAAPVRYREVLEALKRLDNKRDVAWSSNDHR RQRFLG YP_002975360.1 MRNDFDFAPLYRSSIGFDRVFNLLNNAQRPQSIDTWPPYDIVKT AEDGYRIQMAVAGFGDADLDVTQERNVLVVKGRKADDKDGEYLHRGIAGRSFERRFEL ADHVRVENASLTNGLLSIELKREIPEAMKPRKIAIGSGTETQAPLQIEGEKQAA YP_002975361.1 MKGAPAYVNMKHINVTKPAPFDGRDLTVCQAVFDKLRAELRTGV DAEEANRIAAMIVDLYRQGVREPDQLKVMIEAARGIFPSHLEQAA YP_002975362.1 MPANRNVLCEVKPKLDRLVAELAAQGADRAYVLYILEREIAALR RKGPHEGVDIHRRGPFQR YP_002975363.1 MVGFSSIVGDPIAQFAALVALTMIARLLARGHSATRFAANVMFF ALLTVLLVANKVAPWNGDATAEDLTHRIFIGLAKATWWIGGAMTLVSAVRLFLIFEQK PREGRLIQDLLVGVIYSGAALAVIAFVFSLPVGTLIATSGVFAIVLGLALQSTLNDVF SGIALNLGRPYTVGDWIALEDGAQGRVVETNWRATHLLSNTNDLIIVPNSALAKARLT NMTGTDETHGTTITIRILPTRPPAVVEETMRTALLSANCTLKSPPPSVSVIGLDASAI EVELAFKVSSLSRTSVAKNEIYDLVFRHAKAAGLQLGAPYGAQADGIQSSEGVKHPGT PWRLLSSIALFSTLTEDEMESLAASMKRLTFKKDMVIAPQDASMTSLMIVRSGVVVVE KQTEESCEELTRLAPGDLFGERGVLMGAPETARMRALTFVVVYEISKDHLAGVMHDRP SLVEELGELLAKRMQSEEHLGAINDKAAGSRPTSLAARIRHLFEVPHIPRK YP_002975364.1 MDREDVKRHRDLPLKTPTDLGQNAVTDVTGALNALLADVFTLYL KTKNFHWHMSGPHFRDYHLMLDEQASQIFAMTDDIAERARKIGGTTLRSVGHIARLQR LSDNDADFVTPDDMLAELREDNKTLVASLRSAHETTSSHNDHATTSLIENWIDETERR LWFLFETTRRS YP_002975365.1 MAKYYFHIRMQEGFDPDYDGVDLRSDSGVAEAVSIARRMISELV ANDEPIDGLAFEITDDTGALVAELPFQLCASLQ YP_002975366.1 MRKIMASLLLVTGIAGSCLAAVVPDSATNNVSPVYGVSLPDGYR DWRVITIAHEAGKNNDIRAILGNDVVVKAFREGTRPFPDGAVIARLAYVYQSSPENDA VFPAPQSFVAGDPTNVQISVKDSKKYTDTGGWGYGQFEKGVANQSEPLLQTCFACHTK LDPSKDLVFSHYSP YP_002975367.1 MDSGHLRLVPKPLPRCFVEDGSASLLRRSLAEGVGTAMLLLVVV GSGLHGGSSEVSSALSISGSLIGLILAFGAVSGGHFNPTITLVQWLFGRRQLDCTAWY LAAQVAGGLAGALVACAIFKKVPGQPGDGLPDMAALASEGVASFGLMTIVFCCTRSTS KLVGPFAVGLWLMAAILSTPTGSIANPAVAVALLATPDGASVPGTLLFVIVEIAGGAL AALTVATVYPDGG YP_002975368.1 MTGSEQFVKRLPNDIGGLEAPKIEKIEHELLPWEKRCHALADVL DFHKTINTEEKRRGVESLGSDLVGKLSYYERWIAAFANLAFQKGLLTPEELAVKMAAV EARWTPDT YP_002975369.1 MSDHDHGHDHDHAPIAATEAPSYYDIMETAFQELMIEKGYFTAG EIRRQIEVLDSRNPALGAKVVARAWTDPVFRARLLENGRIGCEELGITFYDETQLIVL ENTPKVHNLIVCTLCSCYPRPVLGLPPDWYKLKPYRARAVYEPRKVLEEFGTNIPDDV EIRVSDSTAIQRYLVLPMRPDGTDDLNEEQLAALVTRDAMIGVIQIPSPSQGVAA YP_002975370.1 MTHIVVQPVQSAVPISPQELLPWTVLVGLLLLMTLYFVGAEQGA TSLMQGDAVHEFVHDGRHLLGFPCH YP_002975371.1 MVQRLLLAGMLSGLIVALFAFSFARVYAEPTIERAIALEEGVAH GHDAAEEGTVSRSTQRNAGLLTGLAAYCAALGGLLAVGSACVHGRLSLRPRSTVWLFA LMGYVALVLVPQLKYPASPPGVGNADTIGSRTQLYFLMIIASAVCMAASAWIACRTRR HVGPAAAVSIGIGLFIALTAVSTTMLPAISETSRDFPGGLLFEFRVYAALLQLIVWGG LGLLFGQAAEHVIKRDRRMEGVARHGIPNA YP_002975372.1 MNDVSHAETLGTSHIEGAEKYDMEQFLLSALHAARAVRAFIVTS GDDGLVVAANAGAEDVSSVALHERDQIIVRAVIASGKGRAVPGAPRSIVCVPISFPDR ATAAVYLEIDGEYVSDWRLENLEWMASTFAASLAKRTADEELRSFKEEAASVMRELRL NLDMIPALTWIGGPGGELEDASRQWHEYTGIKREDALGMGFLASFHPDDVQKLIAAWT ELLVAGKPGGVEARILRHDGEARYFMLRAVPLIGDDGKVRKWYGINTDIDDLKRAEFE LAKSQAVMAEAQRIIETGSWSWDMISDVFTCSAECMKIVGLPSTEITFETLMERVHPE DRELVAEAHGRALQGDELNVEHRFVLPDGTVKFIRARGRLIYERSHPRAYIGTIVDVT EARLSEERIQSSLAEAQRAQASLAEAQKLTHVGSFQIRPSTGEIIWSAETYNIYGLDP AEPLTGEAIMARIHPDDRERINEIHATGIRDRKPWEAEHRLLMPDGTIRHVHCVTRVE SDPDEEPEVFGAIIDLTDRINGEEALRKAQADVVRMNRLTAMGAVTVSIAHEMNQPLM AIVTNAATCLSWLDRPEPVLDEAKVAAERIVKDGKRAGDVLLNVRNMARNSRPSIAIV DINEVVADVLTMMRSELRSRQINASVSLSSAVRSIRGDKVQLQQVLLNLMLNAAEAMT GKEHGRTMSVVSEDRGAHSVVVRVEDNGHGLDPIASGRIFEAFFSTKPEGTGMGLAIC KSIIEAHNGTLSARPRTPGGSVFEFAIPYARGTDEPEAA YP_002975373.1 MNQKQREESTPQVVVIEDDVGVRESLVGLLRSMKFNVTAFPSAA EFSSKGQLDSVGCMILDVRLPGQSGIEFYEEVRARGFHRPVIFMSGHADVPIAVRAMK AGAVEFLTKPVREQDLLDAVHAAMKRDIRSAMKEEAAASARADFEKLTKREQEVLVRV VEGQRNKQISTALGITEATVKLHRGNVMQKMRVLTLPELVRHYDLLELGEQANTSTKG YP_002975374.1 MVDASKTCLVICVEDDEPVRDALRGLLRANGLRVAAFASAEEFL ASTELQTAACLVTDIQLGGISGLDLLDRLSGMARRIPSILITAHSDVAVGDRARNSGA SRIFLKPVSPTDLLEAIRTALTSGPASTP YP_002975375.1 MTTTATSAPTHTARDIIGPSPLIAIEDEPAPKLIVDPPLPEPLS RGLVFIQYRAENIRIVPVFGEGALRVSPRLGHVHVTIDDLPWHFVDASGETLIVVGLP AGEHKVLVELADPRHHVITAETVSFFVPEKR YP_002975376.1 MARIPIPATLEAAPEASKSTLEAIKKQIGALPNVFRMMSTSPAV LEAYAGLNGALGRGRLDKKIRERIALAIAQKNGCEYCLAAHTYTGTHVTKLSLEEIAA ARRGGSSDARADAAVKFAVRVAEARGGVSEQELEGLKAAGFDDGELLEIVAHVAANTF TNYMNEVFKTDVDFPKVEREAA YP_002975377.1 MQTDIDIKVSLGRIRDVLETIDDCLHVEHYSVADPNISLAKLTR ESGNRAITTSLGSGVGTFVVAARDSELRLEQKGRVLFRGLMVEDSVTFIEGPDPVAVE LQSDACLYVLTFLREPHRGQSPVVGGRFNIRDIRLAELIGEISESSPERRCELTRDFS RRAFEHYRSLPRVHPLSAWRLSRVRRYAESRLDQRMSLEELADVAGFSRAHFAATFKA ATSMSAHEFLLRIRIQAAARLLTCTDLALVEIALQTGFQNQPHFTTVFKKITAITPRK WRDSRRSTAMAHSLKQVVDGAIVIEHLPGKPEGFLPHDLH YP_002975378.1 MKKWLSSSAMVAALFLSQSALAASKPTIVLVHGAFAESASWDGV AAKLVKDGYPVVAVANPLRGLKYDSDYVSAVLKSIDGPVVLVGHSYGGSVITDVAVEG TKVKSLVFVAGLAPDNGESAGSLGKKFPTGTLGDALAPPVIQPNGKHDLYILQSKFWK QFAADVPEQQAALMGAEQRPVTAEALDDPSGDPAWKKLPSHFIYGTADKNIPSELHEF MAKRAGAKETVGVKGASHVVMISHPDLVSAMIERAAADK YP_002975379.1 MQVQFADTLEHSNPVDAYLPHHSREAKREAYDDAIRFGRFCAIP HSRQLLLDGRPHEIGGRAFDLLMVLLRSNGRIVSKDEILNEVWPSMVVEECNLRFQVK SLRRALGSQGNMIKTIVRRGYMLIRDDVVPDDEVRFDTTRLTTISGIDTPAAVKAAAP YIALIEDDESVRRSISTLLRSVGLGVASFKSVKEYQESEHSPQPDCLILDVCLPGRSG LDFQADLLKSGIRYPIIFISGHADIQMSVRAMKAGAVEFLTKPVRHQDLLSAVDMAIR A YP_002975380.1 MNRSIMSRTALAIAIAFSASAALPATSYAAKKVVTIHKLVVPQV TYHTVDVDGTKIFYREAGPADAPVVLLLHGFPTSSHMFRNLIPILADKYHVIAPDYPG FGQSDAPDHTKFAYTFQHYADIVDSLLGKLDIKEYAMYVMDYGAPVGYRLALKHPDRV AALIVQNGNAYEEGLKEFWDPIKAYWKNDTPENRQALLVLFKPETTVFQYTDGMSDLA HIDPDNWGHDQALLDRPGNNDIQLDLFHDYGTNVPLYPEFQKFFRDKKPSTLIVWGKN DKIFPADGASPYLRDLPHAEMHLLDTGHFALEDKLPEMAPLIHDFLDRKLSRN YP_002975381.1 MTKHDYHLSRRGFCLCCLGGATFAATGGWLTPAEVFAAAKSLVV QIREAAATADITTTKLRGGISALSGSGGNMGVLVGDDGKLLVDAGITATRPKIEKVLA DLGPQPIKHLINSHWHFDHTDGNEWLNSEGAMIMAHPNSLKHLTVATRVVDWDFDFPA SPKGALPTMLMKGDEETLKQNGQTIKLKYYGPAHTDSDISVFFQEANVVHVADTFWNG VYPFIDYSTGGSIDGQIQAAEANVKMVDDQTIVIPGHGEIGNKKDLIDWRDMLVGIRE NVAKLKKDGRSVEETIAAKPTAKWDSVFGNWAISPALFTRLAYEGV YP_002975382.1 MDSGKHFSSDVAFSRSVKEIQERKGSRRSYAHVEERGGWATSIT PELKDFIEKQISIFVATANRDCQPYIQHRGGPPGFLRVINETTLGFADYVGNRQYITQ GNLVDNDKAQLFLVDYGSRSRVKIWGTASVIEGDAELLEQLMPKGYQARPEQVILFNV EAWDANCPQHIPLRFEAEEVLKAMDTRDRRIKELEAEIAILKAAATGIR YP_002975383.1 MTRPPLPPFTLQSAIEKVRLAEDGWNTRDPSKVALAYSVDSHWR NRAEFVVGRQAIEAFLARKWTKELDYRLIKELWAFSGNRIAVRFAYEHHDDSGTWYRA YGNENWEFDADGLMTYRHASINEHPIKLEERAFNWPLGRRPEGHWGLSHFGF YP_002975384.1 MNRKMAALVIGVADYSEGNKLANPVHDAVDLGDKLRGYGFEVIV VTDCTKRDMDKQLKEFRTLLETHDVGLFFFAGHGMQIDGTNFLLATDTEMDTELDAKH TSLSLDRVVDVMAKSAASTKIIVLDACRNNPWERAWHRGPALRGLASVYAPKGTIIGF ATSPGEVALDGAGRNGTYTEALLEHIDTPDSSIETMFKRVRNTVAASSGGRQTTWEHT SLSGEFYFNLSLGNLVDEYDGTALADSLFVLDPGRKSHNIIAGLKTYNWYKQNPALDR LDAASANKMSKDNLFVLGRNIYQAACGSAGSAITFIDNFMDKTRGFNREARKSLLDGI LFEVFFDSQAHMRDKMKRRYFNEVFELQRFADLKQSFDFIAEVLTTAAGKFYALPGKG HDLAVSVSTKSEDGMIIVDAVYVGGVDVLRREDDNDADEHDPPRYWRLSPQEIKERLS EELVVPTRLLKLTFTPGAAVKEEELGFPMGWTVRQT YP_002975385.1 MQMRAGLQMLRALSADADREGIADVLVRACLPIDGVTNAEVVFL SDDHSALGVPLEAISGLRQNQQTVLTTTGAMAGAASVFVPLRCDDRLLAILKLEVLGH RADDVQYQKDFETLAAFAALRIDRMAVQADLDQARERARLAERELRLSWDMLPAHAWY TRPDGTLEAVNREWSGYFGLTEKELSGFGYMRVFHPDDMQKVMDVWMRSLSQEVPGEV ETRMMRANGEAGVFLIRAVPVRDENGKVIRWYGINIDVEDLKNAEREIARTAAALAEG QKMSRTGSWSWRPGTDSFSWSDECARIFGMDPNERQPSFAAMLERVHPDDRTAIEPSD ASPESSPGDTQNEIRIVVSDGTITFGESRTHIVRDLAGTVVEYVGTVRDITESKLAQD RIQESERRYAATLSSIGDAVISTDDKGRLMFINPVAEKLTGWTQIEAAERPVGEVFQL IGETRELVGDPVSEVLAREVDPLIGIQYALRSKAGSELIIDVSGAPIIDSRGEMKGAV LVFRDMTQRNETADALRRSKEDLARMSRLTAIGELAVSVAHEMNQPLMAIVTNAAASM SWLEGKPSNIKEATQAIGWVLRDGHRAGEVLSGILALARNSRPKFEPLNVRGVIEEVL QLTKSEIRQRGVVVETVYDDAADAVTGDRIQLQQVLINLVMNGADAMTPLEDERRVLH VATKRLSDGLTQVSVSDNGVGLDPDTAERAFEAFFTTKATGIGMGLSICRSIIEAHGG HIWVTTEKGKGSTFTFTLLPPSSSQERSAAPEE YP_002975386.1 MDEEYPPLSPLKTGVRGLCPRCGRGHLFDGFLALRKECEVCGLD YSFADPADGPAFFVICFACVPTVLLSVWLAVAHQASIWTQIFVTGPFMILTCVPPLRP LKGWLVASQYFYKAEEGKLSRQDDVNRE YP_002975387.1 MHLKPVEHRTSHSFEETLETVRKAVGKVGMSIFAEVDHAKAARE NGLSMPETRVLVYGNPKAGTPVMLDTPLAALDLPLRILVRELPGHNSAVAFHPIAEMF EELGVKREVAARFDAVQQTIIDEACGGCPTGTDDHKAASRHS YP_002975388.1 MSNTLNGKVALVTGGSRGLGAAVALALADEGADVAITYEKSRDR AEGVVAQIEQRGRRAVAIQSDQGDPSQAEPLIANVVERLGKLDILVNNAAVAWQGRKI DDPEIDNSAMDRQWAINVSGVVANIRAASRVLPEGGRIISVGSGVGTRAGFPGTADYA GTKAALIGYSKGVARDLGPRKITVNVVQAGIMATDMLAGSEDKLPAGILDLHALGRIA TVEEVAAGIIFLASPSASYVTGSVLDVNGGYLA YP_002975389.1 MKSGSTFPLTRRDALLAGSAAAVALAVGPSASAATKQQQSTMEN KFMGTVKVKDGTEIFYKDWGPKDGQPIVFHHGWPLSGDDWDNQMLFFLGEGFRVIAHD RRGHGRSSQSRTGHEMDTYAADVAEVAEALDLKNAVHIGHSTGGGEVVHYVARSKPGR VAKAVIAGAIPPVMLKSDKNPGGLPIDVFDGLRKALAANRAQFYIDVPTGPFYGFNRP DAKISQGLIDNWWRQGMMGAANAHYECIKAFSETDFTEDLKKIEVPVYVIHGTDDQIV PYKDAAELSVKLLKHGTLKLYDGYPHGMLSTHPEVLNSDILAFIKA YP_002975390.1 MRLQGKVAVVTGANSGIGLASAKRFADEGARVFMTGRRREQLDA AVSEVGRNARGVQGDISNLADLDRLYEIVEAEAGHLDIVFANAGGGEFSPLGSITEEH YDRTFDINVKGTLFTVQKALPLLKEGGSVILTGSTTGSTGTPAFSVYAATKAAIRNFA RNWIIDLAPRGIRVNVLSPGATSTPGWRGLAHSEEMEKEMLRQTVASIPLGRLGDPRE IADAALFLASDESSFVNGCELFADGGSAQI YP_002975391.1 MDMSRYQPQMLWEGDVLALYRGWSPERTTSVLLVTPVSANDAGE GLHRLEHELALAEKLEAPWAAKPLALERHDGRPFLVLSDEGGTPLSKLLGRPFETERS LVIATNAAAALRKAHALDLVHKDLRPDNLLVDPSGRVWLTGFGKAGPARPEDQPVGPA IAAADLAYISPEQTGRVKRAVDARSDLYSLGVVFYQVLTGELPFVATDAMEWIHAHVA RQPIPAGQRHEDVPPTLETIAQKLLAKKPEERYQTAAGLEADLKRCLDSWRQSGCIQQ FDIATIDAGERLRVPEGLYGRSRQNDAIAGAFERVQSSGRAEVVLVSGPAGVGKSSVV HELRKKRFQQAGLFASGKFDQYTRDIPYATIAQAFRGLVRQILGSGDADLAHWRRALI DALGPNGQLMVNLVPELSLVIGEQLPAPDLPPQDAKARFHLIFRRFLNVFARPNMPLV LFIDDVQWLDTATIELLERITVEPDVTDLLLICAYRDDEVSREHPFTATREAMLNAPI HVQEEHLSPLTVKDLEQLLADALQSERELVAPLAKLVFDKTEGNPFFVLQFLTTMDEE RLVTFDAVQGTWIWEPDRIRTKGITDNVAELVSSKLLRLPRVTLETVKLLACLGNGAS LSTLGIVGGESNQQVAAILWEAIQARFVLRVDDAFVFAHDRIQEAAYLLVPQHERPAI HLRIGRALMSKMTPTDLRDRVFEVTDQIGRGLGLITSDVERAQVAELFLDAGARAKTS AAYPSALRYFTTGLSLLDHGHWERRYDLMFSLALQKAECEFLTGEHGVAETHLVDLIT RAASRVDGAAVVRLLSSLYVALGEQTKAVDVGLEFLREMGIEWSAHPSEEDLRSEIEA MSELLAGRDIALLVDLPRMADPDWLAAMDVLAYMILPALLTDSNLEDLIYTQMVNLSL RYGNCDASCYAYASFIVPLGLRFGDYALGRQFGDLGLALVDEYGLDRFKARVYTCYSC YVVPWARHLPESILLTRRAIEVGIAAGDLVYAATTAKSLVSNLLVSGEPLQVVENEGM QFLAMANKAGFGLAADSAIGQLLLIRELEGRAGPEKNDLPDRSSFLQHLQEAGASLVL PLAWYWIQEMQARFLEEDYAAAVEAEYEARKIVGATRSFIDLGEYHFYSALAHAGACA SASDEKRQLHLQSIRAHLTQIEAWAESCPENFSNRRSLVAAEIARLESRDFEALELYE EAVRSARRYGFIQNEALSNELAARHCASRGLTMSADAFLRSARACYAKWGAHGKVRQI DRSNSDWGIESEQRQPSTGAPVNYLDMAAVMEMSQAVSGEIVLDRLIERLMITVVEHS GAVRGLLLLSQDGKMQVVAEAVTDQSGISVFLSKSGGVAFPDTVVSYVSHTREVVILD DAQQADLFSGDAYFQQFGSTSVLCLPLVKQQQLVGILYLENSLSSHLFTEGQVAVLRL LASQAAISLENAALYREAQETHERARRAAEELRVSYDMIPAQAWNTEPDGLYPAFNKQ WHDYTGISPESARTGGWVDSYHPEDREKVLRKWTELLQTGTAGEIEARIVRHDGVSRV FLVRGSPMRDERGAILKWFGTHTDIDDLKRIEEAQELLARAGRLTALGELTASIAHEV NQPLMAIVTNAATCLRWLSDDLLDVREAREAAERIIRDGHRAGDVITSIRAMARKSPL AMEDVDVNAMVEDVLVLTRGELQRHGITLQKELAADVGSAVGDRIQLQQVVLNLILNA VEAIGSSERGSRGLQVTSERSDDGKVLVTVADSGPGIDVAKLDQIFEAFFTTKTGGLG MGLSICRSIVEAHGGRLWVSPNDPDGSVFSFTLRAAAP YP_002975392.1 MSSEKANLPPGVLAGGFHKLKHGRFDITVLSDGYITLTSDILMP DGNQEDRRRILGRLGGDADSAPLQTNIPLIRHGSDLIIVDTGAGANFQPSDGRLSENL SSVGVDPASITKVIFTHVHPDHAGGTTKADGSLLFPNAQYYVNEAEWSFWTDPEYETK MPDPLHVFARGAQRDLFAVKDRLTMVKPGDEIVTGMNVVSTRGHTPGHISLELAGDGN LLIAGDVIPNNIVSIENPKWHFGFDTEPEIALRSRAALLDKAANEKLRMLGYHWTYPG VGYVERNGAEYCFVR YP_002975393.1 MEKRAADHSILDAAFREASQSTYFPLATVRCPDRPAFRNQIARD LGCLLDVDETVVAWSCLCFGAHVDDRVHVVDFMVDHIDGTREFLDAAEFLGDPVVTET IACMKRRHRFVPLGEIYSGHRLQNAKDLLRYARYRTPLNDRVRLMAALSETGSLTVGE TFNLFREVPPLTAIAWMTLHRFITIDLDEAPIGPDTLIRRSSNEVSQ YP_002975394.1 MSLERTPTTITIASATYGVALKAAMRLGGAFLKGATTRKTVIIL KLPLHADERGYETAAGVLIKCAPDLHGFLVLRADVTRRGALDVKKLNDALVLGRPVVI LWPPGLMVPAHIVAAADRIVDVRPVRPSHLVSAAKLVEGRVLDIREATRFLEYPVASV FAAMRTGRSPEIVLKRLQDAHLSSDSPTSGPGLDELEGYGEAREWGLTLAEDIRAWES AEIQWSEVDRGILISGPPGSGKTLFASALARTCGVEIVATSVSRWQSAGHLGDMLGAM RKSFQEAAAKKPCILFLDELDSIGDRATFKGDNAQYSSQVVNGLLELVDGFDRLEGVV VVGATNFPEKIDPALRRAGRLDRHIAISLPDTQTRRSLCRRYIRNDMPEGEIETIVHA TAGFSGADFEQMGRDIRRRARRGGAEITAELALAVLPPMLKIEGERRRTVAVHEAGHA IVGIRVAVGKLDSIVVARDVPRTGSAAGFAHFVLDGDVERDRQTLLSQIAMLLGGRLA EEVILGSAFEGSGGEGSDIHKATDLATVMEVQLGMGESLGYFRASSSADLEELRRRIP AVRERVEKVLLKQWKRARTIVEEHVGVIELVASQLAAKGRLDGKEVEQMMSAKPRERS P YP_002975395.1 MRGAKESSWKLVVKGLKSKVLAGRKELIAQVLKDVGGDAAPIRQ ARRVLLTMSVDALSGTPRFIDVYGEGEICLISFDDLLDILADPPPTLAEVMGRVTR YP_002975396.1 MSDLRNEALSNAKKAIRILQKQMSDRLFRMADEVEGLLEHLSPK EVATFLHAACGMDVAEANTYLKVNKTLKDSGVLLREARIQFPVLRALATADDETRSEA ISRIAGGATLGTRDVSAIRTNFRHRKMTFAEQSVTSAFKSSARLARRRAVEAARNLDK SAAELLTLLHAYGPKNMTDERRSDAHARVRASATALLPDFVSAYGSYDIPLADILRMP MHASDRRLALAYAAIDALAEGRFGGQFGLALDRSSLEAQWSTNFHECIQPITSTVAPD IFDIVTKERPPLTSLPTQKLNVIELCAGAGGMSLGLEDAGFHPLALFEFDKHAAATLR LNRPLWNVVEGDIRQVDFTPYRSAGVDLLVGGLPCQPFSIDGSRLGKDDPRDLLLEGA RAVREILPQAFVFENVTGLLNARHADHLGNFLRQLKKSGYAVQIIRMEAEEYGVAQER SRMLFVGLRQGAMAAFRAPPTFPQWRSNLGDVLEDLMAANGWTGAKDWAEARRNHLVV RNGIELRGALASTVVGRKGGSREKEAARWAKKGIDIATVADAAPTQEEADLVGAGFLP QLTLRMRARLQGFPDYWDFVGGKDSTARQVGNAVPPVIGQAIGLAVRSALTGKRFDYS VMLREPFGQRFVDAPPIVPDFRSTEGAVVLSASAEISA YP_002975397.1 MYNLLMMGNDDEWNVALGSVSEASFPLSRYLEYTEESIEQRLKP ITTEVVTFLSQLPTLFMSELQNDADGRQFVRIRLGQVWNIRIVGKDILYLFRIDQHLG EHAVTDRQAFERTFSFGKWELSRTHWAVKDRDLPATLVSAGVIQPPVAANDGAPPPVP PPPNPLLPDDQPALPIIESLVGFMNHVLNLPSAPDDEIFYRGHSDRLYKLIPSLFRKN DGGDWRYRHKEETIVRELLTAQATAFSSDEYMLDKLVRMQHYGLPTRLLDVTSNPLVA LYFCCADDRRDHNGNEVDGEVIVMRTKSSDVRFFDSDTVSCVANICLLTDAEKEKMET SGESIAFNETPECKKLLHFIRREKPYFEGRINPSDLERIMFVRGRNTNERITSQSGAF LLFGKDSVLPETGFSSLDVQRMTIRNKAGILRDLAKLNIKSSTIYPGIEKTTAEIAKK HELAAG YP_002975398.1 MGDVKFWSLGVAALSLFLAVVSTVFAYKANQYSKASMSRSLATD FALEASRNPTSNECISFANQLGPDSYGALFQEHDNAFAYVENAATAALQRCLSVVPSG ANKLTLDEARKARASIFSKLNAYDDIFESLEAGTALPELLCDDVASFHDANAKTFVDR AESTRPAPFQVQNFSSEYKSLVTAQKENVCGR YP_002975399.1 MRNKVSNDSITVNAIGGSYVVLLGWTIDEPKRAGLRGFAIRRTD FQEEEVYWMKGSKTFATVEPYPAPGEQFSSLSHPFQSFQWADYSAKPDRDYEYEIVAL YGQPANLQRDGSVKVRVHTEAVAAQDHSVFFNRGSPATQEYARRFQNKLPSKAGPGAY EWLSRGLLESILAFIARAQGGDWSLKGAFYEFQWPAVLNEIAAAKDRGVEVSIIFDDI ESETGPWEKNEKAISDAGLTQVCKQRQNGKLMHNKFLVLSKDNAPQALLFGSTNLTEN GIFGHANCVHIIEGAEPASTYLQYFEDLFDDPEITRDSGYEAANETLTPAPVQLGPQS DTPVFSPRPDLDALDWYADLAGKAQKGLFMTFAFGMHQSFADVFSKHDDILRMALMEK EWNGRNKEAQIEKIRRLQALPNVVIAVGNRIPLSGFDQWLGEMDRGSARVNVHWVHTK FMLVDPMSDDPIIVTGSANFSKASTDTNDENMVVIRGNQRVADIYLGEFFRLHSHYAF RQAVNIYLQKHPGASPEDFERRFLIEDRDWTADYFTPGDRNARFARRVYFSES YP_002975400.1 MPRLYQRTFLGLLSNPFGSTVRAVELDGHQLTFTKRGRTTSVSL ASVTSSPILRKRVFGTTLVIPVMGGDDIVMRGAQHSRAVAFSNEVETAWTDYNLSALS SEADRFFRLHSVIFGLLSPDRYPSACSLTSVLEEARSLDANLLSRLQPQAIGREAADI VAFVRKFVADPRTARELAVSKFVEAELETWKDFFDTIESKPLTPEQRLSVVVDEDATL VLAGAGSGKTSVITAKASYLAKAGIRQPEEILLLAFAKNAAEEMSERVEARSGVPLVA RTFHALAYDIIGEVEGSKPALANHATDDAAFIDTIKQILKDLVRTDPEVAKAIIKWFA HFLAETKTEWDFKTKHDYYAHIEKQDLRTLQGEKVKSYEELQIANWLYENGVEYEYEP LYEYKVSGGGFRDYCPDFRLTESGVYLEHFGVRRQTASDGVERLITAPFVDRDKYLAG MEWKREIHTTHETTLIETYSYERQEGRLLTVLAEKLENHVTLKPRPVEEIFDRVVELK QVDTFSQLLGTFLRKYKSGGYAISGCAAKAERLKQGARAEAFLSVFAPVFEEYEKRLK GRIDFEDMILRAAQYVEARQYHSPFRHILVDEFQDISQSRGRLVKALKVQHPDVRIFA VGDDWQSIFRFAGSDIHLMRNFGDEFGGSFDGETGVHRTVDLGRTFRSVDQIAFAART FVLKNPAQIEKQIVPAGTATEPSIKIVAAAAGDDGRRLFEILASISTGCGVGDTASVL LLGRYRFLEPDMRGIQRRFPQLRISFKTIHSSKGLEADHVVLLKADSGRMGFPSEAVD DALLSLVSPEEESFENAEERRVMYVAMTRARRTLTILASASRPSSFVTELRSDPAYGV GAVSSGPEKTQECGECGGRLLVARGQDDRMWFRCEHVQHCDNVLPACQACGTALPRPK VGSQEVSCGCGANAPKCPQCKDGWFVERSGRYGPFLSCVRYPSCDGKARSNTAKQKAV YP_002975401.1 MPVITAIEWDILEARVERTARRHNLRAPSLSFLYLVLEQFFPER DTDFPEMVVDGGNDLGVDAIEIIERDERAEIFIFQSKHRISHKSTDRTINDSEVLKVI RFVQALFDQEDWLSGNGNLQVREAVSRIWEMHRRGIICNYRLVFCTNGACLHTSAKGM LESALRNLPGVEYEEYAPRDILRDLGHSGRTQECGTLQAIGRDTLERIDGDVRGVIAS VDALSYVRLIQTDDQRSVKRHLFNENLRVFLGANGGYNSNIIETAASPDSYLFWYLNN GITITCRNYSFNKAHVSPVIRIDDFQIVNGAQTSHSLMEAFRRSPEDLQKVVLLVRIY ATNRDDIAERVAVATNSQARIQSRDLRANHPALKKLETAFRDKGYFFERKRNMHIDVE PERRIDALKMGQVLLAYKLREPDRAKADSDSIFGDRFASIFHEHYGIDELCRIFELYL IIEGMRDDYQLRLREAKNAGGESQFLVYGHWFVLYAASLILTRSNREVPVGDAARNLA VQALSLVARACRQTRTAHYQLFRSSKTKERIVEELDGRQMSLFDEIVAGA YP_002975402.1 MARNVLWTAQRHGQDWDDPYVLTALEWMTSFVASLDWTRRIEQT STFFEAAKKSWASGVRVPLYDPADGIAWYAHQATTYGDHKFRPDLFEPEAYRIAPLFR RIGHVLSDLKKVRGVDVRVARLMSDGRMQPDDGFYELLVAAAYSRRGWDVSFVPEKPG LQKQQDLIVEKPGSSWAVECKRAGRSKYARKERDAGHQMADAVHALSRRKNRSMQIMA VFEDEVANLDPSYLEEKARRFMRRPGSYSWSDEGGFGVVSDVQWAGLRQVLRVDDISF GSSRMIELLMGSHEHDVDYSLGGAWTAAEGRPFHATSVQHVSLVGWSTSSEESARRKA QHFRGIVGRACEQLPGDRPGAIHVGYEAVGGNTVEGLRHRLNKQQMENFDPGSSQLQV VYGNYFMPEHVTDRNESSAVTETIAWYPAKDSKSEPLEKHMLFVDEDPTPGTHFSS YP_002975403.1 MLSSFAIDKLLKYFDAIDRHVTRYMTYPRPRDEEGMTAMLVDLL DEDVQLREGIGYSIQNLRDDLASVSDPTSVAFNLETHAYRKDFENRVSQADLGLIVRY ENHYEPELSGQRSWLLQAKRIYETRYDPSRRYEPRATFNAFDANQDARIRELIRFVDD DFFRYLLYCPRPEELDHRTRSELSYFRSKALGSEIFDYATGLQIRDDVLNGSPTLAAG IFIADVEGCPKTLGGVHEGLLTTATPLSWFIVQHVPGAEPHLRRSSFDGRGPRHGFDL RSESDDLVSRIVRGDEKVIGIISETLSGRRWDGRLLPAATLTVTISAGEPADRDRPRW DRID YP_002975404.1 MDLVITTLRDVSGSKLLRGNHEDFVLRFIDNPYSRDYDLMNWMC NGGAATALSYDVTPAEHWNELKRMILEMLAALEAHPSHVAAMRDAEHRVVDQGHVFVL RKGPHSRSAVGGVLILLSSLVTRLHPCQATIAHGLDNERHAT YP_002975405.1 MKDGCVEGVSTHQAASYLGNESTKSQMRSSR YP_002975406.1 MDTTGNKDGATAPPVKLKSVAATILGEFFDVLQAEEGFEEVTPR LRRVVLEDGVFAEPSIRAALFPDAS YP_002975407.1 MIRIDKIHIKEFRGIRELTLTLKGQNFAACGPNGTGKSGIVDAI EFVLTGNISRLAGTGTGGLSVKAHGPHVDSRNKPEAASVTLDVIIPSLGNKKAQISRS VKTVNAPQIKPADKDVIAAFESVTLHPEFVLSRRELIRYVLSEPGNRSKEVQSLLRLD DIEKLRGVLQKIANSCSRDLPGFERVENDSITNLLAVLDTPQLSKKSVLDSVNPRREI LGLAPLTDVDAATSLKDGLTTATATAPGRVSKVQATADLATLREALQALQTDPFKQAC ATAEANAAELGNDADSIDGLSRESLLKSALALYDGSACPVCDTPFEPDAFTGHVAGKL AHLEDVSKRRAAIEAELKPILDTVHAAGTALNTMIEHAGLFSPKIDVGPLVEFKLALR TCYQQVQKLLPLDDTRAILTMVHTVPDLEPSLTALTTAIAAIPEPTKQDAARDFLVLA HERLDNYRRARQNHAAGRVRADRATKVSATYGAVTTGALEKIYKNVETAFASYYSKIN EDDEKAFSAKLIPSIGKLGFDVDFYGRGHFPPGAYHSEGHQDGMGLCLYLALMSHLLG QNFTFAVLDDVLMSVDSGHRRQVCTLLKEMFPNTQFIFTTHDEIWLRHMKSEGLIKGR NFAHFRTWTVDLGPTEWDDRDVWTELEEHLAKNDVRAAAALLRHYLEHFAKEACDRLR ASVEFRGDAQFALGDLLPNATSTLADLLKKAKVAANSWNQKDVVERISAIEAAYTEAK TKTGYDNWQINTAVHFNEWADLQKEDFTPVVAAFRGFTSSFGCTTCGEMYFVAPERGK KEALRCGCGTLNLNLLRKGG YP_002975408.1 MTKTSAFQETYVRAAGAVAFVTVVNTDGAEGIGSAFHIGEGVFV TARHVVDGVSIREVATTKSVRLAEEADGRTAPPRRFEIVEGPYFGPEGLDVAVFRVNL GTTPLPVIAVSQHTDYELGENDLVLSDILIVGYPPVPFTTIPSQIVTLGQINAVVRVR HAPVLHFIASAMARGGFSGGPALNASGVALGLVTESLGYDGTPVETGYMSLLSIEPAV DLAAEKFGHDFYRGPSGRYEDTLFAAKFSDPSSQSLSSFIHDAGVYVYDDDRDVFVEI DCQDEELLAEAVGVFHAVTPITRQEAVEYTALYIPDDNPPAALLAEAGEAVAAVFDRA GYRKMASVRSPSLLKKKV YP_002975409.1 MKTYAIADVHGRADLLGALLGNIAAANAQSSTDYRIVFLGDIID RGPDSKNAMDLVVAELARCADSRLILGNHEEFLLYFLDLPEKRDLVFDHWMRNGGLAC AASYGLDTSRPYHDIREAHRDLFELLERHPEHLAVLRSAVPMVVDTGHIFVHAGLRPG IPLPDQTTKDLRTIRAPFLESDYDFDRVVVHGHTISKSGRPEIYSNRIALDCGAEHSG LLAAIEIAYGRPLRYFAAQKTDRLDVVEVEPVMFCTPRPHSLLDVPP YP_002975410.1 MIEKKFIELQNSRVVEIHIEGGRWKYMIAGGEEIGEWDATLIPP DAAQGWIVRGRTPNHETGDPWVDDLGEGQGLDYDAATALAMGFMVSPTDKFGFFEGKP FSYLGDLDEGTMSAEALPQSNAKREMYLATREGGGWTATCCTSYWDEDHRYFMMKPFE IVLGSKATWEREDAMRRGLHWLVHASFIGLLDGPRLG YP_002975411.1 MNIEDLLARDIDEHLVSSGWLKLEGGPVEEKALSDWTEMVARRL GTPTRGRSLPVVEILKPRRPGEAPSASLSGKYGFEQFPFHVDGAHWSTPARFLVLACL RTDEHRTPTLLVDRMAIALTSDEEALLRSAVYLVRNGRNSFYASIVSIGQPFVRLDPG CMEPLSPEGEAALRLFSGERLSPHVQRITWNAGDVTIVDNWRMLHARAPVQSTNSDRV LLRCLVM YP_002975412.1 MSRHVAKAVQIALSHEALFGKAKAYIGRALLRKEQGDTDEYQLW ASLALELIGKALLARIHPSLIVDPTHFESLFAASGINVSTDVKTITAKTLFERLRHIA PRFDEKVRKFCVGIALRRNSELHSGETPFKTMRLDAWEAQYWHAGQIILEQMDATLED WLGASAAKAPKEIIKHAAAAKQQAVEVRVDRAREEFEHKKKADREKVIADAAARTANH YKDRFSLNCDKTWEVECPSCRARAFLAGIRFDEVVVDTYSGEDGAWEEVETQYSAEEF FCPVCELALTDSDEIEYAGLDIDFAEREERELTYEQDYGND YP_002975413.1 MTFDGKWFATWEGTLAPISQTYRIWIRYLPNRFWDEVTLSHPYI TVKVLDPLIAPDARGTGERTPHVYRYRQPDHSPALCAWDPTDEPFSPSVYIGDHVIPA VVRWLVFYEDWLDTGVWRGGGKHPDPDAAMMDRSSAPTIGSACPEAPSVDAALERRVT EETGSATSVMSISRATWGWFPSTLEFVNYSYHRMFGGRLGKTIKPG YP_002975414.1 MNYTDPILREIAAFSPLDVLLADIAVRIQLTPTDHRLAVSHYHA IHQWLEREASPLSGLIGSFYAQGGFSIGATTARHAEDADFDIDAMIQVSLHPETDPEH VLSTLHTAIRGEPGSRYYGKTERKSRCVTVKYDGMHLDVTPAVLIPSLEERTSFIFHS KKAATGFDKARLVANPWGFAEWFLRQTPPEAAFGQFFERQSLDYERLRLPKAESEADP VPNQDPAYRKSRAVIALQLIKRWRNLAYDARHGALRLPPSVVLAHSVALNANRTSSLS EELLHQVVCLISALETAERNGASYEVINPACNPYDVLTDRWPEDLHSQRIFTDELRAF AVDLQRLRSGLPLPEMRKTLEKLFGERSAADSVRKFMDRQVNEDRGGIAAHIPSTGKV AAVASIATPSYARPTPRTSPWGD YP_002975415.1 MSDALEALDEQRAAEKSAKRRPSLPQNVKAIVWARAAGRCEFRG CNCNLIGDLLTGKDDLNRAYIAHIVSDAPKGPRGDEVLSPQLSTDPSNLMLLCDTHHR LIDGSSTWREYPDHLLREMKAEHEDRIEAVTSIARDRGCHVIRFAAGIGKNESPVNTD AVKDALLPEFYPVRNGMIDLDVPDLGIPDSDPAYWPLQQRLLRDKYQERVKGRLERNE INRLAVFGLAPMPLLIELGRLISDISEAHVRQRVREPTTWEWQNEPGKMELVRDEPDS KGSVIALKLGVSGPIDDHRIMAVLGEDVSVWSLSAKDANNDILRTRSDLALWRKELRA VFEAIKDRHGNTDIVHLFPAIPVSAAIELGRVWMPKAHLPMRIYDHNWALGGFRQTLD VVHQA YP_002975416.1 MRIERRFTKAGQGAYADIEFRKATSEIKNPDGSIVFRLENIDVP AQFSQVATDVLAQKYFRKAGVPTRLKKVEENDVPSFLWRSVPDDAALKTLSKDEQTGS EIDARQVFDRLAGTWTYWGWKGGYFSSEEDASAFKDELAYMLATQRVAPNSPQWFNTG LHWAYGIDGPGQGHFYVDPFTGKLTKSKSAYEHPQPHACFIQSVEDDLVNEGGIMDLW VREARLFKYGSGTGSNFSMLRGEGEKLSGGGRSSGLMSFLKIGDRAAGAIKSGGTTRR AAKMVVVDIDHPDIEEYINWKVKEEQKVAALVTGSKVVAKHLKAIMKACFNCEGGDNG DCYDPNKNPALKREIRAAKKDQVPENYVQRVIQFARQGYKDLQFKTYDTDWDSEAYLT VSGQNSNNSVSIKDDFLRAVENDGEWNLTARKDGRVMKTLKARDLWETISYAAWASAD PGIHFNTTMNDWHTSPAGGPIRGSNPCSEYMFLDDTACNLASLNLLQFKDKATKRINI ADYEHAVRLWTVVLEVSVMMAQFPSKRIAELSYEYRTLGLGYANIGGLLMSTGIPYDS TEARAIAGSLTAIMTGICYATSAEMAAELGPFPNFAPNRESMLKVIRNHRRAAHGESS GYEALSINPVALIHSENPDQDLVAHAKSAWDKALELGEQHGYRNAQVSVIAPTGTIGL VMDCDTTGIEPDFALVKFKKLAGGGYFKIINRAVPDALRTLGYSESQIAEIEAYAVGH GNLNQAPAVNPSTLKTKGFTDEKVEAVNAALKSAFDIKFVFNQWTLGADFLKETLKVS DEQLGDMSFSLLDHIGFSKKDIEAANIHVCGAMTLEGAPFLKNEHLPVFDCANPCGKV GKRYLSVESHIRMMAAAQPFISGAISKTINMPNEATVEDCKNAYMLSWKLGLKANALY RDGSKLSQPLNSSLIEDEDDEDALEELLQAPLAAQAVTVTEKIIERVIERVSREREKL PNRRQGYTQKAAVGGHKVYLRTGEFGDGRIGEIFIDMHKEGAAFRAMMNNFAIAISLG LQYGVPLEEYVEAFTFTKFEPAGMVIGNDAIKNATSILDYVFRELAVSYLGRHDLAHV DTSDFSNTALGKGIQEGKTNLLSTGWTRGYKPTLVSGTGGERQAGEPKGAATAAPARA ASTGTVTAFAGAAARKLEPTVAIATSEIVAFKRDYEERAKELAEEIAEEVTEELTSDA TALFSDKAAADAATAKSEAKKREAERRQRSIMQGYTGNMCSECQNFTMVRNGTCEKCD TCGATSGCS YP_002975417.1 MTVGLGTFLLPLAPVHAEPAYVPLVHDYVEKRIRPIVETPLVLK AIAEQNAKFGNVSEMDMRVLDETYRSEVNQHHLQMVKLLLDKSVSQYLRENQDASQGA ILEFFVTDSHGLNVGQSTITADFWQGDEEKYLQTFASGSREIFIDRAERNESTQNLET QASFVVMDEENRPIGVAIVTIAIDAL YP_002975418.1 MSLPDKAFPVSWDQFHRDARALAWRLAGLNQTFKAIVCITRGGL VPAAIISRELNIRLIETVCVASYHDYVNQGDMVLLKGIAPELMENGGEAVLVVDDLTD TGKTAAQVRTMLPRAHFACVYAKPRGVPTVDTFITEVSQDTWIYFPWDMGFTYQEPIA KGAG YP_002975419.1 MSYKTILAILDTSDNSTAVADFAFAIAAESGAHVIGLHAEIISA VPLVAPMEIPDPVAVQALQDMAHSETIAVERIFRAKAEASGASSEWRSFATSTGYGSA PLIESARSADLLIASQADPARPSDSHVDVDSFLFETGRPVLMIPYIIRQPKPIKRVLI AWNGSKEAARATFDALPILKAADEVEIFSVDPAETALQSPLTAGADIAATLARHGVKT TLSTAQSVDKSASHVIENRLSDSSIDLLVMGAYTHSWLWQMIFGGTTKTLLQSMTALT LLSR YP_002975420.1 MSQDIVVTAAMLAIGDELLSGRTKDKNIGHLADLLTLSGIDLKE VRIVADDEDAIVEALNALRGKYDYVFTSGGIGPTHDDITADAISKAFGVPCEYDETAM TLLAEMYRRREMEFTEARQRMARMPRGAAHIPNPVSTAPGFIIGNVYVMAGVPQVFQA MVDNVLPTLRTGTPVLSLAIACPYGEGEIGTPLTAIQKAHPETSIGSYPRYIGQKFST EIVVRGRSQAVIDAAGAEVRAMIDAIRQRKDIAENHSAEA YP_002975421.1 MAKVLVLYYSAYGHIETMAYAVAEGAKSAGAEVTVKRVPELVPE DVAKASYYKVDQAAPIATVDELADYDAIIVGAGTRFGTVASQMRNFWDQTGGLWFAGK LVGKLGSVFTSSATQHGGQESTILGFIPTFLHQGMVVAGLPYAFQGQMGTEEVKGGSP YGASTITNGDGSRQPSEIELEGAKYQGAHVAKLAAKLA YP_002975422.1 MVYDWNGTKVRRIRIFKTSVALVLGTAIAAIPLFFWAVQLSDF YP_002975423.1 MARADRQKKARFGRFRFAPGQVRSEEGFLKPIAKLAAHDLILTV NEKGGHLYEAMMIFKFAKPLAWLLLAFIIFATVSPIGERPDTVTTVDVDRAAAYLLVG LAFALGYPKQWKMVAVLLIVGAFAIEYLQYLAPTRHPRLHDASIKAMGAALGLLAGWV INRWRETKAPNALPFAER YP_002975424.1 MTKLDVESAEEAMRSLFPATPLQLNDHLSARYGADIWLKREDLS PVRSYKIRGAFNFFRKAIGQGAAGKTFVCASAGNHAQGFAFVCRHFGVPGVVFMPVTT PQQKIDKTRMFGAEFITIRLFGDFFDQCYQAAREHVEAVGGVMVPPFDHADIIEGQAT VAAEIMQQLPEGTVPDMVVLPVGGGGLAAGITGYLDGTVPKSAFVFTEPAGAPSLKRS IEAGAVVTLAKVDNFVDGAAVGRIGDLNFAALRDFPASQVQLMPENAICVTIQEMLNV EGVVLEPAGALSLTAIAAMDVQAIRGKTIVAVVSGGNFDFERLPDVKERAMRYAGLKK YFILRLAQRPGALRDFLNLLGPDDDIARFEYLKKSARNFGSILIGIETKAPENFARLI GNFEAAGMGYEDITENEILANLII YP_002975425.1 MASFISNIFSMFSGGGKPASEAAGPSGEPQLYGDCTIYAEPRKE GGQYRLAGRIEKKVGDEVLVRNFIRADLFSSSDDALECTVRKAQQIIDQHGSSLFGDG EKLRQV YP_002975426.1 MMETLNLLLFVVEAIAYFVLMVTLLHFRHRLGLGVFLTALGVMH FMETYLAAVFYVSLPFGDVSPGSSVFFSGKLMMILMLYLQEDAATVRQPIYGLFLGNL LTVGIAWVLQLHQPLQISTNLTPDVDFLKEMGWLMVWGTALLYVDSLGIILLYEKLGD FFRRRVVLRFLISGFALLTFDQIGFFAALHYFLDVPIAAFWGGWKAKMLAVCLYAAMF AFYEYRIRRVGAGGSARSISDVFGDLTFRERYNDLLERTGRDMLTGVYDRTRMEVEAP LMLREALRQGLYATVLILDADHFKDVNDGYGHLQGDEVLKAIAARLGTTLRSSDRVFR FGGEEFVAVCPGADHEEGLLLAERLRWTIATSVKTPDDRPITVSIGVATADEDGVDFT AVLTAADGRLYVAKKSGRNCVVGRSGVVKLS YP_002975427.1 MSDSVAAQKVDGGEFAVLCIGGFLLSIAYGVTFLIPVLVGQRGG DEALAGLIISAATVSTVILVILSGHIADAIGSARAVAVSGLFLAASALGFAMVPSAGL SLMVVGFILGIGWGTFYALGPILVAAIVEPEHRIRFFALLSGSMMSGIGAGPIIGRIA TSWSMPIEAAFAFAFLASLAGGALYFLLHIRLTNAGKILPHVNKISFGSAREVIGSRA IYSIVMVGIGGAIFGGLSSFQTSYAKAHGFDYSLFFIGFTSAAILSRLFVAGYVVKKD PLYSLVVLTSLTLASIVLFLMLTSNQFAYLGGAAMLGVGYGLTYSVINGLAANEAPAG LMPQSLLLFSLAYSIGVFGFPLIAGNLIVSSGVQTMLYVVLLLAVLNFAIVLFRVAHR ATQERNKASVGDNTSTL YP_002975428.1 MRLRNKVALITGGNSGIGLATARVFIDEGARVVITGRNPETLAA AEKALGAGVLALKVDVTDAAATEKAFAEAAGKVGKFDIIFANAGIGGATPLGETSLEQ FNQIISTNLTAVFFTVQSALPHLNDGASVILNGSVHAVLGAPGWTAYAATKAAVRAMT RNMASELAPRGIRVNQVTPGGTKTPIWSPMAQTEDAMSALEARIGGMSPLGRMSEADE IAKAALYLASDDAANVTGIEITVDGGMTSAPSGAKIFRAA YP_002975429.1 MGRSQLEKQKTHEKIIEIASKRLREEGLEGIGVADLMKEAGLTV GGFYKHFACRDDLVAEAIQSAFDSWGRKLEAEGVNPAEMTAADVADRYVSAYHRDNPG EGCPFAALTSDISRSGEKARGIATEGLRRNFASLASKAAGADDSERRRKAIMAFAMMA GGVGLARISSDEELSAEILATVRDFVADIDK YP_002975430.1 MSSILLLTSSPRAESLSTPIAVDLAEKLKNQNPGSVVVRRDLAA TPLPHIDDLFTGAIRKPAEARTAEEAVAVKTSDELVSELLAADTIVISTGLINFNIYS SLKTWIDNVARAGLTFKYTESGPVGLATGKKVYVVLASGGVYSQGPAAALNHAVPYLK SVLGFLGITDIETIYVEGLAFGPEAAEKAIDAAKSRVQEIALAA YP_002975431.1 MLPNPTLDQLQVFLTVAETGSFSAASRALNRAQSVVSYTIGNLE AQLEVPLFERSGARQPKLTEAGKAMLEDARRILGDLQVMRARVKSLREGLEAEVSVAI SVMVPSRALVDVLHEFREMFPSVSLSLNVGELGMVMDLVLSGKATIGIGGAVVQQDDS IVTERIGHSFMLPVAARNHPLAGIGRPLTLGDVREEVQLVVTDASGRTKGRDFNVLSY KTWRVSDIATKHQLIKAGLGWGGLPASVIHGDLVSGTLVHLDLDAYEQGEYPIYSVRQ LANPPGPAATWMIDAFRTRLSHCPNQADFHAQMAELQEPATPLAAE YP_002975432.1 MSSIFDVGVMSRRSLLGGLAATSALVLLHPFSARASANQAHLRL METTDIHVNVFPYDYYADKPNDTMGLSRTGTIIDGIRAEAVNSLLIDNGDVLQGNPMG DYMAYQHGMKDGDVHPVIKAMNTLGYTVGTLGNHEFNYGLDFMFKVLSGANFPFVCAN LTKGQLASDPKQDNLFFKPYIIVEKQIKDGAGNESPVKIGFIGFVPPQIMLWDIKNLE GKAQTRDIVEAAKAWVPAMKEAGADIVIALSHSGIDGSAPSDKMENASLHLAAVDGID AIFTGHQHLVFPGPKSWDSVANADPVKGTLHGKPAVMAGFWGSHLGLIDLLLEKDGNS WKIVDFTSEARPIYHRDDKKKVVADYADKKDVVEAAKAEHEATLAYVRTPVGKTSAPL YSYFALVADDPSVQVVSQAQTWYIKQMLADTAFKDLPVLSAAAPFKAGGRGGADYYTD VPAGDIAIKNVADLYLYPNTVQAVAITGAQVKNWLEMSAGMFNHIKAGSKDTALLNND FPSYNFDVIDGVTYQIDLSQPPKYDSSGKPINPDSNRIQNLAFKGKPIDPAQKFVVVS NNYRAGGGGNFPEITADKVIFQAPDTNRDVIVRYVHDQGTINPSADANWTFKPLPGTT ATFESGPKAKQFLTEVKSVKIEDAGEGADGFSKFRLVL YP_002975433.1 MTGRQNNPPEKRHRSEMQFMVQSLSARLPQTQGAVTLGVGVNRS CWSDLSRSFGAKAGHLTSLVPMLLSEASARR YP_002975434.1 MTRNLKITARKADRKNCRVFGHVKYLNSQVDARILNLSPTGAAL EMKGPLHAASGSKVRIEAENLGLLEGIIRWKHNGRVGIQFDVNSNARAQISSYFRFFH KEMRPVLAVRPLVRTAVNVERTPHLTTSAPNS YP_002975435.1 MTSKTQGYIFVLLALTIFSLQDAISKHLATAYPPIFVTMIRYWA FALFTIILASKMRGGIKATARTKRPFLQVTRGVLLAVQVVLAITCFAVIGLARSQAIF SATPILIALLAMPILGERVGWRRWAAIGAGLFGVLLILKPEGEFFDLKLLLAVLSCFN FAFYVIATRLVSRDDSSMTSFFYTGVVGGVTMTLVGPFYWSWMSPGDWGWMALVCVTS ISSHYFLIRAYDILDAAAVQPLTYLSLVYASVIGMVIYDETLSLNMIAGSIIVVAAGI FTVWREHVVGRRAAAAN YP_002975436.1 MADLDTIDLAILGALQANARMTNAELAERIGLSPSACSRRLDIL ERSGVIGGYHARLSHKALDYKMIAIVHISLSGQFAKTLAEFEAAVKLCPNVLVCYLMS GEYDYILRVAARDLEDYERIHRDWLSALPHVVKINSSFALREIIDKPNVGL YP_002975437.1 MRVGCPKEIKNHEYRVGLTPASVREYVAHGHDVWVETKAGVGIG ADDAAYAAAGAKIAASAKDIFEKCDMIVKVKEPQPAEWAQLRDGQLLYTYLHLAPDPE QTKGLIASGVTAIAYETVTDERGGLPLLAPMSEVAGRLSIQAGATALQKANGGLGVLL GGVPGVLPAKVAVIGGGVVGLHAARMAAGLGADVSILDKSLPRLRQLDDIFAGRIHTR YSSIQALEEEVFSADLIIGAVLIPGAAAPKLVTREMLSGMKKGSVIVDVAIDQGGCFE TSHATTHSDPTYEVDGVVHYCVANMPGAVPVTSAHALNNATLVHGLALADRGLRAIAE DKHLRNGLNVHKGRITSKPVADALGYEAFAPESVLNVA YP_002975438.1 MTAIRFVAMPTTDAEHLWNGGRDAYDRLPETIVSDGPGHPCRHC LENIDAGEALLVFAYRPFPELQPYAETGPVFLHKQPCARYAAEEIMPPVLTTSRDFIV RGYSENDRIVYGTGAVTAIGDIPAYGEELLGRSGIAYVHVRSARNNCFQCRIDKVKAP ALVEAGA YP_002975439.1 MMAEEAALNFADFYDAELALHNAHFRVAAAVGRSDRVLDIGCGA GQTTRDAARAAEAGRAHGIDLSVTMLEIARRRSAEEGLPNATFEVADAQNYHFAKGEF DLCISRFGVMFFADPAVAFANIANAVRPGGRFIFMVWQDRDRNEWATAIHEALDPDST GPVAASKAFSLSEPSVTSGLLETAGFATIEFREINEPVFYGPDPETALATIQTFENVK NALDRSVATAEIRARGCSTCWRRTRHPTEFNSIRAHG YP_002975440.1 MPDIDLALLAQQNAEILEELRALRREVAELKEQSGRTLDFERRN DPRRPNSLTQR YP_002975441.1 MGKAPASLKAHITRLEMTAPPKASMPVPVNIQTAIMRAPEIPLP FYRYLYRQVGARWQWVDRLRMSDEQLAETLNDKRNNISVLYVNGAPAGFYEYFCEDED MIELSHFGLIEHALGLGIGKWFLLQALYAIWSLNPKRVTTTTNNLDHPRALQLYQMYG FSPVSTGTGIVRPLSDKELLEIARKS YP_002975442.1 MSETKSRFVVSADWLQSELGKADLRVLDASFYLPAQKRDADAEY AAGHIPGAIRFDQDKIADHSTSLPHTIPSPDYFAAEVGRLGISENDRIVVYDGIGLFA SPRVWWLFRVMGAKNVFVLDGGLDGWKAEGRPLETAAPNPASATFTPNFDESRVVTLD RMRDIVSSGAMQIADARSAGRFAAAEPEPRAGMRSGHMPGARSLPSGVFASQGRFKSL PELRQTIEDAGIDLSKPVVTSCGSGITAAIITLALESLGHHDNKLYDGSWSEWGSRDD TPIVTGPPTPVKA YP_002975443.1 MSVNALYRDDFYLSTCDAVVTAVHEDGGIELDQTCFYATSGGQP GDTGELERADGSKIALGQTKHGPSKDVIIHVPLEGAPRPVVGETLVLHVDWPRRYRLM RMHTACHLLSVVCSYPITGAAVGEEESRVDFDMIETIDKDAVTVKLMQLVEQNHPVTL QWITDEELVANPDIVKSKNVRPPMGLGRVSLVCIGENSSIDSQPCGGTHVSETQEVGR IHIAKIEKKGKENRRFRIRFGTPGDEA YP_002975444.1 MTFHPSVLEAIGNTPLIKLKGASEVTGCTILGKAEFLNPGQSVK DRAALYIIRDAERKGLLRPGGVIVEGTAGNTGIGLTLVAKALGYRTVIVIPETQSQEK KDALKLLGAELVEVPAVAYKNPNNYVKVSGRLAEQLAKTEPNGAIWANQFDNVANRQA HIETTAKEIWKDTDGKVDGFVCSVGSGGTLAGVAAGLKAFRSAVKIGIADPDGAALYE FYQNGALKSEGSSITEGIGQGRITANLEGFTPDYAYRISDAEALPYLFDLVENEGLCL GGSTAINIAGAVNLARDLGPGHTVVTILCDYGNRYQSKLFNPDFLTSKGLPVPGWMAK SPDIHVPYEPV YP_002975445.1 MVHEQIDTIDALMAHYVAGSLPEPARVLVQSHLEMKPDNRSLVN SLELLAGEALENAPEVAIADRDGRLAAIFSSASPVTAPQTAARPENALFPQALRNLLG FEAEDVRWRRRLPGFREYSLDMDGCEVSLMWIRPGRALPAHTHKGMELILILDGAFND ERGRFGPGDISIADETVDHRPVAEKDRPCIAFAVSDGPVKLTGSFRQMIGDLIG YP_002975446.1 MRDFIAHPEHGIVWISGASSGIGRALALRLVGEGYKVAVTARSH EKLVELQAEANGLSGSIIVLDGDVTDAEDMEHVMASIEYEHGTLAMAILNAGVYLPVH AEDLNRTDFEKSFAVNLSGVVNCLLPAIRHMKAKGQGQIAIVSSVTGYGGLPTGAAYG ATKAALINMAESLKFDLDKMGIRIQLVCPGFVDTPATRKNAFPMPSLVSVEEAAREIA AGLKSQAFEITFPKRFTTMLKLARLLPYSAYFTLVNRVTGWRERPPSAGRHPVTPHAA E YP_002975447.1 MSKAQDWNLLARDAVTLTAENMSRMVKGLPFKAKLALRGLLHMQ HGSLAVTLPDGRRLLVEGKKAGPKAALSLHNWNLAYRALTSGTIGVAETYMDGDWDSP DIAAFLELFLVNGEAVYSYAHGKGGLGRLFERVRHWMNTNTKTGSKRNISAHYDLGND FYREWLDPSMTYSSALYSTGANDLQSAQNAKYRALAEATGIGPGDHVLEIGCGWGGFA EFAASELNCKVTGLTISREQLAFAEERIRKAGLDDRVEFRFQDYRDEAGLYDRIVSIE MFEAVGEKYWPSYFSKLRQCLKPGGKAGLQIITIKDESFDQYRSNPDFIQKYVFPGGM LPTRNHLAELAGKVDLSLVKDFGFGLDYARTLAEWRERFWSVWERIRPMGFDERFKRL WEFYLFYCEAGFRARNIDVRQVVFARR YP_002975448.1 MTELGEKRGMNAVANGPPPDAAAGLYVGEIMHQRMKPFGHRFRY RVFSLLVDLDRLDEAGRLSMLFSVNGRNLVSFQEKDHAETRNTSLRAYADRLLAEAGL DRADRILLVCYPRILGYVFNPLSVYHAYDAAGAVIAMIYEVRNTFGERHSYVCPVGRG EMSESGLRQSCDKLFHVSPFIGMAARYHFRMLPPGKEIRWRILETDSEGPLLSATFSG RQVPLTNASLLGLTARIPILTFKIMTGIHWEALKLWLKGARYVRRPAPPPEVSVRQTR PLAEAAE YP_002975449.1 MNAHFCPAPRRLRIAVIGSGISGASAAWALCQVHDVTLYESQAR AGGHTATVDVEYDGVQIAVDTGFIVYNEQNYPNLTALFAELGIATHASDMSFSLSLDR GRLEWSGGGLSSVFAQKRNLLRPSFLWMIREILRFNRTCLEDRTAGHLASRSIGDYLD WRGFSPGFTNNYLVPMAAAIWSTPSARMLQFPAEQFVNFFDNHRLIYRRQHQWRTVTG GSRTYLDRLLQPLGERVRLSCGVRGVIRSERGVTIIDETGGEHPFDKVIFACHSDQTA RLLVDATDRESRLLAAIPYQPNRVVLHRDQSLMPQRRKVWASWNYLRSSREDGKADVA VTYWMNRLQGIDNRFPLFVTLNPDREPDPHKMFAEFTYEHPQFSADAMAAQQALVTIQ GQNNCYFAGAWTGYGFHEDGLVSGLAAAEALGGIIPWRTARTWEPQPDVAA YP_002975450.1 MAKDAAKPVILWFRKDLRLDDNQALTAAHRSGRPIIPLYIREPA AAGTGPLGAAQAWWLHHSLEALNRSLQKLNGRLVLASGEALEVLCAFIKESAAEAVFW NRRYDPAGISIDARIKHELEKQAIEARSFGGQLLHEPSRLMTGNGTPYRVYTPFWRAL EGGGEPEPPLDAPAKLRLASQPKSEVLGSWKLLPTKPDWAGDFVDLWTPGEEGAQQRL HVFVEDALEGYKENRDYPARPATSMLSPHLALGEISPARIWDATRGLSQRVPAADIVH FREEIAWREFSYHLLFHFPRLASANWNDRFDGFEWRNNSGDFEAWRRGMTGYPIVDAG MRQLWRHGWMHNRVRMIVASFLIKDLMIDWRRGEAWFRDTLVDADPANNAASWQWVAG SGADASPFFRIFNPVLQGEKFDPDGDYVRTYVPELRELGPKYIHRPFEAPKSMLDEAG ITLGQTYPKPIVDHASARNRALAAYKAIRDAA YP_002975451.1 MSRSLIAASLLAIGMASSAFAQSNPSPIGPTNGGSTDPGSGTYS SDYTNDDNGIRPVPASPVDPTTTQSIGRPQTMECPGMPQQMSGVDTRGGQSGASISEA CREYDN YP_002975452.1 MFISFREAADLGLQIVLALTLTLSGMAVASYAVRNDKAKISAID VSRPSLWTAAPMRVDPATQAYLRADVSAALELADGADDLCHDPIGLRLSCDLIVTSGT AAF YP_002975453.1 MPMPRGFEKPYAAFLFDMDGTILNSIHAAERVWSDWARRHGLDV ATFLPKMHGSRGIDTITRLNLPGVDPEHESKLVTEAEIVDVGDVVAISGAATFLSSLP PDRWAIVTSSPLRLAHRRLEAAGLPVPRFMVTAEDVKVGKPDPQCYILGAERLGVSTQ DCLVFEDVAAGITAGEAAGADVMVITATHHQKMETPHPTLSSYDEISVRISSDHRMLI VPNAA YP_002975454.1 MAAEVKTTCPYCGVGCGVVATVDEAGAVSVKGDPEHPSNFGRLC SKGSALAETIDLDGRLLHPEIEGKQSGWDEALDLVARRFSETIAEHGPDAVAFYVSGQ LLTEDYYVANKLMKGFIGSGNIDTNSRLCMSSSVAGHRRAFGADTVPGTYEDIELADL VILTGSNLAWCHPVIYQRLAAAKTARPNMRIVVIDPRRTMTCDIADLHLAIRPDGDVA LFMGLLAHLVTSPAIDQNYIGAHTEGFGDAFAAAAALDINDLLERTGLPAMQIREFFR LFETTPKVVTCYSQGVNQSSSGTDKVNAILNCHLATGRIGRPGMGPFSLTGQPNAMGG REVGGLANMLAAHMAIENAEDRDRVQRFWNSPVIAAKPGLKAVDMFQAVADGRIKALW IMATNPVVSMPDADSVESAIAACPFVVVSDILKETDTTRHADVLLPSLGWGEKDGTVT NSERRISRQRPFLDIPGDARADWWQLAEVGRRMGFAAAFDFDAPDAIFAEHAALSAFE NNGSRDFDIGARAGINGSAYDELSPFQWPQAAGTEPSITRFFAEGGFFHPDGKARFVA VKPPATDRTNAEYPFTLNTGRIRDQWHTMTRTGKSARLSAHIAEPFAEIHPRDAIETG ISSAGLVEIDSPHGKAIVRALVTDRQARGGIFAPMHWNDQFAAKARIDAVVAPITDPV SGQPASKNVAVAVRPFRATHYGFAVSATKPAAPDAAYWALAKAAGGWRLELAFGETVE DWTAWCRAVFAIPAEIEPLGYADRQSGDLRLAFFDGEVLLAALFLAREPVAVARNWAI SQLSASHGDLRKRFALVAGRPGAGRPDPGATVCSCFSVGVNQIAAAVRGGCHSVEAVG KETSAGTNCGSCRSEIGSIIDRCLAAAAE YP_002975455.1 MKWIAIGDISDIPLRGARCVKTPQGKIAVFRTAENEVFAIEDHC PHKGGPLSQGIVHAKSVTCPLHNWVISLETGKALGADEGEVRTISVLNEDGRLFIALE SLMMAAE YP_002975456.1 MTEKLVIIGNGMAPGRMLEHLFEQAPGRYEVTIFNAEPRVNYDR IMLSPVLSGEKDYEQIIIHGDGWYIKHGIMLYKGHKIVNIDRAAKTVTSDHGVTESYD KLVIATGSVPFIIPVPGKDLPGVITYRDLDDVQAMLLAAQSREKAVVIGGGLLGLEAA AGLAQRGMDVTVLHVMPTLMERQLDPAAGYLLQKAVEERGIKVICKANTKAIVGNGKV EGIELDDGRIIPATLVVMAVGIRPSVGLAKDAGIAVNRGIVVDAGMQTSDGNILALGE CAEVGGMVYGLVAPLYEMARIAAAHLSGDRSPAFVHADTPTKLKVTGIELYSLGDFAD GDDREEIVLRDASAGVYKRLVLKDNKIIGTVLYGETADGAWFNDLKKKATDISEMRET LIFGQAYQGGSPLDPMAAVAALPDDAEICGCNGVCKGKITSTISGKGLTSLDDVRAHT KASASCGSCTGLVEQLMALTLGDSYNPTAVQPMCTCTELGHDDVRRLIKAKGLKSIPA VMQELEWKTSCGCAKCRPALNYYLVSDWPDEYADDYQSRFINERVHANIQKDGTYSVV PRMWGGVTNSNELRAIADVVDKFEIPMVKVTGGQRIDLLGIEKEDLPAVWADLGKAGF VSGQAYAKGLRTVKTCVGSDWCRFGTQDSTGLGIRIEKFMWGSWTPAKLKLAVSGCPR NCAEATCKDIGVICVDSGFEIHFAGAAGLDIKGTEVLGLVRTEDEALEYIVALTQMYR EQARYLERIYKWAKRVGLEEIRRQIMGDAEKRKAYYERFVFSQKFAQIDPWSERVSGK DKHEFKPMATIGYPQAAE YP_002975457.1 MSVIEKSQFMSAGEPAKALWISTVAFTLCFAVWTIFAIIGIRIK QDLGLNEAEFGLLVGTPVLTGSLVRIVLGIWTGRYGGRLVYTLTMLAAALATFLLSYA QTYTQMLIAGLGVGLAGGSFAVGVAYVSPFFPAEKQGTALGIFGAGNVGAAVTKFAAP FVLLAWGWQAVAEIWAVCLALMAIVFWFTTTDDPAFRLRRERRAVSKSFAQEFAPLQN VQVWRFSLYYFFAFGGFVALSLWLPRYLVGVYGFNLETAGMVAAAYSIPGSIFRAFGG VLSDKKGARSVMYTMLAVSAVATLILSLPAASGGGAGPAFGITPVIFIVVIFVLGFFM SLGKAAVYKHIPAYYPENVGAVGGVVGMMGGLGGFILPIAFGLLKDMTGLWSSCFLLL FAIVVISLIWMHLSVKQLSRQGHSAPVAAT YP_002975458.1 MMTTTSNSGDDMPSPVRVNSEGPKVLRAGFIPLVDASVLIAAAE FGFARKEGLTLDLVKDVSWANVRDRLAFRQFDIAHMLSPMPVASMLGLGSNPSPTITP FSLGRGGNAITLSTRLFDRMRNDVGLPETASALDNARALAKTLAAMKARGEPLPTFGV TYPFSSHNYEFRYWLAAGGIDPDKDVKLVVVPPPMTSDALAAGAIDGFCVGAPWNMVA SERGVGRIVAAKQDIWPSAPEKVIGMRPDWAESHPETVSRLIVALDAAAQWCDRPENH DALAAALADPRYIAAPVEIIRRVLAGEFSLDAKGNRRIIADYFMFHSGFANYPRPSHA LWIYSQMIRWGQAEISLNMARAAASAYRPDLYRTALGDDKSPEDADIRIEGSDEGDRF MDGHVFDPARLPDYVAGFAVKSALAFVCDDEV YP_002975459.1 MLNLLHCVMNIAMTHLDLTILVIDENAIRASIIEEGLREAGHVR VTVVHEVNGIARIIETLMPDVIIIDIENPNRDMMEHLFQLTRTVSRPIAMFVDRSDTA SIEAAVDAGVSAYIVDGLKKERVKPILDMAVSRFNAFNRLRRELADARSALEERKLVE RAKGILMKMRGLSEEEAFALLRQTAMNEKKKMSEIAQSVVTAAGLLM YP_002975460.1 MIDLYTWITPNGLKVSIALEEFGLAYRPHTIDITKGDQFQQDYL AINPGGKIPVIVDHETGITLAESGAILLYLAEKTGRFLPRHGAARHHTIEWLMWQMGG FGPTLGNAHYFLTYNAGEAPFAEDLFRRDTRRLYETLNVRLEGRDYLIDDYSIADMAV WPWVSRFKRHEIDLNAFPNIKRWYLRLAARPQVKRGYDVPHFTSEIPQP YP_002975461.1 MTKPVSSRVCRDVGAASELLKLIANSNRLAIVCYLMETEASVSG MEDELGIRQPTLSQQLSELREAGVIEGRRDGKAIVYRVIDPRVETIVQTLRDMFSGLD DVTGRFGMTKLPVDEMMFD YP_002975462.1 MKIGVHPNNLHLRLARLWPGAFVDLQPIFISYAEGRDTAALLES GDIDLGGTGSTPPIEADMRGLGVEYVAASAPRPANGAVFVRRGSPIGSVAELKGRRIS LIDGSFHTYLLARSLEGEGLSLPDVERERVESGARDSLADLLEGRVDAWVAMSPRLEK ALERDDVRLIVRCGAAIPNRSLFWTLERNGLSAEEIRSIVSELSRIGVEITADPRAAA RLLASGDRGGSGDRGRTDIDAWEKVVRSRDFTVFPIDDEIIAEQQEEADTLLRHGHFS KPIVLKRRETTR YP_002975463.1 MNVFWYMCAPDGAYPWQPEGSRQVDYGYYKQLAQAYDHLGYTGA LFATGAHDVWVLASALLAHTERLRFLVAIHPGLVAPTLLAKMAATFQEFARGRLLINV VSGDAKMLGAYGMTLPHDERYDMADEYLQLWHRLFAGESVTYQGKYFSTDGAKLALPV GESIAPPPLWFGGSSDKALEVAAKHVDTYLSWGETPAQIGEKVEAVKARAAHYGRELE YGIRLYVIVRDTDEKAWEAAADLYGRMDDAAIAANQRFVARSDSVGQQRMTALHGGLK PENLRDLEVAPNLWAGIGLVRPGPGTAIVGSPDTVLRTLEAYQKAGVDTFILSGMPLL EEAYRFGEKVLPRLDVSREVSKARNYTWSTLFDRDLSTVKSA YP_002975464.1 MATSEFHPIEQTAPDSGVAARLLRAIEAILGIAAAVVLAVLLVM VLVTVCLRYFFSAGFIGAEDLGIWLHVGLIALGAPLGLNSALAMRLDVFVKMLPENLQ KVTPIGADVFTVLSALILSFGGSEIMTMLGGVSPTLGVPEWIRFGFLGAGGALILVVL LLQRIAEGKILPVVISLAVGVALYAGIPHVSLDLDWPPSIFLGLIAAVGLVLAAPLPH AFLAAAYVVIAFGSSLPEPAIVSATVTGVSKFLLLAIPFFLLAGGLLTASGVANQLVR FAAAMVGHRRAGLAQTTLLTSVLFSGASGSSVANAAFGASTFQPELVKHGYRPAQAAA IIASTSVLDNVIPPSIAFLILATATNLSVGSLLVGGFFAGGLMAICLAVAIHLTVSEQ VPLPRANARQRWQSAVQAIPAFGLGVIVVVGIRIGIVTTTEAAALAAFYTLLLGIGAR LGILSLYAAFRQAAVEAAAIGLLIGTAGPFAFLLAVDDVSGLISHLTTVLGGSALTVI LLSNVILLVVGLVLDIGAAILLFGPILLPAAVAAGIDPIQFGVIIVVNLMIHGLTPPL GMLIFVVSGVTRIPASELFRAVVPYLLALLVSLAILCAWAIIFS YP_002975465.1 MDNFNRRNFLKTAALAGTALAAPAFVRTAAARTTTITIASLLGD DKPETKIWVKIGELVEAKLPGQFKFNIVRNGALGGEKEVAEGVRLGSIQASLSTVSSL SGWAPELQILDLPFLFRDADHVRRTVAGDVGADLKQKLQAQNFVVGDFINYGARHLLT KEPVTRPEQLKGKRIRVIQSPLHTKLWSAFGTTPIGIPITETYNALATGVADAMDLTK SAYAGFKLYEVVPDMTETGHIWASGVIYYASTFWAGLNDEQKAVFQQASSEGAAYFNQ LIVDDESKSVETALANGGKLLKPEAFDEWQKGAQGVWDDFAPVVGGIDRIKSIQAA YP_002975466.1 MSLRINDIAPDFTADTTQGPISFHEWIGSGWAVLFSHPKNFTPV CTTELGAMAGLAGEFSKRGAKVIGISVDPVESHAKWKNDIKTATGFDVEYPLIGDKDL KVAKLYDMLPAGAGESSEGRTPADNATVRSVFIIGPDKKIKLILTYPMTTGRNFNEIL RAIDSIQLTAKHQVATPANWNQGEDVIITAAVSNEDAITRFGSFDTVLPYLRKTKQPT A YP_002975467.1 MIDLHYWPTPNGKKVSIFLEETGTPYRLVPVNIGRGDQFKPDYL KLNPNHRMPAIVDHEPADGGGPLSVFESGAILFYLAEKTGKFWPQDLRGKYEVTQWVI WQMANQGPKLGEAGHFRRLGDREGDQSYAVRRFTDEANRLYGVLNMRLRDRRYLAGDE FTIADIVSYPWTVNWQAQGQDINEFKHFKRWFEEVGARPGVQRGLAVGADLSTDNSKL SEEEQARIRKILYNQRALPVPD YP_002975468.1 MTRRKRQSDIGKRLAKAMLSLCLLFSQVDTVKAQPEGSVGPAAC LYSGPSALGSGETLCIRKDSFNRDLCVAIEHFASASHLPPDYFARLIWRESTFRPDAV SFKGAQGIAQFMPGTAKLRGLEDSYQVLEALRKSAQYLNELRNRFGNLGLAAAAYNAG ENGLSSYLTSGRLPYDTRGYVLAITAHTVEEWKDNPPEDAAAPLDKDKSFLDGCVALA ERRTLKDTPWRQEGEWAPWGVQIAANANVAIVRRMFLDAVQDLPAPLNAEQPLILRQR DRSFGFRPRYAARIGRQTRMDANNLCNQIRKHGGTCLVFKNR YP_002975469.1 MRVLVIGATGHVGTYLVPRLVEAGHDVVTISRGTAKPYTANHAW AAVDQRQMDRAAMEQTGDFGPAVRGVKADIVIDMICFSLESAEHLVTALSGHVGHFLH TGTIWTHGHSTVVPTLEETPKFPFGDYGTQKAAIETYLLQQARLRGFPATIIHPGHIV GPGWTPLNPAGNFNLQVFSTLARGETLALPHFGLETVHHVHADDVAAMFMDAIANWNA STGESFHAVSEQALTLRGYAESMSRWFGHEPKLSFAPFDAWAESQTAEDAKATWEHIA RSPNCSIAKAGRLLGYTPRYTSLQAVQESVGWLVGQGRIET YP_002975470.1 MTITAPRDFLKGLFDAAVRAADPLTGIKAHLPETPKGRTVVIGA GKGAAQMARALESVWDGPLEGVVVTRYGYGCETRGIEIIEAAHPVPDAAGLAAAKRLT ETVNGLTEDDLVIALICGGGSALLPAPPDGLTLEDEIALNEMLLASGAPISAMNVVRK HLSTIKGGRLAAATKARVVSLIVSDIPGDNPAHVASGPTVPDGSTRHDALEIIRQYGL QLPQAALDHLNSPKADAPRPDDPIFLRHAHHIIASAGVSLEAAAALAKSQGIEPAILS DAIEGESRDVALVHAAIAREVSGRNRPFPKPVVILSGGETTVTLRTKGGKGGRNGEFA LAMALAIDGQEGIHVLAADTDGIDGSEDNAGAFVDGGTVRRLRAAGLDPRRLLDGNNS YSGFAATSDLFETGPTGTNVNDFRAILIR YP_002975471.1 MTSANNQHIDAVLAHVDRSLDDSLARLFDLIRIPSISTDPAYRE QCRTAAEWLSRDLTDIGFEASVRKTTGHPMVVAHEKAASGPHLLFYGHYDVQPVDPLA LWKSDPFEPRMEALPNGDTAIVARGASDDKGQLMTFVEACRAWKSVTGKLPVQVSVLF EGEEEAGSPSLAPFLDTTAEELKADAVFVCDTDMWDRETPAVTTMLRGIFSTEIEVTC ADQDLHSGMFGNAARNPLQVLSDVVSNLRRADGSVAVEGFYDDVKELPDSIKALWKRL PFDEKAFLRDIGLRDPAGEAGRSVLEQIWARPSCEINGMSGGYTGEGFKTVIPAKASA KISFRLVEGQDPYAIRDAFQRYVRERIPRDCSVSFRDYGLSPATVMPIDSPFLTRTLQ ALSTEWQCEAALAGTGGSIPIIGEFKRRLGCDALLVGFARFDNRVHSPNEKYDLSSFH KGIRSWVRILAALAD YP_002975472.1 MTVSLTIDSISAHYGSTQVLKDLSISIMAGELVSLLGSSGCGKT TTLRLVAGFLQPSSGSIKLGERDLTGLPAHARDIGLVFQNYALFPHLSVQDNVAFGLR QRRIPLPERTKRTMAMLERVGLAALADRLPSALSGGQKQRVALARALVIEPPLLMFDE PLSNLDAKLRIDMRVEIRQLQRANGTTSLYVTHDQEEAFSISDRVAIMNAGRIMQLDA PEVLYRQPANAFVARFVGFENLIGMKVTARNGALVTAETTGGARLQLSQETFGPIKDD FILACRADGLAVSRDGDGIAAVLGTRTYLGRAYQYKCMTSAGEITANGALSDPLETGA SAVLTPVAEQCCILDPED YP_002975473.1 MDRRIHPTLAAFAACVFFFLLAPLVIIIGAAVSDTTYLTFPPQG LTLRWFVNIFEIEAFRTTAITSLQVAFLGTALSLLIGVPAAYAINRYRVELPRWLSTI FVLPILVPEIVFGFSLMKSVTIGLGLPIFISLLVGHALLILPYSVRVVGASLAAFDFS VEEAAISLGCPPLKTFLTVVLPNIRAGVIAAFILAFITSINDVSVSVFLTGPGISTLP IQILAHMEQFFDPTIASVSVLLMFVTVGVMAVVEATLGLTFLTK YP_002975474.1 MKHFARWGLTMPATIAVVVLLIVPVAITIAATFAEPKGVFAPYV TFFSSGFRRAVLYRTLEVALVTTAISLTVGFLTAYVIAQMPGRAKSIMIIAAVFPLLT GVVVRSFAWLIILGKNGILNAMLISTGIIGEPLSMLYTEGSVIVAMVYLFVPLMILTL VGVLEGIPRDLIDAAASLGARPLMTFFQVILPLATPGLIVGAVLVFTGSFTSYATPQL LGGEKQMMMGTFLYQRAMVTFDWVGASTIAAIMVVLTLGVVLIMSRIARRLNPMAV YP_002975475.1 MKARRFAQILSLALLAAVGNTAAAHAQSKTLTISWWGYNGEKMN ANIITPFKKICGCEIVFETGNNADRLNKLKLRDGKGVDVIYLTDSFSQLGIEQGLFQE IDASKIPNLADIYELGKAPQGKYGPAYTIGRVGIVYDSAKVNPPITAWGDLWRDDLKS SVSLPGITTTAGPLVVLEAGKHGGADAYEDTDKAFSEIEALKPNVVKNYNTGSELVNL ISTGEVRVALAQDFTLASMQAAVPTMTWAKLKDGDIATLNTVNIPKGSENVELAHQFI NFILSKDVQQAEAEQGVDAPISTKVSLTPDQAKIWTYGPDMVASLTRIDYAKMNAAKA DWIDRWNEVFGQ YP_002975476.1 MVSTVRRFQLLTGIPFMATSHTVLPDDLLINASDEVLTRQDLVL TALGRRPADRLLRVGRLLDVHSRTWLDDQEIVIKGRRIAYVGPAGSYEGEVSERFSEP DLAAVPGFGEAHKHIESSHLTPEWEAALVMPHGVTWTCEASHEFSNVNGARNLEFWLE ARRRGSPMKIFPLPGSAVPPTAYEWGGGWFGYDEQKAFLSESLMVAGLDEVMDWPSIS DPGNPSYDRLWGMIGATFEQRGVVEGHGAGLRDMASINAFAAAGLASDHEGWFLDEIW QKLLHGLFIELRPHSLPEVIRGLIDKGLTDWSQIALVTDDRSASDTLKIGATDHNVRL AIENGLAPEIAIQCVTLNPARHMRLTPWVGSIAPGRFADIVLLDDVASLSIAKVWADG RPVSEGPTFIGARPEIDWPQWATRTVKIDRTVTADDFRIEAPPNRTTVNAALLRPFHW HDDFITMELPVEEGAVQRDPARNVTKFSIVDRFSGEAKVSRMFWLGTGPRTPETALAS TLGHDKHNIWTVGSSDEAMAISVNALNEQQGGWALVSRGKILARVHYEVGGLMTARSA EALDAEMQALYAAGAGIDWMYEPTFSPRWWPGFPERLSFATLTCSPWRWVLVAPSELA PEGFVNVLTGKTHPIVW YP_002975477.1 MATEMTRRPAGANEGKIIAFPAGTGTANVERCARELGRRHGADA IEFWKAECRRLADQLLAAGMPESDVGQRVLQFQQDVQSELVFSHQNGPLPKSQNR YP_002975478.1 MNETKIEVLEDGKPLAYGFADIMHYHGYGFPGGVAHAFKVMERA FPMLSADGPPERREISIRTAFRGPGGRDAFEMVTRAFSEGRYSVDPLLDRPERGDILA RYVFELSYRGRTVTLQLRDGHVREEFIMLGRKPDRTPQEEERLAWLKQEMADRLLALP PEAVYEEG YP_002975479.1 MSVLAIHPGAYYHIETLEAPRYRHHFDRLLRPEELLSVDLSEHQ VLFIPCRTPADRMAPHAAQLRAYLDQGGTIVATGETAWEAFLPAIHFTPQPTNWWWWL TEGADLGVRIAAPGHSLFEHLGQDDLTWHLHGWFAPPEGVEVLAVNEEGKPILYLDEV TTAGRMVITSLDPCFHHGSHFMPATTRFLDGFLPWMRKELDKEKSE YP_002975480.1 MSILLIQSHYQDPFSMSPPAFAEATAQGKLITVREMELTEAHFA AASGLITTTHLDQVGFLRYAPAVASFLDRGGRWIFSGHMLRPILPELGAYIPMRQPKR ANFVQVRQFDHPVFTGIDQSMLETNRGVAGFYGRGHNPMPSGAVAINTLGPAAIPVDW IWARPAGGELLSHAGNEFWGCGDDPDIKKQLAGRVIAWLAGELTR YP_002975481.1 MIGLTDAVVRFGARTILDRLSFSVPVGRSLAILGPNGRGKTTTL KAMLGFQRLDAGSRVAPAIVGYVPQTGSSNQRYRAIDVAVMGRAAHLGLFGQPGPSDF EIAHAALERAGAARFADHFFDRLSGGERQLVLLARALATGSEAIVLDEPAAALDLRNQ ERLLNLLKSLREPRDKAIAFTTHDPNHALAAADDALLMMPDGQSLFGPVAETITPEHL ERLYGVPMRVVELIGPTGDGHQAVLPAFAGIRAA YP_002975482.1 MATIMQEETAPAARRIHLARQTLVFTLLLALLVIAFTVSLCVGR FSVPAGRALELIWQGISDPSRELAGIDERIVLLVRAPRVVLAALAGAGLAISGAGLQG VFRNPLVSPDILGISQGAAFGGALAIMLGIWGFPLIAMVFLCGMAAVILVGLLSRLNG RSETVTVILSGLVISSMFSAVVSLLQFIADPNTSLPAIVYWLMGSFATATWERTLVAA PGLILGSCFLWMLRFRLNILSLDEAEARSLGANTIRERWLVFGLIAVIVGSQVAVSGI IGWIGIVIPHAARLLVGHDHRALLPAAAVLGAGFMVCIDTLARTATAAEIPLGVITAL VGAPIFAALLRHHYRERTGS YP_002975483.1 MVPLSLFITTASRRRMAAALAAVLFAPTAFAEEIVFKDQGNREV RLAKAAERVVSIVIPMASTVIALDGSTRKLIGMNPTAKSAVVEGILGKIFPEAKDIPS DVTAPNFVPNVEALTAANPDLVIQWGDRGADIVAPITNAGLTTMLILYGTEELTRDYM TMAAKAIGKPERIDELVEWRDRVQKDIEAKTTAIPDDKKPNVLYLGRALSDISASGTK GNYNAWSIELAGGRNASDEINGTVSVNKEQIAAWNPDVIFLNAFEAKLNVDWVYNDPI LSLTNAAKSKRVYKMPLGGYRWDPPSQESPLSWMWTANLLHPEIFKYDLRTEMKTAYK TLYNYDLADSDIDNILWLKEQGAAADYAQFEAK YP_002975484.1 MRSMSDTLARLAALRGTLRAQPTQNNPDLVALDAFGSNPGALGG YTYLPSKRGKNLALVVVLHGCTQTAAGYDSGSGWSRLAEDYGFALLFPEQRRANNPNL CFNWFNPEDISRDHGEVFSIRQMIAKVVAEHGIDGRRVFVTGLSAGGAMAAAMLATYP EIFAGGAIIAGLPYASAGTIPEAFDRMRGHGSPTAKELELRLRNASGHKGPWPTLSLW QGSADRTVVPSNANAIVEQWRNIHGVDASPGRVADIGRHQRKVWTDAHGVDVIELYTI AGMDHGTPLDVDTGYGASGPFMLDVGISSTVETARSWGLVPSFEKRRDAKPSAAQPEN AAGPFGAGSDRGGIQKVIEDALRSAGLMR YP_002975485.1 MVEIDGIIYYVEYAFYNLSSEIPMDPFDSVLSAMQLQSSLFVRM RAHAPWAMSFDSGGQARLIVIAKGRGWFTQVGHPPVVVEEGDCLIIKQGVMGILGDAP DRVAVPCWQIADHVTGETVSFGGDGEACEFFSTLFTFDHAAGEPLSALLPDVVHVAMA KSDAGRMVSILEQIGKEEAQASLGGSYVVGRLLDVLFIQAIRSWASSEGNMPEGWLAG LTHRQLAQTLHRIHADLAHPWTLEQLARDVGMSRSTFAVLFKSVVGVPPLTYITTWRI YRAKLILAAGHSISAAAAQTGYGTDIALSRAFKAATGVAPGQWRRERRGVDRPVPSGD RSRAPVRHPVPADL YP_002975486.1 MKIYRLQKIGSVNGLELREEPTPSPGPGEVLVNIKATSLNFRDL TIINGWSPFPLEEGRVQLSDAAGIVEAVGSGVVRFAVGDRVANNFMPGWHAGPFREFV PQYGTQIDGWMAEYRTVDQNELVAIPDSISFDDAATLPCAAVTGWNAVAGVGPQHRVL TQGTGGVSLFALQFAKARGAEVIATTSSNEKAERLRALGADHVINYAENPDWGELAKA LTGGRGVDRVVEVGGPGTFAQSLKAVALSGQVSMVGVLSQGEMPGYLDMFLTFARFQT IATGNRLDLEDVIAAVAQNGIRPVIDSRFTLADGKAAFEHFGKRNVFGKVVITNEG YP_002975487.1 MAKLTDKVAVITGGGSGIGLASAKLFAQEGATVVIVGRNKDALD KAAAEIGGSAAAIVADVADLDALDALYAEVGKRFGRIDVIFANAGVNNLAPFEAVTVE DFDTQFNANVRGLFFTVQKALPLLSDGASVILNASVAHGKGTPMHSVYAATKAAVRSF ARSWTTDLKHRKIRVNSLSPGLVETPIFGKLGVPAEAIKEGLPAMLAQMPMGRVGRPE EAATVALFLASADSSFVTGIDLPVDGGMGQV YP_002975488.1 MAKKTSQTSPSDNATIHDQKLHRGAGGELHQFAEDGMPVLTTAQ GGPVSDDQNTLRVGARGPALIDDFHFREKIFHFDHERIPERVVHARGYGAHGYFETYE SLAAYSRADLFQRPGEKTPAFVRFSTVAGSKGSFDLARDVRGFAVKIYTQQGNWDLVG NNIPVFFIQDAIKFPDVIHSVKPEPDKGFPQAQSAHDNFWDFITLTPESMHMIMWVMS DRAIPRSFRFMEGFGVHTFRFVNAADESTFVKFHWKPKLGLQSVAWNEAVKINGADPD FHRRDLWQSIQSGAFPEWELCVQLFDQDFADTFDFDILDPTKLIPEEILPVKPIGRLV LDRMPENFFAETEQVAFMTQNVPPGIDFSNDPLLQGRNFSYLDTQLKRLGGPNFTHLP INAPKCPFHNFQQDGHMAMRNPVGRVNYQPNSWNQGPRESPVQGYRHFQAEEQGPKVR LRPESFADHYSQARQFYISQTPPEQRHIAAALIFELSKVETPVVRERMVSHLLNIDET LASKVGHALGFKSMPKPADAAMPTRQDLEPSPALSIIERGPKRFEGRKLGILVSDGTD AAIFKALLAEITEQKATFKVIAPKIGGVTLSDDNWIEAHQMIDGGPSVLYDAVALLPS AEGTGDLLKEATARDFVADAFVHCKFIGYVETALPLMQKAGIADSLDEGVIALGAAKD VTAFIKALGKLRVWGREPSVKLN YP_002975489.1 MSVSMTGSGEIATPVESSKSAMTWGPIFGGAAAAIGVTLILLLL GSGVGLTMVSPWSGQSSSLGTVGVTAAIWLVVVQWLSSGLGGYITGRLRTKWAAVHTD EVFFRDTAHGFISWALATIFVAGFLASSLTSLAGAGAQAVGSAATAAGTAASSAASTA DLPTAYFTDALLRPEQARAGATSDDTAATAEVSRILLNGAAAGQIPDDDKAYLATIVS ARTGLSEADARTRVDTVLKRIDDAKVAAQKAADEARKAASTTALLGALSLLIGAFIAA AAAAFGGSQRDEEEDLLVTPRI YP_002975490.1 MKDLLSETDVTWLMPLNFEPRSLFPAGLVIDDLHAELIEIDKNL ARSELTSGEESAIS YP_002975491.1 MNDRQETRGGEKRKARAVRFGIDHASGRLMLGSFSIGMPRSRIA RMALGMALIFCGVLGFLPILGFWMLPLGFLVLSHDLPFARRLRRRLAVWWHRRRKPGG YP_002975492.1 MKVSFVVVLSAAALLSGVSAEAQVDSGGFDARGICRRPEGCVVD HGQGGSYNGPRNYRNFNGRNERDGRNDRERDNRRYRSQNRSENFDAGTSRLVAGLEIG LQIRV YP_002975493.1 MDWAALKSKRVRAAYDAETRDLHVKFPGSPPVKHADIPPHVHQN LLETDDPYFYYQYYIAPSRVSPGPRQPASVASYAVKLVLLLAACSLLMTTGLDPDHGG TFEESELRSN YP_002975494.1 MLLVACNEATGSMLEAMLGWGDGKTSRIYTKMPIARAWHDRLVA GINWDGVGTKLLALTDESAVANG YP_002975495.1 MNIINHAGMSSAAAIASLDEDVIQLLYMTAKEVDLCCLMTDGEW EDYDKTVVNRACDLGLVTIHSPGGGWSYVTGWRLTKLGKETIGIPYKLSILSRLLAFV TRVER YP_002975496.1 MGVRMQTFMIQSRGIEALHYNADARLLLIKYSSGDIRSFTRISP QGMRRLLGAGPEIDNGPELSDLQYQAQIRKGGLKALYRLTGINPLQFDFSPGQRVW YP_002975497.1 MSAIFEYFTLFDFFIVAALIGIFCCGLLDGETTWKR YP_002975498.1 MDFEAARVKMVDTQVRTTDVTSHSVLTAFLTVPREAFVPEKAKL LAYIDNDVEISAAASGKPARFLMEASPLAKLLQLAAITKDDFVLEVGCGTGYTSALLS IVAGSVIALECDETLATEAKAQLAGYAKVEVVAGPLENGYAAGAPYDLIFINGAVEEV PAALLGQLRDGGRLITVEGYGNAARAKVFVAERGAISENVFFNASVKPLPGFAKAREF VF YP_002975499.1 MAQPSVAREPSMEEILASIRQIIESNEPGAGKAISASLPPVYGA DEDDNGSEIHLTVDDTYAGVEFPEPVMRSSDPRFVAANSAGTAPEAEVPARAMSLADV AARVRAASERSAVQAGQALREIPSGFRQPEPQPSVMPEPPRTAAPQPQPAQPVFPNAA APVQHVAIQQPAEPVFTETQRVAVAEPAPAVETTLPAMEPAQSSTDRFLPSVMDEAQP TLLSEDAGLQISRSFEELAAAIDGAERRSLDEIAEDMLRPMLREWLDDNLPTLVERLV REEIERVARGPRR YP_002975500.1 MLDKTYDSAAVEPKIAAKWDEADAFRAGANARPGAETFAIVIPP PNVTGSLHMGHALNNTLQDILVRFERMRGKDVLWQPGMDHAGIATQMVVERKLMEQQL PGRRDMGREAFIDKIWEWKAESGGLIFNQLKRLGASCDWSRERFTMDEGLSKAVIEVF VTLYKEGLIYKDNRLVNWDPKLLTAISDIEVEQHEVKGNLWHLRYPLEKGVTYQYPIA FDEEGKPTEFETRDYVVVATTRPETMLGDTGVAVNPKDERYQGIVGKHVILPIVGRRI PIVADDYADPAAGTGAVKITPAHDFNDFDVGKRAGLRVINIMTGDGTITIKDNEDFLE GLDNPAALHGAWDRLEGQDRFYARKVIVEIFEEAGLVDKIEPHKHMVPHGDRGGVPIE PRLTEQWYVDAKTLAEPAIASVREGRTKMVPKSWDKTYYEWMENIQPWCVSRQLWWGH QIPAWYGPDGQVFVEKTEEEALQAAIQHYLSHEGPMKAYVEDLLENFKPGEILTRDED VLDTWFSSALWPFSTLGWPDETPELARYYPTNVLVTGFDIIFFWVARMMMMGLHLMKD EDGEPVEPFETVYVHALVRDKNGQKMSKSKGNVIDPLELIDEYGADALRFTLAIMAAQ GRDVKLDPARIAGYRNFGTKLWNATRFAEMNGAKSDPHFVPEAAELTINRWILTELAR TERDVTEALEAFRFNDAAGALYRFVWNEVCDWYLELLKPVFNGEDEGAKAEAQACSAY ILEEIYKLLHPFMPFMTEELWAHTAGEGKERDTLVCHAEWPAPSYADDGAADEINWLI DLVSGIRSVRAEMNVPPSATAPLVVVKANNLTRERLFRHDAAIKRLARVEAISLADDA PKGAAQIVIAEATICLPLGNLIDLSAEKARLEKAIAKMEGEISRIDGKLSNEKFVANA NPEVVEAERDRLEELKGQIASLGIALSRVSEAG YP_002975501.1 MASRRFSKGITSLVLLSSLASPSFAGGLERGGYNIDLLFDNSRF AVQSGATYVMPQRKLKNVKDTDATDGLGTNGIGGGSTTADDTEDYWVPYLGVKVGLGD SIDCMGDFSTPFGAHTNPGADWLGVNDNIETKISTRNYGATCSYRFDMGPGQLRLIGG GFYQTVDGFKTRLVAPITGAAALVYDGTGRLDLADQAMGWRAGIAYEIEEYAFRASLV YNSKVKYDDLTGTVDLTEVPKAVSPTNPYLGVVTPVYGSAEAPDSVELKLQSGIAPDW LAFGSVKWTNWSVLQSIAFCPKATKGVVACSASSQLTSLDLFYRDGWTVSGGVGHKFN EQWAGALSLTWDRGTSQGYGAQTDTWTLGAGVSYTPVENVEWRLAGALGIMTGGESGT YVYNGRTYGNDVSYSFGDDLLAALSTSVKVKF YP_002975502.1 MVTSEFKLFKFMLMGMSIAVALALSGPCRAFDIKGGVSKESGPF DLFKFGFKAYKNGQKEEAVEAYKYAAEKGHTGSRWALANMYADGDGVTQDDFEAFKIY SEIAQQGVEPGSEDTGFFVNALLSLASYYKHGIADSPVRIDLSQARQLYFQVASTFGV PEAQFQLAQMMLAGEGGNASPQQAKKWLNQARKSGHPGAMAVFGNILFDEGQTARGLA LMTAALDRCKPKDCGWMEALQEQAFSVANEADRRTAVSLSHTIASGTDD YP_002975503.1 MKIATWNINGVKARIDNLTQWLKDSDPDIVCLQEIKTVDEGFPR LEIEALGYHVETHGQKGFNGVAILSKNSPFEVNRGLPGDPLDEQARFLEAAFTLSDTR ILRVCCLYLPNGNPVETEKYPYKLAWMERLRTFAAERLAYEEMLVLAGDYNVIPEPHD CFDPKVWENDALFLPQTREAFRRLENLGLTDALRATTDATQLYSFWDYQAGAWPKNNG IRIDHLLLSPEAADRMTSAAIEKHVRAWEKPSDHVPVIAYFDFAG YP_002975504.1 MTDTSVTLSDAAAKRIAAIVGAETGKSALRVSVEGGGCSGFSYK FDLADGAGDDDIIVEKNDAKVLIDSLSLVYMAGSEIDFVDNLLGQSFQIKNPNAVASC GCGTSFSI YP_002975505.1 MTIDTRALGFGSSERAVFAADPWTTRGRLYQEDGSPTRSDFQRD RDRIVHTTAFRRLKHKTQVFIAQDGDHYRTRLTHTIEVAQIARALARALKLDEDLAEG VALVHDFGHTPFGHTGEDALHEVLLPYGGFDHNAQSLRIVTKLERRYAEFDGINLTWE SLEGLVKHNGPLLTPDGVGTRGPVPQPILDYCELHDLELATYASLEAQVAAIADDIAY NTHDIDDGLRSGYLTFDMLEEIPFLAGLMAEVRARYPHLEPSRFTHEIMRRQITRMVE DVIGVAQQRLSLLRPESAADIRAAGQVIATFSEGMAETDRQIKAMLFKRIYRNPDIMR IRAGAAQIVTDLFAAYMANPKEMQSHYWVDHIAGLADAPKARHVGDYLAGMTDTYAIS AHRRLFDHTPDLR YP_002975506.1 MNLFTDFESRIKTALEQIDLVREKRSELDFGRITVEPPRDASHG DVATNAAMVLAKVLGTNPRALADVIITKLKEDADVADVSVAGPGFINIRLAVGYWQRL LASMIGAGTDYGRSSLGKGKKVNVEYVSANPTGPMHVGHCRGAVVGDALANLLAFAGY GVEKEYYINDAGSQIDVLARSVFLRYREALGEKIGEIPSGLYPGDYLVPVGQSLAADY GVRLHNMPEDQWMPIVKDRTIDAMMVMIRDDLAALNVHHDVFFSERTLHANGAAAIRT AINDLTFKGYVYKGTLPPPKGQLPEDWEDREQTLFRSTEVGDDIDRPLIKSDGSYTYF AADVAYFKNKFDRGFDEMIYVLGADHGGYVKRLEAVARGVSDGQAKLTVLLCQLVKLY RNGEPVKMSKRSGDFVTLRDVVEEVGRDSVRFMMLYRKNSEPLDFDFAKVTEQSKDNP VFYVQYAHARCMSVFRQAREAFADLDVSPENLAKTVAGIGDPAELQLVAKLAEFPRIV EAAAQSQEPHRVAFYLYDVASSFHAHWNKGKDQPELRFVNDKNRESSIARLGLVYAVA SVLKSGLAITGTAAPDEMR YP_002975507.1 MADKQLAYDTRGKNDLFSDDDPLAELARIVGFEPRVAANTVTET ARREPALDLEDELGREFDRYDSPRPLAELDRPAEPISDDLTPEDYVEPVLDASPTAEH AEAPEPVSASVAAFEAEEAALPAAGNGDASVADWAEQLSPEPDASVQSAFGGARDLIE ELELSIGAAPVSSLAQPTKAPQWSAASIRLPLANFHAPKREEPVVLPEPVAETVAAPV AEAPSADLPVVEPQSVIEPPALVAAVEPSEEFESASPSLGFPAELDRHDEVIAPEETA EAEEFVEVEEELEDFGSDAGFDLIAAAVEGEIQADAALTEVVPDVPHTAGTFDLDDLL ADVSRYPVPQRANPAPVSPQPASIEAAPVPAAPVAAEPVQSEVIAPPPLAAAPVRPAP VEPATVYAEAARPVAPQLAEVVTPQPAATAYSPAPQPVPEADDPFAGHDFELDLAGIE LELTDLDFSEPSEPAPQPEPPAPAPQQAAAVAPRSAAPVFAPEPPAPAFEQAAAAPAR SAPAFVPEPQAPAPAFNWAPVSDSTEDLPFDPAMISDPEDRPEAVDDMHVPALPPVEQ PAPVAKSADFDFDLDAEIASFFEPAKPRQTPAPVRDTAAAAAKPVKPTIADGLDDFER ALEEDFRRSVREPVERRETSEVRIESASQAADFSRARSMRQLLAGAVVLVVFAGVGYG VYSSVWNGEGLGIVASGEPRVITADKEPVKVVPENPGGKTVPNQDKAVYDRVAGSAEE PKQKALVSSDEAPVDVVQRTLTPEALPEDDENANADDQVTPTAVGETEDPRLLPTQDN ADNAPATDADKTPSVSPRKVRTMIVKPDGTLVAREEPAPVDQPTPSAQATQSAQATQS AQATPPAQPPFTAPSTPPVPPVGGTAASFPARAEVASADARSAAPVETAPVQPPLAGS ADAQAANPAQVAPPVRPVKTSATADTAPIPTARPVDQPVNVVGTVTEKGNVRPPAQQP KPTQQPKTTEVAAAAPVAAKPQQAASAGGYGIQIASLPSEDEATKSYANLSKKFASVL GGRSHEIRRADIAGKGTFYRVRIPAGSKDEAAALCEQYRAAGGSCLISK YP_002975508.1 MTESKAMILGCNGLALTSEEKAFYRGERPWGFILFGRNISEARQ IADLVAELRDSVGWHAPVLIDQEGGRVQRIRPPVLARYPSGQALGELYRRDRALGLRA AWLMSRLHAFDLSSLGIDVDCLPVLDVPVEGSSNVIGDRAYGADPETVIAMGRAAAEG LKAGGLLPVMKHMPGHGRGFADSHLELPVVTVSRDELELHDFPPFVAMKDELMAMTCH LVFTAIDPDNPATCSRKVIDGIIRKHIGFNGLLLSDDSSMNALSGTIGERAANIIAGG CDIVLHCNGNMDEMLDVVANVPPLAGISLARAKAVEAGFAAADTSDEAELRAEFEAMF ATV YP_002975509.1 MNTVKGTERPQAATPMDKLWQDNAAERATHEPALVIDVAGFEGP LDLLLYLARNQKVDLSRISVLALAEQYLLFIESARRIRIELAADYLVMAAWLAYLKSK LLIPQQIKDDSPSGEELAATLAFRLKRLEAMRQAADGLINRNRLGRDIFVRGAPEHIP DRQQSAYAASLYDLLTAYAALRQRHAVTQVTIERRTVWSLTDARELLTKMIGEVADWT AMEHYLLRYLAAPEERVTAIASAFAASLELVREGKLEIRQDGAFQPIYMRRGPKHATL QVVEQEQPA YP_002975510.1 MIDPKSEEDFEDNYEDRGRDLQAEIEAERIAEALVFASSQPVSE AFLAERLPEKTDVHAIMLRLKEQYAPRGVNLLQVEGAWAFRTAADLSFVIRRDDNEVK KLSRAALEVLAIIAYHQPVTRAEIEDIRGVQTSRGTLDVLMEAGWVRFRGRRRTPGRP VTLGTTRDFLDHFGLEELRDLPGLEELKGAGLLSGRIPANFNIPSPLMNDELTEDEDP ITQMDLEELGLLAPRGTSED YP_002975511.1 MMGSFSMWHWLIVLVIVLLLFGRGKIPELMGDVAKGIKSFKKGM TDDDAPDTAKTVDHKADETK YP_002975512.1 MFDIGWTELLVIAVVLIVVVGPKDLPPMLRAFGKMTQRARKVAG DFRAQFDEALREAELDDVRQTISDAQKLNPVNSLREAMNPLRQMGNEIKADLQKSTTV TENKTEVPPDAVAAPTPSMSLPETPPLVATPAPSEPVAAAIVQADTVAGKPKAVRKPL VKAADKVDAAAAIAMPVEKPKRTTAARKPATPKTPAQTKKDEA YP_002975513.1 MSGDTEDKPQPLIEHLMELRRRLMWSIGAFFVAFIACFFFAKHL FNYLVIPYKTAVIWAHLDVEKAQLIYTAPQEFFFTQVKVAMFGGLVVAFPIIAAQVYK FVAPGLYKNERQAFLPFLIASPVLFLMGGALVYFFFTPMVMWFFLSMQQAPGHDEVAI SLMPKVSEYLSLIMTLVFSFGLVFQLPVVTTLLARVGLLTSQWLAEKRKFAIVLAFVV AAVLTPPDPMSQIGLAIPTILLYEISIHAARLVERQRARQAVEKETGSADVAKTDSV YP_002975514.1 MLDIKWIRENPEALDAALAKRGAEPLAQSLVALDEKRRSAVQKA QDLLSRRNLASKEIGAAMAQKNGELAEKLKAEVSELKTLLPAIEEEDRQLTAELNDAL SRIPNIPFDDVPVGKDEHDNVVTRTVGEKPRWNHAPKEHFEIGEALGYMDFERAAKLS GSRFTVLTGPLARLERALGQFMIDLHTSEHGYSEVSSPLMVRDEAVYGTAQLPKFADD LFRTTDGRWLIPTAEVTLTNLVREEILDQEKLPLRFTALTPSFRSEAGSAGRDTRGML RQHQFWKCELVSITDAESAVAEHERMTACAEEVLKRLGLHFRTMTLCTGDMGFGSRKT YDLEVWLPGQNAFREISSCSVCGDFQGRRMNARYRGKEDKNNKFVHTLNGSGTAVGRC LIAVLENYLNEDGSVTIPDVLLPYMGGLTKIERAA YP_002975515.1 MRILLTNDDGIHAEGLAALERIARTLSDDVWIVAPETDQSGLAH SLSLSEPLRLRKISEKHFALRGTPTDCVIMGIRQVMDIKPDLVLSGVNSGSNVADDVT YSGTIAGAIEGTMQGVRSFALSQAYLYEDGARIVPWEVCETHAPALLEKLMVLDLPDG TFLNLNFPNCRPDEVDGAEVTMQGKLAFNLQVDARSDGRGFPYYWLKFGERAGAFVEG TDIHALKHNKISVTPLKLDLTDYSVTDRVARALGYGAQV YP_002975516.1 MTARLAEKEGFAALVLRLRAEGISDLDLLTAVEQTQRSLFVPPQ FADDAYSSRTIPIECGSFLEGIDFAVRILHHLKLKPGQRVLEIGTGSGFTAAVMGRLA ERVLSIDRYKTLTTAAQRRMESLGLRSVVIRQADGSAGMQGEGTFDRILVTAAFNAMP RFYTDQLVSGGSMIAPLMISENECRMVRLTKTGSRFEREELFDAPYLPIVPRLASLL YP_002975517.1 MRFSLSPKFGKSAGNLLVVSLLASAATGCSSDVTRFGGLFSSSG QDQITTSSIPRRNMNGSQGDPVPRADLGGSAVASQSGYGGGNDALNQPYPVRQGYDPT RTSSSSARLASAPVSVQRSELAAPTAAAPSRQREKEVALAQPFPTAPQAERPRLVAPA APKMTPDTLTTGTTPKVSGWSATNAPSVTLRPGESIATLSRRFGVPEKEILRVNALKT ASAAQPGQAILIPTFNGGNAAKAASQAADLSKPGKMPDAPKAPEQNVAVIPGANSARD KTLGSADVTGKLPAGAGKDPKAPAGTYVVKQGDSLAKIAKATGSNVDDLKAANNLSAS SLRIGQALKIPNGTADNIKTASIPAEKVDPKPTQPAAPQQTASVQPAPYKAPAATQTV DDVEKKSDVSSAAPESTGIGKYRWPVRGQVIASYGANVNGNRNDGIDISVPQGTPIKA AENGVVIYAGNGLKELGNTVLVRHDDGTVTVYGNADTLSVTRGQKIQRGQTVAVSGMS GDVKQPQVHFEVRKDASPVNPMTFLE YP_002975518.1 MTEEINTALLAELRRLADAVERLAGPAPARNDWDAADCFVWAPL RQHLQPVKRPNRVALTLIRGVDHVRDILHENTVRFAEGYAANNVLLWGARGMGKSSLV KAVHEDVRRESSVLLKLVEVHREDISSLPHLLDLLKDTPYRVIVFCDDLSFDHDDTAY KSLKAALDGGVEGRPDNVLFYATSNRRHLLPRHMMENEQSTAINPSEAVEEKVSLSDR FGLWLGFHKCSQEDYLGMIDGYADHFKLGLERDKMHAEALEWATTRGARSGRVAWQYI QDLAGRMRVHIDRD YP_002975519.1 MFITPAFAQSATDTATGFGGSGFEMIILFVPLMVVWYFLLIRPQ RAQAKKREETLKAIRRGDQVVTGGGLVGKVTKVVDEKEVEVEIADGVRVRIVRSGISE IRVKGEPVKADAA YP_002975520.1 MLHFSRWKTLLIWLAAFAAIVIAAPNLLTEAQRSSLPDWLRHDR VVLGLDLQGGSHIVLKVERSDIVRDRLEELVANVRNALRGASIRYTGLTGNDQTVTVR ITDPAQTQAAVDLLKPLTTAGGHSGSEVALQQGEEGQLSLQISDAGITADVASARTRS LDIVGRRIAGFGYDNFLVHPDGADRIVVQVLGSVDAERLKNILNQPAKLSFHLIDESM SGQEALNGRWPATSQVLYSLDDPPIPYLVDRTAFANSGNMVDIEPVIDPQTQETSIAY RLDAEGTQRLAQATGQNIGKHLAIVFDDQVMSSPVIDAAITGGEGRISANFSEDGVRD LAIMLRAGALPATLTSVEERSVSPRFGADSIFNGIVAGLVAVVLVAALMIALYRILGI IAVASLFLNLILIVAVLSLAGATLTLPGIAGIVLIVGMAVDSNVLIYERIREEEKTTH SFAEAVGRGFSRAFATIVDANVTIFIAAIILFFLGSESIRGFAVTLAVGILTTVFTAF TLTRSIVAVWLSARHPRHLPKSVLTHLFEHANIRFMGIRRYVFTASAVISLIAMAAFA TVGLHLGVDFTGGSLIEVTAKQANAEIADIHSRLNDLNLGDVSVERTGGPANARIRIA SQGGGENAEQSAATLVRGELQEDYDFRRVEVVGPAISGELTMMATLGVLAALAAILIY IWIRFEWQFAVGAIVATLHDVIIMLGLFVLTGIEFNLTSIAAVLTIVGYSLNDTVVVY DRMRENLKRYKKMPLPILIDASINQTLSRTVLTAATTLIALLALFLFGGEVIRSFTFA MLFGVALGTFSSIYIAAPVLIVFRLRPEAPDGEESNKTDAGVKSGTVV YP_002975521.1 MAKGIEIRAAHFPGRAPIDAYGNGGFRFADMSHRGSILCLPSGI HGWDMDMSKPLSPENFRRVLDEAADIEVLLVGTGTELRRLPEELRLALKSRGISSDPM STGAAVRTFNIMLAEQRAVAAALIAV YP_002975522.1 MTEANIATNQEICLAMLRDSDRDRYLACLLSPEAKRGALAALYA FNAELARIRDLVHEPLPGEVRLQYWHDLLEGSAHGSTAANPVAAALLTAIETHRLPRK TLIDMIEARTFDLYDDPMETRLSLEGYAGETASALIQLASLVLSPEEAARSADAAGHA GVAQAVAGLLLLMPVHRRRGQIYVPLQILSATGLDRDAFLAGKDRPRISAAIEAFAGL GREHLAKARAAGPIAPAVFPAFLPATLAEPVLIKAQKRGALLFDRPLQPPQWRRQLRM GLAAARRKI YP_002975523.1 MGIIVWCVLFAIVIFIAFVATRMAAQNKEDGLHDTGLAIVEFGR AFPNEAIRQLQTTENGQAVFVRLHDNKAGFMRNMSRHFACHLIEPGRVRVVGSETGRG LVIDFLDAPYHNGDFQFASAKEASEVSLWLLGNYIAEPDKDLPPGNISAANKQ YP_002975524.1 MNTISSYSPIHVVGGGLAGSEAAWQIASSGVPVILHEMRGVRGT DAHKTDGLAELVCSNSFRSDDATSNAVGVIHAEMRMAGSLIMAAADRCQVPAGGALAV DRDGFSEAVTKAVHDHPLITVVREEITGLPPRDWDLAIVATGPLTAPSLASAIQTETG EDSLAFFDAIAPIVYRESIDMDICWYQSRYDKVGPGGTGKDYINCPMDEAQYNAFVDA LISGDTVGFKEWEGTPYFDGCLPIEVMAERGRETLRHGPMKPMGLTNAHNPTVKAYAV VQLRQDNALGTLYNMVGFQTKLKYGAQADIFRMIPGLENAEFARLGGLHRNTYINSPT LLDPSLTLKSRPGLRFAGQITGCEGYVESASVGLLAGRFAAAERKGEAISLPPATTAL GSLLGHITGGHLVTDEEPGKRSFQPMNINFGLFPELQPGSIVKPEGVKRFRGKDKTIM KRQLIARRALADCATWLGQESTLAESA YP_002975525.1 MNPIRIARSWLSYRRTLNELGGLSNQTLSDIGVSRYDIRNIASR SFR YP_002975526.1 MNFSRSFNNWRKYRQTITELGRMTNRELHDLGIDRSDIHRVARE ASSR YP_002975527.1 MYRGRLERDLSLWVGKGLLGQETAGALLAEYDSRPASFSLGRVL MALAAVLLAAAILLVVASNWEAIPRLVRVGGILALIWVVHIAAARMLARGATAAAGGL LVIGAMSFGGAISLVGQMYHLSGDEQTVMYLWFAIATISAILFRSGAVTVVAGFLSWA SFAVYLENNDTHWIGFAPWMAPVMAVIVIGLVRYTGAERARHLAYLLLIGWLAWLYTL YEEIAVALAFAIGGMAAFVLTALPPRPIASLVRTAGAAPAFYSFLVAVIGFLLLHIEI EDGWRLVVLGVVTLAASVLAIVLRGRDNGAVRYLAYATFAAEMLYLASVTVGSILGTS SLFLFSGLVVALVAWMVIRLERRFSANAQGERA YP_002975528.1 MSSFMARLQSGKGYLLSAIIVAGLQTLILGTIIQSRASILSDGA EVLLKTAPVDPRDFLRGDYVVLNYDISSVPVQTVSGGIPAEAGERVLWVRLKKQEDGF WTVTESSFHELPPQPETVILRSQPFYSGGLAAGDSMRVEYGIERYYVPEGQGKPIEEA RNDGNVAIAVRVSPDGSAQIRSLLVDGKPVYDEPLY YP_002975529.1 MQVIETLAEGLKREIKVVIPAKDMQDKMNERLADVKDKVRINGF RPGKVPAAHLKKVYGKSIMADLVNEIVREQPAAILSSRGEKSATQPEIAMTEDKDEAD KILAAEQDFEFTLSYEVLPPIELKSVKGIKVTREVIDISDDEVNEQVLKVAESARAYE TKTGKAANGDRITMDYVGKVDGEAFEGGTDQGAELVLGSGRFIPGFEDQLVGIKAGAE KTITVTFPADYPAKNLAGKEATFDVSVKEVAAPADVEINDELASKLGIESADRLKEIV RGQIESQYGSLTRQKLKRQILDQLDEMYKFETPNSLVDAEYNGIWSQVNNDLAQSGKT FEDEDTTEEKAREEYKTLAERRVRLGLVLSEIGEKAGVEVSEDEMQRAIYDQLRQYPG QEKQILEFFRSQPGAAASIRAPIFEEKVIDHLLTEIDVTDKKVTKEELLAEEEGEAKA ETKKAAPKKKAAAKAEAADAVEGDEAAPKKKAAPKKKAADESAE YP_002975530.1 MTAKHDMKKLVEEIYAVRDRGDIEGTLALTGEDCTFRIVGNARL GPFSSEAAGPHAFRQAITQLITEWDLSAIRTVGIHVDEDDHVVFAHREGEVRYIPSGV SFHTEFVDKIHFKDGKPVKIVEFLDTLQVAETSQIIQIA YP_002975531.1 MSHILEVARRRFQLILIKPSHYDDDGYVIRWWRAMIPSNSLAAL YGIAAECAERKVLGDDTAIDITVIDETNTRIDVAGLLAQFKRHDNFGMISLVGVQTNQ YPRALDIARPFRDAGLPVTIGGFHVSGCLSMLDGKAVGLDACRDMGISMFAGEAEGRL DMVLRDAAAGELKPLYNFMNDLPGIGGTPVPFLPKDNIQRTLGLSTSFDAGRGCPYQC SFCTIINVQGRKSRFRSADDVEKLVRMNWAQGIHKFFITDDNFARNKDWEAIFDRLIE LKERDGIPLGLMIQVDTLCHKIPNFIEKSRRAGVTRVFIGLENVNPDNLTAAKKNQNK ITEYRKMLLAWKAQGIMTLAGYILGFPADTPESIRRDIAIIQEELPLDVIEFFILTPL PGSEDHQVLWKKGVDMDADLNIYDVEHVCTAHPKMSKQEWEDIYHEAWALYYSPDHMK TLLRRAVATGVPLARLVKVLVSFATTVPLENVHPLQSGLLRLKTPSERRPDLPRENPL VFWPRFAWETFRKHVSLAGTIIGLTISAFLISRNAKSKTYMDQALTPVADDEEETLHL FTQTAGGAAAVSHVRKVAQLTAH YP_002975532.1 MMLQYDLVVVGSGPAGRRGAIQASKLGKKVLVIEQGKRVGGVSV HTGTIPSKTLRETALNLSGWRERGFYGRSYRVKEEISADDLRRRLLITLNHEVEVLEH QFARNRVQHIRGKASFIDASTLQVIKDDGETTQVTAASVLLAVGTKPFRPDYMPFDGK TVLDSDELLDIQDLPRSMVVIGAGVIGIEYATIFSALDTAVTVIDPKATMLDFIDKEI IEDFTYQLRDRNMKLLLGQKADKVERLENGKVELTLDSGRRLTTDMVLFAAGRMGATD ALNLQAIGLEADSRGRLKVNPETFQTSVANIYAAGDVVGFPSLASTSMEQGRIAARVA VGAVAKEPPKYFPYGIYAVPEISTCGLTEEEMKERGIPYECGIARFRETSRGHIMGLD TGLLKLIFSLKTRRLLGVHIVGEGATELVHIGQAVLNLKGTVEYFVENTFNYPTLAEA YKIAGLDAWNRMGDIKSEL YP_002975533.1 MTIRSGNTDRTTRLCREVLCLDDFEIKARRHLPKPLFGYIAGAT ETNASLRHNAEAFQAYAFRPRVLRDVSKRSTETSLFGKTHAAPFGIAPMGISALMAYR GDIVLAQGADQSGIPMIISGSSLIPLEEIAAVSPQAWFQAYLPGEPDRIDALIDRVGA AGLRTLLLTVDTATLPNRENNVRAGFSTPLRPGLRLAWQGISHPRWTTGTFLRTIVRH GIPHFENSYATRGAPIISSNVTRDFGRRDHLNWNHLERIRNRWSGKLVVKGIMHPDDA ALAVDTGADGVIVSNHGGRQLDGTASPLQVLPEIASRVGDSVAVMVDGGFRRGTDIMK ALALGACFVFVGRPFLYAAAVAGLPGVLKAADILKTELHSNMALLGVTKVGDISADYI THA YP_002975534.1 MAKGPVSISSDDELPFKTQEPIAADERSFFAGQPQKPSAPNSRT ALPASLAGQEHYHGHRERLRDRFRELGDTALADYEILELLLFRLIPRRDTKPIAKALI ERFGSLSGVFGAPPTLLTEVKGVGEAVALDLKLISTVAHRTLKSELRSKQVLSSWSSV IQYCHAAMAHETREQFRILFLDKRNVLIADEVQGRGTVDHTPVYPREVVKRALELSAT ALILVHNHPSGDPTPSRADIDMTKVIIDAAKALDITVHDHVIIGKDGHVSLKGLKLI YP_002975535.1 MVNYIEASTAPPKNTGAIRLYDAEAFEGMRRACQLTARCLDALA DIVKPGLLTDEIDRFVFDFGMDHGAYPATLNYRGYTKSTCTSINHVVCHGIPNDKPLR DGDIVNIDVTFVLDGWHGDSSRMYPVGVVKRAAERLLEVTYESLMRGIAAVRPGARTG AIGEAIQTYAEAERCSVVRDFCGHGVGRLFHDSPNILHYGRANEGPELREGMIFTIEP MINLGRPHVKVLADGWTAVTRDRSLSAQYEHTVGVTSDGCEIFTLSPGGLDRPGLPSH NG YP_002975536.1 MLNEAANLENSPGAGGEPERRVRDRGATERAILAAAKGLLAEEG FQNFGINAVARRAGCDKQLIYRYYGGLDGLVEAIGADLGTWVKDRIPEDAGGMFLLTY GDLMERLSLLLLDALRNDPLMRRILAWEISENTEQVRRLSEARSKALALWLERMRGSL APPKGVDAAAVNAIVIAAIQHLVLAAAAGGQCAGLSLKTPKDWEKAALALKRIVRGVY G YP_002975537.1 MGTKIAKVAFLVTAMMFFAVLALDIAIPALVLCIMALSVWLVSL DLPVARKHGGEAA YP_002975538.1 MKWFLIFWAGPIVFLGGWYWLSYYDMSFGIFMLSRQVHDLTFEL YGKALGIPPETIPPLVARAIAVDSLVVFAIIGLRKRKSIIAWWKARQALNSSPSDLAS KESLSSAP YP_002975539.1 MTVLTIEEMAETLVPRQAIAGLDLGTKTIGLSMSDLGRRFATPR TVIRRVKFTIDAQALLDFAQSEKVAGFVIGLPMNMDGSAGPRVQATRAFVRNMEQKTA LPFVYWDERLSTVAAERTLLEMDVSRAKRAERIDSAAASFILQGALDRLSLLARSDGD EFNA YP_002975540.1 MKITWLGHSAFRIETAKAKILLDPFLSYNASFSGQDIKDVSAGI THILLTHGHGDHVGDTVALAKETGAVVLANADLAAWLGSKGVDKIEMGNTGGTIALGS FSATFTNALHSSAQITEDGVSHALGNANGLMLHFDDEASILAMGDTDIFSDMALINEL HQPDIGFVPIGDRFTMGGAVAALACRRYFNFKTAIPCHYGTFPIIDQTAEKFAAGMDG SKTDVKAIRPSESLSI YP_002975541.1 MSVDLATVKRVARLARIAVSEDEANRMVGELNGILGFVEQLSEV NVDGVEAMTSVTPMAMKKRTDEVTDGSKAADIVANAPVTDHNFFLVPKVVE YP_002975542.1 MSELTSLTIAEARQKLRAKEITAIELTEAYISAIDAANGRLNAY IKVTPDLARVMAKNSDERIAAGKAGELEGIPLGIKDLFATVGVHTQACSHILNGFEPR YESTVTQNLWDDGAVMLGKLNMDEFAMGSSNETSHYGAVINPWRAAGSNQQLVPGGSS GGSAAAVAAHLCAGATATDTGGSIRQPAAFTGTVGIKPTYGRCSRWGTVAFASSLDQA GPIARDVRDAAILLKSMASVDAKDTTSVDLPVPDYEAALGQSLKGMKIGIPNEYRVDG MPDEIETLWRQGIAWLKDAGAEIVDISLPHTKYALPAYYIVAPAEASSNLARYDGVRY GLRVDGKDIVDMYEKTRAAGFGKEVKRRIMIGTYVLSAGYYDAYYIRAQKVRTLIKRD FELAFDAGVDAILTPATPSSAFGVADENLAADPVKMYLNDIFTVTVNMAGLPGIAVPA GLDHKGLPLGLQLIGKPFDEETLFKTAHVIEQAAGRFTPAKWW YP_002975543.1 MASNDLAQLIEAVDRLAAGGFTMGADWQAVHDICQRHEGEQPFD WGHALCHRIEGDDWNADYWYRRAGKVRGTGTMADEWSAMRTELSAKA YP_002975544.1 MDISRTEQRILHLMAQGGRIEITRDDDRKIEAVSCFTRDGWLYP GVDLDLFRRLKRLRAIKSSGGHPYRITERGLSLVRSQLNNR YP_002975545.1 MIHIRNARDGEAELLSEIGLRAWQNAMASIGESDAMIDAARNAF RNFVENDWLTITVVEQNGQVAGWAAREGLDETISDFWIDPAFTRQGLGSALLVRIEKE IADQGLEKAAMQTHSGNSEAIGFFRKHGYNIHWLSVAYNPKLDRDVPSVGLTKQLVSD GQGGYGLEF YP_002975546.1 MTMTDIIKWALLFLALNLLVFSIYFFDKQAARHGGQRISERTLL ILALIGGSLGALAAQQLLRHKTRKEPFRSILAAILILHGALIAVLAFLPQWSPLLPQH F YP_002975547.1 MTLVDVRTPDPKRFIPGATGDWEVIVGMEVHAQVLSNSKLFSGA STEFGKPQNSNVSLVDAAMPGMLPVINEECVRQAVRTGLGLKAQINKRSLFDRKNYFY PDLPQGYQISQFKDPIVGEGKIVISLGPDRQGQFEDIEIGIERLHLEQDAGKSMHDQH ATMSYVDLNRSGVALMEIVSKPDMRSSDEAKAYMTKLRSIVRYLGTCDGNMDEGSMRA DVNVSVRRPGEDFGTRCEIKNVNSIRFIGQAIEYEARRQIGILEEGGKIDQETRLFDP GKGETRSMRSKEDAHDYRYFPDPDLLPLEFDDAFIKALEVHLPELPDDKKERFVRELG LSIYDASVLVSEKAIADYYEAVAAGRDGKMAANWVINDLLGALNRTGKDIEQTPVSPA QLGAIIDLIKAETISGKIAKDLFEIVLTEGGDPAEIVEARGMKQVTDTGAIEKAVDEI IAANPDQVEKVKTKPTMAAWFVGQVMKATGGKANPQAVQALVKAKLGIEE YP_002975548.1 MYFVRTAGERDLEKVRALLVESFHATYDSLHGRAKVEDLIAHLF SPAALKTRLVRKDAEFLVADDGRNIGGMGYAAMSQQLTKTVMLHLLYVRPALQRQGIG RDIFAELETCFPDAEIMRLEVEPQNAAAIAFYQMHGFTEVGRNENSGAGQSGIPALVL EKRLAG YP_002975549.1 MFLNDIREVGYSARDIRLRIALSTLVIIVFGFWIFDGTLNSLFL SLLCGPPIAYYLFCNLYVLIFSSNKTIIAIGPNGFKDLRLMQELIPWPAVARIEAAAP TLAQRILRTIFLVLGNLLSASPGTDAEGTGDTIAVWCRPDGIQGRKPMFSLFHTARAT NELRQLRIETSGLTVSKERLMQLLQTYHTHHKALSDSGV YP_002975550.1 MPQDLNIREARKDDLPALVAMFSADARGGHGDTTEPEAFSDYVR AFAVIEASPDQTLYVAERGGEVVGTFQTMVTTSLTGRGSSAMIIEAVQTRADMRGQGV GGLMIEFAIAEAKGRGIGRVALTSNAVRKDAHRFYERLGFKPSHLGFKMALK YP_002975551.1 MWNLLVQTFTWWNGQTMGTRFATWRFGKRVGEDEFGNVYYEGGM SSYGLPKRWVIYKGYAEASAIPPGWHGWMHHRTDVPPSKESYVAKDWQKPHRPNHTGS PQAYRPPGSIAVPGERPRVTGDYDAWTPGN YP_002975552.1 MKLFTRNKVLRAAGSLLALSALLPPAAANAARIENPVAVFSGLD KITGRITTFDVYVNETVQFGALQVTPKACYSRDQSEAQKIDGFVEVDEITLDRKIRRI FTGWMFAASPGLNAVEHPIYDVWLKDCKANSDVPAPDGAKATAR YP_002975553.1 MKRYFAAAVLLTLFAGQAGARPYQDMFPSRTDFSDAEKPVLEKL DFQQGVIKLPEAKATLNVPADFYYLSPADAMTVLVDIWGNPPAAAEGTMGMIFPAKYA PTDIEAWGSTVEHSADGYVSDVDVATTNYDELLQNIKDSIRENNVEREKQGFPKITLV GWASTPHYDKSAHALHWARDLMFGDDKQHTLNYSVRVFGREGVFQFNFVAGLDQLKEI EGVIPTVTKLVQFDKGMAYTDYVEGDKIAAYGMAGMIAAGAGAKIAAKVGLLALALAF LKKGGVLVFIVFAGALRFAKGLFKRNKTPTA YP_002975554.1 MAGSRRKSPGITPDILLRAYSIGLFPMAESADDPEIFWVEPELR GVLPFDHFHVSKSLAKTVRKKPFEIRFDHAFDQVIAACAEETSGRPSTWINRTIRSLY STLYDMGHAHTVEAWEDDELVGGLYGVSLGSAFFGESMFSRRTDASKICLVHLVDRLR ERGFTLLDTQFTTEHLKTFGAIDVPKADYAAMLTAAMESPHLTF YP_002975555.1 MISKILIANRGEIALRVLRACKELGIACVVVHSTADADAMHVRL ADESVCIGPPSSRESYLNIHQIVAACEITGADAVHPGYGFLSENAKFADILEAHGITF IGPTADHIRIMGDKITAKTTALELGIPVVPGSDGEVKTEEDALKTAAEIGYPVLIKAT AGGGGRGMKVAKSEADVIEAWSTARTEAAAAFGNDAVYMEKYLGKPRHIEIQVFGDGE GNAIHLGERDCSLQRRHQKVWEEANSPALNVEQRMKIGQICADAMKKLKYRGAGTIEF LYENGEFYFIEMNTRLQVEHPITEAITGIDLVHEQIRVASGGGLSVTQDEVHFSGHAI ECRINAEHPRTFVPSPGTITHFHAPGGLGVRIDSGAYQGYKIPPYYDSLIGKLIVHGR TRVECMMRLRRALDEFVVDGINTTLPLFQDLVSNQDIANGDYDIHWLEDYLANTPAA YP_002975556.1 MAEKKSGIDQALIRDLANILNETDLTEIEVEQDDLRIRVSRAGT PQYVQAPIAAPAFAAPAAAAAAAPAAAPSRNPANVVNAPMVGTVYMASAPGARPFIEV GATVKEGQTLIIIEAMKTMNQIPSPKSGKVTEILVDDGHPVEYGQALVVIE YP_002975557.1 MTQTIFVLNGPNLNMLGKREPGIYGGKTLKDIEADCKAAGRELG LDIDFRQSNHEGTLVDWFHEADEKAVGVAINAGAYTHTSVALHDAIRAISIPVVELHI SNVHAREEFRHKSMIAPACKGVICGFGPHSYILALHALKNITA YP_002975558.1 MAFFPKTFTAVALAASIALPLPAAALDDQQKKEFGEFIKQYLIE NPEIMLEVQDALQKKQEAQRSVKANMAIEENTTDIFDSKNDVTLGNPKGDVTVVEFFD YNCSYCRHALPDMQAMLKKDKNVRFVLKEFPILGPDSVAAHKVADAFRKLAPEKYADF HVALLGTEGRASDETAIAVAASLGVSADKIRAEMAKSPNDGIVQATYQLASSLGISGT PSYVIGNELVPGAVGLDDLEAKVKNMRSCGKTAC YP_002975559.1 MFSISKRSEVEPFHAMDVLAEATKRRASGHPVISMAVGQPSHPA PEAALEAARAALAEGRIGYTDALGTARLKSALAWHYKDRHGLEIDPKRIAITTGSSAG FNLAFLSLFDAGDAVAIARPGYPAYRNILGALGLKVLEVPVTAETHFTLTPQSLEAAQ KESGMTLKGVLLASPANPTGTLTGREGLKALSDYCAAHSIAFISDEIYHGLTFAGEEA SALELTDEAIVINSFSKYYCMTGWRIGWMVLPERLVRPIERVAQSLYISPPELSQIAA TAALSAGAELDRYKTSYAANRDLLMRRLPQIGFSIASPMDGAFYAYLDVTRFTNDSMG FAKRMLAEIDVAATPGLDFDPLEGNRTLRLSYAGSQAEIAEAVERIAAWLK YP_002975560.1 MAFAVGTFDDVTLLGGSAFRWRRSRGFLYGVVGAGFLTSTWLIA TFATMHSLAAPYSPPDRLAQVATAPKLAPSPRHERLIHVGKADRLAAFDAKPKVPLTA SLIQSHAEKTAAAATALAVLAKNNRLAMAAEQPVVAAISDSAKFRKDDVIVTAPVELA SAETDEDDGDRIIVPSKEAVAAAELPTLLALADAGSRQIAPAPVEPTTPPLRTASIPA SAPAPDVVAAADDAPPFDLVLAPDEGSVPLPMARPDGLIGKPTPASKGSQRSDEPVLA YAQPNSPIEDDEDDAVPRYDKPVFSPKLRAGVAIYDIENSTVYLPNGERLEAHSGLGK MRDNPRFANKKMRGPTPPHTYALTMRESLFHGVEALRLTPVEGSDAIYDRVGLLAHTY MLGKNGDSNGCVSFKDYRRFLAAYKRGDIKQLVVVPRLNNKPSSTLASLFSSRS YP_002975561.1 MYNEWIKRVVLPPEEMVTDSKQENGGKTGFDANDLDPYLLKDPE AMAMNFARALENLGQAASAWLAPRERGEITESAADPMTDMVKTLSKVTEYWISDPRRT FEAQTQLMSSFFGLWMRSMQRMQGDPTPAEPDTRKDKRFSDEDWQKNPFFDFLRQVYF LTTDWVEKMVSETDGLDEHTKHKAGFYVKQITAALSPTNFIATNPQLYRETIATSGAN LVRGMKMLAEDIAAGNGDLRLRQTDMTKFAVGRDMALTPGKVIAQNDICQIIQYEAST ETVLKRPLLICPPWINKFYILDLNPQKSFIKWCVDQGQTVFVISWVNPDARHAEKDWT AYAREGIDFALDTIEKATGEKDVNAVGYCVGGTLLAATLALHAKEKNKRIKTATLFTT QVDFTHAGDLKVFVDEEQLESLEEHMRAAGYLDGTKMSMAFNMLRASELIWPYFVNNY LKGQDPLPFDLLFWNADSTRMAAANHAFYLRNCYLNNALTQNEMILDGKSVSLKDVKI PIYNLATREDHIAPAKSVFLGSRFFGGKVEFVVTGSGHIAGVVNPPDRKKYQFWTGGP AKGDYETWLEQATETPGSWWPHWQAWIETHDGGRVPARKPGGDALNAIEEAPGSYVME RA YP_002975562.1 MTKNGIRRIATFNRTALICVAAAMALAGCNLTAEQKAAAAAKRA APTAVVMPATKGEAAEGGLAKYPDGYPNFGAPLTAANVQMSDEQAAELQHQLTALAAR RKAGTISEADYQAKVAEMRRLAAEHGTDTLSEISK YP_002975563.1 MEEFHKVRRLPPYVFEQVNRLKASARAGGADIIDLGMGNPDLPT PQSIVDKLCEVVQDPRTHRYSSSKGIPGLRRAQAAYYARRFGVKLNPDTQVVATLGSK EGFANMAQAITAPGDVILCPNPTYPIHAFGFLMAGGVIRSMSVEPDASFFEPLERAVK HSIPKPLALILNYPSNPTAFVATLDFYKDVIAFAKKHDIIVLSDLAYSEIYFDGAPPP SVLEVPGAMDVTVEFTSMSKTFSMPGWRMGFAVGNERLIAALTRVKSYLDYGAFTPIQ VAATHALNGDGSDIAEVRNVYKRRRDVMVESFGKAGFDVPPPAATMFAWAKIPEKFRH LGSLEFSKLLVEKADVAVAPGIGFGEMGDDYVRLALVENEHRIRQAARNIKKFMSTAD ETMHNVISLNAHR YP_002975564.1 MADALKIGIAGLGTVGASLVRIIQQKSNELAVTCGRPITITAVS ARDKARDRGIDLSAVTWFDRPEELAEKGDIDVFVELMGGAEGAANISVRTALQRGLHV VTANKALLAYHGVELATIAEEKGSLLNFEAAVAGGIPVIKALRESLTGNSVSRIYGIM NGTCNYILTKMEKEGLSFAECLKEAQRLGYAEADPAFDIEGNDTAHKLSILTTLAFGN QIAADDIYLEGITNISIEDIHAAAELGYRIKLLGVAQRTDTGIEQRVHPTMVPVDSVI AQVDGVTNAVAIESDVLGELLMVGPGAGGNATASSVLGDIADIAKSQPGAQRVPVLGH PATTLEPYRKAQMQSHEGGYFIRLTVLDRTGVFASVATRMAENNISLESIVQRSKQHL APSHHQTIILVTHATTEDSVRKAVASIKSEGYLFGEPQVIRIERPKEEG YP_002975565.1 MSKDSHAGKGYGYPSDVPPNPPDMPEEILKPAYREIPEEVSDEA EDEENTEGRNWAILLTLFSFLLVQFAGIALIVWAVFW YP_002975566.1 MADLVDPVQRAFLGVEKSALDNRWVARLDQAGQNRALAMSQIHG LPDLIARVLAGRGVTVDEAIEFLDPTIRSLMPDPHKLTDCEKAANRLLRAIERGESVA IFGDYDVDGAASSALMFRFLSHFGVRATIYIPDRVFEGYGPNPAAINQLIDNGAELIV TVDCGSTSHEALAAAAARNIDVVVIDHHQVTHELPPCHALVNPNREDDLSGQGHLCAA GVVFMVLVATLRLLRAAGNKRILAIDLLQWLDIVALATVCDVVPLKGLNRAYVVKGLV AARHQSNAGLAALFRKAGLAGPVTPYHFGFLIGPRINAGGRIGDAALGSRLLTLDDAG EADVIAQRLDELNRERQAMEAIMLQEAEAEALAEYGDGEGASVIVTAHEKWHPGIVGL IAARLKEKFKRPAFAIAFDPSGRGTGSGRSINGFDMGRMVRAAVDEGLLVKGGGHAMA AGLTVERTDLGRLRTFFTEKAERTVASLVANETLKIDGAIGASGATLELIDRLEAAGP YGSGHAQPLFAIPAHRLRDARLVGEKHVKVTLEAMDGSRLDGIAFRAADTPLGNLLIN SRGANLHVAGSLGADHYQGARRIQLRVCDAAPAK YP_002975567.1 MLNMQMRMPVTGALLIATSVLALSGCASDKKALDSAAAVPAVQA AAAPQLATAAPASPAPRSVYSDPRLVNVSGAQGAPQVVAPDPNAAVPPAGSATAAPAN IGGLVLQSTRINAQAMSIFSDHQPAPQNNSTSTIIQPQAYVPVEGAAPSRSSVYSQPP VPQQPAEPVLPQQSSQNSSTQLAPVQTASLATGSIPTSTMNALYSAPKQNLLGSLSGL LHKAALPGMTRIAPNRLHLQNDKVEVGCFKPDLLKVIKTVESHFGRPVIVTSGYRDEE HNRLAGGADESMHKSCEAADIQIDGVTKWDIAAYIRSLPDRGGVGTYCHTDSVHLDTG KTRDWNWGCGGKRAPITTARAI YP_002975568.1 MRYLHTMVRVKDLDASLTFYTTLFGLEEIRRHENEKGRFTLVFL AARDDLDRARSEKAPCLELTYNWDTEDYSGGRNFGHLAYEVDDIYATCQNLMDNGITI NRPPRDGNMAFVRSPDGISIEILQKGSPLPAAEPWASMGNTGAW YP_002975569.1 MADRISSNEYSDLDELSGEAVDLVEITGVVKWFDVAKGFGFIVP DNGMQDVLLHVTCLRRDGYQTILEGTRIVALIQRRERGYQAFKILSMDQSTAVHPSQL PPVRTHVQVTATSGLERALVKWFNRTKGFGFLTRGEGTEDIFVHMETLRRFGLTELRP GQVVLVRFGDGEKGLMAAEIHPDVPSPASRSH YP_002975570.1 MRGLILFDAIRSAILALFFMVALPAFADEQMRFDKEPLLIQTAA GKVLHFTVEIASTPDQRARGLMFRKVMADDAGMIFDFDEPRRVTMWMENTILPLDMLF ADDTGTIRHIKEKAVPYSRDIIDSMVAVKYVVELNAGIVAKLGIKPGDRIVSATTTKK AK YP_002975571.1 MMRRSAEFNAIVIGAGITAFFYLAISYAQYIGLLARG YP_002975572.1 MSAKIYRPAKTAMQSGKAKTHLWVLEYDQESARKIDPIMGYTSS GDMRQQVKLTFETQELAEAYAQRNGIEYRVIAPKDPVRQVVAYPDNFRYTRTQPWTH YP_002975573.1 MTTYYVATTGSNGGNGSTSSPFRTISEAMSANLKPGDEVVVKAG TYNEAINFDKDGSAAADITLRSEVPGGALIRPPAGSWNAISVNANYVTIDGFDIGGAK GDGIEANNVHHISVLNNKVHGSGESGIQFNQSDFIKIEGNETYNNASTGWFSGISIYE NRNITGDTSTEGYRTIVRNNISHDNVTKSGAHTDGNGIIIDDFQSTQASGHPNYTFKT LVENNLVYQNGGKGIQVTWSDSVTVKNNTAYHNNQDPANSGTWRGELSNSASSNNTWV NNIAVADPSLNKNNTAIDNTSTDSYKNTNVVWANNITYNGTAGQASVKTDGGNAMPSA ANGNQLGVDPKFSGAASDNFHLGSGSLAIDHGTNKYGVASDDLDGHARVVGTVDVGAY ESSSSAAPGTPTTPTQPGTPTTPTQPGTPTTPTQPGTPTTPTKEFVGTNGNDIMPHTG QSNGGNETFKGLGGSDVLKGGAGADVLDGGTGNDTASYAGSIAVNVNLATKAASGGQA TGDKIAGIENLTGSSYNDVLTGGNGGSNVLNGGAGADKLSGGAGGDVINGGTGQDTAG YAGSGGVNVNLATGAASGGHATGDKFVSIENLTGSSYNDVLTGNSGSNVLDGGAGADK LNGGAGTDVIIGGAGKDIMTGGVSADTFVFKAPTEIGSGSNRDVITDFQHGVDKMDFS AIDANGSAQGNGTFHFLAQEDASFDHKAGALAWHYEDKAGSANDATVIQGDLNGDGVR DFEVQMKGLVHLGTGDFLL YP_002975574.1 MTYEKIAGGPELLAWFGQKPTLHDAEILSLTLNRTGICELKIHG WICTDAVDQDGYRILDKHAVVTFAFEDIMDLQLDGFSHQNVINGLILRYATDRGRAGY YALPKGPEDIEIELQPCYGLDGFIRAKKVVVTFRPGRPAADRPTAAAVP YP_002975575.1 MRAGIVTVLIAMGLLTACTPTRQEYDSFVTLTQGSARARNEAVN ICVKSFDANARHNAGLVTNASDKDAPRVACSRLLAAMISGRATYDDFVDIKSHRFTPK LIKIFQGR YP_002975576.1 MNRYTSHQFPALRILMSGQEKYSIVRTLGDAATMLISEWPGDDG EEYVVAVRTCLDAIRGTTPPNAAREALMRAADEAGIRYLSVVH YP_002975577.1 MSKTNAEALLRKAQRQVANTSGGGHLGGTNFGQGNDAKTSSGSG NRPAGKGRPPAGGKR YP_002975578.1 MRLMAVVGVALSLACISAAESPSNYQSYSGIRVDTDPVLLARYD KALQRCVPEASSWRRGSPDPRSLHYNAALRNCLYRRSFVDRGVYAYPIPQIYFEHFLD R YP_002975579.1 MGIFDKIKHAIFGEAKAAEPVAAGAPKTEPAQAPASPSAAPSPA PAASAPQSKPVPTATAPGKATVDIVPILDAAVKKSGQKLDWRRSIVDLMKAVGMDASL TERKELAAELGYTGDTNDSAKMNMWLHKALMKRLSENGGKVPADLLD YP_002975580.1 MTHNHNRLSFAVLASACALLAMPAGDARALDVGVSVNAGNAVSA DVGASIGGGSGISADANVSAGGSNGVNADATANAGSGRGIDAAVNASAGGSNGLDADA NARIGGGRGVNADLNARAGGSDGLDANATASVGSGNGVDADLAIGRVDGAGSNGRPAA ERSLSASQIRTLEAFRARPVNEQRKMLVRCADISGSGSSDSGLAGLCSLLQATASR YP_002975581.1 MAEAPAKKLTVSATEVAVEIVNMNKWYGDFHVLRDINLKVMRGE RIVIAGPSGSGKSTMIRCINRLEEHQKGKIVVDGTELTNDLKKIDEVRREVGMVFQHF NLFPHLTILENCTLAPIWVRKMPKKQAEEVAMHFLKRVKIPEQANKYPGQLSGGQQQR VAIARSLCMNPKIMLFDEPTSALDPEMIKEVLDTMVGLAEEGMTMLCVTHEMGFARQV ANRVIFMDQGQIVEQNSPAEFFDNPQHERTKLFLSQILH YP_002975582.1 MSVADKPFVRTSILAAEPPPPGERGAVAWIRRNLLATPKDVILT ILALALIAWAVPHLVNWLFIQAVWSGPDRTFCATTIQGGIQPDGWSGACWAFISAKYD QFIFGRYPLGERWRPAIVGILFILLLVPMLIPSAPRKGLNAILLFAVLPVIAFWLLHG GLGLEVVETPLWGGLMVTLVLSFVGIAVSLPVGILLALGRRSKMPVIRMLCVTFIEVI RGVPLITVLFMASVMLPLFLPTGWNVDKLLRALIGVSIFTSAYMAEVIRGGLQAIPKG QFEGADSLGLGYWQKTRLIIMPQAIKLVIPSIVNTFIGTFKDTSLVTIIGMFDLLGIV KLNFSDANWASAVTPITGLIFAGFIFWLFCFGMSRYSGFMERHLDTGHKR YP_002975583.1 MTHEAVDTTPLHGTGWSFRSAMYDPKYRSIFFQVLTIVILVGFV WWVAHNTAVNLARSNTASGFGFLRGRAGFEIGQSLIGFSSDSTYARALVVGILNTLLV AVTGIFTATIIGFLIGIGRLSRNWLIAKLCTVYVEVFRNIPPLLVIFFWYLGVLSVLP QPRESVALPFSMYLNNRGLAFPKPIFETGMIAVGIALLIAIVATIIIARWAHKRQAAT GQPFHTVWTAIALIVGLPLLVFVVSGFPLTFDVPVAGKFNLTGGSVVGPEFMSLFLAL SFYTASFIAEIVRGGIRGVAKGQSEAAGALGLHPSSVTRLVVVPQALRIIIPPLTSQY LNLTKNSSLAIAIGFSDLVAVGGTILNQSGQAIEIVCIWGIVYLSLSILTSLFMNWFN AKMALVER YP_002975584.1 MKNKLLSAAIGAAVLAVGASAASATTLSDVKAKGFVQCGVNTGL TGFAAPDASGNWAGFDVDFCKAVASAVFGDPTKVKYTPTNAKERFTALQSGEIDVLSR NTTWTINRDTALGFNFRPVTYYDGQGFMVRKGLNVKSALELSGAAICVQSGTTTELNL ADYFKTNNLQYNPVVFENLPEVNAAYDAGRCDVYTTDQSGLYSLRLTLKNPDEHVILP EIISKEPLGPAVRQGDDQWFDIVSWTAYALINAEEFGITQANVDEMKNSPNPDIKRFL GSETDTKIGTDLGLTNDWAANVIKGVGNYGEIFERNIGQGSPLKIARGLNALWNKGGI QYAPPVR YP_002975585.1 MKDKDSLLQNAGINTRLTHIGNDPFDYHGFINPPVVHASTVLFP NARAMETRTQKYTYGTRGTPTTDALCEAIDALEGSAGTILVPSGLAAVTIPFLGFVAA GDHALVVDSVYGPTRHFCDTMLKRLGVEVEYYDPAIGAGIETLFRPNTKLVHTEAPGS NTFEMQDIPAISAVAHRHGAVVMMDNTWATPLYFRPLDHGVDISIHASTKYPSGHSDI LLGTVSANAEHWERLKEANGVLGICGAPDDAYQILRGLRTMGLRLERHYESALDIAEW LEGREDVARVLHPALPSFPSHHLWKRDFKGASGIFSFVLAADGPEKSRAKAHAFLDAL RIFGLGYSWGGFESLALHAYLNDRKVAKAPTDGPVIRLQIGIEDVVDLKADIERGFAA ASAV YP_002975586.1 MPVEHAAIIGAGISGLTAALALSRRGISSEIFEQAGELTEIGAG LQVSPNASHILAELGILEGLSKVWLEPDAIRLISGGSLRQLAAVPAGIFARERWGAPY GVLHRTTLQKALLAAVTADPRCRLHLGVRIDATLPPFERAADVVIGADGVWSKLRQSI SGSPSPRFSGNIAYRFTIAENEAPGFLDRASVSAFLGGSAHLVCYPLRESGSFNMVAI TAGNTAPQAWQSEPTAEQRAELRARLSGWNAAIVSLLDRQNKLTFWPLFETTSGTWQD GRKTVLIGDAAHAMMPFAGQGAAMAIEDAYELAAFLSNSPMAEALARFERHRAPRIAR LRQRGAFNRFAYHAKGPIRIGRDLVLGLKPPLSLAADLDWIYGYRAADLP YP_002975587.1 MAGHNIPHFQNDGGHRVIEVGVKEFMCTGASAPFDHPHIFIDMG DDNEKVCSYCSTLYRFNSALKPSQTNPAGCVFHVKAA YP_002975588.1 MNLNTPTFSSFTHDGLQLAFFDEGDPAGVPVMLIHGFASTANVN WVHPGWLKTLGDAGFRVIAMDNRGHGASDKPHDAEAYRPWIMAGDAIALLDHLGIPEA NVMGYSMGARISVFAALANPHRVRSLVLGGLGIGMTDGVGDWDPIADALLAPSLEAVT HARGRMFRAFAEETKSDRVALADCIRGSRDLVARSDMAKLDMPTLIGVGTKDDIAGSP QELAALMQNAEALDIPGRDHMLAVGDRVFKQAVLAFYARVAHC YP_002975589.1 MVAKTDIRAFDTGHPLKVMDPIWDSLREEARLAAERDPVLAAFL YSTVINYHSLEECVIHRICERLDHPDMQANLLRQTFEEMLLDWPDWSSILRVDIQAIY DRDPACLRFMEAVLYFKGFHALQTHRLAHWLLNRGRRDFALYLQSRSSSVFQTDINPA ARIGKGIFLDHATGLVVGETAVIGDNVSILHGVTLGGTGKEGADRHPKIGSGVMIGAG AKILGNIEIGYCSRVAAGSVVLKAVPPKKTVAGVPAKVVGEAGCSEPSRNMDQVIGAD I YP_002975590.1 MKPEEIKKLDAYFKRMFNPQMIVKARPRKDDSAEVYLGEEFLGV VYIDDEDGDRSYNFSMAILDVDL YP_002975591.1 MFNFEDANKKSKEAVDTALKTYTDTSKGFQAIATEATEYSKKSF QDAVTHFETLAGVKSFEAAFELQTSYVKAYFEGFVSETTKLSEMYADLAKSAYKPYEA PIAAAVVKTAKSVSAATPAAA YP_002975592.1 MTMIAKPIRMQNDSERNGDNANRTSVITRTKPKTKKPNLYRVLL LNDDYTPMEFVIHILERFFQKDRESATRIMLHVHNHGVGECGIFTYEVAETKVSQVMD FARQHQHPLQCVMEKK YP_002975593.1 MPTFSPSLEKALHQALTFANERHHEYATLEHLLLALIDDADAAA VMGACNVDLDALRKTLVEYVDNELSNLITGYDEDSKPTSGFQRVIQRAVIHVQSSGRE EVTGANVLVAIFAERESHAAYFLQEQEMTRYDAVNYISHGIGKRPGASDVRPPRGAED EAESSKPTARGGEEEGGPKKQQDALKAYCVNLNEKAKGGKIDPLIGRHAEVSRTIQIL CRRSKNNPLYVGDPGVGKTAIAEGLAKRIVEGKVPEALADATIFSLDMGTLLAGTRYR GDFEERLKQVVKELEEYPGAVLFIDEIHTVIGAGATSGGAMDASNLLKPALSSGAIRC IGSTTYKEYRQFFEKDRALVRRFQKIDVSEPSIEDAIEIMKGLKPYFEEYHHLRYSND AIKSAVELSARYISDRKLPDKAIDVIDETGAAQMLLPPSKRRKLITEKEIEATVATMA RIPPKTVSKDDEAVLANLEQELRSVVYGQDIAIEALSTSIKLARAGLREPNKPIGAYV FSGPTGVGKTEVAKQLASSLGVELLRFDMSEYMERHTVSRLLGAPPGYVGFDQGGLLT DGVDQHPHCVVLLDEIEKAHPDIYNILLQVMDHGTLTDHNGKKIDFRNVILIMTTNAG ASEMAKAAIGFGSSKRTGEDEEALTRLFTPEFRNRLDAIIPFAALPTAVIHKVVQKFI MQLEAQLSERNVTFDLHEDAIAWLAEKGYDEKMGARPLARVIQDTIKKPLANEILFGK LKKGGVVNVTVGPKEDGKPGIVLEAISETAPIKPKPEAEVVHPEGDDGDDGELKTKAA RKTRAKAVPQAEPEVRDAPKKGSAVPKVPRKK YP_002975594.1 MIRHTVAFRLKHEAGSAEETSFLADALVLANIPSVRNFEQLRQT SPKNAFAFGFSMEFDDQAGYDTYNLHPLHVAFVRDRWVPEVADFLEIDYTRL YP_002975595.1 MTSPAAYDDNNIFAKILRGEIPSHRVYEDEHTVAFMDVMPQAPG HVLVLPKAPSRNLLDADPATLTHAITVVQKIANAVKDVFEADGVFIAQFNEPAAGQTV FHLHFHVIPRHEGAALKPHSGKMEDGAVLAANAEKIRAALA YP_002975596.1 MTDELSIRVERSFTAISPESWSRLSGASKTCATIAYNPFVSHAF LSSLEESGSADAETGWLGHHLLLETGRGELIGALPGYLKNHSQGEYVFDHGWADAFER AGGHYYPKLQCSIPFTPATGPRLLVAEGLQRLPIQSAIAEGLKEVVRRLGISSAHITF VPDEEIGVFEMDGYLHRTDQQFHFINYGYANHEEFLETLASRKRKALRKERRAALENG ISIDWLTGRDLTERIWDQFFKFYMDTGGRKWGRPYLTRKFYSLIGERMADDILLVMAK RDGRYVAGAINFIGGDTLYGRHWGCIEDHPFLHFEVCYHQAIDFALSKGLKRVEAGAQ GEHKLARGYLPVTTHSAHYVAHAGLRRAIGDYLARERADVEQMSELLTEHSPFRKGER LQED YP_002975597.1 MTNVGWIRDLPVAHRGYHDLNTQVWENTLSAFSRAVEAGFAIEC DLHYASDGVPVIFHDEDLQRLCNLHGDIRERTSRELGLIAVGGTSDKVPTLRQLLDLV EGKVPLVLELKGREADDEGFAEAVLEVLEGYEGKVALMSFDHWLLRDLRALGSPYPLG LTADGNTPEAFKTHAKAMEIGLDFISYHYGDLPNPFITSEREKGIPIITWTVRDEEAR RLTFANADQMTFEGFDPRVAA YP_002975598.1 MSDEIAARLIEMGITLPEAAAPAANYVPYVISGNLLYISGQLPL EGGKVTVSGHLGKTVDVATGQRGAELCAINILAQAKAALGGELGRIRRVIKLNGFVAS APDFVEQHLVINGASNLIAGVLGEAGKHARAAVGMAALPLNAAVEIDAIMEIAE YP_002975599.1 MFRSSLVALLFASVSANAWAAAPAVSAAIATGLVAHRAVYDLEL KDASDRSGIAAMYGRMVYEFDGSYCQGFTTNFRFVTQIDTGDSVRVSDQQTKTFENLK DGKFTFDTKSFTDEQLDKEVNGAAQDQPDGVKVDLKQPASRELQLLESRFPTEHMLDV IQHAKDGKRFFEARVFDGSDDGDKSLATTTIVGKQETPIAEEADAGNAGAFSKTAFWP VTIAYFNESAKSDALPVYRMSFKLYENGITRDLTMDYGDFVLTGKLAKLELLDRKAEV CK YP_002975600.1 MALPDFSMRQLLEAGVHFGHQTHRWNPKMKPYIFGDRNNIHIID LAQTVPMLSRALQVVSDTVARGGRVLFVGTKRQASEIIADSAKRSAQYYVNSRWLGGM MTNWKTISNSIQRLRKLDEILNGEAQGFTKKERLNLEREREKLDKALGGIRDMGGTPD LMFIIDTNKEKIAIDEAKRLGIPVVAIIDSNCDPDLIDYPIPGNDDASRAIALYCELI SRAAIDGIARQQGSSGRDLGASSEVPVEPALEEAAEG YP_002975601.1 MSEITAAMVKELREKTGAGMMDCKKALAETGGDMEAAIDWLRAK GIAKADKKSGRTAAEGLIGVASQGTKAVVVEVNSETDFVARNDAFQELVRGIAKVAAS TDGTVDAVAAATYPASGKSVSDTIKDAIATIGENMNLRRSVALSVEDGVVATYIHNAV SDGLGKLGVLVALKSTGDKDALNAIGRQVAMHIAATAPLAIRPEEVDAAVAERERNVF IEQSRASGKPDNIIEKMVDGRMRKFFEEVALLSQAFVINPDLTVAAAIKEAEKAVGAP IEVAGMARLLLGEGVEKEETDFAAEVAAAVKG YP_002975602.1 MSLEPVYKRVLLKASGEALMGGQGFGIDVTVADRIASDIAEARH MGVEVGVVVGGGNIFRGVAVASKGGDRVTGDHMGMLGTIINALALATSLRKLNIDTVV LSAISMPEICESFSQRATLYHLSMGRVVIFAGGTGNPFFTTDSAAALRAAEMGAEAIF KGTQVDGIYTADPKKYPDATRLDRLTHQEVLDRGLAVMDVAAVALARENSIPIIVFSI HEKGGFAEILTGGGLKTIVSDN YP_002975603.1 MSEGIDIKELKRRMDGAISVFKGDIASLRTGRASANILDPVTVE AYGSRMPLNQVANITVPEPRMLSVSVWDKSMVSAVERGIRESNLGLNPIIDGQNLRIP LPELNEERRKSLVKVAHDYAEKSKVAIRHVRRDGMDGLKKAEKDGVIGQDESRAQSER VQKMTDETISEIDRLLGEKEKEIMQV YP_002975604.1 MSESVFVTVPEHVAIIMDGNGRWAKQRGLPRTMGHRKGVEAVRE TVRAAGAAGIKYLTLFAFSSENWRRPEAEVSDLLGLLKAFIRRDLAELHRQNVRIKVI GDRDSLRSDILGLLLEAEETTKDNTSLTLVIAFNYGSRDEIARAVVSLARDVEAGRLR AQDITPALINARLDTAGIPDPDLIIRTSGEERLSNFLLWQAAYSEFIFLPEYWPDFSP EIFRSALDTFASRDRRFGGLSSQAAAVGT YP_002975605.1 MQRELKLRIVSGLILAAIVLAATWYGGLAFRILAVVIGLLIYYE WSKMTGIARDWVANAVGWIGEAAIAFLVLVGNFEFAAGMLAGVTAVGIALIILQGTSR WLPVGLFYAGATGLSLAAIRSDDGLGLYAMLFVFAVVWATDILAYFVGRALGGPKLAP SISPGKTWSGAIGGAVSAVVAGVVLVHFLLPGAEIIAAGVALVLSVCSQSGDLFESFI KRKFGVKDSSRLIPGHGGVMDRVDGLIFACFSAFLLAGLFSLIKGAGMTSLGAALFGL YP_002975606.1 METMSGIYAFLMGNIVTFILVLSLLVFVHEMGHYLVGRWSGIRI LAFSVGFGPEIFGFTDRHGTRWKIAVIPLGGYVRFFGDEDASSKPDTDKIAAMSEEDR ARSFAGAKLWKRAATVAAGPIANFLLAIAIFTILFSVYGRTIADPVVAEVKPDGAAAA AGILPGDLLVAIDGGKVETFDDVRRYVGIRPSQKIVVTIERAGQKLDVPMVPQRVDQT DQFGNKVELGQIGIVTSREAGNFRLKTYTPLESLREAVIETRDIVTGTFKYIGNIFSG TMRADQLGGPIRVAQASGQMASLGIGAVLQLAAVLSISIGLLNLMPVPVLDGGHLMFY AVEAVRGKPLGSSAQEIAFRIGLAMILTLMVFTTWNDIGSLRG YP_002975607.1 MGEMKAGSKFLNAVSAVALSASVVASGAGALTFVSATAAEAAVI QRIDVRGASRVGAEAVRSNLTIAPGKSFSNSDIDASVKQLYGTGYFSDVKISVSGSTL VVNVQEAQLVNQVVFNGNRKIKDDKLATIVQTHAAGPYSDTQIQADIQSIKEAYAATG RSEVEVTTQVVPLGEGRVNLAFVINEGDRTKIDSINFVGNNAYSAGRLAAVIATKRSN FLSFLTRKDVYNEDKLHADEEALRQFYYNRGYADMRIVSSDATFDDATNKYTLTFNIE EGQRYDFGPVTVQSTVEGVGSEQLQPLVRTREGQVYNAKEVQKSIEAISDQVASAGYP FARVTPRGNRDLNNNTIGVEYLVDQGERAYVERIEIRGNSRTRDYVIRREFDMSEGDA FNQQMITKAKRRLEALGYFSSVNISTQPGSSPDRVVVVVDVQDQSTGSFGVGAGYAAG GDGLLLEASIEEKNFLGRGQYIRISAGGGQEGSRAYGVSFTEPYFLGYRLAAGFDVNR SETSSNDDYDYEETSVVLRVTAPITEDLATTFRYNYKQMKYDGDTSDLSATYANLVDE SPWTRSSVSQTLTYNTLDDTVLPREGIYATATHELAGLGGDSQFYKIYGKARYYHLLA DDADIIGSVSASAGYVVPLGDHLNVFDQFTLTNGDIRGFENKGIGPRIRGDHDDPLGG TTYFTASAEATFPMPGFPRDFNLRGAVFADAGTLFGNDVELLGSDQADGTSASLRASV GVGVVWQSPFGALRLDYAIPVLKEDFDKTQNFKFGINNQF YP_002975608.1 MEQNVFFLPHEGLKLAELAEFLGAELANSDHADVVVRSVAPISR ARAGDVCYILSRRNRDELATCEASAVICDKALADLVPPHIPVILSSNPHAAFAMAGGL FYPAALRPVVFSGESEIAPSAVIDPSAKLEKGVIVEPMAVIGAHAEIGEGTRIGAQSI IGPNVKIGRDCSIAAGASILCALLGNGVIIHNGARIGQDGFGYAPGPRGMIKIVQIGR VIIQDNVEIGANTSIDRGTMDDTVIGEGTKIDNQVQIGHNVQIGRHCAIVAHVGIAGS AKIGNGVQIGGQVGIKGHVTIGDGVQIAAQSGIMTDLAAGGQYGGTPGRPLNDYLRDV AQQMSRTKLRGTKPGGKQND YP_002975609.1 MTEEATTTLSSADIIEIMKLLPHRYPFLMVDKIIEIDGDNTAIG IKNVTVNEPHFTGHFPESPIMPGVLLIEGMAQTAGAICAKKDGQPGNLVYFMTIENAR FRKPVVPGDRVEFHVRKHKQRGNIWKFHCDAKVDGALVAEADIGAMIVRKDQA YP_002975610.1 MSTIAESARIHPMAVVEDGATIGEGVKIGPFCHVGSHVVLHANV ELLSHAVVTGRTVVGKGTRIFPMAVVGGDPQSVHHGGEETTLTVGANCTIREGVTMNT GTADFGGQTIVGDNNLFLANSHVAHDCRVGNHVIMSNNVMLAGHVVIEDRVILGGGSA VHQFTRVGRQAFVGGLSAVSYDVIPYGMLNGNPGLLSGLNVVGMTRAGVDRAVIHRVR RAYKSIFEGPGSVRENAAAIREEYADCEQAVHILDFIAADSDRALSSPTRGQKG YP_002975611.1 MTLSGDTAAGRLAIIAGGGLLPSYVAEAARAAGENPVIVALKDE SDRRWEGYDHAVIGVGDFAALEGLLNRYGIGRVVMSGSVRRRPEWREVRPTLRTLMKM PAVIRTLLSGGDDTVLQMVIRLIEGNGRRVVGAHEIAPDLLAYVGPLGAAAPGEEDRR DIRRAADAAEMLGRLDVGQGAVAIGGRIVALEGLEGTDEMLERVAGLRAAGRISPRRR GALVKLCKPQQDIRADLPAIGVSTVLNARKAGLAGVAVEAGRSLVLDRAAVIKAADEA GLFVCGIDRGLPAWGLE YP_002975612.1 MNGASLKIAVIAGEVSGDLLGADLIAALKRVHSGPVELVGVGGE GLQAEGLRSLFDFSELSIMGITQVLSRLPKLYTLIRQTTAAIIAARPDILLIIDSPDF THRVAKRVRIALPDLPVVNYVCPSVWAWKEYRATRMLAYVDHVLAVLPFEPATMRALG GPETTYVGHRLTADPALLEVRQQRAMRAPVEGAGKAILMLPGSRSSEIAKLLPFFEDA AKELVARNGPMRFLLPTVPHNEALVKGLVAGWATPPEVAVGPAQKWKALAEADAAMAA SGTVILELALAGVPTVSVYKTDWIIRLLARRIKVWTGALPNIIADYAVVPEYLNEIVR GASLARWMERLSADTFQLKAMNEGYDLVWQRMQTEKPPGEHAAEILLDVLKKKKPGRF YP_002975613.1 MTDQSATIKIGDKSVDLAVKSGTIGPSVIDIGALYKNTASFTYD PGFTSTASCESKITYIDGDEGVLLHRGYPIEQLAEHGDFLEVCYLLLYGELPTTVQKK DFDYRVTHHTMVHEQMSRFFTGFRRDAHPMAVMCGCVGALSAFYHDSTDITDPHQRMV ASLRMIAKMPTLAAMAYKYHIGQPFVYPKNDLDYASNFLRMCFAVPCEEYVVNPVLSR AMDRIFILHADHEQNASTSTVRLAGSSGANPFACIAAGIACLWGPAHGGANEAALNML TEIGTVDRIPEYVARAKDKNDPFRLMGFGHRVYKNYDPRAKIMQKTTHEVLGELGIKD DPLLEVAMELERIALTDEYFIEKKLYPNIDFYSGITLKALGFPTTMFTVLFALARTVG WIAQWNEMIEDPEQRIGRPRQLYVGEPKRDYIPVSKR YP_002975614.1 MRMLGEETDHGRLLLFSPVFLGAGSAIWFLAASDFPLTASLLCL LVLTVAVLIASRNRAALRAALLALSLVACGIVSAQFESWRASTVILDSSVTTTVTGRV ERREGDGRGRWRYILAVTGTEAPQVKRPPERITAIARGADAAFEIGDIITGRARLTPP AGPALPELNDFSFSAYFDGIGANGFFYGAPTKVDAQAGPQAARSAVEALLEGLYRLRS GIGDRIRSILPGDTGAFAAALVTDERRAISDATTEALRQSGLAHIIAISGLNMALSAG IFFVGFRMLLSIFAGIAEAYPTKKIAAAGALIAVTAYFLISGFAVSAERAFIMMAIML IAVFFDRPSISLRNVALSALVIILISPSEVLGPSFQMSFAATLALVSGYQLWKDRRVR ENAFLKLPIIRPVVTVAGFFGGVFLTSLIGGFSTALFSIEHFHRLTAYGLPANLATMP IISFIVMPAGLLAMLLMPFGLDVLPWKVVGFGLDLVIAVAKTVSGWDGNIDVGRLPAW YFAVAVAGFLLLTLLRTRLRHIGTSIIAVATLILLLLPVPRPPDLVISEDGSLVAVVE AAAMASNRERPPDFIFDQWQRALVLPRHDPPKMLDGPAIPQVGEDRRVKLSRDQQNEA RTAMRAAAAAGEANRFSCVKRAWCASRLGNGRVVAVIDNAAYLGPACDAADIIVTSVR LRFNSCRSGATLFTGETLRRTGSIELRFADAGLEVATAFDALSRPWMRHRAFDWRSNS FTESGLTDVSDSGE YP_002975615.1 MLTRKQQELLLFIHERMKESGVPPSFDEMKDALDLASKSGIHRL ITALEERGFIRRLPNRARALEVIKLPEAYSPSIQPRRGFSPSVIEGSLGKPQPVAAPA PAKPVADNGNSVSVPVMGRIAAGVPISAIQNNTHDIAVPADMLGSGEHYALEVKGDSM IDAGIFDGDTVIIRNGSTASPGDIVVALVDDEEATLKRFRRKGASIALEAANPAYETR IFGPDRVKVQGKLVGLIRRYH YP_002975616.1 MNEHSAKPHPLDHVVLPVVNIDLARERLGKLGFTVAPDARHPFG TENACVFFADKTYLEPLGIASVEESEASARQGNVFTARNQAFRFRCGAEGLSGLAFGS KDAGIDHQNFVGNGSSAGEMLQFTRPMTMPDGSETIAGFKLAFAGDLRAPDLLLFTVE RVNPLPADRAVLETHANGVTGIVEIALCAPDAAAFAAFVSLAVVQSAVEKTGFGVNIP ASNAKISLMTAEGLEAYFDIVGSSADRGLRGRAILFAVADLAVTEAHLAANGVTYARK NNRILVKPAPGQGTLFAFEETR YP_002975617.1 MSTDTNSEVRIGEGQGQVSFSNTGRLSLIAGPCQMESRDHAFMV AGTLKELCGKLGIGLVYKSSFDKANRTSLSAERGIGIEKGMEVFADLKKEFGFPVLTD VHTAEQCAEVAKVVDVLQIPAFLCRQTDLLIAAAKTGRVVNVKKGQFLAPWDMKNVLK KLNASGNPNVLLCERGASFGYNTLVSDMRSLPIMAAMGAPVVFDATHSVAQPGGQGDS SGGQREFVETLARAAVAAGIAGVFVETHQDPDNAPSDGPNMVYLKDMPRLLEKLLAFD AVAKA YP_002975618.1 MTAITDIIAREILDSRGNPTVEVDVYLEDGSMGRAAVPSGASTG AHEAVELRDGGKRYLGKGVEKAVEAANTEIFDAIGGIDAENQIQIDNIMIELDGTPNK SRLGANAILGVSLAVAKAAAQASGLPLYRYVGGASASLLPVPMMNIINGGAHADNPID FQEFMILPVGADSIAEAVRMGSEVFHTLRKELAAQGHNTNVGDEGGFAPGLKSAPEAL DFIMKSIEKAGYKPGDDMCLGLDCASTEFFKDGKYVLEGEGRTLESGAMAEYLAELAA KYPIISIEDGMAEDDWDGWKTLTDLAGKKTQLVGDDLFVTNSARLRDGIRMGVANSIL VKVNQIGTLTETLDAVNTAHKAAYTAVMSHRSGETEDSTIADLAVATNCGQIKTGSLS RSDRLAKYNQLIRIEEGLGPQAQYAGRSIVRG YP_002975619.1 MWTKHHKKRKIGRFVIPAMTVAFLSYFGYHCIHGDYGLRATETF EHQRVAREKELAILKAKREHLENQVALLSDGSLDKDMLDEKARYQLNMSRADEIVVFN HYSN YP_002975620.1 MAPRKTATVSSRKTAAKPAAKASNGGPVADFDRNEELKAYREML LIRRFEEKAGQLYGMGFIGGFCHLYIGQEAVVVGMQMAQKDGDQVITAYRDHGHMLAT GMEARGVMAELTGRRSGYSHGKGGSMHMFSKEKHFYGGHGIVGAQVSLGTGLAFANRY RGNDNVSIAYFGDGAANQGQVYESFNMAALWKLPIVYIVENNRYAMGTSTARATAQSN YSLRGSGFGIPGIQVDGMDVRAVKAAADEALEHCRSGKGPIILEMLTYRYRGHSMSDP AKYRSKDEVQKMRSEHDPIEQVKARLVEKGWASEDDLKAIDKDVRDIVADSADFAQAD PEPDASELYTDILL YP_002975621.1 MPIDILMPALSPTMEEGTLSKWLKQEGDKVTSGDVIAEIETDKA TMEVEAVDEGVIGKLLVPAGTEGVKVNAKIAVLLQDGESASDMSASAPAAAPAAAPQA AQEEKPAAATPASAPVPAEPKAQVQNDPEIPAGTEMVSTTVREALRDAMAEEMRTDEN VFVMGEEVAEYQGAYKVTQGLLQEFGPRRVVDTPITEHGFAGVGVGAAMAGLRPIVEF MTFNFAMQAIDQIINSAAKTLYMSGGQMGAPIVFRGPNGAAARVGAQHSQDYAAWYSA IPGLKVVMPYTASDAKGLLKAAIRDPNPVIFLENEILYGQHFDVPKLDNFVLPIGKAR IHRPGKDVTVVSFGIGMTYAIKAVAELEKLGIDVELIDLRTIRPMDLPAVIESVKKTG RLVTVEEGYPQSSVGTEIATRVMQQAFDYLDAPILTIAGKDVPMPYAANLEKLALPNV GEVVDAVKAVCYK YP_002975622.1 MPINITMPALSPTMEEGNLSKWLVKEGDKVKSGDVIAEIETDKA TMEVEAVDEGTVAKLVVAAGTEGVKVNALIAVLAADGEDVSAAASSAGSAAPAPKADG AAAPKAEAAPAPAQSTPAAAPVAAAAPASVSSDGSRAFSSPLARRLAKEAGIDLSAVA GSGPHGRVVKSDIEAALAGGGAKAAAPAAAASAPQASAAPAPAAAAPKGASEEAVLKL FEPGSYELVPHDGMRKTIARRLVESKQTIPHFYVSVDCELDALLALRAQLNDAAPRKD NAPAYKLSVNDMVIKAMALSLRDVPDANVSWTDNNMIKHKHADVGVAVSIPGGLITPI IRKAEEKTLSTISNEMRDLGKRAKDRKLKPEEYQGGTSSVSNMGMMGVKNFAAVVNPP HATILAVGAGEQRVVVKKGEMAIATVMSVTLSTDHRCVDGALGAELLQAFKGYIENPM GMLV YP_002975623.1 MTKTVLCYGDSLTWGYDAETIGRHDYKNRWPSVLQAALGSEARV IAEGLNGRTTAFDDHLADCDRNGARILPTILQTHAPLDLVVLLLGTNDMKPVVAGSAF AACQGISRLVRLIRNHAWPFEFDGPEILIVAPPAIRATGNVPFAASFPGGIEESAKLA TLYRDLADELGCGFFDGNSVAKTTPIDGIHLDAENTRALGRGLESIVRMMLGI YP_002975624.1 MTSFIALRQASRRDASELAILADIASRGFASWLWVAGVENGVSD TPLERGRLKMSEEEAVGSWRDAVIAEAYGEVAGVAIGHALGEGIGDIEATIPATAPML ALQKTVVGSWFIGSLGVYRHLRGIGIGRRLLDDQIERADRRPVSLITASDNEAALSLY GRNGFLEAARADAVPLFENSKRHAWVLMTRSAA YP_002975625.1 MAESYDVIIIGSGPGGYVAAIRASQLGLKTAIVEREHMGGICLN WGCIPTKALLRSAEVLDHANHFKDFGLVLEGTVKPDAKAVVGRSRAVSARLNAGVGFL MKKNKIDIIWGEAKITKPGEVVVGKSSKAVVEPQHPLPKNVKSGEGTYTAKHIIIATG ARPRALPGIEPDGKLIWTYFEALKPDVLPKSLIVMGSGAIGIEFASFYRSMGVDVTVV EVMPTIMPVEDAEITAIARKQLEKRGLKIFTSAKVSKVDKAANSVTAHVETADGKVQQ ITADRLISAVGVQGNIENLGLEALGVKTDRGCVVIDGYGKTNIAGIYAIGDVAGPPML AHKAEHEGVVCVEKIAGLPNVHPTDKGKVPGCTYCNPQVASVGITEPKAKEQGRDIRV GRFSFAANGKAIALGEDQGMVKVIFDKKTGELLGAHMVGAEVTELIQGFVVAMNLETT EEELMHTIFPHPTVSESMKEAVLDAYGRVLNA YP_002975626.1 MSMETQALLVFLLIGLVAGFLASLVVGGGGLIRCLLSGIIGAFV GGYLFSALGISLGIENALVVQIIHATVGAIIVVLIARAVA YP_002975627.1 MESVGWISAIIIGGLAGWLAGKLMEARYGIFLNIVLGIVGSVVA SAVLAQFHVEVVGGRLGYFVTGFLGACLLIFLARLVRR YP_002975628.1 MVTILDTINPDAKRVRHPEKAHRPDTEVMRKPDWIRVKAPTSKG YAETRAIVKEHKLVTVCEEAGCPNIGECWDKKHATFMIMGEICTRACAFCNVATGKPN ALDMAEPENVAKAVKEMGLSHVVITSVDRDDLEDGGAEHFEKVIWAIRAASPMTTIEI LTPDFLKKPGALERVVAAKPDVFNHNMETVPGNYLTVRPGARYFHSVRLLQRVKELDP TMFTKSGIMVGLGEERNEVLQLMDDLRTADVDFLTIGQYLQPTRKHHKVESFVTPDEF KSYETVAYSKGFLMVASSPLTRSSHHAGDDFARLRAAREKKLLIAAE YP_002975629.1 MNITFGLKSALAEADRILVIGCPGSGKSTLAKRLSRALDLDYIS MDRDFYWLPGWRKRPRNEIDSLIAKAVAEERWIMDGTGLSSFHLRLPRADAVIWLRLP RYACLYGAVSRTYRYFGRNRPELQRLSLDALAYIWNFERDAAPLIEVALQDHGLANST VIIKSRKAANRFAALSA YP_002975630.1 MMDQRVDLPPRQQITDLEQASEHIRTARRILVMGCSGGGKSTLS LKIAKRFGLSYISLDRDVFWLPGWVVRDRVEQRNIITSRILEERWIMDGTNPSSLDIR LPRTDLVVWVRMPRLLCIWGAISRWAKWIGRTRPEMAPGCIEKIDLEFLRFIWTFEEK FAPRLVAGIAEHGPEVPVLQLKSRRQMRELLDLLGRPD YP_002975631.1 MPQFETHRPVPHTPEQMFDLVADVERYPEFLPLCEALAIRSRKE RDGKILLVADMTVGYKAIRETFTTQVLLNRAEHVIEVKYIDGPFKYLDNRWHFAETPY GGCTVDFFIDYEFKSRILGALMGSMFDRAFRMFTEAFETRANRIYTPV YP_002975632.1 MSVFPDDILSAAETIIHDFTAAGLMVSTAESCTGGLIAGALTEI SGSSAVVDRGFVTYTNTAKIEMLGVQAETLLRFGAVSEETARQMVHGALFRSRAEIAV AVTGIAGPGGGSAEKPVGLVHLAAKSRDGTLIHRKMLYGDIGRSEVRLATIRTALEMV RSLLAA YP_002975633.1 MLQMPSKQPISAGIVIVAAGRGERAGSSKEGPKQYRMIGGKPVI VHTLENFMTWEAATEIVVVIHPDDEALFARAFRHIISATPIETVHGGATRQQSVLAGL RYLKDKQISHVLIHDAVRPFFDHDLLDRIAESLNAGAQAVLPAIPVTDTLKRADNTGT VLTTVSREHLYAAQTPQSFAFETILEAHEKAASSGRSDFTDDASIAEWLGIPVTIVEG TADNVKLTVKNDIAMADDKLSAPLLPDVRTGNGYDVHQLVVGDGVTLCGVFIPHDQKL KGHSDADVALHALTDALLATCGAGDIGDHFPPSDPQWKGAASRIFIEHAARIVRERGG TIMNADVSLIAEAPKVGPHRDAMRAKLSDYLGIDIERCSVKATTNETIGFVGRREGIA AIATATVVYRGRK YP_002975634.1 MCPKDNHLISKDLAAPFQIGPVSVRNRVVLAPMSGVTDMPFREL AWRFGAGLVVTEMVASRELVNDTAESWSRLSAAGFRPHMVQLAGREAHWMAEAAKIAA DHGADIIDINMGCPAKKVIGGYSGSALMRDPDHALGLIEATVKAVDIPVTLKMRLGWD ENSINAPDIARRAEAAGIQLVTIHGRTRMQFYEGRADWDAIRAVREVISIPLIANGDV ETASDAQEILRRSGADAVMIGRGCQGRPWHAGVISGAPAPQSLKIADIAVEHYRMMLD FYGEAVAIRHARKHLGWYLQRFAPDLSGPEKAEIMTSRDPREVAARLYDALAASVVDS REAA YP_002975635.1 MTKDMTSPSDHAGGTVAMAVLNAIQNPVIMVDESGFVVFANWEA EAFFGASASHLARYRISTFIPFGSPLLALIDQVRERKAPVNEYRVDLSSPRLGQDKLV DLYVAPVLSEPGAVVIVFQERSMADKIDRQLTHRAAARSVTGLASMLAHEIKNPLSGI RGAAQLLEQSADDDDRALTRLICDETDRIVSLVDRMEVFSDERPVDRMPVNIHSVLDH VKAVAKAGFARNIRVTESYDPSLPAVYANRDQLVQVFLNLVKNAAEAVGDRPDGEIML TTAYRPGIRLSVAGTREKISLPLEFCVHDNGPGVPLDLLPHLFDPFITTKPNGSGLGL ALVAKIIGDHGGIIECDSQNSRTTFRVLMPASKDASLEDASIASSTGPSR YP_002975636.1 MTATILVADDDAAIRTVLNQALSRAGYDVRITSNAATLWRWISA GEGDLVVTDVVMPDENAFDLLPRIKKARPDLPVLVMSAQNTFMTAIKASEKGAYDYLP KPFDLTELIGIIGRALSEPKRKPAKLEDDMQDGMPLVGRSAAMQEIYRVLARLMQTDL TLMITGESGTGKELVARALHDYGKRRNGPFVAINMAAIPRDLIESELFGHEKGAFTGA QTRSTGRFEQAEGGTLFLDEIGDMPMDAQTRLLRVLQQGEYTTVGGRTPIRTDVRIVA ATNKDLKQAINQGLFREDLYYRLNVVPLRLPPLRDRAEDIPDLVRHFIQQAEKEGLGS KRFDQEALELMKAYAWPGNVRELENLIRRLMALYPQDVITREIIDAELRSDVPDSPID KGPMRSGSMTIAQAVEENMRSYFAGFGDNLPPPGLYDRVLTEMEYPLILAALTATRGN QIKAADLLGLNRNTLRKKIRELGVSVYRSSRTA YP_002975637.1 MEYRRLGKSGLQVSEFAFGSWVTFGKQVNGGDAVDLMKLAYDNG VNFFDNAEGYESGKSEIVMGEALSRLGWSRDSFVVSSKVFWGGQKPTQRGLSRKHVTD ACHAALKRLQVDYLDLYFCHRPDIDTPIEETVRAMHDLVAQGKVLYWGTSEWSAQQLT EAYAVARDLRITPPTMEQPQYNIFERQKVESDYLPLYDLIGLGTTIWSPLASGVLTGK YNNGVPADSRMNLPGYEWLKEKWSSDAGLAQLKQVGELAKLADEIGISITHLALLWCL ANRNVSTVILGASRAGQLQDNLAALSHRHKMTSDVLERIDTIVGNKPEGPRRF YP_002975638.1 MHFLEVFAIGSLIAAGIFHVCMLFAFEHLTSKINKYGPNLVTKR GRALPEIDQNSQVIPRELKSQFVLYRQCWIVFMVVFMMPVAVYLISKAK YP_002975639.1 MKRSKFRILAWLLFFCAISQGKIEANLTGISLFARPDSFASMYL RINAFDESFTRCRIAMDLASAIGDASCRRSLRLINEFSDLVGMGVIRDDDEKTLKRVL DFLIQYRDHSK YP_002975640.1 MTQDGVSPAAAGETVTTVTDRRALFAVPGLVLAGGALLCATATL FVLLGLTPIAPTSHVVITSVIVNSFFVLTLLALIGREVARLLKARTRGRAAARLHIRI VVLFSIVAITPAILVAIFASITLNAGLDRWFALRTQSIVSSSRNIGQAYMMENASYLQ GQTVSMANDLERNRALYTLDRTGFADLMTRQARGRGLLGAFLVERDGSVIVQADIATE KPLPAIPQDALEKAAAGQPTLIPPGVTNLVGAIIKLDAIQGTFLYTVRAVDPKVMGAM RMMEENATEYRSMEANRFSLQVAFAVLYIGFALIVLLAAIWTAIAVADRIVRPIRLLI TAADSVASGNMDIVVPVHAVDGDVANLSRTFNKMISEIRTQRDEILEAKDEVDDRRRF IEAVLSGVTAAVIGVEQDRRIAIVNSSAETLMSLSADEMLGKQLVDIAPEVDHVLTEA AVRYRGDFRKQIALVRGGTVRTLSVQVTREEVRDMSESYVITLDDITDLVIAQRSTAW GDVARRIAHEIKNPLTPIQLSAERIQRRYGKQIDPDDRTVFDQCTDTIIRQVGDIGRM VDEFSAFARMPKPTKEPSDLRNILRDAIFLREMGNHHVTFGQDFGDQPLEGLFDSRML GQAFGNLIKNAVESIEAVPGDERDERKVLVRAALDTGRDRFTVDVIDNGRGLPVENRH SILEPYMTMREKGTGLGLAIVKKIIEEHGGQLELHDAPADFDRGRGAMIRVHLPRLDP TPAAPAANDKESVYGL YP_002975641.1 MASDILVVDDEHDIREIVSGILSDEGHETRTAHDSDSALAAISD RVPRLIFLDIWMQGSKLDGLSLLDEIRTRHPELPVVMISGHGNIETAVSAIKRGAFDF IEKPFKADRLILIAERALENSKLKREVSDLKRRTGDALELIGTSVAVSQLRQTIEKVA PTNSRIMILGASGSGKELVARMIHKKSARANGPFVAINAANITPERMEVALFGTEGTP GQARKIGALEEAHRGILYLDEVGEMPRETQNKILRVLVDQQFERVGGSKRVKVDVRII SSTAYNLESRIAEGWFREDLYHRLAVVPVRVPALAERREDIPFLVDQLMRQISEQAGI RPRRIGDDAMAVLQAHDWPGNIRQLRNNIERLMILARTDGPDAPITADMLPTDLGDML PKVSAKNDYHIMTLPLREAREMFEKDYLIAQINRFGGNISRTAEFVGMERSALHRKLK SLGV YP_002975642.1 MPRIAYVNGRYVKHSNASVHIEDRGYQFADGVYEVCEVRHGYIV DLTRHLNRLDRSLGELRIAWPMGRAALTQVIRETLRRNHVRNGLFYMQVTRGVARRDH VFPAEGTPPSLVITAKSTDARIIAAKNANGIKAITLVDNRWDRVDIKSVGLLPNAMAR QQAKEAGAQEAIYTDGDGMVKEGAATNVWIVDPDGTLVTRPAEHGILRGITRTTLMDV GAKLGLTITERNFSVSEMLAAREVFLTAATSICFPVVSVDGQPIANGHPGSVSQKVRE AFFDVAEKIAI YP_002975643.1 MAERSQNLQDLFLNTVRKQKISLTIFLINGVKLTGVVTSFDNFC VLLRRDGHSQLVYKHAISTIMPGQPMQMFESEEAAS YP_002975644.1 MRATVVVPVLKSRSRGGQGESASTRTPESRLEEATGLAQAIDLD VVNGSIVPVNDPRPATLLGTGKIEEIKALLDERDSGLVIVDHPLTPVQQRNLEKVWNA KVIDRTGLILEIFGRRASTKEGTLQVDLAHLNYQKGRLVRSWTHLERQRGGGGFMGGP GETQIEADRRMLQDRIIKLERELEQVVRTRQLHRAKRRKVPHPIVALVGYTNAGKSTL FNRITGAGVLAEDMLFATLDPTLRRMKLPHGRTVILSDTVGFISDLPTHLVAAFRATL EEVLEADLILHVRDMSDADNQAQSSDVMRILNDLGIDEAEAEKRLIEVWNKIDRLEPE VHDTMVQKSAGASNVVAVSAVSGEGVDTLMEEISRRLSGVMTVATIRLPVDKLALLPW LYDHAIVDGREDNEDGTITLDLRLSETEATELERRIGNGQKSSKEDWER YP_002975645.1 MEPSKDISRLIEIMAALRHPETGCPWDIVQNFETIKPYTIEEAY EVSDAIERGDMDDLCDELGDLLLQVVFHARMAEEAGEFSFGDVVNAITAKMIRRHPHV FARSAADTPDAVKRQWDEIKQAEKRERAERRSRRGITEDFNSGFLGSVQRSFPALTEA LKLQERAAKVGFDWSAPEPILDKIEEEIGELRVALREGDQAKVNDELGDLIFAVVNIG RHVKADPEQAVRGTNTKFRRRFSHIEQVLEAEGETLQDATLERMEEIWQAAKAIERAI VVGAE YP_002975646.1 MPDKTIASRLLSVMEDDILPLTERGVSLGNKVFGAAILRKSDLS LVVAETNNELENPLWHGEVHTLKRFYELGDKPPTKDLIFLSTHEPCTMCMSAITWAGF DNFYYFFSHEDSRDAFAIPHDLKILKDVFGLEPGGYRRQNAFWNSFAIADLVETEEAQ LKNALKTQTARIKARYDALSTSYQSSKSANDIPLN YP_002975647.1 MPSKTEQLSVFPAFFRVEGQKTAVFGNGDEAFAKVRLLLNTRAR IIAYADRPEADYHAFLIANRIETVRAAFAAEQVEGSALVFAATGDEADDRSIVDAARA ARIPANAVDQPDYCDFFTPALVNRAPVAVAIGTEGAGPVLAQMIRAQIDQLLSPSLGR LAGLATSYRKSVEQLIPRGVSRRVFWRRFFSGAVADAVANGNLPQARHAADRLLHAMD KVAGHVWLVGAGPGAEDLLTLRAQRVMMEADVIVYDALVPQAIVDMGRRDAERLSVGK RKGCHSKSQEEINDLLVDLGRQGKRVVRLKSGDPLVYGRAGEEMAALRAAGVTYEIVP GITSAFAAAADFELPLTLRGVASSLVFTTGHDLTGDVLPDWASLAVSGATIAVYMGRT VAASVAERLMQAGIPSETTVAVIENASRADRRLLHGTLADLPDLQHRDELTGPVMVII GDAVAGANFELSEPLVRANARLEELARS YP_002975648.1 MGDKVLTANRLTDGIAVWLDANGKWSTSLQEALVARHAEAVEAL EAIGKKSYADNEVVDVAVVDVQETNGILWPLRLRERIRAQGPTMEYAPGYAPADPEFI AV YP_002975649.1 MYRYDEFDHAFVTERVEQFRDQVERRLSGELAEDAFKPLRLMNG VYLQLHAYMLRIAIPYGTLSSRQLRMLAHIARTYDRGYGHFTTRQNLQFNWPKLSDIP DALADLASVEMHALQTSGNCIRNVTADHFAGAAADEIADPRPYAEILRQWSSVHPEFS FLPRKFKIAVTGAERDRAAIQVHDIGLHVKTNDKGEIGFAVYVGGGQGRTPMIAKLIR DFLPEEDLLSYTTAIVRVYNLHGRRDNKYKARIKILVHETGTEELTRQVEAEFAALKD TELKLPEKDVQSISAYFAPPALPERAEGWENLARWKKADSGFARWVQQNVQPHKNPDY GMVTISLKPIGGIPGDASDAQMDAIADLAEEYAFDEIRVSHEQNLILPHVALADLEAV YRGLVAINLAEANAGLITDIIACPGLDYCALANARSIPLAQEISRRFGDAERQAEIGE LKIKISGCINACGHHHVGHIGLLGVEKKGAELYQITLGGSGDEHTSIGEIIGRGFEPD RVTDAIETIVDTYLGLRLDQSENFLAAYRRVGPQPFKTALYGSAAEAA YP_002975650.1 MTKIWRETGFVENDPWVIETDEVKATEEQKPLLGLDELIAKADE SNDVGLGVLIKPADDVRRLEPYLDRLEIVAVAFPAFNDGRAFSHASLLRQRLGYTNEL RAVGDVLIDQVPLMLRVGIDSFSVTNATALKRLSENRLPAIPHHYQPAVRDAEAGKGY SWRRQAKPAA YP_002975651.1 MKRFEVAVIGGGLAGMIAAIALARGGRNVALVAPLAPKEDRRTT ALMDQSIRFLDRLALWEKLRPAAAPLTSMRIVDGTDRLLRAPTTTFRAAEVGLDAFGY NFPNKALNGILEEAAAGEGNITRFTDMAESIDISAEAVSIRITGGETLSADFAVGADG RGSKLRETSGIAVRNWSYPQSAMVLNFAHSLPHQNISTEFHTKHGPFTQVPLPGSRSS LVWVQDPAEAASRAELPLAELGALVEARMQSMLGKVDVEEGVQVWPLSGMMAHRFGKG RIALIGEAAHVFPPIGAQGLNLSLRDIMALADILCDRAELPVPADAGESFDRKRRADI MTRTASVDILNRSLLSDFLPVQMLRAAGLHILSAIPPLRNIVMREGIEPGRGFRDIPE ALREKLKRKKA YP_002975652.1 MADIVSLLLPFFGLILIGYIAAKATKQPAEALGWLNTFIIYAAL PALFFKLVSRTPIEELTRVDFIVTDIAATYAVFILLFVIGRVVRGNSLADCTIQSFAG AYGNIGYMGPGLALLALGEGAAVPVALIICFENALHFIVAPALMAAAGDDKGSTGQLA ADIVQKVALHPFILSTALGFAVAALHIDQPPAFQRLVDYLAQAAAPCALFAMGVTLAL RPLKRIPAEISYIVPAKLILHPIAVFVALTAVGGFEPVWIQAAVLLASLPTATNVFVI GQQYGVWQERASATILITTVFSVVTVSLWLIVIRSGLLPLQLFP YP_002975653.1 MNLETKMSLENTAHTGRPRLDELVPSRYAVQVGEIEVLVVSDGV LPLPTAMLGHNIDPAVRAAWLKDMFLPADAFDWALNVVVVRSGDQTILLDAGLGLDPD LHLPRAGQLIKRLEAAGIDLASVTDVVLTHMHMDHIGGLLVDGVKDQLRPDLRIHVAA AEVKFWEAPDFSRVSMPPGFPDALRATAKRFAKEYRSHLRLFDDEYEVAPGVVVHRTG GHTPGHSVIRVASGGDRLMFAGDAVFAVGFEHPDWYNGFEHDPEEAARVRVRLLRELA ETGEQLVATHLPFPSVGRVAVDGDAFRWVAAFWDY YP_002975654.1 MQIDLTGKTALVTGSTEGIGYAIVRQLARAGANVVVNGRSEEKT AKAADRLKGDGAKGSVTAAAADLATAGGCNALVAQVPHVDILINNAGIFQPLDFFDAD DEVWDRHWQVNVMSAVRLSRAYLPGMQKLDWGRVIFIASESGFNIPVEMIHYGVSKTA DIAVARGLAKRMAGTGVTVNSVLPGPTLSEGVEAMLAEERARTGKPIEEVAADFVKKH RAGSIIQRAASVEEIANLVTYLASPLASATTGASMRVDGGLIDTL YP_002975655.1 MIEFRAVNKWFGPLHVLKDITLDVAPREVVVVCGPSGSGKSTLI RCVNGLETIRDGDLVVDGQRLGDRTTNMTLLRAEIGFVFQSFNLYPHKTALENVTLAP MHVRKIPRAEAEKAGRELLAKVGLADKVDAYPAQLSGGQQQRVAIARCLGMRPKIMLF DEPTSALDPEMISEVLDVMVAVAEEGMTMMVVTHEMGFARKVAQRVVFMDAGAIVESG TPDEFFAHPKTDRSRAFLGKILRH YP_002975656.1 MIWQQLQSLAGSYPLALRGLGMTVALSLISLVLGTLIGFCLGIL RTGGSRLLSAAIGSWVDLIRGTPFLVQIFLIFFILPEFGIELDAFSAGIIALTNLAAC FICEIVAAGIRAVPTGQIEAALASGLSRWQRMRQVVLPQAMRIVLPPLVGQYVLLIKD SSVVSAIGLTDLTRVGWLVVQRVPNGLLVFFLVGVGYFIVCYPLIMLARRLELRMGAA HGEVRL YP_002975657.1 MLENFSFRAIVEYLPLFGQGLFTTVWLSLLSFAGALAVGIVLVA MNLQPARLFRLPARAFIDAVRATPLLAQLYFLYFGLPRLGFILPELVVGILALSLNSG AYIAEIIRAGILSIHRGQVEAGIASGMTFIQRMRFVILPQAFKVTIPPLLGQAIVLVK DSALLSLISVAELTRAGQLLASDRFMPAEGFMTIAAFYLLLYYGLKGLAALSAYWLGL APTGART YP_002975658.1 MNITKFFAAAVALASIAAAANAGTLDDIAKRGELRVAVQTQGPP FSLVDAAGERTGSSVELAELMAKEMGVKITFLDFDWDGLIPALLSGKADLLVADMTPT LARGMKVAFTKPYMYTGSTVFTKAGGKFNTTGDCKAKGTKIAVLLGATGEKEAKAAFP DAEIKSYKGGGPLLLDAVNNGQADCGVNDVSAVKGQSTAYPAGSFTIMPDMLSKEPLA FATRYDEPDLLIWMNQFLDQVTLDGRLQKNLDYWVNSDNWKKDH YP_002975659.1 MKIAVLGGLGLQGRAAITDLVASDGVERVVCFDTAPDGAALLAG LTDIGRIRFVVPEGPIGPTLADVMEDADAVIDLLPQPLMREAVLAAIATGTPLVTTNY GKAIADLDPAATTAGVSIMTECGLDPGIDLVLYARAASQFDTITSIDSYCGGIPEPKA TTEPLRYKVSWNFDMVLTSQNRDSVLVENGERVDVPAARQHDNRFIHQIEFAGLGRLE AFPNGDAPHYSDMLGHAKGLRRGGRYSLRWPGWSAFWAPLKELGFLSEDKVPGIGTSP REFLGRMLGPKLQYGVDEKDLCVMRNVFSGSEGGRRKTVTSDLIIERDLTSGLFGMSR GVGYPASIVAQMLARGEIAKPGLLNPLMHVPDGRFLDELARRGIRVSETVSWD YP_002975660.1 MREHLDQTDRRLVKLLSEDAQLGVNRLAEKMAISVPTVRMRLRN LLGRNLLKIVGLLNLTERPELISAIVGINAQGRGQARKLAERISELPFVNSACVVTGR FDIIVDVTVVGDVADLYRVTSELIPGAGEPGEVVRSETFVVMASCNKWVSLPEGCWSD ENPRKESA YP_002975661.1 MKTFESDDGSLQNSLRQVLRGLDRADGRQAIAFRLVFAAIDVAI LAFFLLGPYLRSGPSYLIIDYAIAVWIAAEMVGRAVAAFSLREWIRRPMTWIDFVVLA TLLLPDLLFNFAFLRIMRFWAIGTSPLLKDLLRKAGYLYLLDVVRAVINLLVFLFMAT GFVYTSFFYNREGSEGFVDALYFTVATVTTTGFGDITLPGTLGKLTSVVTMIVGISLF VRLAQAVVRPHKVTYSCHRCGLQRHDADAVHCKACGEVLNIPDEGS YP_002975662.1 MQKNAPDFSLEGKVTLVTGASRGIGRACALACAAAGSNIVLGVR DVAASADLVAELEGAGRKVLPVELDIPNKAHIAQAVDAALATFGRIDVLVNNVGVAPG NLAELVEEKDLDEILDVNIKGTFLMTQAVGRHMIKRNGGRIINISSQAGTVALRGEAI YCMSKAAINHLTRCLAAEWARYDVTVNTVSPTFIHTDGTAPFLSDADNREATLGHIPL GRIGETDDVVGAVVFLASPAASLITGANLLVDGGWSVA YP_002975663.1 MSIADISLWSALIAGALSFLSPCVLPLVPPYLCYMAGISVEQFR GGGAVAVAPDVRRGVFFSALLFTLGFATVFVALGAGASSIGMVLRQHLDLLSKIGGLI IIVMGLNFLGLFRIGVLAREARFQGSGKPATLTGAYIMGLAFAFGWTPCIGPVLGAIL GVAASRETVGSGAGLLAIYSLGLAIPFWIAAGFSGSFMRFLSRFRRHLGTVEKVMGLF LVLTGLAFLFGWVSNVAIWFQQTFPILMQIG YP_002975664.1 MSSQPITDEQVADFRRFLKLLPRSQDLTLIILKGHLLVEEQVWK VISSRLRKPSALKDGRIATYQAICLAEAFCPEDHDLWQSAKKLNKIRNDIAHNVVPPT GLNDRIDDFVDSMGWLNTTMTVREDLFQFALWAIFIAISSLVEDTAAHGSSD YP_002975665.1 MTVAIEVEPAERRTKLAPPPDGVAALRRCYGARLLIYKSLCMLN RG YP_002975666.1 MKFWYERYGVWVALTVFILVSILSLVGFSPTHQLLQMMCSPADK GDCFRQWASATSGWFGGAVTLATLIVLSRQISDIRNHHRETMLHATRPTYLRAMRLND AVRFARITLKLLADAITKVDQNGETMEGFFSIMACIRSLNEELSRPEFDNFENDIGYV GIGSAFAIRSGLRTILEFGEFTVEAAKRDLNRKIDSAAFEDFKAKASYQKYTELYFEG ISAEADKYIRHWEATSGGAVMR YP_002975667.1 MIRSQIADAQTVLSDEEVALCQRVFDHISSMRQITTDTEREDLA RRVIHSYQHGVKDEGALLRLLI YP_002975668.1 MSLNVFVSLYNLGGLDALNVSLRSLSDDERLGALLSLEKIGYEV IWNAQRKPASAYVWSGPNEN YP_002975669.1 MFQGMHGSKSALGPEDLTMIKDIYDEWCAARCCAKDSPSAIKAA QELISMFEHGVRSKDDLRTMVGTIGAHLLKH YP_002975670.1 MDWNRIEGNWKQAKGKVKEQWGKLTDDDLDQIAGKRDQLEGKIQ ERYGIEKDRIKRDIDDWSGRQTW YP_002975671.1 MWAILTGAALIIGGVLFLFTSALGRRPSDPHRMPQGGTTLEPRR QGLRFLGLSQNWPALAVIALGVLLLAFGGYS YP_002975672.1 MDKTPKTAIRGMMLYVLALLVLGVLAGAAYTIYGHPADPSERPA AETIPQKAPAPQ YP_002975673.1 MREHAVVISGAGPTGLMLAGELALAGVDVAIVERRPNQELAGTR AGGLSARTLEVLDQRGIVDRFLAEGQIAQVTGFAVTRLDISDFPTRHNYGLALRQKHI ERILAGWVGELAVPIYRGLEVTGFAQDDTGVTLDLSDAALLRAGYLVGCDGGRSLVRK AAGIAFEGWDPTTGNILAEVEMDEEPPLGIHRTALGIYAFGREEYEIHDGKIVFAKEG PIGVMVPETNAGATTEPTLSDLKEALIAAFGTDYGLHRVNWISRFTDMSRQATAYRKG RVLLAGDAAHVHSPVGGQGLNTGVQDAVNLGWKLAQVVKGTSPDALLDTYHAERHPVA ARVLRMTMAQVALQRTDDRTEALRDVVTELLGMEEPRKRIAAEMSGLAIHYEFGEGHP LLGRRMPDLDLTTPDGPTRVYKLLQDARPVFLNLGAAGSFDIAPCSDRIKLVDAGYDG AWELPALGLVSAPMAVLIRPDGYVAWVGDRTQDGLQEAMNSWFGSHG YP_002975674.1 MARLMGPDEAAQSEKRTAKADRPDGLSRNVTAIKVGDCLLGYKA VQRRTRASRWNHFRGRMREIEKLIRHRHGDIVPEADDALIYVEVIAGLALVEFKEEFV EVVLGWAARWLPWAGKAEIEDVIYERTKVRFSDLSADALGHALHLSYAERSALDIRTI GAFDVPKRKRAKLQKEKRRQRDRSRKEEQRRAAGALSRADYLANSFSQVRPWEAFGIS RRTWERRGKPMPDATTISDCDPISLAA YP_002975675.1 MVRCDMAKETKLGRSAESGRFVTRPIGEKKAEKFAAVEGLKMSA GSKALSQKLTSGDLKGDAYRREILKAFKKV YP_002975676.1 MSSTRFDPILLHRQHIIDEVTCLDEIFDVLDGWPEDKRGLAYDT LLKACRDAANGRFPLSAARENFRRFLNMAGVLAKVEGGPKFDGPMNHQIGNA YP_002975677.1 MPIFMDRHFLEGTSAADVARAHRMDLDIQDKYGVKFLTYWFDQR RGTAFCLVDAPDAETAQCVHREAHGFVAGEIVEVALSAVEAFLGRIHDPEPTPGQSSS DVDPGHRAILFTDIVGSTAMTSRLGDRIATEMVRAHDSIVRRCLSQNSGREVKHTGDG IMAAFAATTAAVACAMAIQQEFERYNGGNTEPIHIRIGVDCGEPVEDSNDLFGSTVQL AARLCAAASSDQILVSENIFREYGAADLFTHATRRRFKGFSKPMLVFRCDWASAGVN YP_002975678.1 MGLGHYAVINSVWDAARTLLRDWPVDDGEEYFEAVKSCLDAIIG DLPPEHVRAAFIRAAQEAGIAVIEAAD YP_002975679.1 MIMTHTEQVIANALALVEASRAARERDQQRRAAWQRKVELSRPL PPLPRPVQLPLALN YP_002975680.1 MRPARILEPGQVRSEQVGSDQAWPDQAWEIEAVLAWHDDNAKAA IRSLLDDCKHLRQQLALAESVMSRGMARGWAPRYERDAL YP_002975681.1 MSDELRPIDAAASAVSQKLDWRLMLPVFIIVSLDAASSGAILPF LPFYLRNLGASPLVLGLVLGAEALSQFVAAPWLGQLSDRCGRKRVLLASQAGALISLL LLALANSVVFVLLARILLGLTAANFSAAAAYAADNSSATTRRQAIGILSAGLGLGGMI GPSLSGYLADTSLTAPIWVALALSATSMLVTGLWLKGADAPGRFGNDSEADETVGEKV SFRTLLASPVIRVLVAVLLCHYFSYGMFSSQLAVFLADTFTWNEHAFGPKELGYLLSA DGAINVLVQLFLLRWLGGTFSERGLIVLVFTILSIGYVTAGLATDIVTLAFAVLCIST GVALARPTFVAALSVHVPQQRQGIVMGATQSLVAVTDIVTPVLAGVILGQSLYGAWIG AVVAIALVGAVIARSRLPAIDPETSATGG YP_002975682.1 MTENSTVDVLISGAGAAGLTLAIELARRGVSFRLIEKLNDPFRG SRGKGIQPRSQEVFEDLGILDRIVAQGGTYPRQREYRDDGSVCESDAVVGGEPTPAEP YHLPLMVPQFLTEGVMRERLLELGHRPEFGCELIGFEQDEAGVTARLKGTSGEETIRV RWLVGADGGRSFVRHALDIGFPGKTLGVRAMVADVILTGLDREVWHRFGDGDMQRQIA ICPLAGTDLFQIQGPIPLEGEVDLSAAGLTALVKERTGRDDIEVRSVSWASAFHMNAR LADHYRLGRVFLVGDAAHTHPPTGGQGLNTSVQDAYNLGWKLAALTAGAPDALLDSYE EERRPVAAAVLGLATNLLDAMKRGDMRRGRDVHQLDIGYPSSSFALEKPERNAGLLAG DRAPDAPLKGVAGQPTRLFELFRGPHWTLLGYEVEQAAVPPRPGLHIHRIGERGDVID EGGHFHDAYGLTSGDWVLVRPDGYVGAIIASAHAWALEAYLANVGLAA YP_002975683.1 MASTKNVQNTHISGKLRELHGALIEIVSVMNRPQRDEQMVREAG ISLDRALFPLLVTIERLGPIGVVELADRAGRDYTTVSRQVAKLESLDLVERRGNATDR RVREAVISPKGKAMTDRIDIARERMGRAIFESWDEHDFNELVRLMRKFAEDISGDIGN GAGEAAGEGGRQAD YP_002975684.1 MFDILWRGLVIGAGATILMDLWAILLTQFGQAAPNWAPVGRWFW HLRRGKVFHESIADAEPYANELALGWISHYAVGILYGVILAIIMGSGWLAAPTFLPAW IFGIVTVGAGWFLLQPGLGIGWAASKHPTPNKVRCFNLLAHTVFALGLYGTALIIG YP_002975685.1 MREALIVWGGWSGHEPQECAEIIKTMLEEDGFKVYLEHGTEALA DPSVHDLSLVVPIMTMSKIEKEEVKNLATAIESGVGIAGYHGGAGDAFRDSVDYQFII GGQWVAHPGNIIDYTVNITRPDDPLMEGIADFPYTSEQYYMHVDPSNEVLATTKFTGE HAYWIDGVVMPVVWKRKYGKGRVFYSSLGHQAKEFDVPQMKTIFRRGANWAAR YP_002975686.1 MDKVGIGIIGCGNISGAYLTAMASFPILDIRGVADLNRELAEAK AAEFSVPVKTVEELFADPKVEIIVNLTIPKAHVAVALQALDAGKHTYSEKPLGINFAE GKKLAEAAKARNLRIGAAPDTFLGGGHQTARGLIDQGVIGQPVGGSATFMCPGHERWH PNPAFYYEVGGGPMLDMGPYYITDLVNLLGPVSQVAGFATTPRSERLITSEPRNGERI PVHVPTHVTGMMAFANGAVVQIAMSFDVAGHKHVPLEVYGTEGTLIVPDPNKFGGPVE YLKKGGPFEDQPVTSPYADGNYRSLGVADMAHAIRSNRPHRANGDLALHVLEVMEAFH TAASTGRTVAITTATERPAPLSDSIVDGRLAK YP_002975687.1 MKTADPELMRAINRLNVLDTIRRHGPISRIEISERTELSTTTVS AITASLLDDGLILPRHEGDIRNEAVRGRPRVMLELNPDAARVVGAKIAANRMVFVVTN FRGDVLSKLALPIRIDRQPIGVIADLVEDGVRRCVVDAGLSLEDVDSVCLGFPGVIEH RTGYIRSSPIFRDTNVDFAAEMSTRLATPTIVESDAHAITLGHHWFGKARDLEDMVLI SLEQTLGLGVLHGNSLFRGAGGLSHNLGDLVLGMGPNGVVRLFSQAGESAILGEQQAD GRFAEAIRLGRGMNHAQALIKADDDRLISAAIRAGEAVGLTIANIVTLFAPPRVILVG SSLALGEPFLNSLRDAYALAIPPSLRGVSELVFDDSTDDFWAQGAAAVALYELYESPW STTGPAL YP_002975688.1 MTFRFKSTAFGGRRIASMAAAAGMLLAGAGAASATTVVKWLHLE LDPKYVAAWEDIVKKYEAQHPDVDIQMQFLENEAFKAKLPTLLQSDDVPDFFFSWGGG VLKQQSETGALQDVTPALDADGGKLRGAYSPASVSGLTFEGKTWAIPYKVGLVSFFYN KDLFAKAGVKAEDIKNWADFLGTVKKIKEAGIVPIAGGGGEKWPIHFYWSYLVMREGG QKVFEAAKTGQGEGFLDPSIIKAGDDLAELGKLEPFQPGYLGSTWPQALGVFGDGKAA IILGFENTEANQRKNAGDGKGLAPENIGRFAFPAVDGGAGKPTDTLGGLNGWAVTKKA SKEALDFLAFLTNADNERAMAKAGMLLPVAVGAGDGVTNPLLAESAKQLAGSTWHQNY FDQDLGAAVGRVVNDVSVEIVSGQMNSKDGAQMIQDAFELEQ YP_002975689.1 MANISVPSITTAARPAKRAANSKSSVAHDRLAVLLIFLPPALLL FTLFVIMPMGEAAWYSLYKWNGYGTPTEFIALRNFQVLFRNAAFTQALVNNGLIIVIS ICIQVPLAIWLATMLAHRIPGVVGYRLIFFLPYVLADVAAGLIWRFVYDGDYGLFAAV SNFFGFANPYVLADKDVAIYAVLGVIVWKYFGFHMMLFIAGLQSVDKSVLEAAEIDGA TGWQKFRYVTLPLLGSTLRLSIFFAVVGSLQLFDMIMPLTGGGPSNSTQTMVTFLYTY GVMRMQVGLGSAVGVVLFVICVTLAFGYKRIFMRHD YP_002975690.1 MTDMSSSIRMSTSTRVYLYVSLSLIAAIVLVPLLTTALGGFKTL GDLRTNPFGLPTEWQWANYTDILFGERYWLQIGNSLVIASLTVLLTLIVSSMAAFAFA HVRFFGSSFLLNYFLLGLMFPAATAILPLFIRIRDLGLLDTYWGVVLPQVAFGLGMSI LLFRNYFRNLPEELFQAAFVDGCGYLRFFWHISLPLSRPIVATVSIISFVGSWNSYIL PLIMLNSESKYPWPLGIMVYRGEYGTEWQLVLAFITLTILPTIIVFFVAQRHIIAGLT AGAVKS YP_002975691.1 MASVELTDISKTYGAVDVIHGISLHIEDGEFVALVGPSGCGKST LLRMIAGLEEITDGEIAIGGKVVNAMTPRERNIAMVFQSYALYPHMTVAENMGFNLKL AGVAKPQIEARVAEAARMLDLAELLDRKPAQLSGGQRQRVAMGRAVVRNPAVFLFDEP LSNLDAKLRVQMRSEIKTLHQKVRTTSIYVTHDQIEAMTLADRIVVLNQGRVEQQGTP LELYRKPANLFVAAFIGSPAMNMLEGTVDGENGGPAARLSDGTAIRIAPDRKVKAGQA ITIGLRPEHLVPGVAAGTPLAGRTMLVEPTGAQTHVVFDLAGQQVTAIVDGEYPARYG AVFEASITSDQVHVFDRGTGVAL YP_002975692.1 MNSDHFDERSTSALMNIIQDKDVGNENRYAATQSVLRRWRQGVD LEFLIDLLLSESSRDRLRGAHYLAELGQEVEGLNVAATQLADDALSDCRRAFVEYTVN SGRYDQTISNALAKCLLDLNLHVRVEVINWAVPISDERFENFSQLVEAGAGWPEFRFP NPLSNDFWNASILKRAVRGLDIIRCIRDGKEIEQIKKDFPEEDSFIFDVIQFSRTRRE RLAKWQDKSQY YP_002975693.1 MVVMPVVTRHLIDRGDGATVELFQAQAASETPTGAILFVHGNQG GRLLGGIEAVDSGALHRFCSGLNITAAAVSQPCFGASDGPPDFCGPKTQQAIIAALAF LRGQPSVDPNRIVLYGNSRGAVASAMVATQVSDLRAVILSSGVYDLEVAYHESSDGLR WAIEKEAGLSREAFLARSAIHYAPEVRSETLCCMESMTTVLLLPKPNSSQKLCPMPES WRRFTSLSVDIKFQGTTCRELSGPSCKGCSIPS YP_002975694.1 MKRIEMEVSGGCQCGAVRYHATAMFDNSHLCHCRMCQKASGNVF AALVAAPDDALSWTRGKPSVWKSSELVERGFCANCGTPLFFHHLENGRTNLMIGSLDD PHAFSPLANTCTENMVAWFDTITGIENTGATEDNGADWAAAIKESNNQHPDHDTASWA VRGRDG YP_002975695.1 MKSLLMSALMLTTGMLGAAPAWAQPALPDQTIKNIVLVHGAFVD ETSWDGVAAILTVKGYKVTAVKNPLTSLADDVAATNAVLDAQNGPVVLVGHSWGGVVI GEAGDNAKVASLVYVSAFAPEKGETITALAASGPATPGAAAIRPDAKGYLTIDPAVFP SAVAGDLPKKIGEHLAAHQMPINHTAFDAPAEVAAWHDKPSWYVLSSKDLVLDPHAQA FFAERMKAKVTTVEGGHASLASHAKEVAAVIEAAAGAQ YP_002975696.1 MNPIELKKAVGSGLLSFPVTHFDDQLKFDEAKYSRHVEWLAGYD AAALFAAGGTGEFFSLNPAEIPQVIRAAKASAGKTPIISGTGYGTSLAIEIAVAAEKA GADGLLLLPPYLMFAEQAGLIAHVKAVCQSVGIGVIVYNRDNAVLTADSIARLAEECP NLIGFKDGVGDVDKVIEITTLLGDRLVYVGGMPTHEVYAQAYFAAGVTTYSSAVFNFV PALAQRFYGALRTGDQATVDEILKGFFFPFVALRNRKKGYAVSIIKAGLRVLGQNPGP VRPPLTDLSQDELALLEKIVQANGVTRIAAE YP_002975697.1 MYLGTQVAARDDDDYRIFAQLGVKHINADPPGKPSSWTLSDLER HRDKVESFGLILDMIQLPLPSQPIEKASYPDILLAGPDRDRQIDAVCKLIENTAAAGI PAVKYNLNLIGIPRTPDEPGRGGSLNASFRWDKTDQQAEPGLAGVLSEDENWERIDYF LERVVPVAASNRVRLACHPHDPYTPPGYRGVTRVLGTVEGLKKFVLMRENPYHGLNFC QGSIGEMLENPGKEIDDVIRWFGQRGKIFNVHFRNIRGGKLSFMETFPEEGDMDMVRS ARIYKEVGFKYMLMPDHVPTVSGKDPTATAFAFCYGYIAALLQVLDSE YP_002975698.1 MTTLSRGRQRLAQQVIDQLRAQIETGKLRVGDQLPTEPQLEATF GVSRTVVREAIADLRSAGFVKPIQGKGVFVADPKTHSMLSLTPVEIKSIPETLELLEF RMAAEGEAAAIAAYRRTAEQEAAIREANRRMANLIETGQQTVEADYAFHMAIAAATNN RFYVAVLRQFGPKAIPRGQFPTLPEANDRDYLQKVYAEHVEILSAIADQDPERARQAM RAHMLASQRRYRMLAEQQ YP_002975699.1 MKHFSKSLFVGAVIGALTISAAQLQAATPQDQLVIGTSLAQVLS LDPQQATEGKAVEIMSNLYDRLVASTADGKILPQLAESWKIDDKGITFTLRKANFASG NPVTSKDVVYSLARLLKMDQAAAANLKRVGYDKDNVEKLVKAVDDQTVRIDLSDQVTA ELLLYRLTTTTTSVVDSVEVESHAVDNDYGNAWMRTHSAGSGPFTLNRWSPNELVILD ANKDYMAGTPKMRRVIVRHVPESQVERLMLERGDIDIASALTASDLATFQTKKGFAIQ RIPTGGFYVLSMNAGNKYLANPKVREAIAYGIDYKGIEKTIMGPYGRARNVPVPENFE YAIPNPDWHLDVEKSKQLLSEAGFKDGFSLTLKTIAQTPRIDLATAIQASLAQVGIKI DIQQGNGSEIIAAHRARDFDLLIPQTSAYMPNVLGSMEQFSSNPDNSKEANNAGNFVW RSAWDIPELTALTAKASMEPDAKKRGELYVQMQKMFVEQKPAVLPLFERFEPIVLNSK VEGYVGHPSQLTRLENVTKVETQ YP_002975700.1 MKELSVAEFGRRLAHLLVSLFILLCVTFVIGRILPTDPVGAIVG ELADPAAYAAMRARLGLDLPIYQQFFLYLNGLAHGDFGTAVLTGNPVSSDLAQAFPAT FELATLAVIISTFVGVPLGLVAALFRDSFIDKTARVVALVGHSIPVFWFGIVGLVIFY AGLNWVGGPGRIDVFYEGLVTPRTGLLLIDSLLQGETEIFWNALGHIILPAVILAYAA MAYITRMTRSFTLEQLSQDYVIAARAKGVSPLGTIWHHVLPNIAVQLITILAISYGGL LEGAVVTEIVFSWPGIGQYMTNALMIGDMNAIVAGTIIVGFIFMLLNFLADVAYAVFD PRMREAAR YP_002975701.1 MSDVIRSEIEIRPPSVSTRIAASFARAGRKLAHEPLGLAGFVIL GLLCLIAIFAPLLAPYDPVIQSLGDALQPPSLAHLAGTDEFGRDILSRLIFGTRITIQ TVLSISLIVGPIGLLIGVVAGFFGGRTDALLMRATDIVLSFPSLILALAFAAALGAGL TTAIIAISLTAWPPIARLARAEALVVRNADYVVAARLYGASPMRILLLYIAPMCVPSV IVRLTLNMAGIILTAASLGFLGLGAQPPAPEWGAMISSGRKFMLDYWWVAVMPGIAIL LTSLAFNIAGDALRDLLDPRHARS YP_002975702.1 MQDRDLQPVLSVEGLTVRFGRGAVPAVSNVSFDVGRERVGIVGE SGSGKSTTGRAIMRLLPPAAVVSAERMDLSGDPLLSKSERQMGALRGKDIALIMQDPR YSLNPVLSIGKQIAEAARLHLGLHKKQAHEAARAMLERVRISDPERVMALYPHQISGG MGQRVMIAMMLLARPKLVIADEPTSALDVSVRKDVLLLLDELVRENNSGLLLISHDIR MVAAFCERIIVMYAGRIVETLTCLEEARHPYTRGLIAALPDPRNPVRRLAVLDRTKLD LETAQ YP_002975703.1 MINVRDLDVVFASGKTSNHVVRGISFQVNQGETLGIVGESGCGK STVLRCLAGMEAGWTGHIELGGKPIGKKRSREELKFAQMVFQDPYGSLHPRHRIGTAL AEPLRAMGHSDIWSKVERALIQVGLPASFANRFPHELSGGQRQRVAIARALILSPPIL LLDEPTSALDVSVQAEILNLLADQREEKGLTYLLVSHDLAVIAHMCDRVLIMKNGCFV DELTKADLQAGTTHDAYARELFEASFIEA YP_002975704.1 MAAEEKKGRRTRLDDIAARCGVSISTVSRALAGEKGVRPEIRKL VLETASAVSYALPASVAGKKVMLVASGAAMIDYVRNQFTLYVLEGLNARATALGIELA MRPIADKGDEARVVAEMRDDPSFGGMLIMTVDEEEMLAAAADLGKPVVLVNSDDPYMR LSSVTPCNRSAAFIAAERLIKAGHERILFMLRPGRRTIERRLEGWRDALQHHGLTADA DLVLEVDDWLPELGAEAVTRLVREKGLTFTAILTAGDSLANGAVRGLQAMGYAVPQDI SVMGIDDLPQSAFLNPPLSTVHIPMRELGATALDLLRDMMLGLAAPRRRVELACHLVE RGSVAAVRIPAATAGR YP_002975705.1 MTAEQQSHLRRATVKDSPIVYWQLADLWQERYDVADRPMDGSMD PFFFVTKTKNFIPHEYPCRTEFKKSFSGRRPQPAAEFEAVRWWLPFASPRVDLSGFWF RPTRIGCWARTFLDIQSAGAATLRLSTCGGAILFVNGREQGFMAPYQRNLEAEQLFEV ELAAGLNEIRVYFDDLAERDARFYFQLDYVEGPEVETAVPVPTAAGDADALEVILEGM RFDRTAYLGEDVTILFPVPLPVALSCHVEIEGDFMSIERFDYDFELEAGATKLELGTS ADMPADFRHFRITFKTGELSLGRTLGVEICHPQRQGDAPAMLEDRVAEALAEVAAHSE PDTVCAFARLALGQGGEETEAMISAMLPVIEDCHDCADFVLVPLLFAYTRWSDLLSPE LRDRIEHAVLNYRYWMDEPGNDVQWYFSENHALLFHTAAYLGGRLFPDAVFVRSGRTG AEQMQVGEERVRAWLDHFERWEMAEWNSVPYFPIDLKGLTALAACAPDETIRNRASAS IVRLMEIVARSAHHGMLTGSQGRSYEHTLRPGRSVELSAIARLLWGRGWYGRRVHALP QLAVCIRDHGLCFPEALAAIAAHQSDDAQEWTFSQGENRFAALYHYKTRDAALGTIAH YRPGAWGYQETVLHLRLGDRPEAQIWINHPGETIQFGYGRPSFWGGCGTLPRVHQYRD LAILDFDIHEGQPDFTHAWFPLEAFDDTVVDGNLALARSGNGIVMLIGNGALEPVKQG PTTDIELRLAGRKGRWIVRLSDVGREGSLDGMQARFATLSARRDEEGALIVIDPDYGR VVFEEDGTVRAEGRILRPTDWSVRGDAVHLKIPGRQPRRAAS YP_002975706.1 MTRMKSIGAAFAAILLSSVAAHAGDVRIMWYSDGGEGEVIKDLL SRFSKANPDVNVILDEVSYDVVKEQLPVQLEAGQGPDIARVTNLKAPAQHWLDLRPYL TDAKYWEDNFGAQADWMRPDGSNAITGFMTQLTLTGGFVNKTLFEQAGVEIPGPKATW DDWAAAAKKVADSQKVFAMAIDRSGHRVSGPNISYGANYIAADGKPAPIDQGAKDFLS RFVKWNEDGTINKDVWVSAAGTTYRSAAEDFINGGLAYLYSGSWQVSGFAQKIGDNFD WVMAGSPCGSVACSGMQGGAGLVAVKYTKNPKDVAKVMDYLAGADVQKEFAERSLFIP AHKGVAAGQMDFKTDNPHVQAALKAFVEAAGQTAAPAMKLPGWKWSDAYYSAIVARIS QVIAGEMKLDDAYARIDEDIKAKVAGN YP_002975707.1 MTAKTVSSEPPVKTGLRQALLAPVRLAMGLVDISMRGWQKLTGL NGMAGVFLAPNMLIFTVFVLLPLVINFIYSTTSGSAIFLQNRTYVGADQYRILFDCGS YLDPSTCAADTFWAAVRNTAVFVVFQVTVMLIAALATALILNRELSNRGFWRAVFFFP VLLSPVVVGLIWKWILQREGLLNYALSPFGFEPFSWLSDRFWAFFFAVFVSVWAHMGF YALILLAGLQAIPRDLYEAAAMDSARPTRIFRRITLPLLMPNLIVVLVLALIRAVQIF DEVFVLTGGGPGTSTMYITQYIYETGFASSLRNPGLASAASILMGIVLVILTLVQLGV SSRNEKKGARQ YP_002975708.1 MSAVSAFLLRRRGRGWHWTDVVTWIWLISGVFLMFGPAVWLVFS SFKTPAALAEFPPSFLPYVTEQAVVPGYDKPLPLYNVAMPDGSVRVLAEVRRIGIIGQ MVDPKQPGEIVKANIKDRTPVRQVEFAGGNYTEPFQRFDFFLFLRNSVFVTVVATAIT LLVNSMAAFALSKYQFPGRTAVMLMILATLMVPLSVIVVPLYSVIGTLNLFDSLWGVI LPTVATPTGVFLLRQYMLTIPDELLDAARMDKASEWQIYWRIILPLSAPALAVLAIFS VVWRWNDFLWPLIVLSRKELYTLQVGLNVYAGELNVQWHYILAMTVVSMIPVVLIFVF LQRFITTGIAGSGLK YP_002975709.1 MSGLELRNIVKNFGAVEVIRDVSLHVNDGEFVAFVGPSGCGKST LLRLIAGLDKPTDGSIAIDGKDVTAISAADRGLAMVFQSYALYPHMSVRENLAFGLEN TKVAKAEIEARITDAARMLEIEPFLQRRPGQLSGGQRQRVAIGRAIVRRPDAFLLDEP LSNLDAELRVSMRAELAALHARLKATMIYVTHDQVEAMTLADRIVVLRGGRIEQVGTP LELYNKPANRFVAGFIGAPHMNFLEGAIVGHEGGFAEVETVGGHRLSVIAKEAPPAGE RVSIGIRPQHITLAEAGSAGRLDTSVTLVEELGSETVVHADAGGKKLIAVFAGQQRMK SGDSLPLHLDPDVLHLFGEDGRRLS YP_002975710.1 MANQGGSNEQHVKAGQQSPKNDSNARNASVGRDAQSSGTRGGSH EQHVKADQQSHKNSH YP_002975711.1 MTDAPNTATPARRVLRGRPYSIGEFARKYRLDDKEAKRLYDKFG PSATELDLLMAAKRRPPGLPTNLDG YP_002975712.1 MGIRTGSCLCGAVAYRVEGQPLRTGLCHCADCRKSSGSAFVFFA VWPRQAFSHSGEIATFAGRSFCPVCGGRLFCLREDEAEIRLGSLDSPPTDFAPGYEVW IKRREPWLHPLPGAGQFAEDPN YP_002975713.1 MNKIVSGSETGAKTGAASDLAAVLAASGRQGKRSRWRGRLLILL ILIAAAAVSAYVYMGRGQSEVSYATQPAKRGDLTVLVTATGSVQPTEQVDISSELSGT VRDVNVDYNSTVKSGEVLALLDTNKLEADVKSSRAKLNSAKANVIKANADMQSAGTSL ERLKSLVKSNVSTQQSLDDASYKYDSAVAAKQINEAEVLASEADLQLAEVNLAKAKII SPIDGVILTRSVNPGATVAASLSAPILFTIAGDLKKMELQVDVDEADVGQIAVGQKAK FTVDAYPDRSFPAEIEQIRFASEVVNNVVTYKAVLSVDNADLLLRPGMTATADVTVEA VKDTLMVPNAALRYAPAQAERRGRGIFGIFGPPRQRNNNAGPALKGAERRVWLLRNGR PAPVVIQVGSSDGQFTQVVSGDIKENDALVTDATTRAN YP_002975714.1 MASPPLIEFRQISKIYGEGEAAIRALDHVDLAINAHEFVAIMGP SGSGKSTAMNILGCLDVPSAGDYIFEGIPTSGFDRSQLTLLRRHMLGFVFQGFNLLSR TSAVENVELPLIYRGMAVRERRERAREALALVGLTGREHHKTQELSGGQQQRVAIARA IVTEPALLLADEPTGNLDTKTSVEIMDLMTRLNREQGITIVMVTHEPDIAAYAQRLLR FVDGKLETEVEHRRRADHVL YP_002975715.1 MFFETLKLALRAISRNMLRSFLTVLGVVIGVAAVIALVTIGNGT TAQVSTELSRLGTNMLFVRPGQFGPGRASSEAKRFSVKDVAAIRDQIGGLRAVAPLNQ STATVIFGGQNHSTSVSGTTNDYFIAQDWNLALGRNFLPAEERGQARCIIGETVRSQL FGSADPTGQQIRVGKVSCPVIGVLAKRGQSGMGNDQDDVVIMPVKVFQRRISGSSNVP QIIISARDGVSTAKVQSDVENLLRERRKIVPGRQDDFNVNDMTQIAEAMTGTTTLLTG LLGAVAAISLLVGGIGIMNIMLVSVTERTREIGIRLAIGALENQVLTQFLVEAVALSL FGGITGIVLGLSLGFGAVTLLKVPFVFSPLMVAVAFLFSAAIGMIFGYFPARRAAQLN PIEALRHE YP_002975716.1 MPLNRLVIYAGNVEETARFYEKHFGFKATSLPGDRIVELVAQDG GANIMLHQAAKGQRSGQSTVKLVFDVEDVEAFCSRCAENGLEFGAIHKADGYLFANAK DPCQNSISVSSRAFRKG YP_002975717.1 MQTSQSHLAYLALEHLIVTLALKPGALVTEKQLIDMAGHGRTPV REAIQKLAWQGLILVKPRVGLQVAEIAPEDHGNVMQVRRELEPIAASLVAEHASDEQR ARLLDCARAMEECAVNGDLDGFFAADKAFDEILEDACPNGFITAALGPVQTHSRRLWY SKASPERMDRAIALHVAVIRAIHQGRPDEARATMAVLIDYLSHT YP_002975718.1 MNAPAKTEDFASSIPAGVYAETVLDVTHYTDRLFRFTMTRPQGF RFRSGEFAMIGLMVEGKPVFRAYSIASPAWAEELEFFSIKVPDGPLTSHLQEIKPGDQ VLMRKKPTGTLVLDALTPGRRLYMFSTGTGIAPFASLIRDPETYEKFEEVILTHTTRD VAELKYGFDLVHEIQNDELLKEVVGDKLRHYPTVTREDFEYRGRITDLISSGKLFTDL GVPPLDPVIDRGMICGSSAMLKDTKELLEKAGLDEGANSKPAEFVIERAFVG YP_002975719.1 MKIFNYKRVPYAEMRAFSVHILTASGSFLAFLGVVAAAEHRFID MFWWLGLALLVDGIDGPIARKVRVKEVLPNWSGDTLDNIIDYVTYVLLPAFALYQSGM IGEPWSFVAAGMIVVSSAIYYADMGMKTDEYFFSGFPVVWNMIVFTLFVIDASATTAL TVVIVSVVLTFLPINFLHPVRVKRLRPLNLGVFFLWSALGIFSLLMHFDTPEWALILF IVTGAYLYVIGAVLQFFPALGREA YP_002975720.1 MTKTQAVSFSRTGGPEVFDYVEIDLPSPSTGEVQIRQAAVGLNF IDVYFRNGTYKAPHLPFVTGKEGAGTVTSVGPGVEDFKVGDRVAYASADGAYSAERNV ETRHLVHVPEGIELETAAAMMLKGMTAEYLLNRTFKVGPQTVLLFHAAAGGVGLIAGQ WAKALGATVIGTAGSEDKIELALAHGYDHVINYKSDSFVDRVRDITGGKGVDVVYDSI GRDTFPQSLDCLKPRGLFASFGQSSGPIENFTLAALAQRGSLFATRPTLFTYIATRQE LIDSAKALFDIVQSNKVRININQTYPLREVGRAHADLETRKTTGTTLLIP YP_002975721.1 MSPLNVPDSGALLSVQKLTKFFGGFAACNEIDLDIAPGEIHALL GENGAGKSTLVKMLFGVLEPTGGHILWQGQPVAIASPGEARKLGIGMVFQHFSLFEAL TVAENIALSLDDAIPIDKIAEEARALSIAYGLPLDPHAHVADLSVGERQRIEIVRALL QNPKLIILDEPTSVLTPQEADRLFETLFKLRAEGRSVLYISHRLEEVQRICDRATVLR HGRVTGACDPKHETPASLARMMVGSEVATVTHPERSDKGEIQLAVANLSVAARTPFAM PLRDVSMAVRSGEILAIAGVAGNGQSELFDALSGEYPVVSAEAIVIRKRPVGNQGITA RRLLGAGFVPEERHGHAAVSAMKLSDNLVLARSQSDRKAFLGLLGIIRHAAVKSAARR ISEAMDVRKSSDDPAAGSLSGGNLQKFIVGRELDRQPAVLVVNQPTWGVDAGAASRIR QALVDLARAGSAVVVISQDLDEIFEVATDIAVICEGRLSRPFPAGELTRERIGLLMGG LHESSSPPEAPHAH YP_002975722.1 MRIELEKRPDVSKLFAVVSPLLALVLTLVFGAIMFAMLGKDPVE ALDAFFVEPLLEVWSLHELAIKAAPLILIAVGLAVCYRSNNWNIGAEGQFTIGAITGS YLPIVFYDWHSPLVLPLMLILGAFGGALFAAIPALLKAHFNTNEILTSLMLVYIAQLF LDWLIRGAWRDPKGFNFPVSRDFAPEAVLPAIWEESGRAHWAFIFAIIAAVGAWFMLR YTLKGFEIVVLGQSERAGRFAGFSSKKMIWFSFLFSGALAGLAGISEVSGSIGHLQPA ISPGYGFTAIIVAFLGRLNPLGIIASGLVLALTYLGGEAAQLSLGVSDKVTRVFQGLL LFFVLSCDTLIYYKIRIVWSRLRGRAA YP_002975723.1 MSIFEAILLTVITASTPLVIAALGELVTERSGVLNLGVEGMMIM GAVAAFAGAQMSGSPYVGIVCGIAAGALFSLLFAFLTLTLVANQVATGLALTLLGLGA SGMLGEAYVSVPGIRLGEIVIPALSDIPVVGHALFSQDLIFYLSIALVVGVSWFLFRS RIGLKLRAIGDNHGSAHALGIHVIRTRYLAVMFGGACAGLAGAQLSLVYTPQWAENMS AGRGWITLALVVFASWRPWRVFAGGYLFGAVTILQLHAQAFGLGIPSQFLSMLPYAAT IVVLIIISHNRRTTLINTPASLGKAFVPER YP_002975724.1 MKKLAFTLAASAAAVIGISSAAQAADKTKVCFVYVGSHTDGGYS QAHDLGRQQIQAEFGDKIDTPYLENVPEGPDAERAIERLARSGCKLIFTTSFGFMDAT VKVAAKFPDVKFEHGTGYKAGPNLATYNSRFYEGRYILGQIAAKTSKNHGAAYIASFP IPEVVMGINSFEQGAKSVDPSFKLKVIWVNTWFDPGKEADAAKAMVDQGVDVLTQHTD TTAPMQVAEERGIHAFGQASDMIAAGPKAQLTAIVDTWGTYYSKRVHALLDGTWKSEQ SWDGLKDGILKMAPYTNMPDDVKKMAEETEAKIKSGELHPFTGPINKQDGTPWLKAGE KADDGTLLGMNFYVEGVDDKLPGK YP_002975725.1 MKLKTALLSATILAACMFGSASAAGLTVGFSQIGSESGWRAAET TVTKEQAKKRGIDLKFADAQQKQENQIKALRSFIAQGVDAILIAPVVETGWDDVLKEA KEAKIPVILLDRTIKAPDDLYLTAVTSDLVHEGKVAGDFLVKTVGDKKCNVVELQGTT GSSPAIARKKGFEEALAGHDNLKIVRSQTGDFTRTKGKEVMESFLKAENGGKDICALY AHNDDMAVGAIQAIKEAGLKPGKDILVVSIDAVPDIFKAMSEGEANATVELTPNMAGP AFDALEAYLKDKKAPAKWIQTESKLYTPADEPMKVYEEKKGQGY YP_002975726.1 MIHDFENVLAASGISKFFPGAVALDKVDFTLRRGEVHALLGENG AGKSTLIKCITGAYHRDEGSLMLEGQEINPANTLAAQKLGIGTVYQEVNLLSNLSVAE NLFLGRQPRRFGMTDVRSMNRKARDLLAGYGVDIDVTAELGRFSVAVQQVVAIARAVD LSGKVLILDEPTASLDNQEVALLFRIIEDLKKRGLGIVFITHFLEQVYAISDRITVLR NGKLVGTRDAADLPRQGLIAMMLGRELAHVEETVRERSLAAGDVRYHFAGYGKRGKVK PFDLEVRAGEVVGVAGLLGSGRTETAELLFGIEHADSGSATIDGQPVTISSPRAAIAK GFGFCPEDRKTDGIIGDLSIRENIALALQARRGWTRPLSRAEQNTLADRYIKALDIRT TDREKPIRLLSGGNQQKAILARWLATNPKFLILDEPTRGIDVGAHAEIIRLIEELCAG GMSLIVISSELEELVAYSSRVIVLRDRQHIAELTGERITAAGIVEAIAAAEHKMEDA YP_002975727.1 MRSSLKALAYRLAPQLIALVVILLLNFITSPQFFNVVVQNDRLY GSLIDVLNRGAPVALLAIGMTLVIATKGIDLSVGAVIAICGAVAASSIVSGNSVAYTI ILTLAIGLACGVWNGFLVAVLNIQPIIATLVLMVAGRGIAQLITEGAILTFNNDGLTF FGSGSLLLLPMPVVIWLLVGLLVILLVRRTALGMLIEAVGINRRASTLSGIQTPVLLM AVYMLSGLCASIAGIIVAADIKGADANNAGLWLELDAILAVVVGGNSLLGGRFSILGS LIGAMIIQAVNTGILSAGFPPEFNLIIKAVIIIVILVIQSPAVQSLAIFASRRQGGRE QPK YP_002975728.1 MNSKYLPLLATIVIFVLAYAGCALQYPNILSTRVIGNLLTDNAF LGIAAVGMTFVIISGGIDLSIGSVIAFTGVFLAVILQNTSIHPLLAFAIVLVITTVFG GIMGAIIHYLEMPAFIVTLAGMFLARGMAFVLSIDSIPIDHEYYSTLTSLYYRLPGGG RLTLIGGIMLLVFAVGIFIAQRTRFGTNVYALGGGPQTARLMGVPVGRTTIQIYALSG FLAGLSGIVFSLYTSAGYSLAAVGVELDAIAAVVIGGTLLTGGAGFVAGTLIGILIQG LIQTYITFDGTLSSWWTKILIGLLLFAFILMQKAILFISSLNKRYA YP_002975729.1 MRYKLDETRKTGRRTRTSHAQVVDELGKAIVAGTYPVGSILPGD TELAQRFKVSRTVLRETMKTLAAKGMVVAKARVGTRVTEKNLWNMFDSEIIAWHFDNG VTEEFLLQLYDIRLAFEPFAAGLVAERANAEEIETLRELALEMAAAGHTADSLALADL HFHLAIAEASHNPFMRTLGSLIEAALVGMFRMSTPPTENGFANIADTHMRIVDAIVSG DNAAARRAMEDVILDGRIHVHEAFAARGSEAVIGSISAAILPL YP_002975730.1 MERTCLAVILAAGDSTRMKSSKSKVLHPVAGRPMIAHVVEAVAS AGISSVALVVGRDAEDVAKAASIAGVDIESYLQKERLGTGHAVLAAREAIAKGYDDIL VTYGDVPLQTEGPLKAARQGLADGSDVVVIGFHTDRPTGYGRLLVKDGELIAIREEKD ATDAERTVTWCNSGLMAINGRKALDLLSRIGNANAKGEFYLTDLVEIARALGGRVTAV DAPEIEMTGCNTRAELAVIERFWQERRRHQMMLSGVTMIAPETVFLAYDTVIGQDALI EPNVVFGPGAVIDSGAVIHAFSHIEGAHVSQGATVGPFARLRPGADLGTGSKVGNFCE VKNGRLGEGAKVNHLTYIGDAVIGAGSNIGAGTITCNYDGVNKSETVIGENAFIGSNS SLVAPVTIGDGAYIASGSVITVNVPADALALGRARQEIKPGRATLLRERALAIKAAKK AKA YP_002975731.1 MCGIVGIVGTAPVAGRLVDALKRLEYRGYDSAGVATIHDGVMDR RRAEGKLFNLEKRLDSEPLPGTVGIAHTRWATHGVPNETNAHPHFVEGVAVVHNGIIE NFSELRDELTEEGSVFETQTDTEVVAHLMAKYLREGLEPRAAMLKMLNRVTGAYALAI MLKADPGTIMAARSGPPLAVGYGRGEMFLGSDAIALSPFTNEITYLVDGDFAVLTRDG VAVLDFAGKPVKRARQISQATAYVVDKGNHRHFMEKEIYEQPEVISHALSHYVDFAEN TIGANAAAIDFKAATGLAISACGTAYLAGLVGKYWFERYARLPVEIDVASEFRYREMP LSPSQAALFISQSGETADTLACLRYCRDNGLKIGAVVNVRESTIARESDAVFPIMAGP EIGVASTKAFTCQLAVLAALAIGAGKARGTVSADEERALVRHLAEMPRIMSRVLNLIQ PQMESLSRELSKCKDVLYLGRGTSFPLAMEGALKLKEISYIHAEGYAAGELKHGPIAL IDENMPVIVIAPYDRFFEKTVSNMQEVAARGGRIVFITDEAGAAASKLPTMATITLPV VDEIIAPMIFSLPIQLLAYHTAVFMGTDVDQPRNLAKSVTVE YP_002975732.1 MTDNTPRMPVATRLRNNFLAGLIICAPIAITIWLTWTFIHWSDS WVRPYIPARWNPESYLNFAIPGFGLLIAIVLITVVGFLGKNLIGQSIVRFGESIVQRM PLVRTIYRSVKQIFETVLKEQANSFKKVGLIEYPGPGLWALIFIATDAKGEIASKFNA MGQDMVAVFLPPTPVPTAGFLIFVPREKIVMLDMSPEDAAKFLISGGLVAPEHKPADP KQKHLPRPKPVAVSKAE YP_002975733.1 MRPAILDPLFSPISGLPGVGPKIADLLVKLLGRESLEDCRVIDL LFHAPFSLIDRRNQPGIARAPQGAIVTITARVDRHQVPPGGKSNIPYRVFLHDETGEL TLVFFRGQAAWLEKQLPVDAEVTVSGKIDWFNGRASMVHPDYIVRADETESLPLVEPI YPLTAGLSPKTLRKIIDAGLPRFPELPEWDDLALTQREGLPSIRDSFHMLHEPHDPGD IDPQAPARRRLAYDEFLAGQLSLSLVRQRLRKVAGQPVTATGAISSKILKALPFSLTS SQNEAIAEVLKDMAGNERMLRLLQGDVGSGKTLVALMAMAAVIESGGQAVLMAPTEIL ARQHHATISKFAASAGLGIEVLTGRTKGREREEILERIASGAAQIVIGTHALFQDSVA YANLMLAVVDEQHRFGVHQRLRLTAKGLSPHMLVMTATPIPRTLVLAAFGDMDVSKLT EKPAGRKPIQTITVPMERTGEIVGRLQSAITEGKKAYWICPLVEESEELDLMSAEERH ATLVSALGPGIGLIHGRMSGPEKDAAMMAFKNGETRLLVATTVVEVGVDVPDATIMVI EHAERFGLAQLHQLRGRVGRGDEASTCILLYKGPLGETGHARLSIMRETEDGFRIAEE DLKLRGEGELLGTRQSGTPGFRIASLEAHADLLEIARKDAAYLIERDPELTTERGAAI RTLLYLFRRDEAIRFLRAG YP_002975734.1 MTGVTLTSAGLDPRRRRILFRCWHRGIREMDLVFGQFAEAEVAR LSEAELDEFETIMAEEDNDLVRWIMGTWPVPERFQTPMFARIAAYKPDFDKPLRTPE YP_002975735.1 MIPGFDAKKLAAIAEPLTIGNVPAGLETLLLAELARTGEPVAYV MSDGHRMADLEQMLSFVAPDIPVLTLPAWDCLPYDRVSPSADTSARRLAALGGLIAHR KKPHAAIVLVTANAMLQKVAPQDVIESLSFSARPGNQLRMDDLAGRLERNGFERVATV REVGEYAVRGGILDVFVPGSEEPVRLDFFGDTLESIRSFDPASQRTIGQVRALDLNPM SEVTLTPDTISRFRKNYLSAFGATTRDDALYLAVSEGRRYPGMEHWLPLFYEKLDTVF DYLSGFRIVIDHTVREAAEERSKLVFDYYDARLNSGQPSKGMTQGTPYKPVTPGQLYL DSKLFVKTLDALGAIRISPFNEHEGEARRVVNVDARQGQRWARSNAEGGGDAERINIF DVVVKHIADRRAAGAKVLVTAWTEGSLERLLQVLNEHGLEKVKPIEALKDVGSLARGE AAAAVLSLEAGFEAGDLVVIGEQDILGDRMVRRSKRRKRAADFISEVAGLDEGSIVVH AEHGIGRFIGLRTIEAAGAPHACLELQYADEAKLFLPVENIDLLSRYGGEGTEAQLDK LGGGAWQMRKAKLKKRLLDMADALIRIAAERLTRHAPMLTTPEGLYDEFAARFPYDET EDQENAIEAVRSDLGAGRPMDRLVCGDVGFGKTEVALRAAFVAAMNGAQVAVVVPTTL LSRQHFKTFSDRFRGLPVRIQQASRLVGAKELALTKKEVAEGKTDIVVGTHALLGAGI KFANLGLLVIDEEQHFGVKHKERLKELKSDVHVLTLSATPIPRTLQLAMTGVRELSLI TTPPVDRMAVRTFISPFDSLVIRETLMREHYRGGQSFYVCPRLADLEDVHAFLQSDLP ELKVAVAHGQMPAGELEDIMNAFYEGRYDVLLSTTIVESGLDVPTANTLIVHRADMFG LAQLYQLRGRVGRSKVRAFALFTLPVNKVLTATAERRLKVLQSLDTLGAGFQLASHDL DIRGAGNLLGEEQSGHIKEVGFELYQQMLEEAVAEVKGVDEIHDTGWSPQISVGTTVM IPEGYVPDLHLRMALYRRLGEITELKEIDGFGAEMIDRFGPMPIEVQHLLKIVYIKSL CRTANVEKLDAGPKGVVVQFRNKEFPNPANLVGYIGKQGTMAKIRPDHSLFLTRDLPT PEKRLQGAAVIMTQLAEFAK YP_002975736.1 MAKYGATIAWQRSGETFTDNRYSRVHRWTFDGGTEVRASSSSHV VPLPYSAEDAVDPEEAFVASLSSCHMLWFLSIAAKQRFCVDSYTDAAEGIMEKNAEGR LAMTVVTLRPHVVFSGEKQPSLSELEALHDRAHGECFIANSVKTDVRCVPVLG YP_002975737.1 MERITIDVVSDVVCPWCYLGKARLELAIAEVQDQIGVDINWRPY QLNPDYPKEGVDQKKALAEKLGGEERVAQAHKMLTDYGREVGVSFNFEAIKIGPNTLD AHRLVHWAMIEGREKQDKVVAALFKANFEEGHNVGDHAVLLDIAEKSGLDRSVIASLL ASDADRDLIVAEIKAAQEMGVNGVPFFIFDQQYAVSGAQTPDVLANALRDIAKAKAEA RSGMN YP_002975738.1 MLRIVVPLVLSLLCGTVAAEPLHGIAMHGEPALPADYKHFPYVN PDVKKGGKITYGVVGTFDSLNPFILKSMRTTARGMWDPEYGNLVYESLMQRSRDEPFT LYGLLAETVEWDDNRSFIQFNLNPKAKWDDGQPVTPEDVMFTFELMRDKGLPRYATPL KKFVAKVERVGERSVRLTFTDKANRETPLIFGLFPVLPKHAVDPETFDRTALTPPVGS GPYKVKTVKPGESITYERDPNYWGKDIPAKVGTDNYDQITVQYFLQDTTLFEAFKKGD VDTYPDGNPGHWANAYDFPAVTSGAAIKDAFTPKLPSGMLGFVFNTRRPIFADLKVRE GLSLVFDFEWANKNLYSGAYKRTQSFWQNSELSSFGVPADARELAQLGPIKDKIAPEI LDGTYKLPVTDGSGRDRNVLKQAVALLKQGGYTIQGGKMLDASGRQLAFEIMTQNADQ EKLAVAYQRSLQTIGIAAAIRTVDDSQYQSRTNSFDYDMILKSYTSSLSPGTEQLGRW SSAVRTQEGTDSFAGANDPDLDTLIDHLLGARSAEDFTAAVRSYDRLLLSGHYVLPLY HMDQQWVARSKRIGHPDTVPLYGYQLPVWWDVSAQ YP_002975739.1 MFKSEKKMRAALSVLAVVFGASAPVSSFAQDAAAQAPADAPAQA AGAPKLGWYKTCSKQEDNDICVVQNLILANGGQLVTAVGLISVSGKINRKLLQVSVPT ARLVPPGVIMQIDGGKGQKLDYAVCLPDKCTAEIPLTDAMIASLKKGSDVIFTSINFR RAPNPIKISLEGFTGAYDGEPVSESKLAESQRSLQDSMQKKAEEARKKLEDAQKAAKA Q YP_002975740.1 MKERVAKFSIGEVVRHKVFPFRGVIFDVDPEFANTEEWWNSIPA EVRPSRDQPFYHLLAENDESEYVAYVSEQNLMNDESGTPLRNPQIYQIFDQAPSGQFK PKMSFAH YP_002975741.1 MATASEILKQIKENDVKFVDLRFTDPKGKLQHVTMDVVCVDEDM FADGVMFDGSSIGGWKAINESDMVLMPDTETVHMDPFFAQSTMVIVCDILDPVSGEAY NRDPRGTAKKAEAYLKASGIGDTIFVGPEAEFFVFDDVKYKADPYNTGFKLDSTELPS NDDTDYETGNLGHRPRVKGGYFPVPPVDSAQDMRSEMLTVLSEMGVVVEKHHHEVAAA QHELGIKFDTLVRNADKMQIYKYVVHQVANAYGKTATFMPKPIFGDNGSGMHVHQSIW KGGKPTFAGDEYAGLSESCLFYIGGIIKHAKAINAFTNPSTNSYKRLVPGYEAPVLLA YSARNRSASCRIPFGTNPKAKRVEVRFPDPTANPYLAFAAMLMAGLDGIKNKIHPGKA MDKDLYDLPPKELKKIPTVCGSLREALESLDKDRKFLTAGGVFDDDQIDAFIELKMAE VMRFEMTPHPVEYDMYYSA YP_002975742.1 MKKIEAIIKPFKLDEVKEALQEVGLQGITVTEAKGFGRQKGHTE LYRGAEYVVDFLPKVKVEVVLADENAEAVIDAIRKAAQTGRIGDGKIFVSNIEEVIRI RTGETGIDAI YP_002975743.1 MIKHLSDLLLTPAEMAAVDAAAAASGIDSFGLMEGAGAAVAAAA LRLYPGALRFVALCGPGNNGGDAYVAARHLQESGARVALFHLGDPMRLKGDAARAHMN CALKGQELDLYRPETGDVVVDGLFGAGLGREVPADVRALIGRVTEADIPVLAIDLPSG LDGRTGKVLGAAFRACNTITFMTRKPGHLLMPGRELCGELEVFDIGIPARIIRAEAGG VIAENTPDAWKRVLPAEQLETHKYKRGHLVVFSGEAHKTGAARMSAISGLKAGAGLVT IAAPRAAMAANAAHLTAVMLHAIDDEADLGDWLSDKRLQTFVLGPGFGIGARARGFVS VVADRHLVLDADGISSFKDDPQQLFDLFRGEPRLVLTPHEGEFARLFPDIGGDDRLGK VDKAVAAARRANAAIIYKGADTVIAAPDGRALINTNAPVWLATAGSGDVLAGIIGGLL AQGLPAFEAAAAGVWLHGEAGQRAGKGLTAEELAAEVLPL YP_002975744.1 MSIGLIALLDDIAALAKVAAASLDDIAGQAAKAGAKAAGVVIDD AAVTPRYVTGFSAARELPIIGKIALGSLKNKLLILLPAALILSLVAPQAITPLLMIGG LYLCYEGVEKVYGLVLPHAAHAHESALEATSLDAKSIEDEKVAGAIKTDFILSAEIMA ITLAAVPSGSMFTQAVILAVVGLGITVLVYGGVALIVKADDLGLMLARVRTASPIGAF LRSIGKGLVTGMPYFLKVLGIVGTAAMIWVGGGIIVHGLEAYGIGGLAHLIHDAGEAT AHAVPVLASVLSWIVEAAGAGIVGIVAGLIAIPVAGYAVSPLWRHLKSLLPRRKEALA NEKK YP_002975745.1 MTKSGTIRTGIGGWTFEPWRGHFFPESLKQKDELNYASRQLKVI EVNGTYYSTQKPAVFAKWAADVPDGFIFSLKATRFVTNRRVLAEAGESMERFLSSGIS ELGDHLGPLLWQFAPTKKFDAEDFEAFLNLLPAKQEGLTLRHVVEVRHDSFKVPEFVA LLAKYGVAPVCAEHFEYPMIADVTADFVYARLQKGSDDIPTCYPEKDLKAWADRLETW AEGKVPDDLPLIDGDRKVKTEPRDVFAFMIHEGKVNAPHGAIALQQALAK YP_002975746.1 MTMRTASPDDLETIATLTAAAYRPYSELFGAPPMPVTEDYAPRI ERGEVWLCEIDGQTAGLVVVEQHSDHLMLFSIAVSPAFQGAGHGLAMLRWLEGKARAW ALPEIRLYTNARMERNIALYSAFGFQETGRRPNPYRPGWTLVDMTKEINAA YP_002975747.1 MSELKTISIRGAREHNLKSIDLDLPRNKLIVMTGLSGSGKSSLA FDTIYAEGQRRYVESLSAYARQFLEMMQKPDVDQIDGLSPAISIEQKTTSRNPRSTVG TVTEIYDYMRLLFARVGVPYSPATGLPIESQTVSQMVDRILDFGEGTRLYILAPLVRG RKGEYKKELAELMKKGFQRVKVDGQFYEIAEAPVLDKKYKHDIDVVVDRIVVRSDVSA RLADSLETCLKLADGLAVAEFADKPLPLEETSAGGSANKSLNETHERVLFSEKFACPV SGFTIPEIEPRLFSFNNPFGACPTCDGLGAQQKIDPDLIVPEPERTLRDGAIAPWAKS TSPYYNQTLEALGKHYGFKLGTRWNDLTDEAKDVILNGTNDKIEFHYADGARSYTTQK NFEGIITNLERRWKETDSAWAREDIERFMSAAPCPSCNGFRLKPEALAVKIDTLHIGE VTGMSIRVARDWFETLPASFNAKQNEIAVRILKEIRDRLRFLNDVGLEYLSLSRNSGT LSGGESQRIRLASQIGSGLTGVLYVLDEPSIGLHQRDNARLLDTLKHLRDIGNTVIVV EHDEDAIMTADDVVDIGPAAGIHGGQVIAHGTPQDIMDSPNSLTGKYLSGELGVPVPD ERRKPKKGREIKVVGARGNNLKNVTASIPLGVFTAVTGVSGGGKSTFLIETLYKSAAR RVMGARENPADHDRIDGFEHIDKVIDIDQSPIGRTPRSNPATYTGAFTPIRDWFAGLP EAKARGYQPGRFSFNVKGGRCEACQGDGVIKIEMHFLPDVYVTCDVCHGKRYNRETLD VTFKQKSIAEVLDMTVEEGVDFFAAVPAVRDKLQSLKDVGLGYIKVGQQANTLSGGEA QRVKLAKELSKRSTGRTLYILDEPTTGLHFHDVAKLLEMLHELVNQGNSVVVIEHNLE VIKTADWVLDFGPEGGDGGGEIVATGTPEAIVKEKRSYTGQFLKELLERRPAKKAVAA E YP_002975748.1 MAGSVNKVILIGNVGADPEIRRTQDGRPIANLRIATSETWRDRN SGERREKTEWHTVVVFNEGLCKVVEQYVKKGAKLYIEGQLQTRKWQDQQGQDRYSTEV VLQGFGSTLTMLDGRGEGGGASGGRGSGAGGNDYGDDYGAPAPASSPSRGGGGGGNFS RDLDDDIPF YP_002975749.1 MSCHIFNRASGTRLRLWLDESPPSMDSTRCRLWESKVFASGEGT PHRTNVAAEIARPVGGMTVHGLLSVTLDKSTKTQGLQVNVPIERTKGERWSLSLAPSH HKVLTGFAAEYMPGLFKGIEDLGEAALPSFGILSFDRMAHSDIGSSIDIFRELTRAIV RALTMEQVPETPNEAFALLAV YP_002975750.1 MASADQLINAFTTLLVTIDPPGLAPIFLGLTTGMSRTERKQVAL RGSVIAFIILAVFALFGAGVLGVLGISIGAFRIAGGLLLFWIAFEMVFERRQERKEKA TEAAITKDHIENIAVFPLALPLIAGPGAISATILLAGTLATSIGKAQLIGVIAANLLL TFVMLLIAERLDRFLGVTGRAILTRLLGVILAALAAQFVVDGAKSAFNLISATPH YP_002975751.1 MTEQTPPGGGKLPPGIEPISIMEEMQRSYLDYAMSVIVSRALPD VRDGLKPVHRRILYGMSELGIDWNKKYVKCARVTGDVMGKFHPHGNSAIYDALARMAQ PWSLRLPLIDGQGNFGSVDGDPPAAERYTECRLEKAAHSLLDDLDKETVDFRDNYDGT LSEPVVVPAKFPNLLVNGAGGIAVGMATNIPPHNLSEVIDGCIALIDDPAIELPELIQ IIPGPDFPTGAKILGRAGIRSAYETGRGSVIMRGVAAIEPMRGDREQIIITEIPYQVN KATMIEKMAELVRDKRIEGISDLRDESDRQGYRVVVELKRDANADVILNQLYRYTPLQ TSFGCNMVALNGGKPEQLTLLDMLRAFVSFREEVVSRRTKFLLRKARDRAHVLVGLAI AVANIDEVIRVIRQAPDPQSAREELMTRRWPAEDVESLIRLIDDPRHRINEDLTYNLS EEQARAILELRLARLTALGRDEIGDELNKIGEEIKDYLDILSSRVRIQTIVKDELLAV RDEFGTPRRTEIVDGGLEMDDEDLIAREDMVVTVSHLGYIKRVPLTTYRAQRRGGKGR SGMTTRDADFVSRLFVVNTHTPVLFFSSRGIVYKEKVWRLPIGTPTSRGKALINMLPL APGERITTILPLPEDETSWDNLDVMFSTTRGTVRRNKLSDFVQVNRNGKIAMKLEEEG DEILSVETCTENDDVLLTTALGQCIRFSVDDVRVFAGRNSIGVRGINLGDSDRIISMT IVGHVDAEPWERAAYLKRSATERRATGVDEEDIALVGEEVIEEGQLSDDRYDELRARE QFVLTVSEKGFGKRSSSYDFRISGRGGKGIRATDTSKTAEIGELVAAFPIDDGDQIML VSDGGQLIRVPVGGIRIASRATKGVTIFSTAKDEKVVSVERISEPEEDETEEAVEIAE GSPATEEGAADEGGGDEAPIADGDPAGPAEE YP_002975752.1 MPMFTILTMLTALISVMFIVSVASTISALRREGEEVKALNEKHR AF YP_002975753.1 MPGKITMINVLWMVMVTGMLTATVALYDQKVDTSKVYGPYASAR TAVLGQY YP_002975754.1 MLIVLVALAVVSSLAAEMAYGYFADRYEMSWVRPELAEHAAIVR IQVRLREKRAANLNAR YP_002975755.1 MTTAFYPGSFDPITNGHVDVLVQALNVAEKVIVGIGIHPGKAPL FSFEERAELIRCSLAEALPGKTGDIAVVAFDNLVVDAARTHGATLLIRGLRDGTDLDY EMQMAGMNRTMAPDIQTIFLPAGTASRPITATLVRQIAAMGGDVSAFVPAAVLQALTS KRPN YP_002975756.1 MKLFYLAFAGVLYLASFAGDAFAQSADHYLTIQLKNGPVVIQLM PEVAPKHVAQIEALAKKGEYDNVAFHRVIDGFMAQTGDVKYGNMEKGFDASLAGTGSS DMPDIPAEFSKTPFVRGTVGMARSQDPNSANSQFFIMFAEGSFLNGQYTVVGKVVSGM ENVDKIKKGEGQNGEVKSPDRMIKVTLGKK YP_002975757.1 MAEIKDPENTVILETTKGKVVIQLLPQVAPEHVARIKELAREKA YDGVVFHRVIQDFMAQTGDVEFGKKGSETFNPGRAGMGGSSKPDLKAEFSATTHTRGT CSMARSQNPNSANSQFFICFTDAPWLNKQYSVWGQVIEGMDNVDKIKRGEPVSDPDSI VSMRVAADV YP_002975758.1 MRVDLFDFDLPDERIALRPAEPRDSARLLVVDPNAESHLSDHRV GDLTSFLRAGDALVFNDTKVIPAQLEGIRHRDGAGGQQVSATLHMRTGPNRWKAFAKP GKRIKQGDRISFGHSGESCFLGSLDAAVEEKGEAGEVTLLFDLSGPALDEAIAAVGHI PLPPYIAAKRPEDERDRADYQTIYAREEGAVAAPTAGLHFTPALFEALDKAGIERHFV TLHVGAGTFLPVKADDTDDHKMHLESGYVSAEIAARLNAVRERGGRIVCVGTTSLRLV ESAAEEGGEIMPWAGATGIFITPGYRFKAVDMLMTNFHLPRSTLFMLVAAFAGFETMH AAYKHAISTGYRFYSYGDASLLFRKDK YP_002975759.1 MTDNFKFTLKMTDAGARLGQISMPRGEIRTPAFMPVGTVGTVKA MYLDQVRETGADIILGNTYHLMLRPTAERVARLGGLHKLIRWEHPILTDSGGFQVMSL SGLRKLDEQGVTFKSHVDGSLHHMSPERSIEIQGLLGSDIQMQLDECVALPAEPKEIE RAMEMSLRWAERCRVAFGEQPGKAMFGIVQGGDIPALRIRSAEALSQLDLKGYAIGGL AVGEPQDVMLQMLETTLPVLPLEKPRYLMGVGTPDDILKSVARGIDMFDCVMPTRSGR HGLAFTRRGKVNIRNARHAEDMRPLDEQSNCPASRDYSRAYLHHLVRSNEALGGMLLS WNNLAYYQELMQGIRKAIAEGRFVDFMAETQEEWARGDLEPV YP_002975760.1 MVMFLHTGGTLGPIPANISYLAVDFFFLLSGVVLTNSYEKRLIT GQISPAGLLLQRIIRLYPLYLLSLPIGLFSYALKNGLDYSTLADLLLRAILFIPSASQ EGIFPFNGPSWSLFFELWAGVLFSMLLIRLSFRSLLAIALAAAGITIYGALVGGNFDI GPEAGDFRFGFSRVLFSFSLGICLYRLYEVQKPNTANNGNVIGLFLCACLIFITNIPA RIFFGFPYFDFLICLVVFPAIVWLAMRTRQTGLVELVFRWLGRLSYPIYILHSPIFSL LQSLRQKGFDLPASPAAGMVVVVGIFIVAGIAAIHFDEPVRKKLKMMVVPNPASAVPA VSNAGAE YP_002975761.1 MRAFFAVLILCAASALSPVSARAEDPIDTTRTMIEAQIKAFLKD DAETAYSFAAPGIRALYPDKNLFFAMVKKSYEPVYHPGNYAFGRSRSIDNGALIYHEV LISGRDGKDWTAIYQIIRQPDGGYRINGVQIMPDADSKGI YP_002975762.1 MDRIVVTGMGLVSPLGTGVEPAWKRLLDGVSGLRVLAEDIVGEL SAKVGGIVPGIAEDAEAGFDPDRYITPKDQKKMDRFIHFAMAATEEAVKQAGWMPTDE GERQRTATIIASGVGGFPAIAEAVRIGETRGVRRLSPFTVPSFLVNLAAGQVSIRYGF KGPLGAPVTACAASVQAIGDAARLIRSGEADVAICGGAEACIDKVSLGGFAAARALST GFNETPELASRPFDVARDGFIMGEGAGILVIETLEHALARGATPLAELVGYGTAADAY HMTSGPEDGDGARRAMEAALRQAKIPASEVRHLNAHATSTPVGDRGEIAAIGTVFGRD GGIAVSATKSATGHLLGAAGGLEAIFTILALRDQIAPPTRNLDEADPDADGIDIVGKT ARPVVMDYAITNGFGFGGVNASALFRRWS YP_002975763.1 MQRTSFSDFKCPAARALDSVGDWWSMLILRDAFQGLSRFDEFQK SLGVAPNILTRRLKHLTEKGLFKRRLYHHRPARYEYLLTEKGRDFFPVLMTLFSWGNR HIPEEDLSFLLGDAASGRVRETMLVDARTGEQVTPENTSLLAGPAADDEVHERIARMR AWYLGIDA YP_002975764.1 MPWIAYIAHFVAAAFLTNGVPHFVNGVSGRPFRIPFAQGAKLGS PTANVVWGWANFLIAFLLFANVGPLYIGTPGDTIFVAVGMLVTGIVLARIFEGDNR YP_002975765.1 MAQAVRINDIIRSFGIDTHIDYTDGKYSNVGEVVKALDYLGLDT VRDHAPNSASDPNGQTHLGDAAEAGVQFVFSAQREVDPATVAQRLHSFVQAHPGSVVG IEGPNEVNNWPVSYHGLSGQAAALAYQKDLSADVNADPLLKNIPVLGFTGYTVASASD YTTIHTYAKDGDQPYSWLSRESGVQRAADPGKPLAITETGYHTSLTADTNGGWEGVSE ATQAKLLLNTLMDGAALGSKQTFIYELLDAYSDPQGTNQEKHFGLFHLDYSAKPAATA IHNLTEILADDGAAKASFSAGTLNYSIDGLPSSARSLLTEKSDGSYQIIVWNEPDIWS QSSDTVIQATTTAVKVNLGASFGSVKVFDPVTGTTAIKSLSNVSSLPLDVVDHPLIIE VAGTGASTPPPATNHLYGGTGNDTFTVTNANQIVDESRGGGTDTVKASISFSLADQKH TVGTIENLTLTGTGNLSATGNNTANILTGNDGNNSLNGGKGNDRLIGGLGNDKLIGKA GADVLTGGGGSDSFVFDVKPDNTSVDKIRDFSSAAGDKLMLDHSIFAELSLSGFSDEN FVLGRKALEADDKLIYDQASGILSYDADGSAAGAAIHVTDLDNSAALHFKDFLLV YP_002975766.1 MTVNVQFRFRSGLPSMAFDNVRLRGSWDEQGRASNDWASHPMQR SRDEDGYDVFVARVPFPDGEIGTHFRWGNRTRSARHFRPVGDRRRTGRRDLDASRALL RSPAGDSAADLYLTWIGRLGANRVEWRDGVDCIRFSVWAPNTRDVLLVLADPAVGYVA DDGTIALATIGMRRTVDGFWSVETGDDDGLSDFDSVACKAVRSSATRSGWCYRRGVLG KAAEESEPRKEC YP_002975767.1 MILKILAPKIDADCHDTGTYPDGIFAENRIDLSARREGRRRTPH ERDYGNDRKVENLPLGFV YP_002975768.1 MPKSPLSPSEKRSFSIIPADQKLALISSYSEALRKLARSTEAVG RADMLPKLIQVADGLDGMATAIAETEAGTEVMARTARLIRATEGMLASMSSSSIVH YP_002975769.1 MQLIDTRVTQAGDIFTVEFLGEGGESISVKIDNSHGELDDTTAV DHAKVMMVQLTAFAGSEADGSINRYDALSNGNFDEGSKGLIGEPSARSTGDRQALEEE LDEGLEDSFPASDPVSATVSSIPANAPRH YP_002975770.1 MVVGVLIPNITNPVFASSLPSIRLPTGTGAAFTCIWN YP_002975771.1 MSHKKMECSTAWWFFATVSLVANFALPAYADTSSVPWPQTQSDM QAESDVHFGTLANGMRFAIMRNVTPPGQAAIRFRIGSGSLDENDDQQGLAHVLEHMAF KGSTHVAEGEMIRILQRKGLAFGPDTNAHTSYDETVYALDLPEVDADTISTGLMLMLE TASELTLDAGAFDRERGVILSEERLRDTPQYRASLGIMNSLLAGQRATMRAPIGKADI ISNAPVDLVRDYYGANYRPDRATLIVVGDIDPAAMEVEIRQRFGDWKAVGPAPTKADL GALETKGESAEVIVVPGGMTSIQIAWTRPYDAAPDTFAKRRAGLIEDLGFLVLKRRVS AIASKADAPFISADVGSQDLLDSAHVVLIAANSEPDKWQAALTAIDQEQRRIQEFGVA QAEIDREIREYRSALQAAAAGAATRMTTDIASMLARSVDDDQVFTSPAEDLSMFETMT NGVTADEVNGALQRAFSGNGPQVVLQADQSPEGGADTVRQVYDASNAIAVSAPSGATD VAWPYTHFGEPGAVVERRAVEDLGLTMVRFSNGILLTVKPTRLRANEVLVREDIGRGR LDLPHDRSAAIWASPAVVLSGVKAMDYQDIQKALTANIVGVDFSVGDSSFRFDGRTRT EDLATQLQLMSAYTSDPAYRPEAFKRVQQAYLSGLDQYNASPGGVFSRDFAGLVHSGD PRWTFPDRAQLSAAKPDEFEALFRPMVSNGPIDITIVGDVTVDDAIRLTAETFGALPP RPETASSNDRDDVHFPATTEKPVLQTHSGRADNAAAAVGASIGDLLSDLPRSFTANIA TQIFQNRLIDQFRIAEGASYALQGDVELSREVPGYGYAYFYVETDPAKVARFYELVDE TANDLRSHDVSEDELARARGPIIETLKHQQQSNEYWIEYLHHAQEDSRRLDRIRDSLS GYGKVTAGDIRAFAAAYLSPEKFWKFEVLPVVVR YP_002975772.1 MMKHILDRPIWSALETAHADLAEGNEKARRYPPSIVPFAASADD APESLDALEELPAPEESMILVEAGPIAIPPGLAVVTEASVVQMVAERPHERISDPRIQ PLTESDAADMLALATLTKPGPFTLRAQSLGSFWGIRSEGRLVAMAGQRMRQTGFAELS GLCTHADFQGRGLGTLLFRFVAGEIASTGDTAYLHAYAANTSAISLYETQGFAVRSEM NMRVVRRRS YP_002975773.1 MVEDVVRTLGFLCMGSRLRRIGERLQADTQQVIDEAGLSIQAGQ YPFLAAIDRAGPLTIGELAQAVGITQPGATRTTGQLLELGYVDMQPAPDDQRRRLVSL TAKGQDLVDHSKKVIWPRIAAAVADLCGDLDGPILEQLAAIEDGLAVAPLARRSPVKE ET YP_002975774.1 MNDISCVEPADVSDRHLHGTTSPRVDRTPWAAMAGIIATVTVFA VAQGLTYPLLSFILERQGTTSGLIGLSAAMTPLGFILSAPFIPALSPRVGGARLAILC SILAALTLMTIAWAQDVWAWMPLRFLLGVFANPLYVISETWLISITPAPRRGRIMGLY SSIVSGGFAIGPLSLWLTGTEGWPPFAIGIAAFLLCGLIVLAVVPRLPDMPGEGEATT VGRFFALAPLLLFAVFTAAAFEQILLSLFAVYGAALGSAEERIASLITCFIAGNAVLQ ILLGRLAERFGSTRMMLFCVLACLASCLLLPSAFNSWLIWPLLFVWGGVSFGIYTLSL ILLGERFTGQALIAGNAAFALVWGIGGIVGSPATGLAMQLIGHQGLPLSLVLLNCGLA VLLMARRWRG YP_002975775.1 MTASPSFLGLPDRLADGRLPRAVIFAAAHGSTYPGKDGSGYVLA AGAIRAASQDDAALVEHWDFDLGGPLFDHNPVCCVDAGDVPTILHDNAGNRARIEART REVLSMPAVPILLGGDCSVTIPFLAGFADHEPVWILQIDAHIDWRDEVHGERHGYSSP MRRASEMPHVAGMVQVGLRSVGSARLADIEAARAYGSRFVTAREIHAEGTEAALRHIP EGARVVVTLDCDSLDPGIMPGVVARTPGGLTYTEVIDLIAGLGRRARIAGFDLVELYP PADIDGLSALTAARLLVNAVGAIVRQD YP_002975776.1 MVFWMAGAVGLTIAYLLGSTPSGYLAGKLIRGIDIREHGSKSTG ATNVLRTLGKWPAFVVLLVDVLKGVGAVVFARWFYPWFSTVSSGTPPTALDLQSLEPW AVCLAGLAALLGHGRSVWLNFTGGKSVATGLGVLLAMSWPVGLGAVAVFGVTLAAFRI VSLSSILAALTAIALVCGLEQPLPYRLLVIAGGIYVIARHRTNIRRLLAGTEPRLGKV A YP_002975777.1 MQGSRFAFGPFVLDPAAGTLLRNDDPVAVGHRGVKLLAALVGRP GEILGKAELMDAAWPGISVEEGNLTVQIAQLRKLLGPAANGGEWISTVPRIGYRFIGA INQLGGVKRKALPLPDKPSIAVLPFVNISNDPEQESFADGLTEDLITDLSRMPGLFVI ARNSAFAYKGKARDVGEIAEELGVRYLVEGSARRVAGHVRVNAKLVDAASGDHLWAER FDRSLDDIFAVQDEVTGKIVEALLGRLRAPPSRNRPKNLEAYDLCVRARRLMDDTPQT AREAHLMLTRAIALDPDYAEAYRWLAMNHWMGEVHSGGPTEPTRGTALELARKAVAID PNDAGCRWILAYLLAYERNFAEADAEFAKAIELDPNEADTFAALSDIAVLAGRVGEGL EHIAKAFRLNPFPASWYYLALGQAQYAAGQYAAAVDTLRSDETYRTSSRRFLAASLAQ LGRLDEARAEAELFLVANPHFSTRHWAKTEPFRDARTLKHFIDGYRKAGLPE YP_002975778.1 MMSGQLKALLAVLAVAGYQNRDKIGELLRGIQNPQPGDEGNPQP GGLGGLLGGLAGSGGLGGLLGGLTSGSIVSGGLGDLLKTFQQNGHGDTAESWVKPGPN ADIDDGQLAEALGPDVLNEIAANTGLSHQEILGRLKRDLPKAVDDLTPNGKLPTAEED FLSSASQFTTSARPGTI YP_002975779.1 MRRAFDETNDTFGVRTEPSYLKEQISHGDVVITTNIGSWEELMR KSLQCVLVGLAAISGLTISPTIAAAQDLELRVGPGGVGVYDRNRDRDRYDGYDRRGPR GCDPDDALDIARSEGLRRAQIVRMSPRSIVVQGMTRRGPERMTFANRRGCPEI YP_002975780.1 MQENHAARPLQPGDRAPNVVLDAITRQGKVAIDDFRGQKPVLVG LFRGLHCPFCRRQIAAMAELTDALQEKGIDSLTIVNTPIDRARLYFRYHPLPNLLAAS DPERVSHRAFGLPNLQFTEDENEWPRKVSMNMVMSMRIDMPGELPAPMDPMAASEFLD KADGYEITEDDKQMIATGHGQLVGEFLLDRDGVVRWCFTEVEEDGRHMFGGPAPREVM SAASNMAV YP_002975781.1 MECSGCGFDIQSGFAFCPRCGARQPITCAACGNACQPDFAFCPS CGASISGKVQPASKPKIEALPQSEGDADRRPVTVLFADLCGFTTLSEQIDPEVMRVLQ NELFEEMTQAVEAYGGFVDKFVGDALLALFGAPVAHEDDPVRALGAALDMIGRATEVG ERWHARAGVPLRLHIGINSGPVVTGGFGAVSTKSYSVTGDTVNTAQRLQSMAGENDIL VGPLTYRLTRHAFAFDSLGAQTLRGKSGNVLVHRLTGLSEAPHTARGLESLGLQAPMI GRDTEMSRLLTCLDLACGGAAQLVRLIGEAGIGKSRLVNEFVAIAGNAARFQGLAIRK ATCSPLGEQSYGTLGAVVRSAYGIGERDDLDRARQLLATGFRALDLTQEEVEGLLPLF LHVLGLGDPDGALRYIEPEQLRRQIFYGVRTVFERRLAQGPLLLVIEDLHWADAASLE VLRFMMDRLERSRLMLLAVYRPTSQTDPLDSNRVSVTVQRLAPLFAADGQKLLAAFFG EDQGKLPVTMRKRILDRAGGNPLFIEEILRALIDMGTLHNDGQRWHVAAEDTDVDIPV NLQALLLARVDRLPQDIRRLAQEAAVVGPKFDTALLRTIATDPAAIDAALDHLCDANI IEELRGPDAGGSPGYRFSQTLMHDVIYHNLLLQRRMELHQRIGRALERQYGAAPDRPE HLAQLGHHFSLTTEKAKGATYLMAAGDLARKAYANDDAMRLYRQALAAFANEAEVTPE QLALLERLADLCGPAGLRDAALNHYQRALAIHRTKDDPIAAARILRKVGRLHLDAGRR DQAETYLAEAEAIIATIDAPVERAHVLQERGHLAFRMGDQAAAAEWATQALQCLQTLP IDGTTEAGRETARAMAEALNTKGAAFARLGRRREAVQEVERSLSVAEKADLQSAACRA YSNLGVLYTIVDPANAIKICRRGLELATRIGDLGFQARLLANLAVACCTFTDRCAAEG VPAAEKAVEIDRALDQRDHLSVPLIVLGQIHQCHGQPKLARKYYEEALEVAKEIDEPQ LLFPCYDGLATLSLEHDDMDEAERYFTLAQDVCIRHNLDPGTLVVLPFLD YP_002975782.1 MTGETANSSLNNPSDRRMNGGEIVLQALRDNGVEHIFGYPGAAV LPIYDEIFQQEDIKHFLVRHEQGAGHAAEGYARSTGKVGVMLVTSGPGVTNAVTALQD ALMDSVPLVCLAGQVPTSLIGTDAFQECDTVGITRPCTKHNFLVQHIDDLAKTIHLAF RIAAAGRPGPVLVDMPKDVLFASGIYVSPDKVGPLPSYQPAMQGDQNAIRSAVALMAE ARRPIIYTGGGIINAGPEASRLLREFVDLTGFPVTSTIMGLGAYPATGPNWLRVAGQD GSHEANMAIADCDLMIAIGARFDDLAISRVHEFSPHSKKIQIDIDASSINKRVLVDIG IQGDAAHVLADMIHAWRSLSTVPDQKRLRAWWLQIDHWRAHQSFSYERLDHAIMPQHA LERLHALTKPHDPIIATEIGQQMWVAQFFGFDKPNRWITSGGLGAMGFGLPAALGVQL ANPGRLVIDIAGDASVQTTMKELSTAIQHQAPIKIFILSDEHPGMAREWGQVPDGSGR SRSYSASLPDFTKLAEAYGAVGLRCESPTELDARIEEMIDTDRPVLLHCRVARLAGTD RQ YP_002975783.1 MRVTMMDIAAAAGCSQAAVSFVLNDTPGTRISQQTRDRVWEAAR ELGYMEKTYATKASYSGLDNVIGFAVDQLATSPEAIVAIEGARQASWNAGNVLLVTQT LSDPVMEPKAIEALTSGGISALIYMTIYTRQVELPSYVCELNIPTVLLNCYTADHAFP AVVPSEIAGGQSSTRHLITHGHHRIATITGEIWMQAAQDRLTGYRRALATADIPFDPE LVIEGDWSASAGYASTMKLLALKEPPTAIFCQNDRTAIGCYEALKDAGLRIPQDMSVV GYDDEEISRHLVPPLTTSVLPHLAMGQWAIEHLNPESIPGKRYPIAKLECSLVKRHSV AAPRAEARQILDGAHTSS YP_002975784.1 MKQLKRNAALFFAGLMLSAAPIAVSHAADKPTLAFVVNGASDFW KAAEAGVKKAQGEMPDYQMELKYPEQASVAVQQRLMEDLVSAGVKGVMVSAVDPKTQT DGLNKIGSQTALFTTDSDAPQTNRVAYIGSSNIDAGMQAAEIAKKAMPDGGKCIGFVG LLGADNAKERIQGMKDGLKGTKIELTDVRGDDIDQTRAKKNVEDALVASPDVTCMVGF YSYNTPRIYEALRDAGKLGQITVVGFDDDPITLGGVKEGTIAATVVQQPFEWAYQGMK LMAAYLKGDKSGVPSNGLIIIPTVIIGKDDVDKYAASLKAMSGK YP_002975785.1 MNHSSDIPSPDPLATPFLSLSGVGKTYPGVVALEGLSIDIMPGE VIGLVGENGAGKSTLMKILGGVIAPDRGTILLDGTELRFLTVESSIASGIAFVHQELN LFENLDVAANIFLGREPLKAGPFKLVDRDRLRDMVKPLLKRVGAHFSADTPVASLSLA EQQMVEIAKALSINARLVIFDEPTSSLPLAETERLLSIIKSLKADGISVIFISHRLHE VERVADRVIVLRDGTLVGTLAKKDIGHDQMVKLMIGRVLAARTAKPQRSAGSVALKAS GVRTEAYPARPVDLEIRYGEIMGLAGLVGSGRTELAKVLFGIDRSYGGAILQDGRQIA IRSARDAVARGIFLVPEDRKRNGILLDFPIAQNITLADLPKLSNRFMLSAERERGAAE KQRVRLGIKAPSVSTRTGTLSGGNQQKVVLAKWLSMSPKVMIFDEPTRGIDIGAKNEI YGLMRALADAGVAILMISSDMEEVIGVSDRIAVMHEGQIAGILEEDEISQESVLLLAV GKRVK YP_002975786.1 MIKKDLGLLLLIVVVGIVVAIINPRFLLPINLANTANLIGLFGI LSIGQAFVIITGGIELSVGSLVALLGVLFVDFIAVQDMSWMLALPFILALGAVIGAVH GWLITRLNLQPFVVTLCGLLIYRGAARFYTADGTAGFAFGQNFPDLEFLTAGRFYGVP NTFIALVIIAVVMWIMLHRSVFGRYLYAIGKNEEAARYSGIRTGRMIMSAYVICGLLT ALSAIYFAMYTRSISPASHGQFYELYAIAAAVLGGFSLRGGEGSIVGVVLGTVLLQEL QNLVNLLGIPSSLNFAVMGGVILIGVLIDQQWHAIRAKRRLVFAARQTETRLSNEGSL PVVANQD YP_002975787.1 MGLIAMSERDLQRIEILSNVIAGRMTMVSAAHVLDLSTRQVRRL LERINTGGAASIRHKAIGRPSNNRISDGVRDYAVTLVRERYADFGPTLAAEKLAERDG LRVSRETLRSWMVDAGLWLSRKQRRTFHQPRLRREAYGELVQIDGSEHRWFEDRGDPC SLLVFVDDATGKLMQLRFVRSESAFSYFEALALYLRDHGAPVAFYSDKHSVFRVAKKE AKGGQGMTQFGRALCELNIEILCANSSQAKGRVERMNRTLQDRLVKELRLAGISGMAA GNAFLPGFMEDYNARFAIAPARSEDLHRPLNLAPDRLSEILCKREQRYVGAQLTFSFE RQRIMLEESDVTRGLVGRYVETYAYADGRLDVRWKGHSLPYKTFDQDQRVTHAAITEN KRLGDVLAYIKERQEQLPAPKVRTNSEKNGYTPRGRKPGRKTDFMNDPAVIARRRQAL SDLDAAE YP_002975788.1 MLPEINLQGHVDVAALSPLLRGMLLSVAYADGEGGIGLTATGAM NRKFVHWAAVHFLWPSFTAEDLYSMNKVLNESDMPPLWVVRDMARHLKLLRRKKDVLL PTKRGREFLVDPQAFFDLVATDYLYSYVHATEREEEVRARLRWWRLFLNLLNIAAREG CTPMEVVEILYPDLAPLSDTEITLEAWKLKSDLQHGVFRRLCWLGLLYEAREGLTLLQ DGSFHKTPLWAACLQLESDTQSDIGVH YP_002975789.1 MSFMITMSQKELHRLEVIQKIRDLRLSVVQAAELLGLSRSQVHR LLQAYDRDGPAGLVSKKRSQPSNRRHSEEFRNAALDLIREHYLDFGPTLAREKLIELH RISVAKETLRQWMTEAGIWISRRERKKRVFQPRGRRDCFGELVQIDGSHHWWFENRGP KCALLVYIDDATGKLLHLRFAGSENTFDYLHATKAYLQQWGKPLAFYSDKHGVFRSTH ASEKDRTSGLTQFGRALYELNIDIICANTPQAKGRVERANQTLQDRLVKEMRLRGIDT IEAANAYAPEFIADFNSRFGKQPRNPKDMHRPLADHENLDGAMCRKEVRTLSQSLTLR YDKVLFILDPTEISRPLAGKKVVVCDYPDGRLEIMHESFTLPYRTFDTLRSVHRAEVV ENKRLDDMLSIVAELQAGREQQRSKSGPRRTGQTDHMFGIPDGSQGNGYQKRGRKPGR RTDFMNDPEVIAKRQKALMRQPAE YP_002975790.1 MIDMADGASEQNETSIFQSNLSVEAKIEKARTELLDLSARNRLL NVPRFSKSAKTIDVVDEKSSEVFRILVTDGRTMTFLAGAKGRESKDDGDGDELIELAL PDDDERDGDGRLVRHGDTKLQTRMTPNALQKRLLDLYFDARTLEEEQGVNILFLGLGT LKWIDPNNAENVRYAPLILVPVSLERGSAAERFKLRARQEDLASNLSLEAFLDRVHQI SMPAFEASDDFTFEDYAAKVAACISMKPNWSVQPDDIVLGFFSFAKFLMYRDLDPTLW PKDAKFTELPLIIGLVSDGFEGGEQLLGEDVKIDEHISPSEMTHIVDADSSQTAAIHE VRRGRDLVIQGPPGTGKSQTIANIIASAVADGKTVLFVAEKMAALEVVKRRLDQASVG EACLELHSNKANKRAVLAELQHTWELGTPKGESGSALDQRLTDARDLLNAHPARIHRV YQPYSLSPFQVMGHLARLRREGMAPSDIDLPVAADWTPEVRERAKALLTELAERIEDI GLPKHHPWYGVGLTGVTPLDLERLTTRLKTAATELAELRSQMDDVSQTLELSSPHSLA NFMDIASTAERVASCPSEISSEALANDAWATELDRVRDLVVGGAEFSTYAAEVAARMT PSAWESDPEPIATQFVRLSADFSQSGFATIEQLASVLPRFLAGAGALRTLLGVNASPT LASIDRLIVIGQRVAEAPDASPDAFVAAIWERGLDQASDLAEAVGDFRRIRAELEGKI SEQAWDIDLMQVRAAVAVHGKRLFRFMSGEWRKAKALLRSVMPNETSPDETVRILDLL QKGKSARAAIKDGDSLGRSAFGADWRGEKSDPKPLENLVLWMRSLRGVGVEARLIAGR LSDRTSVAGRCEQVRALLDESRRLLLSLWKDLGEKASVLFSDAPGPDGVSLTQTSQFA REIADAHKVFLTLVRSVPETNAHRLALLKLLALARGLKSTLERLDSLGRASFGPLWKG TESDWSLLSRTTEWISHNSDIRLLSSRVSDRPAVAVKARDALARGAILQHDLQALLGF LKADVSIVFGAHEIGQTELATVAQKLAKWVETEEHLSKWAAYRGRAEDAANAGVGLVV ERLEDGRVKPDDVVPYVEMAIYEGILRHQHSADPELSRFDGEVHGRLVNEFATLDRSR MALSRLEVVRAHHKRIPAVSGIGPVGVLRGEMARRRGHMPIRQLMQRASVAIQALKPV FMMSPLSIAQFLPPGLLRFDLLVMDEASQIQPVDALGAVARAKQVVVVGDERQLPPTR FFSKMTSSTSDDDDAQDGAQVSDIESILGLFSARGLPEKMLRWHYRSRHQSLIAVSNS QFYDNKLFIVPSPYTAEAGMGLRFQHVPGGVFEDGVNKVEAKVVAEAIIRHALQSPSL SLGVAAFSIKQRREIQDQLELLRRLNPETEEFFHSHPSEPFFIKNLENVQGDERDVIL ISVAYAKGPSGAPMSMRFGPLGAEGGERRLNVLISRAKRRCEVYASITDEDIDLERGK GKGVFAFKLFLHYARTGRLSMTQRTDRGMDSVFEEQVMAALKERGYQVHPQVGIAGFF IDLAVADEQIPGRYILGIECDGAAYHDSRSARDRDRLRQAVLEDHGWNIYRIWSADWF QRPKAELERLVAAIERAKADVRSGTEEHLSRRAVPVEVVTIERADVTEIGLQPVIVDE ISSATLYQEAVLRPNIVGELHEAPIGVVIALVKQTVGAEGPVHQDEVVTRIRSAWGLQ RAGNRIDAHVGAAITIAQNSQEIVRDGEFLLWPDKDITLRDRSGVTSLSLRRIEMLPP MEIDEGLITVIRTSLGATVDQAVNAVARGLGFKSTSSQLKELVESRIVLLQEKGSLID FGGMLTVLNQT YP_002975791.1 MRINDDRHPRRGVCAFLTVVFSTLLLASANPASAAEPTNSSAPI RVIIELPNDDSGRALVNRIVPGNQEPAQPVAVSQEAAPTSIATSLQDLRQRLLTLVGA VPTLPGQIQSAIRVFQTDDRIEPVGLILAVILFVAGGFVAQRLAWWSGRGLLHFVLNA PADTVRQRIRLHAARLSMGLLALVGYLIGSLGAFILFPWPAVFRDIALILLSAALMVR LGVLVGRIVIAPGARLPHMRLLTLVTSLAWFWYYWLLGIVAVLAAGWAAIEVIGTIGA SPILVDLFTAAWLGVVSIALIVMIWLRHFRSDGPPVSRLVCVGFSASIIVSWLLWVSG LHGAFWTVVIITLLPLTVAVARDIIRRIIQSGEGQAVEDPAIVGWSVVIMQTLRNGLI VVAALLIARAWNVNLSDLAAAETVTTRLIRAGIRIVIVLLVTDVIWKLASTLIDSQMA VASRSVQAGHQEGPDARRRQRLNTLLPILRNVLFLAIGAVGFLMILDAVGIQIGPLLA GAGVVGIAVGFGAQTLVKDIISGVFYLFDDAFRIGEYIQAAKYKGTVEGFSLRSIRLR HHRGPVTIVPFGELGAVQNLSRDWVIDIITLTLNYDSDLEEVRKTIKRIGVELLEDAE LGPNIIEPLKMQGIEQMADYGVQIRLKFMTKPGEQFGVRRKALAMLKKTFAEKGIQFA TPTVHVSGGPADAAATAAASELQRPSKVISTLEE YP_002975792.1 MNDITQSRQLAADPDKVRSLPGHATVGTNDLDAASVFYDRLLAV FGIGRVLVQPNRAIYYGHRTLEFGIIKPFDGRPATVGNGGMVAFEAPSRSKVDEAHAT ALAGGGSDEGAPGPRGENGAGPYCAYFRDPEGNKFLIFRSGADAA YP_002975793.1 MSRDKTVNAASVATTPSSHTPEAFAFTQAARDAVGFIEINEILT LRRMVVRTASPKGTVLFLHGFPETLTVWKDIATTLALDYDVHAFDWPGYGQSSRPASG RFSYAPRAYAQVLKAYIERAGIDRSNLLIYATDIGALPVLLLALDEPTIARQIIVGDF APFDRPQYMWENLQNLKAEPTASPTRAYMNTTSDEILENVHRRGLSPAEQFDLPIDIQ QDMTRSWSLDGMTSADAFAHYYANFTRDQHYLEANLTRLKTPVKVIWGEKDIYISSEM GIEFAGKIGAQLDVLPGIGHFPHLQTSKQTVEEIRTSFR YP_002975794.1 MDRLEAMRILLAVVDAGSISAGSRKLNAPLPSVSRKVAELERHL GANLIVRTSRNLQLTDAGRDYVDAARKIMADLEEAERRASGEYQTPRGVLTITMPIEY GSRYVLPVALSFMDKYPEVSLNLLSLDRTVDLVSEQVDIAIRLGELADSALHAVKIGE FRLLTCASPAYLQRHGTPERPGDLIDRDGIIFNKRTFFWTFDVDGTPMEAVPRNRLEV NTAANCVAAAVGGAGIARLFDYQVPDELASGTLVPILSDFDGPPKPIHIAYARQGLLA LKVRAFVDWALPRLRKVSKNNRTLSE YP_002975795.1 MDFLNVAAIVFFLLVWVAVEPLMAAGWPRRNDSLSVDMVRIRTA WMREVLTRDNNFIGDAAILGHTINSASFFGSANLIVIVGLSGALFMEPNYGSGGGLIA MFAARDPAWFFQCKVLLIMATLLRGLSDFIWAVRQINYCLAAIGASPSRDEDRDIAGW TNALTLVLNPALRSFSVGVRSYYFTFAAAFWFLGPIPFAVATILSVGILIWRQSWSDA AKGIMAIRKLLD YP_002975796.1 MSQAVLAINFAGPHVAVQDGGRHGLMRYGVPASGPMDRISFAAA NVAVGNPAGQPAIEVSMGGLVLDCLSGDVTFAVAGGGFIVEHAGDKRGAWMVATLRAG ERLAIRPGHWGSWTYLAFVGHIEAKTWLGSMSTHSLSGLGGGRLTAGQMVTVADPEVR DDRHGPITCPVIARPRSELRVVIGPQDRFFSKETLSNFLSSPFRLSDAYDRMGVRLQG PSLAPSVALDMPSEAIVRGSVQVAGDGVPTILLADHQTTGGYPKIATVVDSDLDAFVQ LRPRDHVGFLAVTPQQAIEHIRLRAATMSRYLAAVCDGPWNVRT YP_002975797.1 MSQDPSASSGSVPNYRPVGGHALLVEFGATIDKRIHDQVVKLDA ALKAAPFEGFVEAVPAYASILVCFDPLMADHRTTEVAIAELLGAEVPETIGAMREVEV CYDPDLAPDLASIAEASGLSPEDVISAHLSGDYSVFMYGFAPGYAYLAGVPKAIQQPR KPAPIRGIAAGSVLIAGPQCLVSTLTMPTGWWIIGRSPTKILDAASNDRPFLFDVGDT VRFRRISRTEFDARCLA YP_002975798.1 MAKGNLVAQVTVTDPDAYGTCAPAADADFILIEGAD YP_002975799.1 MTKIDLNSDLGEAFGPWRMGDDKAILDVVTSANIACGGHAGDPA TMVETLALASERGVVAGAHPGFADREGFGRRLIPLSIREVEQLVATQTGALMGAAALA GARVRYIKPHGALANWAADERPVADAIIRATRAVSPELAILAISGTELQHAARDAGMT VFSEIFADRGYLSTGRLVPRNLPGAMIDDPAKAAARLIGYLSSGLMPTVDGVPIPLNA HSICVHGDSPGALAMAVHVRRELQKAGIEVAPFLQPTQENR YP_002975800.1 MLVARGQQRRFLDAHGEGVLHLGFDVPACDEADKTSAKG YP_002975801.1 MSLVNRALGTSEFSFTDEPGIFTWELATDKVYADSALANLFGLD PEETLTGLPVIRYLDRIHPDDKPSVAKAISQSVITGNPYRCDYRVFDRSGQIVAVAAL GRCFRDEAGNPSQYAGIVFPTNDHGEKDELSAHCNAALKIARSSGLQTTADALEAILK ELAKPMPSDVAQVH YP_002975802.1 MKAQFHLPLATYPDASSFSLLQNAVDVSLHQQAGLTASIPQIRI EPVQPRFPSLLDIDKMRVEAERLSRDNGTVLGQTLRDYAKKAEVELEIQPFDVRQPFV AQTLAELSRAYDLSILEASELMRPLIESVLFESGRPLLLFPPDNFSGRIDAVAVAWDG GATVARTLAGSRFLLEHVSRIVLISITDDKQIDERSRDHLAAALRKAGLAVDVAAVQA KGDSPASVIQGAALERKADLLVAGAFGHSRLREFILGGVTRSLLTRLEMPTVLCH YP_002975803.1 MMTSLVTTEAFQRLSLALAIGILVGIERGWQDREAAPGKRVAGI RTYGLSSFLGGFCGFLQPLTGPILPTAIFIFFCITVLLFSLMQAAQEEDYSATGTIAA LAVFALGFGAVVADMTVTAASAVAITALLAAREPLHGFLRRLTWLELRAALILLTMTI VILPILPNRPIDTWQTINPFELWMMTILVGAVSFAGYILIRLSGARAGILLTGASGGI VSSTALTLSFARQSKQMPGLSPLLSAGAMLAGAVSLARVLLICGVIAPAVFRELAPSL APAAATLAIAGGFAALLQRPDDNTDFSPRNPLEVMVVLRFALLLAVVTVLTRMTLIGF GTQSLIALAFITGLGDLDAITLAVAKLSSVQVPADAAARAIAVAAFANLLAKAVLAAS TGSIAYTVRFAIAGGVATLAGIAGLVLA YP_002975804.1 MQSSINRHGLAPDPDELIAEGDPPFADAAEQTAREKADESLNAL QHEVRLLNARIGALREDAEAALKAKATSVDASAHVQLGEYPWLKLTAAIGATFIAARL VRRLSLGAMLAGFAGHFSEARPR YP_002975805.1 MGTIYNDTNPAFSGPTGGSSLAAFFDNRSEAESAVSRLEDAGVP VARIRLMPGYEADGKNAGATSEDRSGFFDALADFFFPDEDRAVFAEGLRRGGFLVQVN DIDDALYETVHDILDDGGSIDMDERADLWSLEGRQQGASNTVFVGSAQGGKASENLAV SERAFDDARPVEMRDTAHGSAKVRAYTFPEPGTTSSDETNRQSQDQSQTQSQGGSHQS QGFRRDH YP_002975806.1 MDIFTAAGLTALLQVIAIDLVLAGDNAVVIGLAAAGLEATQRRK AIIVGIVAATVLRILFASVAVYLLAIVGLLLAGGLLLLWVCWKMWRELRAGHGENHEA AGAEGAPKKTFFQAATQIVIADVSMSLDNVLAVAGAAREHPSVLVIGLALSIALMGIA ANLIARLLTNHRWIAYVGLLIILYVSLDMIHRGSVEVLPYVQASGFKL YP_002975807.1 MLYRRRFTILGCSSSPGVPRITGDWGACNPDNPKNRRTRAAFMV QQFAPDGGVTTVVIDTGPDFREQMIRSGADHVDAVLYSHPHADHIHGIDDLRGYFHNT RRRVPIFADQFTMDRLREAFGYCLATPPGSNYPPIVLPIVIENLDAPLEIHGPGGTIA FHPHIQQHGDIHSLGFRIGDVAYCSDISDFPPQTVEKLQNLDVLIIDALQYTYHPSHL SLEQSLDWIGRLKPKQAILTHMHTPLDYDVVMAETPDHVVPAYDQMSFETEVRLKA YP_002975808.1 MLIDTHCHLDFADFEAERDEIVARAHQAGVAQMVTISTRVRKLE TLLAITEKYPSVFCSVGTHPNNADEELDIETEDLVRLANAHKKVVAIGEAGLDYFYDT QKPEDQQTGFRRHIVAARETQLPLVIHSRSADEDMAAILTEESGKGAFPFILHCFSAG PELAKTGVALGGYISFSGILTFPKSEELREIARTIPHERLLVETDAPYLAPKRWRGKR NEPSYVVNTAEVLADTIGLSTEEVARITTENAFRLFSKMPRI YP_002975809.1 MTDKTPFYITTAISYPNGKPHIGHAYELIATDAMARYQRLDGKD VFFLTGTDEHGQKMQQTARAEGITAQALADRNSGEFQAMATLLNASNDDFIRTTQERH HETSRNIWKLMADNGDIYKDSYAGWYSVRDEAYYQENETELRADGVRYGPQGTPVEWV EEASYFFKLSEYQEKLLAHYEANPDFIGPAERRNEVISFVKSGLKDLSVSRTTFDWGI KVPDDPAHVMYVWVDALTNYITATGYIEDKNGPRAKYWPADVHIIGKDIIRFHAVYWP AFLMSAKLPLPKRVFAHGFLLNKGEKMSKSLGNVVDPVNLVNHFGLDQVRYFFLREVS FGQDGSYSEEAIGTRINSDLANGIGNLASRSLSMIVKNCDGKIPECGALSDEDKAMLA EVDALHASTREEMGKQQIHRALASIISVVSETDRYFAGQAPWALKKTDPERMGTVLYV TAEVVRQIAILLRPFMPDSSGKLLDLVAAPADKRDFAALGEAGRLTAGTTLEAPTPVF PRYVAPEA YP_002975810.1 MTFEPLYSLSGLFVGALVGITGVGGGSLMTPLLVLLFGVHPATA VGTDLLYAAITKTAGTAVHGMHGRVNWRIVGSLAAGSLPAALLMLWLLAGVDRKSIGV TNTITTALGWLLVMTAIMLVFRGPILELARRAVGDRTPPKPTTILALTVILGFVLGVL VTLTSVGAGALGVTILLVLYPRLDVREIVGSDIVHAVPLTLIGGTGYWLIGEIDWPML LALLIGSIPGIIIGSLLAPKLHERTIRIVLAATLAVVALKLLTG YP_002975811.1 MSEERPGLLDGAIWPGENTRMFGHAEAEAFLAQSYRSGKGHHAI LIEGPEGIGKATLAFRFANHVLSHPDPQTAPETIGDPDPASPVSRQIASGASHNLLHL ARPVDEKTGKVKSAITVDEVRRAGKFFSQTSGTGNWRIVIIDPADDMNRNAANAILKI LEEPPKRALFLVLSHAPGRLLPTIRSRCLPLKLAPLADDELVAALTHLGISGEGGAVL SAAKGSVGEALKLLNYGGGEIIAAYDEMFAAEGPTARKAMHRLADALSGRESDTIFDF FVSHVGDDIMNRARVAAGEGRIATAERLARLYSEITERLTISDGYNLDRKQTIISILA DIKQPGL YP_002975812.1 MRRLECGKLSLSSGTGLFVTFEGGEGAGKSTQIRRLAEALKGEG HDVLMTREPGGSPGAEAVRHVLLSGAAEAFGTRMEAILFAAARNDHVEEVIRPALASG KVVLCDRFMDSSRVYQGITGNLEPDFIETLQRIAINGVMPDCTVILDIPAKVGLERAQ KRAAADAPDRFEKERLETHEKRREAFLDIAAREPERCHVVNAMQSEEAIAAEILAIVQ QLLSPSGRLRMPEAAHHE YP_002975813.1 MLKPALRLLACLMPFATGAFAADGGAAGFATKAAQAYMIEAATG TVLLAKNEDQGFSPASLAKLMTMDLAFEALTKGQITLDTEYPVSEYAWRTGGAPSRTA TMFASLKSRVRVEDLIKGVAIQGANDSCIILAEGMAGSEQQFAVSMTRRARELGMEKA EFGNSTGLPDGKSKVTAREMVTLAAALQQTYPNLYPYFAQPDFEWNKIFQRNRNPLLG LDLGADGLATGFTEGEGYSIVASVERDGRRLFVALAGIASDKERTEEAKRVLEWGLTA FENRQIFGEKEVIGAASVYGGTARTVDLVAKAPVSVYIPISNPDRLSARIIYRWPLTA PVKPDTQAGTLRIFAGSRLLREVPLYTVQAVGEGSLSSRAVDAMLELGESLFFSWLWD KPAPV YP_002975814.1 MKLDYGAASFATTARWLAISAMCATVAACGTTQAVPKKKSHGKE YFSESEYGVKASPRVATGNNIPKGGGRYIVGNPYEVKGKWYYPKEDFAYNKVGVASWY GSAFHGRLTANGEVYDQMHLSAAHPTFPLPSYARVTNLESGSSVIVRVNDRGPYHAGR IIDLSNKTADMLDLQHSGTGKVRVQYVGRARMDGHDMPYLMASYAPKGSRLPGVNPEG QIATGVMVASNSRKITRDQLQSSEDYETPANVPVPRSATSYAGSTPSARNNAAAAAAP AAHVLVAPSAPSFNNGAQAMDQMVVLPEIGPMPYERPQNSLALGYQNEEVKTVTVDLA FDAVMVRNDGLTQESILASAKRQHAKSAAR YP_002975815.1 MNDETLTQLDTISHQLHARSRQLSQPDKDNDIAILMSALAVTME AVRSLGGDMNQLNGPKGLGSDGS YP_002975816.1 MAMYLTRFSYTPETWARMIENPEDRREAARSYIESVGGKLHGFW YAFGEHDGWNLWEAPDNVSMAAVALAIGAGGALSSMETTVLLSVDDTIEALEKAKSIR YRPPAA YP_002975817.1 MDLATVLLLHKSSFIVGAICFFYVRWRSGATPGLGVLAVGFTLL AIASTLAGWDESLHMSDNARTFWSFSLGANGYGLMAVGLFGLSRRQNSLRDWWPLLLP VVLMLSAAITPWYLNNGSRASVFNGNATILLALSGFVIARDFFHERLTARLGLSASIW VATSLSALVVVGFIFPDDAPLPPRYAFFLLIICHFAVALFVLVLVQERAEEKLIRLAN TDMLTGIPNRQHFFNSLPKSLGSGDAFILIDIDFFKRVNDMHGHDKGDVVLINVARTI ALSVPPSCVFGRLGGEEFSLFFRGQTAASAFALAERIREAVNAVSLVLEGNQVTPSVS AGVALWEAGLTEQDIQKRADQALYIAKNKGRNRVELFSSVGLTSSVLAPDPLPARAG YP_002975818.1 MKMLFSSLAAMILSASFALPLNAAPIVVPNAVHMHTPDVEQVKH RSHGNGHAYGHRKKHRYAYRDCRYYGSCYRPRYYGSRDYYGYRDRYPDRRRSGVTVYF DF YP_002975819.1 MVLVPPPLRLGSAALFVATLARQSDVVSIVAAAIRAAPQVLKGF GIPRLLMRRLVTQNHRPEAVAAAAALFLAYLDAVLNVAGHDQPVMGSMAGPTTARRCL P YP_002975820.1 MIVQACINGARPSDFHPLLPLTIDAMVRDATASVAAGAAELHVH PRGADGKESLAAVDETVGAIRWACPGTLIGVSTGAWIEGDREKTREAIRRWDVLPDYA SVNLSEDDGPGVMQLLRQKGVGIEVGLASVADAERYIGLDDHDRVFRVLIELDDEQDL QRACDIAGGIIKVLRDSGVNRPILLHGFDDTVWPFVRLARERRYSTRIGLEDGKHLPD GTIANDNVVLVTAAVAIFRGQSN YP_002975821.1 MYRAFRALHIFAHLAASPSQYFETFCALTMPADGAEEIPNCRLA ADI YP_002975822.1 MVKSIIAERSEAIAEQSPSVRWALASLSLSMLLPSLGTSIANVG LPSLAQAFNASFQDVQWIVLAYLLAITTLVVSVGRLGDITGRRRLLLIGILLFTLASI LCGVAPTLWLMIAARAVQGLGAAIMMALTMAFVGETVPKEKTGSVMGLLGTMSAIGTA LGPSLGGLLIAGLGWPAIFLVNVPLGVLTFVLAYRHLPADISKGKTDRKGFDLAGTLL LALTLSAYALAMTIGHGRFGPLNVALLSAAVFGTGLFVLAEARAASPLIQLTEFRNRV LSASLAMNALVSTVMMATLVVAPFYLSHALGLNQALVGIVMSIGPVISILSGVPAGRL VDRLDTSFVVVAGLVAMAAASVAMAVLPGISGYIAAIAMLTPGYQLFQAANNTAVMAD VRPDQRGVISGMLNLSRNLGLITGASVMGAVFALSSGAPDITAAHPEAVASGMRVTFA VAAALIIVALAIAVGTYRRRRALGES YP_002975823.1 MSTPDLNLLVTLDVLLAEGSVARAAQRLRLCPSAMSRALARLRE TTGDPLLVRAGRGLVPTPRALELRERVGRIVEDAQAVLRPAEALDLQRLVRTFTLRTS EGFAESFGPDLIARLGRQAPGVRLRFVQKPDKDSAPLRDGTVDLETGVVGGTTGPEVR AQALFRDRFIGVVRLGHPLCERELTPSLYAAGQHIYVSRRGLDKGPIDEALTALGLER QIATIVSGFSTALALARTSDLIASVPERHTGALRAGMHSFPLPVPTPEITVSLLWHPR MDADPAHRWLRGCVRDACARAD YP_002975824.1 MTDIIVKDSNGSQLHDGDSVTLIKDLKVKGTSETLKRGTLVKGI RLTDNPGAIECSTKQVKGLVLKTEFLKKA YP_002975825.1 MKIRAGFHLGYECTQPTPMLLVLNIHPSRRADLLSDQILTFDRP IEAWGYTDGFGNACSRIVAPPGLTTISTEFEIYDSGQPDIVPDDAVQHGINDLPDDVL VFLLGSRYCDTDRLADFAWARFSSTPLGWARVQAICDFVHDHITFDYLKADVLRTAHG GFTDKAGVCRDFAHLAIALCRCMNIPARYCTGYLGDIGVPIDPNPMDFSAWFEVFLGG HWHTVDARHNTPRIGRILMGTGRDATDVAMSTAFGPATLSRFEVITEEVPQEAMKAD YP_002975826.1 MTIFSVRHITSYRYVRDVDFGEHRLMFRPRDSFDQRLIEASLTI YPEESHVRWIHDVFGNCVALVDFSRPASELRFETWITLDHTPQVALDLKVDDAALTYP FSYDKDEIADLTPAMQRHYPDPNDEVGRWARQFVRQGRPTETGHLLMTLCYAIRESFV YARRQEHGTQTPLQTLQLRSGTCRDFALLMMEAARVLGLAARFVTGYVYVPDRDGSTV LGGGSTHAWCQVYLPGAGWTEFDPTNGIVGNRDLIRVAVARDPRQAVPLSGSYDGDGK DFDSMTVQVNVTTR YP_002975827.1 MHIIWDVLTLLLTIGSLYGGREYRIIRARARAQNWGNF YP_002975828.1 MPKAAIAIACIGLLTLSGCGNTAYGLKKDGQEASHAMDNATHRV LSAGAKK YP_002975829.1 MELPDADRRLGVPSNRVNDMDYELAFAATVATASFLVFMLIVHR T YP_002975830.1 MFSKSLIMPFGFALLAIAGLLFQIVAYAVHSPVTLLQP YP_002975831.1 MESAGVGWIAAIIIGGVAGWLAEKAMRSSMSLLMNILLGIIGAI VANWILGLLHIQPLAGWLGYLITGFVGACILIAIGRVIRR YP_002975832.1 MSREKLHKSTAPPRRLCGEQKIGCDGTFRAAISLKRHPMKQEQT MAELKTASAESTAARVKADIAADDLSAQVAALRNDLSRLTESVVALGQGAKSAVTDEA SVMTERLRDKVREEPLVALAVTAGIAYVFGLLSRR YP_002975833.1 MFHILRRAAQTWVAKLLLLLLVVSFGAWGVSHQLLTGGNSTTVV TVGDQHVDVNEFHLAYQRQVANLSQQFGTRLTPEQARAFGVEQQVLAQLVAGASLDQL AEDMNLGLSEDRLAQLIADDPAFKAVNGRFDRELFISRLRNANIRQDDYIKERSKVAV RSQVVDAISNGFTAPKTLVDALKLYGDESRSIDYLLLTNANIEPIKAPADDVLATWFE GVKQRYRAPEYRKLAYLKLQPADIADAATVTDDQIHEAFDKSKDTYRTPESRTIEQLT FASKDLATAAETALKSGTSFDQLVSDQGKTASDVLLGEFTKDKVPDQAVADAAFAVSR DGGTTPVVDGSFGSVILRVTNIKSETAKNFDEVKEDIRKQLSLSNASQEVINVHDRIE DLRAGGSTLEDIAGQLKLKAVTVDAVDMTGADKDGQEVKDIPVKQQLLGEAFKTEVGV DAPPLPIGNDGYVWFNVREITPDRDRPVAEVREKAVEDWTAEQQKAELAKKADALKAE AKKGTALADIATPLGIAVESKSGVTRSTDDAVLGRAGVTAAFSGPLDTVASAVGADPS TQILMKVTEVNTQPTGDVLNNRDAQITAMANAAGDDILDQMVNLLQTQYGAEINHTLA EQATTR YP_002975834.1 MTDLKPFLAKAASREPLTRDEARAAFDILMSGQATPSQIGGFLM ALRVRGETVDEIVGAVTAMRSKMLTVEAPADAIDIVGTGGDASGTYNISTLAALIVAG AGVPVAKHGNRALSSRSGAADNLAALGVKLDVGPEIISRCIAEAGVGFMFAQMHHSAM RHVGPSRVELGTRTIFNLLGPLSNPAGVRRQLLGVFSPQWLVPLAEVMRDLGSECVWV VHGDGLDEITTTGITQVAALEGGKIRTFELSPADFGVSPCLLADIKGGDGVANAAALR EVLGGAKNAYRDVSLANAAASLVIAGKVETIRDGMTLATQSLDSGSTALALDKLIAVS NDID YP_002975835.1 MTDILKKIELYKREEIAAAKATVSLADLKAMQTGQSAPRGFHKA LIAKRDAGRFGLIAEIKKASPSKGLIRPDFDPPSLASAYEAGGAACLSVLTDSPSFQG APEFLTAARAACALPALRKDFMFETYQVHEARAWGADCILLIMASLSDDEAERLQDEA FALGMDVLVEVHDAEEMERALKLSSPLIGINNRNLRTFEVSLTVSEALAPMVPDDRLL VGESGIFTHADCQRLQAVDINTFLVGESLMRKEDVAAATRALLFGEAAIAAE YP_002975836.1 MSGAKPGLTHIGASGEAHMVDVSDKAETVRIATAEGHVKMASET LALIVQGNAKKGDVIGTARLAGIMAAKRTADLIPLCHPLMLTKVTVEIEEDAALPGLR VTATAKLTGKTGVEMEALTAVSVACLTIYDMAKAADKAMEIGGIRLLEKSGGKSGDFR HPEAR YP_002975837.1 MNLLPVADALNRLLSRAKPTAASETLPLAEAEGRVLAVDLTAGL TQPPFNASAMDGYALRREDAPEPGAELKVIGTSSAGHGFEGSVSQGEAVRIFTGAPVP PGADSVLLQEDAEKIEGGIRTNFPVRQGQHVRPRGQDFAEGEAVLSAGTVLDFSRLTV AAGMNRPDVEVLRRPLIAILATGDELLPPGSTPGPSQIIASNTFGIAALARKAGADVL DLGIVPDDKARITAAIDTARDAGVDVIVTLGGASVGDHDLVQATLIEAGMQLDFWRIA MRPGKPLMVGSFGETHVLGLPGNPVSSLVCSLLFLEPLIRRLASLPPVRREATVEAAV TLRANDHRQDYIRAKLSKSAAGHWLAEPFGKQDSSMMKVFAAADCLVIRPPHAPELLA GAPCPVMLLRPDLLA YP_002975838.1 MLSSCMMSAVVLLTAYSSAVAAVEGGPALEPPANTNAPWAIDWL SSDLKDAATPRTLVLCSDRMDEQLRRYRSLIEKLSVLKGHTILDGSKPMARKQQAGSI GYIIIAHRGNEDLAVNSCATNYPEVREFVTDFMEKELLAQQNDVQDLIRLGILPKSAT PRQCFGKTYYPPEGTTTWQADVNIDGSTDDRCLDEFVPVTFGINPNYCSSSAACR YP_002975839.1 MKRPGMPNPDPAAFIKANLPISPVPAIPEICLHTAGPASGLWRL SGRREADPPPYWAYPWAGGAVLARHLLDRPEIVVGRRVLDLGAGSGLVAIAAAKAGAA TVTAVDIDANAIAAIGLNAATNGVNIVAVAADIIGDSPPETDLLVVGDLFYDPGLALR VMAFLRRCQARGIEVLIGDPERAYLPQDEFRRIATHAVADFGAGKGGKAVQAVVFSLS GNS YP_002975840.1 MVMETAILSVPVFSTLCNEAFRLRRAIFVYEQKVPEAEEFDADD LSAHHLVAVTAGEVSGTLRIIYAEEHVKIGRVAVASQWRGQGVAAAMIGQAMDLHRAA RDNRFYLTAQADKLPLYERFGFVAYGAEFLDGGMPHLAMKNY YP_002975841.1 MTEFNGVVPAIAKALQKRGYAELTPVQKAMLDPALAASDALVSA QTGSGKTVAFGLALAPTLLEGRERFGNAAAPLALVIAPTRELALQVKRELEWLFEMTG AVIVSCVGGMDIRSERRSLERGAHIVVGTPGRLCDHIRRRALDMSELKAAVLDEADEM LDLGFREDLEFILDAAPDERRTLMFSATVPAAIAKLAKSYQRDAVRISTAAEEKQHID IEYRALMVAPSDRENAIINVLRYYEATNAIVFCSTRAAVNHLTARFNNRNFAVVALSG ELTQNERTHALQAMRDGRARVCIATDVAARGIDLPGLDLVIHADLPTNPDTLLHRSGR TGRAGRKGISAMIVPLNARRKAERLLENAGISAAWARPPSAEEVSERDDERLLADPIF NETPQEEEQGLVQQLLASHGAEKLAAAFLRLYRTNHSAPEDLIEVTVQDDRNRKRRDN AEPYEPAQKGPREDFGASVWFSVSVGRKQNAEPRWLIPMLCRNGNVTKREIGAIKMQP EETFVEIAAASAESFLAAIGPNKALERGIRVTRLSGTPDFSRAPAPKPYAGKPSRDER PDDTFRGERPKNKFGKGPGGGYAAADNSGGDKRDSKPWSKKPGKPAFDGPKSDKPKYE GPRSDAPRYEGKGGAGPKAKFSKKKPG YP_002975842.1 MNHRLLTVIALLLAGLWGAALGYVNLNAESGLLDRMEASLVDIR SAIVGAKTPPPVVSIVAIDDRTARAHGYPLDRATLARLAGAITALKPKALAIDILLVD PGPEAGDAALTAALRQGPSVIAAAATFAQSRQRVTDTAGDPLAAIPEANQLLLPLPRF AEAAAVGIVNVATDQTGTPRFIPLISRAADRLDPAFPLRVASVALGVDPTIEPDAIML GKLRIPTDVGQRLPVTFYGGHGSIATFSAADALDGKLSADAVVGRIVVIGSTVTGGGD VFPTPFDPVMPGVEVMSTAITHLVTGDGMVRDHRMRLIDAATAVGLAIVLVSLVAWRR SAAGYIIIVLTLIVWAMLNLSAFAHGYWLSAALPIAAALPPALAYGAAELWLDRGRAR HFAEQSALLQRIEAPGLGEWLARDPNFLAAPVRQNAAVIFIDLSGFTGLSENVGPVAV SEMLSGFFELVDEEARAHGGAITSFMGDGAMILFGLPEPADDDAARAAACAVSLCERT RAWLQAHAGFAPKKIGFKVGAHCGPIVASRLGTGDRQQITAAGDTINVGSRLMEVAAR HGVELALSAEIVRAAGPDSVLTHTGRMEGPLETALRGRASRIDAWLWRSRTL YP_002975843.1 MNTTLRSLLAAALAIGLIPHAAAFAQSAGCTVSRDAGARQVLSC PGGVTVTAEAGAAYSLVDRNRDGSPDSASLRRKAILVDVDSSQHAGGFQVVTPQAIAA VRGTQWAVDVAGGKTSVLVVRGSVAVRRPAGEAVVLSPGEGVDVTGGTEPLVVRRWPA PRAAALLARLGQ YP_002975844.1 MSWLTAEEALQALKTKPQTLYANVSRGRIRAKPDPADPRRSLYQ ASDVTRLAERHAGRRKTETVAAEAIRWGDPVLSSAISTIIGGRLFYRGKDAAGFAEVA TLEQTAALLWNGAEILSSGSGTGHAAPSLQAAFLALAGRVTSDLPSLGRSQAALRREA SGVLRTVADALAPGPFDQPLHLRLAASWQRPDAADCLRRALVLLADHELNASTFAARV TASAGAALSAAVLSGLATLTGPLHGAAWQGVDALIETASTLGAEQAIRRTLAQGNRLS AFGHPLYPDGDVRALALLSHFPLPPQFAEVREVGEEMVGEKVNVDFALAAMAAAFDLP REAPIIIFSLARSAGWLAHAMEQIDSGELIRPRARYAGPVLETDSRT YP_002975845.1 MKNGLEDVIAAETQLSDVDGEAGRLIIRGVSLDHLVADGTYEGV AALLLDGLMEKSFDEAELRDWLAQARTRIFGHIKAADAALLALPPVDAMRALIARLPD GEDFDTALSLLAAPAVFLPAILRMQSGKRPIAPDASLPQAADILRMLTGKLPTREQTA ALDTYLVTISDHGLNASTFASRVIASTQAGLTSSVLAALSALKGPLHGGAPGPVLDML DAIGTAENAYSWLGEALDRGERLMGFGHRIYRVRDPRADALKGALKPLISTGQVNSAR GTLAEAVEASALAILKARKPNRPLDVNVEFYTALLLEALGFPREAFTGVFAIGRTVGW LAHAREQALDGRLIRPRSVYIGPLPAAA YP_002975846.1 MTPSRSTTVSRFIAAPRERIYRAFLDADAVATWLPPDTMKGIVH AFEGREGGAFCMSLVYPEDETSQPGKTSDKIDWFEGRFARLVPNERIVWATVFDSEDE GFSGEMTVSTMLSTANGGTDVTMVSDNIPSGIRLEDNAEGCRSTLDNLAAFVGG YP_002975847.1 MARYVFITGGVVSSLGKGIAAAALGALLQARGYRVRLRKLDPYL NVDPGTMSPTQHGEVFVTDDGAETDLDLGHYERFTGRSATKTDNITTGRIYKNIIDKE RRGDYLGATVQVIPHVTNEIKDFVIEGNDDYDFVICEIGGTVGDIEAMPFMEAIRQLG NDLPRGTAVYVHLTLMPYIPAAGELKTKPTQHSVKELQALGIHPDILLVRADREIPEA ERRKLSLFCNVRPSAVIQALDVANIYDVPIAYHKEGLDDEVLAAFGIEPAPKPRLDPW EEVCNRIRTPEGEVTIAIVGKYTGLKDAYKSLIEALHHGGIANRVKVNLEWIESEVFE KEDPAPYLEKVHGILVPGGFGERGSEGKIHAARFARERKVPYFGICFGMQMAVIEAAR NLADVSGASSTEFGPTKEPVVGLMTEWVKGNELQKRTAAGDLGGTMRLGAYKAALKKG TKISDIYGSTDISERHRHRYEVNIDYKDRLENCGLVFSGMSPDGVLPETIEYPDHPWF IGVQYHPELKSRPLDPHPLFASFIEAATEQSRLV YP_002975848.1 MQTVLIVIHLMIVLALVGVVLIQRSEGGGLGIGGGSGFMSARGT ANALTRTTAILATLFFLTSLGLGILTRYEGRPSDILDRIPATGGQGNGILDSLGGGAQ APATQPAGNGVPSSGAATPAPQAPAAQTPATGAPATQAPAATAPSTTAPATTAPATPA APAAPAPAQPSGVPTGQ YP_002975849.1 MTPDVRPLVAGNWKMNGMRASLDQIKAIAEGVHPPLADKVEALI CPPATLLYVATALCTDSPLAIGAQDCHQNPSGAHTGDISAEMIADCFGTYVIVGHSER RTDHAETDHLVRAKAEAAFAAGLTAIICIGETADERRTGQALDVIKRQLSASVPDGAT AETTVIAYEPIWAIGTGVTPTSGDVEKAHAFMRAELAARFGDEGRKMRLLYGGSVKPA NAHELMGIANVDGALIGGASLKAADFLAIYRAYEALLA YP_002975850.1 MRMSTSHCTIITAIAAFFLSAAVLSASGPAQAADVLTPFKDDLF SKQTVLQTGDNGAFELIDYDEMRDINGRDQIPQKRVQQKYVALGIRKAQADETLSLDG IKLDVTRVGPAQSAAFTVIFIHGRDGDRRLGANDYSFGGNFNRLKNLVAGNGGVYYSP TVKSFDSSGVAAIAGLIRHAGAQSPGRPIILSCASMGSQVCWGIARDGDSVKRLKGML IMSGVTDPDFTRSAFYKAKLPLWFAHGSRDPVYAATDQQALFESLHKAKYPARFTLFQ TGNHGTPIRMIDWRRVLNWILAG YP_002975851.1 MDDSNDLFSGMPLSEKREEAQKPAAPVERPPAPAAPVAAAPPAA GASARPAPAASNSDDYGASSIRVLEGLEPVRMRPGMYIGGTDEKALHHLFAEVIDNAM DEAVAGHANFIEVYLDLEGYLTVSDNGRGIPVENHPQVPGKSTLEVIMTKLHAGGKFD GKAYETSGGLHGVGVSVVNALSDDLEVEVARNRKLYRQRFSRGLPQGGLEELGDVHNR RGTRVRFHPDPQIFGDHMKFDAARVFRMARSKAYLFGGVEIRWSCESGVLPEGSEVPD KAVFHFPGGLKDYLQATMGKEFTVTREIFAGKTEKVSGHGSMEWAITWYGGDPQVHSY CNTIPTTEGGTHEAGLRIALTKGLKAYAELTQNKRAAQITTDDVMISAVGMLSVFIRE PEFVGQTKDRLATVEAQRIVENALRDPFDHYLADNPNESAKLLDWVIERAEERLRRRK EKEVNRKTAVRKLRLPGKLADCSQNTAVGAELFIVEGDSAGGSAKQARNRANQAILPL RGKILNVASAGREKLGANQQLADLVQALGCGTRSKYRDEDLRYERIIVMTDADVDGAH IASLLITFFYQEMPELVRGGHLFLAVPPLYKITQGAKSAYARDDNHRAELMQTEFKGK AKVEISRFKGLGEMMPAQLKETTMDPSKRTLLKVLIDEVDFEGTRAAVDDLMGTKPEA RFRFIQDRAAFAENLDI YP_002975852.1 MADELTASAFRRAVDPGSADDSHLASRAVQAKNHFIFLDELRGI AALSVALLHASQIFGFGLSYAYLGVDFFFCLSGFVIANGYDQKLKSGVLPSSTFFLKR VVRLYPMIAAGVALGVLASLFASTASLSFADVSILAVGAMLLLPLGLLVGQEAFAINN PLWSLCFEMAASVTYGSVARRRFHLWHEIAVLVLLAAALFQIVAIEGTIGPVGFGSWR AFFEGFVRVGFSFLAGVLIFRWQIHRRVRAIPPQIPLFVLIGVLFFPVTVPREIYDFI CIAIVIPIVVALAAAVPLSEERPFAGYLGKLSYPLYMVHQPVFQLGAQFEHFADGFIP RLITVSVTVLAAVGVAHVLSICFDAPIRAYLGRKFSLD YP_002975853.1 MTKRFLAAAALALLSSTVSASATDIGATFATACPFGDCAAGISL SYLGEFVIPTGHIENGVEFGGISGLDFDVATGHYIAISDDRSERGPARFYELNVDVDA SGLKRVSVVKQVTLKDKNGELFVARTVDPESIRLGKDGIYWGSEGDGKALLAPFIRVA SPDGSFVREFKLPEGFAPTADKSTGIRDNLAFEDLAVAPSGDVFVGVEAALYQDGPNP SLTSGSLSRIVRYDGATGAPKAEYVYPVSPIPQAATKADGGNDNGMSEMLALDDHRLL AVERSYAQGFGNSIEIMMMDLTDATDVSAIASLAKNDQRVVPVRKSQVLDLRAIGLVP DNIEAMSLGKAKDGTDLLILGSDNNFSTSQKTQFYAFKVLNRPQQ YP_002975854.1 MGVFDRQKSNHEPRWLGSSAQTRTPLIPSISAARWLLVLVVAAG VYFFYGFLVPVLAALVIGFASWPLYRKLLARVGGNTTIAATIAIIMIITFLVIPIGLA VTYTTGEVRTWVTWAIHANRVGAPTPDWIVALPWAGAYLNEVWTRYIGSPGALGEVIQ AVSGANIGNIYRAVLAAGGGAFHLLLTLLFMLIALFFVYRDGFSFSKQIDMLGERILP NRWERISRVVPATISSTVMGMTLIAIGEGIVLGLAYWIAGVPSPVTLGVLTGVMALIP GGAPLSFTLVSIYLLASGSHVAGIGLFVWGTVELFIVDKTLRPKLVGGPIKLPFLPTF FGLVGGVKTMGFLGLFIGPVLMALIVAIWREWIHEARNADKSETGPQIIIDEQAPPPV PGSPKTMPRVAEG YP_002975855.1 MSVTIAHEPPRQEGVIRLLDLSDAYAQSLYPAESNHLVDLSVLE KPSVSFLVARNGDAIVGCCALVEAGDGTAEIKRMFVDPEARGLRIASGLMNALEAIAG EKELTAIRLETGIYQPEAIALYRKYGYREIEPFGSYLPDPLSLFMEKRLG YP_002975856.1 MQAVLIAMTILGCDDSISQCNYVATVDKRWETVSACDAEAERRL KTYVNVNYPSVIAVCEAPKAIAAAEPPKPAPAPAAAPEVAVAAPQPAGRIAGFAEGIA GQVRAHLPSGRSVKDTLTKPVHFVSASYSWVVTRLAD YP_002975857.1 MLGRRVIVEAFLRWIETAKTGDRARAANALGRAYLQSEMSADER AAAEMAMTFLLDDPSPRVRLALAEAIAWSPDAPRNLILSLAEDQPEVACHAVTCSPLL SDADLVDLAARGNGATRMLIAARAHVTRPVSAALAEVGDEEELLCLLENDGAVISSLS LKRIAERLGDCCDVRNLLLDRSDLPADARQLLTQHVSNALIGLPLAQAAIGLQRLQRI SREATEAAIVSIAGDIAPREIPDLVTHLRLNGRLTPSFLMHALCAGKVDFFAGAIVDL TACSERRVRSILATGRMHAVRALYESAGLPRDISVIFVEATMLWRDAARKAPGSVLGN VCGRLLERFRHHDAHGAIGELLDMVEKLHVNEQRQSARVYAALAAA YP_002975858.1 MFYTTDSNRHGLAHDPFKAIVSPRPIGWIGSKGRDGSINLAPYS FFNAVADRPKLVMFSSAGRKHSQRNAAETGVFTCNFVSRDLAEKMNLSSAALPYGDSE FDFAGLTPKQAELIDAPYVDEAFAVLECKVTEIIEPKTLSGEPSENVLVFGEVVGIRI DEAIVRDGRLDMSIARPLARMGYMDYSEGSDVFEMIRPKPQQG YP_002975859.1 MKSDIKLIDYLAVRRSIPAFQMCEPGPEKAEIEEILRLASRVPD HGKIAPWRFIVYRGEQRVRLGEELLALALQTKPDLSEEMIQVERSRFTRAPVVVAIVS KAGPHIKIPEWEQLMSAGALCFNVILAANANGYVANWLTEWFAYDGRAYPLLGVGPDE KVAGFIHIGSTTFPAIERPRPELADTVTWVGGED YP_002975860.1 MFGILPFARIGLAIALMVLMPLGAGAQDAFKEFKQLESTPKMPK LDAFIAPGTVPDGVKLRDVPMEAKLTADGTPVEEGLSWYVFSPIAGADGKLPLIASAK GGPAAFQLAPGDYFVNVSFGRAGVTKKLTVPADGEIDKQVMVLDAGGLLLNAVSGADA RIRPDQLSFSIYSSEVRDDGERGLVMADISPNTVVRLNAGTYHIVSEYGSVNAVIRAD IQVEAGKLTEATIQHRAAQITFKLVSDAGGEAIADTAWSILTAAGDSVGESVSAFPTM VLAEGGYSAVARNKDKIYQRDFTVVAGRNTDVEVLMKDQQPQPPVSDAARTVQQVPVG TPPPPGVQPAPVAPLPSYEQLVPQGGDDTSLD YP_002975861.1 MSQAISLTFPDGSVRGYDAGATGRDVAESISKSLAKKAVAVAID GTVRDLSDPVTTGRIEIITRNDDRALELIRHDAAHVMAEAVQEIWPGTQVTIGPVIEN GFYYDFAKNEPFTLDDLPKIEKKMKEIIARNAAFTKQVWSREKAKQVFADKGEQYKVE LVDAIPEGQDLKIYYQGDWFDLCRGPHMASTGQIGSAFKLLKVAGAYWRGDSNNPMLS RIYGTAFAEQSELDNYLHMLAEAEKRDHRRLGREMDLFHFQEEGPGVVFWHGKGWRVF QTLVAYMRRRLAGDYQEVNAPQVLDKSLWETSGHWGWYRDNMFKVTVAGDDTDDDRVF ALKPMNCPGHIQIFKHGLKSYRELPIRLAEFGNVHRYEPSGALHGLMRVRGFTQDDAH IFCTDEQMAAECLKINDLILSVYKDFGFDEVTIKLSTRPDKRVGSDDLWDRAESVMMG VLETIQQQSNNIKTGILPGEGAFYGPKFEYTLKDAIGREWQCGTTQVDFNLPERFGAF YIDSNSEKTQPVMIHRAICGSMERFLGILIENFAGHMPLWVSPLQVVVATITSEADAY GLEVAEALREAGLNVETDFRNEKINYKVREHSVTKVPVIIVCGRKEAEERTVNIRRLG SQDQVSMGLDAAVESLALEATPPDIRRKAEAKKAKAA YP_002975862.1 MKLFYLATASLFLVISIANSPAIAASADDPTSEAKCRHLARDGL DIDNLAYWRNALTACEVYLQAEPGSIDARYFSLASKFKLQQFEPALAGFEELAAQGHA PSMDYLAEAAAYGLGRERDLNAALSWLRKARATNDPRSAEYLGEMYMLGWGVAQDFVM ARSYFELADRLGYIPAKRSLAWMYLDGIGVPVNAERGFALLSAAAEQGHERATTDIAF LYTKGIGTEKNASRAVAMLDDLVRKGSADGMRTLARYYLRDGDKTQRKTALDLLEKAV ALGDGNAMRTLADVYVAGRETKRDFAKAESLLDQAIAQDILAAYRSKALLLLKMPDPR YDQAMYWMKEAASRGNARAMADVGAMYEQGKGVPVDESEARIWYGKSAELGDSSGARR FGQSLYRGTGGPRDVENGVKWLEKSAAAGDTDAMRVLAYGYENGGGLPKDVVKAFEWF QKAAEAGDTDAFLEVADRTYDGSGTTADAQKSFVWYLKAAENGSAKAQYCVGLLYERG EGTTQDSREAVHWFKAAAENGYIDAFAELGQMYANDANLPRDDGKSIDYLEKGAAAGN VTAMVLLGIKYEDGDGVARDYAKAIEWYEAAANKKSADAMYRLGTLYRGADGSQKDFG KALEWLTKAGAHGNAKAQYALGDIYEYGQGVPIDRSKALSWFMMAALKQYPEAMNAVG YYYQNGIGTKEDQTIARNWFQKAADAGSAAGALNLAWYYENGKDQDQAIAFQYYKKSA ELNSAGGMFALGRFYDDGLGIAVNRQEAIKWYLRAMDTGYNRAAYRLAYAYDATFSSD NAAENILLAMRQGDRQIADELKAFSPFTRTALKRSLLRRGLYDGPLDDEIDQPLKSAL KNYTMTYGG YP_002975863.1 MSTFIALLHSIVLTPDRRVIMQDLRALAEELGYREPRTLVSTGN LVFEADEMRPHELEVTLEEAFEEKFGKHVDIIVRSDCTWMALCSTNPFKDGKGDQVIV RVMRLPVDPKKVEALKPLMTEGQRIAVVGGDLWIDFGGKPSQSKLLSALTTKRIGVGT MRNWNTVCGLTEMLF YP_002975864.1 MCEFCARQADDEDDGGAAGSAKPREKAALTSRNYTGPFRKSPDR LLGMGLIRLYQLTLSGFIGNSCRHIPTCSEYGYESIARHGLWAGGWMTLFRVGRCGPG GTSGLDQVPEMLGDSFRWWSPWRYLALGRKRG YP_002975865.1 MDDIYNSKILEFAGNIPLTGALDDADASAQAYSKLCGSKVRIWL KMDGDTVTGFAHDVKACALGQASSSIMARHVVGATSDELRQARQDMLAMLKADGAGPH GRFEEMRYLLPVRDYKARHASTMLTFDAVVDAIGQIEAKRAEIVEA YP_002975866.1 MDAIVKNFPQTNRDSDRPSQQEAEEAVRVLLRWAGDNPAREGLL ETPARVVKSYRELFSGYDMAAEDVLGRTFEEVAGYDDMVLVKDIPFYSHCEHHMVPII GKAHVAYMPDGRVLGLSKIARVVEIYGRRLQTQETMTAQIARAIDDTLNPRGVAVLIE AEHMCMAMRGVQKQGSTTLTTTFTGTFKTEPADQARFMTMVRSR YP_002975867.1 MSQLIFNQPSEDKSALEDAGDFTPRFDDRGLITAIVTDAGDGEL LMVAHMNAQALALTIQTGTAHYFSRSRGKLWKKGETSGNLQTVKEIRTDCDQDAIWLK VEVAGHDATCHTGRRSCFYRTITLRDGKPMLDIVDDERQFDPADVYGK YP_002975868.1 MLSWSLHRQSSEGEGAGSGMSTTLETIPPPSPLKKIKIALALGG GAARGWAHIGVLRALDEAKVEIGMIAGTSIGALVGGCYLAGKLDELESFARSLTMRRI ASLLDLTIGGSGLFGGMRLTKRMQEHLEGLNVEDLDRPFVAVAAEVNTGHEVWIANGS LITALRASYALPGIFEPVRSNHRTLVDGALVNPVPVSVCRAYEQPLVVAVNLNYDLYG RSAVVRHNASLSPQEVQKQEEAPYARLGMTGVMVQAFNIIQDRIARARLAGDPPDISL QPRLSYIGLSEFHRAGEAIERGYEEARARLPEIKRMQEVYASNP YP_002975869.1 MTSSVKAILDLKGRDVVTAGPNTTVAEAAAILSKKKIGAIVVVG MENRISGMFTERDLVHAIAKHGKEGLDHSLAQVMTAKVYRCHEETTVNELMELMTSRR FRHVPVESNGKLAGIISIGDVVKSRIAEVEREAEEIKAYIAG YP_002975870.1 MNEQTAEPHKAPEPPEVQPPARPPREPVFNLPPALFFSLCLLGV IYAVQELLLSDDALNWLFFTFGFVPARYVIPLSQQGPELFWTPVTYSLLHGSVQHILF NAFWLMAFGAPVVRRIGTLRFALFWLFSAVASAALHAVLNWGDVSLLIGASGVISGLM GAACRFAFPAERRPMAPAHLNPRLSIVEALKSRTVIIFMLLWLVGNALIAVGIPLVGD SDQAIAWDAHIGGFVFGFLLFSLFDRAPRPPVMEPDGTEKDMLQS YP_002975871.1 MRLQTTIEIFDYWNRIRGAADAPLKSQVEPSAVPHLLQSLFILE TRKGGDIGFRLAGTRICDLFGRDLRGERFSSLWANGQLADIERTAMGVMDHAMPALFN ATGYSTVGHQASFEIIMMPLRSPDGACDRLLGAIAPAAAASWLEIVPLEFLALDRSRL LPGKFDKAAPAELRRPINRIVAGKSIHFGQVMRRMVSQLLSVEAR YP_002975872.1 MHSFQPAQTQRPAPRPEQGVFQRVPINMQGRLMLANYEEFECMV IDMSPGDMYVTCLGRPRANERVVAYIDHLGRVEGYVQTIDGRGFTMSINATDRKREKL AAQLTWLANKHELGLPEDRRHDRLTPRDTKTDLTLEDGTLYSCRIMDLSLSGAAVDVE MRPSIGTAVRLGNMRGRVVRHFVEGVAIEFLSIQSRETLREFL YP_002975873.1 MSALYPEIEPYDHGLLDTGDGNLIYWEACGNPAGRPALVLHGGP GSGCTTAARRYFDPDAHRIILFDQRNCGRSLPSAADPETDLSLNTTWHIVADIERLRA CLGIDTWLLFGNSWGSTLALAYAETHPECVAAIVLSGVTTTRRSEIDWLYRGMAPLFP EEWQRFRQAVPPGSQGRDEDMVAAYHRLLNDADPETRLQAARDWHDWEAASILLADPQ GRPRRWADPACLLTRARIITHYFTNGAWLEDAQLLKNTARLIGIPGILLQGRLDIEAP LVTAWELARAWPQSELSILPHAAHSIANPDMSAAIVTATDRFRDFPPK YP_002975874.1 MTTANILKGGLLAGAIMMAMAGSGQAMPASMALAGNASPPIGHY EFCKANPTECVEVGGDAGPAILTEDRWKEILKVNYTVNSTIQPMTDEQIYGVEERWAY PRTVGDCEDYALLKRKMLIDDGFSPSDTLITVVLQPNGEGHAVLTVRTDHGDFILDNM RNKVLLWSDTEYTYLKRQSADDPARWSKLQDGRAVAVGSVK YP_002975875.1 MFFLRRTETRRTAMSHAAHSAPDEQPLLSMGFLLRTMAVIAVLA VLTVAISIGGRWFGRHISLAGNTDSTVEIQLAIGRDTVKFPENTIRFPSQRHEGAAER VDLYLAWPEMQGYGKENHLRFDDIAQSSGLIFLQITQSTMSRDMSGRLEPIYSHLLEG PAEPFRDGLTLHRLRADAGYGDEVLLTAPVKGGPDYVVRCILPSAPDKAGGGDCQRDI KVGRDLSVLYRFSSSHLDDWEHIDAAIRTFVDARLVNRSATGP YP_002975876.1 MSRSVSSVSSSRSGSFFARLLAILSVAVTIVMVDSVNTDAEAAN SKYAGIVVDAKTGNVLYSENADRLQYPASLTKMMTLYMTFEALEQGRIRLDTPVPFSA HAAAQAPTKLGVRAGGTITVEQGILGLVTLSANDAATALGEMLGGGSEDRFAQLMTAK AHALGMTRTTYRNANGLPNTAQMTTARDQARLGIALRQHFPQYYGYFSTRAFKFGSRT IRSHNRLVGSVRGVDGIKTGYTRAAGFNLVSSVQVDGKSIVGVVLGGASTPARDAQMR NLIASYLPKASSRGGSSALIAQATPAPAMIETPAPVQPKKAQQQVAKTITAAQPPVSA AAADLSLPHKGPLPDARYQVAETEVAYAETAAPKSDNPLVAQPLPAPTKVKTMAFKQQ ASVAVPTPAPAYMPPEQGDTSVDNVTTASTTPSPTRAASTSNGPTGWVVQVGVSPNRQ MAMDLLESAKSKGGKALASAKPFAVAYAAGGDQLYRARFGGFDDQRDAVNACKALKKA GIKCWAAAQ YP_002975877.1 MAINENVPGMPSDRRMAAKGRSSLHPLHEAAMRLAEIGLQRPKA KSPKTRDLINLLLCHGARAWRYSQPEARIHLHVTSPDGSAPVQLRLR YP_002975878.1 MALHLIKLCVGADSIDDLREWVAERSLRAIAAGLEPHSVHTTRM APKRMAELLDGGSLYWVIKGQVQARQKLLDIETFTDGEGISRCRLMLGPEVIETAVQP KRPFQGWRYYTEDDVPRDLTSLGAGIAEMPADLRRELTDLGLL YP_002975879.1 MFLSVFDVFKIGVGPSSSHTMGPMSAAKRFLELILSNEWPRPSS GAQVTAIKVSLHGSLAHTGIGHGTGRAVILGLMGEAPDSVDPDKMDGIVDTVERTGRI TPEGHPGYQFQPKTDLIFDKKQPLPGHANGMVFSAYDKDGRLLVKRIYYSVGGGFVVT DTELEQMRAKKNAPGGTRVPYPFSTAKQMLEMAERSGLSIAQMKRANEESQRSQEELD QGLDRIWEAMRSCIERGLKVEGIMPGGLNVKRRARRIHDKLEEEWRSNRVNPLLANDW LSVYAMAVNEENAAGGRVVTAPTNGAAGVIPATIRYYEHFHEDWDQNGIRDYLLTAAA IGGIIKHNASISGAEVGCQGEVGSAAAMAAAGLAAVMGGTPEQIENAAEIALEHHLGM TCDPIAGLVQVPCIERNALGAVKAVTAASLAIKGDGQHFVPLDACIETMRQTGHDMSE KYKETSTGGLAVNVVEC YP_002975880.1 MTSTRRLISFASSASSSKGGAAIEGEWAGRVTGLGDQHVEIAMM RTPDGHSRLELSRFLRPPAVADHRNAPVNALGYLRVMFTLDDIDETLERLRKRGAQLV GEVVDYQDTYRLCYIRGPGGLLIGLAQELG YP_002975881.1 MSRPDYIPSLDGLRGVAALLVVGAHIGLIFPITAPHLVTMGDEA VGLFFALSGFLMAHLYGSRPVTRDNVLDFLVSRFARIYPVYLAAVVLVAMLSIMQNLD FVQPIVSGTDFVRHIVLLGSSGVFWSIPPEIQFYLLFPVLWLCLAQPRRYSGMIVGLT VVVVVDGLVELPGPGILLVSKLPYFLFGALAGMMHSHWNSWIPSALTGISTLFLLAVF FVYRHILPGFSPEFWGLQSAVAAAVIVALVARQPPIAARVLATAPMRFFGKISFSLYL FHVPIMFLARLTFDAMLPEPALIVVTLCIAVVGAWFIHETIEVPGRRLLVLIWQDNRW RLVSRETPADQMDRAILDLQEIEKRLLSGATSTTADQRQISTTPEPRDGADGTVIQDD RDEKLRA YP_002975882.1 MTATNRIALSSDHAAIQLRQAIAGHIAAQGWVAVDIGPTTPEST HYPKHGEAAARLVASGDCRFGIILCGTGQGIMMAANKVKGIRCGVCVDTFSARMIRQH NDANMLSIGVRVVGEGLALDIVDAFLTAQFEGGRHATRVGMIEALEG YP_002975883.1 MTTADYIALAFFACVWVGYSWLLHGRTFFGRTSLTHAMTERRRE WIYNSLRRDLKMIDTQIMAGLQNGTAFFASTSIFALGSCFALLGATEKVDAVFADLPF VLHGGHAVFEMKVGGLAVLFGYAFFKFGWSYRLFNYCTILFGSIPMMRDSERDIIAAE RAAERVIRMNVIAGSNFNEGLRAIFLSIGYLGWFINPYVFMMTTAIVIFVLTRRQFFS QARLAIMDTGPPSNLHLSAIRRDRPSSDGSDLPEEL YP_002975884.1 MTLPARETDAAAKPPRIGLLDTARGVALIAMASYHFSWDMEFMG YLAPGTAETGWLKIYARAIATTFLFIVGVSLVLSSKPEIRWPSFWKRFGMIAAAAAVI SIATRIAMPNEWIYFGILHCIAVLTLIGVVFLRLPLAFTLIATLALLAAWITDNFGPP GLLRSSFFDPRYLAWIGLAVTPERSNDYVPLFPWATPFFAGLSIASIAIRTRLLHRLA AVGTGSWWPAKLGRHSLAFYLIHQPVLIAIAYGISLVFPPQAPDPVATYLRQCNASCV MQQGEALCHSFCQCTLGKLQAQALFTPLQEGAIDIQNDERVQTIAAECSAEAE YP_002975885.1 MNKYYSITELTREFGVSTRTLRFYEDEGLIHPERRGRTRLFRQA DRRLIGEILRGRRIGFTIAEIREIIQVYKEPPGELGQLKLLMKRVDEKRDDLRQKRKD IDDTLAELDAIEETCLGRLAEIGVTT YP_002975886.1 MTTTDGEDRIRRRPDDEEADIYDEDGNVRGDFLALVGAAIADRD TLFLRQNVARLHESEIGDLLESIQPDQRLALVRLLGDDFDMTALTEVDEAIRREIVDQ MPNAQIAAAIGELDSDDAVYILEDLDKEDREEILAQLPFTERVRLRRALDYPESSAGR RMQTEFVAVPPFWTVGQTIDYMRDEEDLPYSFSQIFVIDPTFKLLGAVDLDQILRTKR QTKIEQIMRETNHPVPAEMDQEEAAQLFEQYDLLSAAVVDENGRLVGVLTIDDVVDVI HEEADEDIKRLGGVGDEELSDNVLSTVRSRFLWLLINLGTAMLSASVIGLFDGSIEKM IALAVLMPIVASMGGNAGTQTMTVTVRALATRDLDIYNAGRIIRREAGVGILNGIVFA TIMGAIAGTWFHDYQLGGVIAAAMVINLMAAALAGILLPLLLDKMGADPAIASSVFVT TVTDCTGFFAFLGIATWWFGI YP_002975887.1 MPIRPILRYPHPGLKTVCAPVTAFDSSLTALAGDLLATMRAAPG VGITAAHIGVVSRVTVLELDKADGVRLYVNPHITWFSQETMSHVEGSVSMPGATDEVT RPRAIRFRYQDAEGAVHEDGAEDFLAICVQHEVDQLDGIFWLQRLSRLKRDRLVKKWE KTQD YP_002975888.1 MARIGDKTQFSREKPELSREEDYRDLEERNLDDGWPYADGSGAG TGGPDNRPYGETAANFDSDPNKGFRVDGTDEDGNENRLRDSLRADTIDRDESDELEAR VNDNLENIPEVDIDSIEVHADGHVVTLEGSVETIGIARKVELGALSVDGVHHVRNKLQ LTGVDAHIPNED YP_002975889.1 MRIKFALAASLFAVSLAGAAFAQPTYSDDYNNDSDGFAPSPMSK PAPVHYSSRKAPAYSSDYTNDSDGFAPSAMASPVVDKTATASINSLPPCHSMIGPKGS HTKGADSGASRTEACRATH YP_002975890.1 MKKNAAASWSFLDVYFVLDLIEKVLIGYFFVGIVMRVLPQMQDN RAIIDCLLIVSEGAAAFLILTRRPTKNASLRLFDWTVTAIGTIFPLLAAPSATQPLAP LAFCGTVMALGFVLQISAKLTLRRSFGLVPANRGVKIGGPYKFIRHPMYAGYLMTHIG FFLTHPSLWNFAIYAAALSAQCFRLLAEERLLKQDPAYEAFMNTTRYRLVPFVF YP_002975891.1 MLRTDLEFSMLPQLGTRPVRWRIADGLVPYEEAVETMEREVAVI ADGGDELVWLVEHPPLYTAGTSANARDLVQPNRFPVFATGRGGEYTYHGPGQRVAYVM LDLKRRRQDVRAFVAALEDVVIRTLDMMNVRGERREDRVGVWVRRPEKPLLTDGAMAE DKIAALGIRLRKWVTFHGLSLNVDPDLDHFGGIVPCGISAYGVTSLVDLGLPVMMADV DIRLRTAFEAVFGETTGEI YP_002975892.1 MSEPHQNPLQGMAIMSGAMLILPIMDAIAKYMATFEAMSPGQVT FYRFFFQIACTLPILFALFGLKALSAQRPWMNLLRGALHGGASLLFFVAVKYMPLADV FAIYFVEPFMLTALSALFLGEKVGWRRWTAIVVGFGGAMIVIQPSYEIFGLKALLPVA CAFLFSLYLFLNRAIGEADSPLTMQTMAGIGGTVFMAAALFLGNSSGNADFAVSLPSS GLGLVLLLALGSISGYAHMLIVRAFRLAPLSLLAPFQYFEIISATVLGYALFNDFPSF SKWIGIFIIVASGLFIIWRERLQAQSLKSS YP_002975893.1 MSEFRLAFPACVVAGKHRLTAEDIVLLRKHSFPEGIRTSDDVVA MLALNNSCPEKCADWNAFFVEQLAGFIVHYTYPQGSLDEINVAWIMRMFTTDGVVNSA LELELILHVMEISADVPVELRALALDQLRLAITDNIGGYKLSRAIDRRGITRQDIDYA MRIFRSVAEGGVIPVSSVEYGVLQQIEQATLRGANHPHWAGIMAAVELRDYAEPRRSR WLRIVDEEPVAEAAVA YP_002975894.1 MFKKILIANRGEIACRVIKTARRMGILTVAVYSDADRDALHVEM ADEAVHIGPAAASESYLVAEKIIAACKAIGAEAVHPGYGFLSERASFCAELEKQGIIF IGPKPKAIMAMGDKIESKKFANAAGVSTVPGHLGIIEDGAHAEVISGGIGYPVMIKAS AGGGGKGMRIAWNEAEVRDGFERARSEAKSSFGDDRVFIEKFVVEPRHIEIQVLADAH GNVVYLGERECSIQRRNQKVAEEAPSPFLDEATRKAMGEQSVALARAVDYQSAGTVEF IVDRDRNFYFLEMNTRLQVEHPVTELITGIDLVEQMIRVAAREPLPFAQEDIRLDGWA VESRLYAEDPYRNFLPSIGRLTRYRPPAEGRTGNVVVRNDTGVFEGAEISMYYDPMIA KLCTWAPTRLEAIEAMGQALDGFVVDGIEHNVPFLSALMKHPRWREGRLSTGFIAEEY PDGFAPMKPDPAQEAVLAGIALSACLIETNRRERFADRLRAAAGALREDWVVKIGDNH VAARLLDGLVTIPFDMDIAIDGAIEGGSQNVVTDWRPGDPVWRGKVGGRDITAQIRPV LNGLRIDWQGLSVTTKVFSPRHAELDRLMPVKLPPDTSKLLLCPMPGLVVAIAVAEGQ EVKAGETLAIVEAMKMENVLRADRDLVVSKINAAAGESLAVDAVIMEFA YP_002975895.1 MDVRAAVALQAGKPLEVMAVQLEGPRAGEVPVEVKATGAAATYR ADLRSLDELTTRELYDLLRMRVDVFVVEQNCPYPELDGKDIDALHLRLLEGAELLGAA RILKPYEPQDPSKIGRVVVSPDHRGKRLGDALMSEAIAACERLYPENPIALSAQAHLR RFYESFGFIAVSQEYLEDGIPHIDMVRQQATQPA YP_002975896.1 MIYVDADACPVKPEVLKVAERHGLEVTFVANSGLRPSRDPMIHN VIVSNAFDAADNWIAERAGTGDVVVTADVPLAVRCVATGAFVSGPTGRVFDETNIGMA SAMRDLGAHLRETGESKGYNAAFSPKDRSRFLETFDRLCRRAKSLASEAGGQP YP_002975897.1 MAWFLLFLAGLFECGWAIGLKYTEGFTRPLPTALTVISMVVSIV LLGLAVKHLPIGTAYAVWTGIGTVGTVFLGIWLLGDEASVSRLACITLIVAGIAGLKL TA YP_002975898.1 MSSFERLSAYRPYGLAALRIITALLFIEHGTMKLFAFPAAQMAG PLPPLMLFAALLELIGGILILVGLLTRPVAFLLAGEMAVAYFMAHAPSSFFPAVNQGD AAILFCFVFLYLFFSGPGAFAVDNRKAA YP_002975899.1 MKEILEELERRRDIARLGGGEARIDAQHKRGKLTARERIDLFLD EGSFEEFDMFVEHRSTDFGMDKSRIAGDGVVTGWGTVNGRTVFVFAKDFTVFGGSLSE AHAEKIMKVQDMALKNRAPIVGIYDAGGARIQEGVAALGGYAEVFQRNVLASGVIPQI SVIMGPCAGGDVYSPAMTDFIFMVRDTSYMFVTGPDVVKTVTNETVTSEELGGAIVHT VRSSIADGAYDNDVETLLQVRRLIDFLPLSNTAPLPEIECYQSVTEIDMSLDTLVPAS SNKPYDIKELIRKVADEGDFFEIQTSFAKNIVCGFGRVEGSTVGFVANQPMVLAGVLD SDASRKAARFVRFCDCFNIPIVTFVDVPGFLPGTAQEYGGLIKHGAKLLFAYAEATVP KLTVITRKAFGGAYDVMASKHLRGDLNYAWPTAQIAVMGARGAVEIIFRKDIADPEKI AAHTKMYEDRFLSPFVAAERGYVDEVIMPHSTRRRLARGLKMLRNKDLANPWKKHDNI PL YP_002975900.1 MNWLRSCFCRATLVCVALTACGSLSVTKPAAPVYDVRSAVVLSG PNMPAELLSGINDRVNAAINATVRDTVLPRVVLTIRVVSIQKGLGFQKDRNVVKISID AASVEDGSVIAVSAFDVTSIAADPKLADEILAEDAAARIRSVFSLSGRAH YP_002975901.1 MRDLLNDLSEGLSHPDPIRRAQIQMKKPLPKRFYTDVSVAEHEG GFAITLDGKLVRTPARQVLAVPTEALARLVAAEWQAQGEEINPVSMPVTRLVNTALDG VAANAQAIFEDILRFSASDLICYRAEEPELLVERQAEHWDPVIDWAANDLGARFILVE GVMPQEQPREATAAFAVTLARYDSPMALAALHTVTTLTGSAILALAFAEGRVTVEEAW SLAHLDEDWTIEHWGSDEEAEQRRAKRFAEFKAAADVFFALSA YP_002975902.1 MKLALFDCDGTLVDSAALIHETMRRTFDKFGKPEPRFEDTKAII GLSLDIAIARMQGRPHVEQQDIDMTAHYKSLFSIVRQDLDYKEPLFPGIRAMIDAISG RDDLLIGAVTGKSRRGLKVVMETHGFDKHFIVARTADDCPSKPHPAMVTECCDETGMN AADTIVIGDAVYDMQMAKAAGAKAIGVAWGYASVDELIANGADAIASHPNEILRHFS YP_002975903.1 MAGIEHIKVEPDEAGMRLDRWFKVHFPGLGFGPLQKLLRSGQVR VDGGRVKSDARVQPGQTVRVPPMDVDAKLKSGPIAGKDLKHSSDFQLLSRMVLHEDDK VIVLNKPPGLAVQGGSGVARHIDQMLEAWTSPKGEKPRLVHRLDRDTSGVLVIARTRG AAQKLTAAFRERDTKKTYWALVKGVPRKHEDKISTWLVKEPTADGDRMRIAKHGEDGA DHAISFYRVLETAAQNLAWLEMEPYTGRTHQLRVHALHIGHPIIGDPKYFDDDPNWDF PGGVQKKLHLHARHIDIPHPSGGRLRISAPLPAHMVQTWNLLGLDLAGAERDAE YP_002975904.1 MIQALLVAVGGAIGSLLRYYVGQWALRLMGPAFPWGTLAVNVVG CFVIGVFAELIARKFNASVELRLLLITGFLGGFTTFSAFSLDAISLFERGEAVAGGIY IAASVGLSMAAVIAGLAVMRALA YP_002975905.1 MTQLLSISANTAAIAFESLRIPQRVATSTAARDARRVADRQLKA EGTEEIDEPSSIIQSTEVALDLMAKGNRQPQAGLKQALRSYEDF YP_002975906.1 MKTIKTFGKTFGLAAVLSATAFASAEAHVTFLDREATQDSTILA TLQVPHGCDGKATTEVRVKLPEGFVFAKPQPKAGWELEVIKGDYQKTYDNHGDKVKTG AIEVRWRNGNLSDDFYDTFVIQGKVSGVEAGSSLVFPVTQMCGETVTAWDQVAAEGGD AHGLKSPAPLLKVVAGEGGHDHDDMAGMDMSGMNMSGTAGMGAAAPAGETVKAGDLEV SGGFAKAMLPGQPVGGGFFTVKNNGQTDDRLVSVSSPAAGEVQIHEMVTKDNVMRMRQ LKDGIAIAAGETVKLKPGNLHLMFQKVKTPFKQGDTVPVTLIFEKAGKVDLVLQVLSA QGK YP_002975907.1 MRQTGLKATLFLRMLCALSLLLLGLAHQVPQAVAAEGYDAAAYV LPDGTFASLCVTVKDADGKTVAFKPNCEACRLSASTILPTPDAGSWLERKLASLMNSP IETSAVSGASAVCRPNSRAPPILA YP_002975908.1 MDDTAALKRTPLHALHLQLGARMVPFAGYDMPVQYPAGVMKEHL HTRAEAGLFDVSHMGQVIVKAKSGSYEDAALALESLVPVDILGLGEGRQRYGFFTDDT GCILDDLMIAHVDDHLFVVVNAACKEADVAHLQAHISDQCDITVLDRALIALQGPRAV AVLAELWADVAAMKFMDVRHCRLHDVSCLVSRSGYSGEDGFEISIPSDKAVDVTKRLL EHPDVQAIGLGARDSLRLEAGLCLYGNDIDTTTSPVEAALEWAMQKARRGSGARAGGF PGSGRILSELENGAARRRVGLKPEGKAPVRGHAKLYTDAEGKIEIGEVTSGGFGPSVE GPVAMGYVQLSHAAAGTLVYAEVRGKYLPTTVSALPFVTPTYKR YP_002975909.1 MLKFTEEHEWLKIEGGVATVGITTYAVDQLGDLVFVELPEVGAT FSKNGNAATVESVKAASDVYCPLDGEITEVNPAIVADPSLVNSDPHGAGWFFKLKLAN AADADGLLDEAAYKELTA YP_002975910.1 MTTPTEFQFTDYQPYDFANRRHIGPSPAEMTDMLKVIGYNSLDG LIDATLPPSIRQKAPLVWGAPMTEREALDKLRETANKNKVLVSLIGQGYYGTITPPVI QRNILENPAWYTAYTPYQPEISQGRLEALLNYQTMVCDLTGLDVANASLLDEATAAAE GMAIAERVAKSKAKAFFVDADCHPQTIALIRTRAEPLGWSVIVGNPFTDLDPVDVFGA IFQYPGTHGHVHDFTGLISRLHQTGAIAIVAADILALTLLKSPGEMGADIAVGSSQRF GVPVGYGGPHAAYMSVKDAIKRSMPGRLVGVSVDARGNRAYRLSLQTREQHIRREKAT SNICTAQVLLAVMASMYAVFHGPKGIKAIAQQVHQKAVLMAKGLEKLGYKVEPETFFD TITVDVGHMQGLILRAAVAEGVNLRKVGETKIGMSLDERTRPATLEAVWRAFGGNFTI ADFEPSYRLPKGLLRTSDYLTHPIFHMNRAESEMTRYIRRLSDRDLALDRSMIPLGSC TMKLNATAEMLPITWPEFSDIHPFVPADQALGYREMIDDLIEKLCAVTGYDAFSMQPN SGAQGEYAGLLTIRNYHIANGDGHRDVCLIPTSAHGTNPASAQMVGMKVVVVKVRENG DIDLDDFRAKAEQHAANLSCCMITYPSTHGVFEETVKEICDLVHEHGGQVYLDGANMN AMVGLSRPGDIGSDVSHLNLHKTFCIPHGGGGPGMGPIGVKAHLAPYLPGHPETDGRP GAVSAAAFGSASILPISWSYCLMMGGEGLTQATKVAILSANYIATRLKGAYDVLYKSS AGRVAHECIIDTRPLVDSSGVTVDDVAKRLIDCGFHAPTMSWPVAGTLMIEPTESETK AELDRFCEAMLAIREEARAIEDGRMDKVNNPLKNAPHTVEDLVGEWDRPYSREQACFP PGAFRVDKYWSPVNRVDNVYGDRNLICTCPPVESYAEAAE YP_002975911.1 MSGLFGATSTTDEVLAGVDLKGKRVLVTGVSAGLGVETARVLAA HGAQVTGTARDLAKARAATEVVRAGAANGGSLDIVELDLASLASVRACADALISDGRP FDVVIANAGVMAAPFGRTADGFETQFGTNHLGHFVLVNSIAPLVKSGGRVVIVASSGH RMAPFSLDDLNFESKTYEPWAAYAQSKTANILFAVELDRRLKERGIRATALHPGGIQT ELDRHLDPDMIEGMITQINAALSAEGKPPFQWKTIPQGAATSVWAGFVAPADAVGGRY CENCHVSEVTDAEISPISEGVRTYALDPETARGLWTKSEHMVGERF YP_002975912.1 MAEKSDEPTARKPRADAERNRLLLMETAKTVFADKGSSASLEEI ARIAGVGIGTLYRHFPTRDALVSAIYRNETAQLAAAAKSLAETHPPVEALRLWLVQFV DYVVTKHGMSEVLDSLVGGTSELYADSADLIIGAVNLLVDRAVATGEIRLAMDPLDIL RALAGGASISSGPAWRDAARQLIDILIAGMRASR YP_002975913.1 MRTSAVPAILLAALILSGCAASSGAEDVLGNVPSSETTNAIAQP SGPIPAAAVGDPAPQASPPQQALRWAGEVPEPQALVPADRPVGMPLPTDKPVALLMPA NPAGNAMPDVGTRSPTRGQIYGHRFRDAKPINFGSTSPRKLAVHGVDVSRWQGEIDWE TLRRQGANFVYIKATDGGDHLDPMFKKNWRRAKEAGLKHGAYHFFYWCRTAGEQADWF IRNVPREANALPPVIDVEWNGESSCKRRISPARVREKMQVFMDKLERYYGQRPIIYTA PDFYRDNLKGQLLDYPFWLRSVAAHPSKVYPGRKWLFWQYSGSGLSDGVDGKIDLNVF NGNESDWHDWVASR YP_002975914.1 MTKKRPKGIEKYTAPAGGWGALKAVAETLARQQVIAQGAATLLK ANQPEGFDCPGCAWPDPKHTSSFEFCENGAKAITWESTAKRAGPDFFAGHTVAELWQW NDHQLEDQGRLTHPLVYDHASDRYLPTGWEEAFTLIGAELRKLPDPDMAEFYTSGRAS NEAAFLFQLFVRAYGTNNFPDCSNMCHEATSVGLPDSIGVGKGTVTLEDFDHADAIFS FGHNPGTNHPRMMTTLHDAARRGVPIVVFNPLKERALEKFAAPQNPVEMATMSSTPIA SAYHQLRTGGDLAALKGLMKRIFERDDADIAAGGKGFLDREFIEAHTIGLEALKADIA KTEWASILKRSGLTLEALDSAVDVYLNARNVILCYGMGITQHSHGTANVQQLANFLML RGNIGRQGAGICPLRGHSNVQGDRTVGITEIPNMALLDGMEKAFGFRPPEEKGHNAVE AIEAIIEGRSKALVCLGGNLAVAISDPEATFAGMRKLDLAVHLATKHNRSHLLIARTS IILPVLGRTDQDIQTTGPQSVTVEDSMSMVHASRGFLKPPGEELRSEPAIIAGIAKAT LGVKYGIDWDGMISDYNRIREKIEVVFPDFHDFNTRVRKPGGFRLTVAASDRQWRTPS GKAQFLIAPGLEEDPRLADADTLVLTTLRSHDQYNTTIYSLDDRYRGVFGRRDVIFMN SGDLFARGLADGDKVDIESVAETSSRAVRGFTAVAYDIPVGSIAGYYPEMNRVIALGD YDRKSGTPAYKGVPVKVQKSA YP_002975915.1 MDRIELENRRKASLATPSDLASNATADISGPLAALLADTFALYL KTKNFHWHMSGPHFRDYHLLLDEQGDQIFAMTDAIAERARKIGGMTIRSIGHIGRLQR LLDNDADFVTPRDMLAELKDDNQQLISFMRQAHEVCAEHNDVATSSLLEVWIDETERR AWFLFETTRNAA YP_002975916.1 MSLSDIASTALSGMRAQATRVSAIANNIANTSTPDYARLNTSLT SVVSGGVQAVVSPTTSEVDQATELTDLIEAEQSYKANAVVFETGADMWEMLMSIKRD YP_002975917.1 MGFAIMSMTDGAAARVKAIVENSGADAKGVRVGIKKGGCAGMEY TIDLVTEPNAKDDLIERDGARVWVEPSAALYLLGTEMGFETTTLRSGFTFTNPNQTSA CGCGESVELKPADLAALAAQRQGEPAHS YP_002975918.1 MSLDESEQKIDVREGIVHSSIPADELARLSDDVISALKTVYDPE IPADIFELGLIYKIDIEDDRMVKIMMTLTAPGCPVAGEMPGWVENAVGAVEGVSGVEV AMTFDPPWTPDRMSEEAQVAVGWY YP_002975919.1 MDKIVPAKPYDVEAIRRDFPILAEKVHGKPLVYLDNGASAQKPQ VVIDAISHAYSHEYANVHRGLHYLSNAATDAYEAAREKVRRFLNAPSVNDIVFTKNST EAINTVAYGWGMPKIGEGDEIVLTIMEHHSNIVPWHFIRERQGAKLVWVPVDDEGAFH IEDFEKSLTERTKLVAITHMSNALGTIVPVKEVCRIAHERGIPVLIDGSQGAVHLPVD VQDIDCDWYVMTGHKLYGPSGIGVLYGKKERLFEMRPFQGGGEMIFEVAEDMVTYNDP PHRFEAGTPPIVQAIGLGYALDYMEKIGREAIARHEADLAAYAVERLKSVNSLRVFGT APDKGSIFSFELAGIHAHDVSMVIDRQGVAVRAGTHCAMPLLKRFGVTSTCRASFGMY NTRAEVDALADALDYARKFFA YP_002975920.1 MNMQTTSRLTAAETALIEAFNQQIGELPGNGTVTALRDRLLDDL KKAGLPTRRIEAWHYTDLKNLLRTVPQQAGDAGSEALEPLVADATVLAVIQGHSNQKA TADGLGVSAYSEHLLDGSAADGLDALGSDDAVGRINGSFVRDGYVIDVPAETELENPL EIQFIHAGGQTHTRLPVSFGAGVKATVIERHRTVTGDAALVSHVTDITVGEGTELTWI ILQQQGADDTHLGQIRIDLGADAKLRLFVINAGGKLVRQELHIKVTGEGADLTLRGIN LLGGDTHTDVTMVLGHDVPNTGSTEVIRNVVFDRAKGVFQGMIRVAPDAQKTDAKMAC NTLLMSDDAEFSVKPELEIFADDVQCGHGATVTDIDANHLYYMMARGIPENKARAMLV NAFVAEIVEELEDEALVEALEGVISAWLEKHA YP_002975921.1 MLEIKNLHARIAEDGTEIIRGLNLTVKAGEVAAIMGPNGSGKST LSYVLSGRSDYEVTEGDILYNGESILELDPAERAAKGIFLAFQYPVEIPGVATMQFLK VAMNEQRKARGEDELTTPDFMRRVKDAAGKLQINTEMLKRPLNVGFSGGEKKRAEILQ MALLEPNLCVLDETDSGLDIDALKIVADGVNALRSPDRAVVVITHYQRLLDYIVPDTV HVLYKGQVIKSGDKTLAHELEANGYADIIGTAA YP_002975922.1 MSEADKKRILERLTNMRGEILAKIRPRELRNETETPPEQPYVFL RQRMEKPGMIFQGISDFLEWVGLVTVAVFRVVRFFAR YP_002975923.1 MAAVQETIDQVRLIDVDQYKYGFETVIEMDKAPKGLSEDIIRFI SAKKQEPEWMLEWRLEAYRRWLTLEEPTWARVDYPKIDFNDIYYYAAPKSTPGPKSLD EVDPELLKVYEKLGIPLREQEILAGVERPKIAVDAVFDSVSVVTTFKAELKKAGVIFM SISEAIREYPELVQKYLGSVVPTTDNYYATLNSAVFTDGSFVFVPKGVRCPMELSTYF RINEKGTGQFERTLIIAEEGAYVSYLEGCTAPQRDENQLHAAVVELVALDDAEIKYST VQNWYPGDKNGKGGIYNFVTKRGDCRGDRSKISWTQVETGSAITWKYPSCILRGDDSR GEFYSIAVSNGHQQIDSGTKMIHLGKNTSSRIVSKGIAAGVSNNTYRGQVSAHRKASN ARNFTQCDSLLIGDKCGAHTVPYIEAKNSTAQFEHEATTSKISEDQLFYCLQRGIPTE AAIALIVNGFVKEVLQELPMEFAIEAQKLISISLEGSVG YP_002975924.1 MAPPRLYLDWNATAPLHPTARAAIMRAIDIFGNPNSVHGEGRAA RAAIEGARRKVAALVGTDAGNVVFTSGATEAANLVLTPDFRMGRTPLRLGQLYFSAIE HPAVREGGRFARETMTEIPVTEAGIVDLDALGRLLEAHDKAAGLPMVAIMLVNNETGI VQPVEAAAKIVHAHGGLFVVDAVQAAGRIALDIEKIGADFMIVSSHKIGGPKGAGALI ARGEALMPRPLIQGGGQERGHRSGTQNSLALIGFGAAAEAAVDELEARNAAIGALRER LEAGMRQTAADVMIHGEGGERVANTIFFTLPGLKAETGQIAFDLEGVALSAGSACSSG RLGESHVLTAMGRDAKLGALRISLGFSTTEEDIDRAIAAFAKIANRRRSAGEAACPVS KLAETQISACQSG YP_002975925.1 MPEVIFNGPAGRLEGRYQPSKEKSAPIALILHPHPQFGGTMNNQ IVYQLFYMFQKRGFTTLRFNFRGIGRSQGEFDHGAGELSDAASALDWVQSLHPDSKTC WVAGYSFGSWIGMQLLMRRPEIEGFMSIAPQPNTYDFSFLAPCPSSGLIINGEADKVA PEKDVNGLVEKLKTQKGILITHRTVTNANHFFNGQVETLMGECEDYLDRRLNGELVPE PAAKRIR YP_002975926.1 MTKSFGEVLDKYRGIGPGFDFLRIGLAFSIVLTHSFLLTDNNDF IRGSVFWFTEYALVPMFFALSGFLIAGSAQRLSLRNFLINRGLRIVPALAVDIVVCSL IIGPIITVVYHSEYFTDQRFFKYFLNIVGWIHYELPGVFQDNPSQRVNGALWTVPWEI FCYIIMSFLMITAIVKTRYKLLAVTIAYIVIGLIVQKMPYLLPGSIKPIARFLFMSRG SQLITAFMMGIVVFQFKAVIPHSRWLFAAACLVCIVAILTLDSSATESVMNRPLVITS LVYITVFVGLSEVPIPAFFRKGDYSYGVYLYHDPFLQIWISSFPSVFLYPKYGALALY LVGLPSALAVAVLSWHFIEKPILGLRKKFSFIAQVRGVEDGNQAGRESHVRPVAVKS YP_002975927.1 MREPSVILNTPRLSFVMWDEGDAALVQLLHSTMATTRYLSGNAP WSLEKAEQRLQGWFEEQARDGTTKFKLLAEDGSFIGRAGISRFRNEQFELGYSLREEA WGKGLATEAASVLADWFFERQFAPGFIAFTHPDNIASQRVLRKIGMRERAPILIDGVL GTAFELTVDKRPAKL YP_002975928.1 MDVIRTSIGLMSGTSMDGIDVALIRTDGRGFIERGPFMGVPYDA DFRGQLKRALELSRPLSERSQRPAELRDIELELTLRHAIAVTVFLERFGLSADAVDIL GFHGQTVLHRPDEGLTIQIGDGQELAKRTGISVVYDMRANDMVHGGQGAPLVPAYHAA LAGKFQQAGQAVCFVNIGGISNLTFIGTDGRISAFDSGPGNTLIDQWVEMQTGKTYDP GGAIGGRGRVVASLAERYLQSPFFRGNVRRSLDRGDFAPLRPEDASLEDGARTLAHVA AASIIKSANFLPETPAIYIVCGGGRLNATVMAEFSAMAEWLGSKVLTAEEAGFDGDAM EAEAWAYLAVRSLDGLSLTFPGTTGVDAPVSGGVLATP YP_002975929.1 MSEFKSDFLRTLKERGFIHQVSDESGLDDLFAKETVTAYIGFDP TAPSLHAGSLIQIMMLHWMQKTGHRAISLMGGGTGMVGDPSFKEEARQLMTVDTIEGN IASIKRVFSNYLNYGDGPKDALMINNAEWLRSLNYLEFLRDVGKHFSVNRMLSFDSVK TRLDREQSLSFLEFNYMILQAYDFVELAKRYDCRLQMGGSDQWGNIVNGIDLGHRMGT QQLYALTSPLLTTSSGAKMGKSATGAIWLNADMLSAYDFWQYWRNTEDADVSRFLKLY TTLPMDEVARLSALGGSEINEVKKILATEVTAILHGRPAAEQAAETARKTFEEGSLSE NLPSVDIPATELDGGIGLLSLIVRAGLAASNGEARRHVQGGAVRINDEAVNDERKMIG SGEITADGVIKLSLGKKKHILIRRAA YP_002975930.1 MQCARTVSFASAAMMAVTIADVSLSAEKSVRLKSQEAVIRSDHV LVVPLDAEMTPPIFSISWWSRVKPASSVDPPGYLLKRPDEMTRNTGGELNDLARRPE YP_002975931.1 MINDLWYKNAVIYCLSVETFMDANGDGVGDFQGLMRRLDYLSGL GVTAIWLMPFQASPGRDDGYDVSDYYNVDPRYGSLGDFVEFTHGAKQRGIRVLIDLVI NHTSKDHPWFQDARSDPRSRYRDWYVWSERKPDNADQGMVFPGVQKTTWTYDDRAKAY YFHRFYDHQPDLNTSNPEVQAEILKIMGFWIQLGVSGFRMDAAPFIIATKGADVTKPV EQFDMLRKFREFLQWRLGDSIILAEANILPKDNFEYFGDDGDRMQMMFNFQVNQALFY AFASADTRPLKKAMEATKPRPATAQWGLFLRNHDELDLGRLTEKQRAAVFAAFGPEKD MQLYDRGIRRRLAPMLGGDHRRIEMAYSLLFSLPGTPVIRYGDEIGMGDDLGLPERNC ARTPMQWSTEPEGGFTKSEKPISPVIKDGPYGFQHVNVAEQRRDPNSLLNWTERMIRM RKEAPEIGWGDFSVIDTGDDGVLALRYDWRGNSVLILHNLHAQPAEVTFDPEIGEDGR QLIDIADGASSKADEKGFHTVMLDAYGYRWYRVGGLDYLLRRTEI YP_002975932.1 MSAIRGEKVTFRKKDIVALDRLPSAQAEDPIIVHCPPPRSPMRR TAKLTCGFLGLILVILAGIVFTVESGMFDKPLSQQAQAALNGVAGPRYRAEVGSTVIR FTSDFRLALEARNVNMIDQESGQHLSTTGSVRLGLDPLQLFRGRIAVADIEAEDIALD TALLPSGNAVKLDDLRIDAMPAAMEKIFSQFDMFDSIVTRGSTNSVRISGLDIKLADT ANGPLSLVIDNLVFAHAGPSSLQLTGEVALNGEVAELDVRAEKDDGHVSKVVATLRHA DLTPFALKRNDQGMIRQGLSAFADLTVSATRARDGAQPALTATVDIDPGQIYADGDPQ QLSGGQINLVYDFAKQKLEIARSNARFGATTLPINGALIDLDKLDPQAGKGFGIDLLV SGGTAAPGGSGEEPLSFDIQATGRYMVAGREFQFPNITVSSPLGALYGSLHVKLGDKS PEISFAGQSAQLQTIAIKQLWPFWMAPKVRTWVHGNLFGGTVTDASISVFIPFGRLDE AAGGKGLKLDANQIRIGFDITGARMNVAGDIPPIRDTSAHFDLTGPVATIAIKSGTSY FPSGRSVGLGQGTFILPATYDKPLMADIDLAVSGAADAVGELLTYRPIRVLQRAGFTP DDLKGRIEANVKAHFGLLSSQNPPPAEWSAAMKLTNIDLAKPFSGRMISNLDGTLNGN PKRITLDAKAQIDGVPADIDLTEPVEASDAAAKQRVITATLSEDQRNKLIPGLSGIVG GSVKMVLTRIDDDRQDVQLDLTKSLLELPWIGWAKGSGIAATAEFETSGPADNTQIKN FRLKGDGFGANGSLNIGKGGLISADFDSVKLSSLDDFALSVKRSKGNFDVSVSGDSAD ARPVIQRLKSGSDGDGDGDGGDTGVSVRARLKNVIGFNDEKVGNFQAQISLRGDKLQA LNFSAVTDSGEAVVSQMKDGGVINITSGDAGAVSRFADLYQHMQGGLLNLAIRLGAEG GWDGSLDVRRFAIVNEQRLRSIVSTPVGNEQRSLNEAVKRDIDTSSQRFQRGFARVVS RNGMVGIENGVLRGDQIGATFQGIVRDRKGNMDMTGTFMPAYGLNRLFAELPLIGVIL GNGSDRGLIGITFKLTGKFDQPNLQINPLSIIAPGVFRQIFEFQ YP_002975933.1 MAVPGIGVSAPDFNLPRDGGGRVSLAEFHGKPLVLFFYPKDDTT GCTAESLAFTALAAEFEAAGAAVIGMSPDSAACHDKFIKKHRLSVALASDEEKTTLQA YGVWKEKSMYGRNFMGVERTTFLIRQDGTIATIWQKVKVQGHAETVLEAVRNLAA YP_002975934.1 MIGDLTITSLRGGAIDAISSADLDRKTALAQESATRWFARRVSL RSPLDAALPDRPGRPEKPVLTPPTQVEKRSLHTLKGRIALLHAIAHIELNAVDLALDI VARFATEQVPNSFFDGWMLVAFEEAKHFRMVRARLNDLGADYGDLPAHDGLWQAAHST RNNLTARLAVVPLILEARGLDVTPSLQAKMRQTGDLESAAVLDVIYNDEKGHVAVGAK WFRFLCAREKRDPAKAFQELVRANFRGPLKPPFNDLARAEAGLTPSFYRSLASISYA YP_002975935.1 MNSGHQHRVFGRRAQEHILILASGDKVRHMTVRPWMAALAFCFV GVFSIGYLLATSYLVLRDDLIGATMARQARMQHDYEDRIAALRAQVDRITSRQLLDQQ VVEDKVDKLMEQQMALTSRHGKLDNLLDRAESSGLTEKDGALPAPSSPVQSYAPDVKD KRASLSGSGIEAIEKQLANGAPADATPDNSTLAYVPATDTVGDRADRIFSKVTLSLKD VEQDQRSRVEQLTSDAGNAANAIETVLTRFKIPVPEETAAKKDDDDAVGGPYVEPESN DDFNNSLVALDGALTRLEEVRSTAESLPFRNPAIGKDVTSPFGNRRDPFLGRLALHSG IDFRFSPGERIRPAAPGKVVSAGWTGGYGNMVEVDHGNGISTRYGHMSEVLVKVGDTV GRNDVIGLAGSTGRSTGTHLHYEVRQDGHAVDPVYFMNAGLKLATYIK YP_002975936.1 METVFPLTTFADLGLSQKVLSAVTDAGYTIPTPIQAGAIPFALE RRDICGIAQTGTGKTASFVLPMLSLLEKGRARARMPRTLILEPTRELAAQVAENFEKY GKNHRLNVALLIGGVSFEDQDRKLERGADVLICTPGRLLDHFERGKLLMSGVEILVID EADRMLDMGFIPDIERIAKMIPFTRQTLFFSATMPSEIQKLADRFLQNPERIEVAKPA SAAETVTQRFVASHGKDYEKRAVLRELVRAQTELKNAIVFCNRKKDVADLFRSLERHG FSVGALHGDMDQRSRTMTLQSFRDGNLQLLVASDVAARGLDIPDVSHVFNFDVPIHSE DYVHRIGRTGRAGRSGAAFTLVTKRDTKFVDAIEKLIGEKVEWLSGDLTSLPPPAEDS RDSERPRRNGRERGARDGAGRDRAPRENGDKDRGRGRGNRAAASHKSDNDIQDNGVDV IEAAPVKADIVKNERKAEQKPQNNARNSRPYPANDDSRDRRRHRDHDDGPTPVGFGDD IPAFMLIAGSAKV YP_002975937.1 MGRPGIDFPGLGVGLVILRDARILLYKRMRPPEAGYWNIVGGKV DHMEPAETAARREAEEETGLTIGRIERIGMTEQIIDADRQHWMSILYLARDVEGEPQL TEPDKLSDFGWFPLTDLPEPLSAFTKAAIAALSPAERG YP_002975938.1 MDNAGIEEMFQGLGPVTVKRMFGGKGIYHLGRIIALEVRDEMLL KADETSAPEFAAAGATQWTYEGKKGKPVKMPYWSIPDEAYDDPDLMAKWVRLAYEASL RAE YP_002975939.1 MLNRQHIDPGLYRDAMSRYAGHVQLVTTAMGELRRGVTITAACS VSDNPASVLICLNNTNPKNEIFFRSGIFALNTLGAHHQGVADAFSGRTSLANDDRFAS ARFEMLATGAPVLADALAAFDCRVIDIKEMPTHNVIFGEVAAVRFSEKHPALIYMNRD YHAL YP_002975940.1 MINLRGIAAFLALLGAAAESHAAGLTIGVVAPQNGPLALLGAQI AAGAGFEIQQSGNTLVAINETCEDNSGAAIADALVNAKVQVAVGFLCSETLEGALPKL KDANIPAITVSVRSRILMEDALKNGWPLFRAAPADGAEAAKIIEVILKDWAADPIALI EDGTIHGRELTEAVRNALEQNGLKPVFTDTYRPGQEQQIALVRRLKRAGATRVFIGGD RNDVAVIARDAKVENIQLSILGGDAMRAADQPLPLDAGVRAVALPEYAILPEGTAAAD ALRAKGIEPEGYVLPSLAAALIAGQAGQAAAAAGKPLQETLLGTTFQTPVGTIAFTGA HELSQNPYRLLEWRGNGFFPPAAPTQ YP_002975941.1 MTLPIRIAPSILAADFARLGEEVRDVTAAGADWIHLDVMDGHFV PNISFGPDVIKSLRSYTSATFDCHLMISPVDDYLEAFAKAGCDRITVHAEAGPHLHRS LQTIRNLGKKVGVTINPATPLSAIENVLDDVDLILIMSVNPGFGGQKFIPAMAKKIAA AKSLIGDRPIELQVDGGVTVETAPAIARAGGNVLVAGSAIFKGDTVEDYRRTVADLRQ AAEGARA YP_002975942.1 MNKRLIIGGMLAAAFAGLPGLSLAGDIANIQPIGFSADGKVFGF QEFGIEESGNLPYSNTYFIDTEDGHYLEGTPFHTELTDKDANLSKARRQNLTAARSQM DKYDLLTNPGLIAAFNPPTELGSPSKTIRYTTLATDGPPKAPYTLSLGEMPVPTPKDC AAVDKRVISFSLQMIEKEGAPNRQAARQATAVPAERVCSVEYRIGGAVVYQPEAGNQV HIALVLAFDADRNGRWIAVPVHP YP_002975943.1 MDRLRAARPPYPDLIAGALLWGMQMLAAAMLGLYLRNGLQTSRL AEIAALYFAGGLFAWPFALPVARFLAFDRPLETRFAAFFVTLTAATILMTAFLFAMEY RIFYSRWHAPFGSIVWAFQFVFTSISAVYQFLVIGLRLFLPLGLVCLVASSYHLAKRM R YP_002975944.1 MISRYSRPEMVAIWSPETKFRIWFEIEAHACDALAELGVIPKSA AKTIWEKGGAATFDVDRIDEIEAVTKHDVIAFLTHLAEIVGPDARFVHQGMTSSDVLD TCFNVQLVRATDILLADIDRLLEALKSRAFEHKETVTIGRSHGIHAEPTTFGVKLALA YAEFERCRQRLVAAREEVATCAISGAVGTFANIDPRVEEHVAEALGLKAEPVSTQVIP RDRHAMYFATLGVVASSIERLATEIRHLQRTEVLEAEEYFSPGQKGSSAMPHKRNPVL TENLTGLARMVRSYAMPAMENVALWHERDISHSSVERMIGPDATVTLDFALSRLAGVI EKLLVYPENMEKNLNKFRGLVHSQRVLLALTQAGTSREDAYRLVQRNAMKVWEQGKDF LEELLADAEVRAALSEEDIREKFDLGYHTKHVDTIFRRVFGGA YP_002975945.1 MKKAFILSVATMCASAALASCTTTGPDGLSHYQRNISVASGERT KIGVAFSLNPDCSAKVVPEVRIREAPKHGNVESLRELAFPHGKGEYKKCNGTKVPTTV GYYTSDKGFVGKDRIVIRTSDKNGIVTEGVLNITVVE YP_002975946.1 MNIRYGLLGSALLLIAGGHAQAQEIKKVNTSATVISGSRTIIYQ SSFVNPDCSLAAVPRLKILDGGKHGEIQIVHEKVFPIFKRSNPRFKCNGRQVEGAVVY YTPGAKFTGSDKIKLRESSESEAGVWEITARVTVVD YP_002975947.1 MKHLFAHFATKVSEWAGKPVIFILALIAVVIWAALGPFFDYSET WQLVINTGTTIVTFLMVFVLQNAQTRDTRAIQAKLNEIILTSHAENRFIGIENLDEEE LKRLDELVAKAAKGRGEREACWTSDSTEAANAAPSKKAEVRKRRVSAKPVKQR YP_002975948.1 MAAFHVMTGASESLARPVVNRIGIADVFDALKRGYEDFMEKPSH YVFLCLMYPIAGVFLTLWTSGANLLPMVFPLMAGFVLIGPIAAIGLYEISRRREAGLD ASWTHALDVRHSPALPSIVAVGLMLCGLFVVWLVTAQTLYSNLLGEVFPRSMGDFFRQ VFGTSEGMQLIIWGNLTGFVFALVVLAMTVITFPLLLDRDVGAVAAVTASIRATILNP VPVLLWGLIVAALLLIGTIPVFAGLALVIPILGHATWHLYRKLIAREATA YP_002975949.1 MKASDADILIIPGYTNSGPSHWQSRWEAKLSTARRVEQAEWTKP VREDWIARIAEEVNASTRPVVLVAHSLGVPSVIHAIPHFRNRVAGAFLVAPPDVANPD IRPKHLMTFGPYPRDPLPFPSITVASRNDPFGSYEHADDVASSWGSFLVDAGESGHIN ADSGHGPWPEGTMVFAQFLGRLSP YP_002975950.1 MPVKKAHPEAGDPHGDAAEAGIGNGPTDDSDLAERETRWNHALV GSGLGVWDHNYRLDRKYYSQTWKTIRGMAPDEEAAGEYDTWLQLVHPDDRDFVVHAID RQNAGDPHYQIFEYRERHKDGHWVWIECRGACVEWDENGVPTRIVGTDTDITARKQAE ETLSRLSRRLDLALEISRIGVFEADIEHDTVEWDDRLIAIYGLQGASRQIASDAWAKS LHPDDRERVLGLSDRSVESGSDFQQEYRIIRGDGAERVIRARSAFFIDGNGHRKLIGA NWDVTEEVALRNELRRAKDLAEARNRELEAAKESIEHLALHDYLTGLPNRRYLDKMLD ERAAECRAKGMAMAILHIDLDRFKQINDTLGHRAGDAMLQHAASVLRTSVRAVDFVAR IGGDEFVILCIVDPASKKIASLAERVIRELRKPVRYEGHDCRFGASIGIAIDSGPTLD AKQMLLDADIALYRAKGLGRNRFEFFSAAARRDIISAKHLADEILIGLERNEFVPFYQ LQFDARTLDVAGVETLARWQHPVHGLLTPDRFLDIAEDLDVVSTIDALILERAIADRK VWLKDGLPIPKISVNVSARRLADPDLGKKLRALKIEPGTFSFELLESISLDDCDEAVV ANLKKLRKLGIDIQIDDFGTGHASIVSLLRLSPKTLKIDRELIRMLPQSAEQRKLVGS IIDIGRSLNILVIAEGVETAEHIRILEELDCDTLQGYALARPMPAMQIPSFIRAGSWR HGQIAARALQAQLRRALPGRAAK YP_002975951.1 MNRRRRIYEGKAKILYEGPEPGTLIQFFKDDATAFNKKKHEVID GKGVLNNRICEYIFSHLNKIGIPTHFIRRLNMREQLIKEVEMIPLEIVVRNVAAGSLA KRLGIDEGVVLPRSIIEFYYKSDALDDPMVSEEHITAFGWANPAELDDIMALAIRVND FMTGLFLGVGIQLVDFKIECGRLFEGDMMRIILADEISPDSCRLWDIETHEKMDKDRF RRDLGGLLEAYSEVARRLGIINENEPVRGTGPVLVK YP_002975952.1 MIKARVTVTLKNGVLDPQGKAIEGALGALGFSGVGHVRQGKVFD LELEGADKGKAEADLKAMCEKLLANTVIENYAIAID YP_002975953.1 MMKAKLETEVSKYMSYVLRHAPDAAGLTLDSEGWVSFDELEKAL ASKYDVSRADIIEIVENNPKKRFTLTDNRIRANQGHSVDVDLALNQVEPPAALFHGTS LTNWQSIEREGLKKMQRHHIHLSADVETAKIVATRRKGEHIILRVDAARMFSEGHSFF VSDNGVWLAESVPVQYLSRNAGTL YP_002975954.1 MKSAVVQLPGLNRDRDMIAALTKISGQAPVTIWQTETEFPDVDL IVIPGGFSYGDYLRCGAIAARMPVMQAIIDKAAKGVKVLGVCNGFQILVEAGLLPGAL MRNSSLKFVCREIKLEVVNAETDFTRAYAQGQVIRCPVAHHDGNYFADDATLAAIEGN GQVVFRYAEGTNPNGSINDIAAVMNEKGNVLGMMPHPENLIEAAHGGSDGRGLFASAL DVIAA YP_002975955.1 MRPRLSVAHAALLTAIATTVAACQTPAPTGPNRAALPTMERVAL GANACWFKSGDPAFAAYKLAPELNSFSGRPRILLVHKGSPESRPLLVVQAEGSPSRLQ AFGPMMQEPVAGRITTDVNRWSGGNKACS YP_002975956.1 MSALPEIKMPIVPIVSYEDRRQIILPAAPAEVTTRRGRIWAAIL LWHQKREGRRALRELTATALKDIGVSQSDAAREVGKSFFWD YP_002975957.1 MTNWLPDISRGSGPVYLRLADSIESAISSGALPAGSKLPPQRNL AYDIGVTIGTIGRAYALVHERGLVAGEVGRGTYVLNRSETPPGEQIDPLTVSLGGTRV QDAPANKIRFDTTAAPDLGQGKIIAGILAEIGEQHLAEISSYSRSFPRNWFEAGRLWL ARSGWTPEVENIVPTLGAHAAAISVIAAVSAPGDKIVFEDLTYTQVSRSARLLGRRTL TVDSDELGVIPEDFERLCQQQHPKIAFLMPTVHNPTLAIMPYERRAAIAAIARKHSVW LIEDDLYGGMADDDTPLLASIAPDRTFLVNGLSKSVAAGVRGGWVACPPHFAQRIKVT HRMITGGLPFILAETCARLVESGMAHEIRKASVEELSRRVRLAREQLQGFDFESHVHA PFLWLKLPEPWMSGTFKNAAFRDGVLVDDEDEFKSARGERPYHRVRIGFSSPKTGQEL ISGLMILRRLLENGGSAYDGEI YP_002975958.1 MRVDFGKIALRFLGMASLAAAAAISVAGSATAGEQIFDELRFGV SASVQSGHSREDGVFPEITALFDPFGYDTAVGWQQQLLHPRVHLGTSIGTSGEATQFF TGFTWTVDFNEKLFAEAGFGGVIHTGELDGDDDGPELGCRVLFHEYLGAGYRFNAHWN VMAQVAHSSHANLCDGPNDGMTRAGLQVGYKF YP_002975959.1 MPDIQQQADEKVFAGRALVAGFATGSIVFSDTALSFWGGVDSQT GEVIDRHHPLSTQVLTGKILAIPGGRGSCTGSSVLMELIMNGHAPAGIVVSRQEEILS LGVIVADEVFGRSIPVVQLSEDDFAELHSIPEVTLIGDKVIASWIETAPGFDAADRTY GNSIALTSRDRSALNGEMGKAVQVAMRATTRMAEIQGATELIDISQVHIDGCIYTGPT SLEFAKRMRDWEGRVVVPTTLNSISVDQMRWREQGVSPGVAGPASELGEAYASMGARK TFTCAPYQLSSAPRQGEQVAWAESNAVVFANSVLGARTAKYPDYLDLCIALTGRAPLT GPHIADNRRASLVVNVSGFVSWDDMVYPILGYHIGKLVGDEIPVVIGLETWKPNLDDL KAFGAGFATTSGSPMFHIVGVTPEADSLESIVGSNIKASYEICPKDVVAEWRKLNGGS VDAIEFVALGNPHFSFDECERLAALCEGLAKHPDVKVLVTCNRATFERASAANLVGKL SDFGVEFVTDACWCTLAEPVIPKSVDTIITNSAKFAHYGPGLTGKALRFGSLADCVAA ACQGELKPVRPTWD YP_002975960.1 MTISNTIPITPELIARHGLKPDEYQRILDLIGREPSFTELGIFS AMWNEHCSYKSSKKWLRTLPTKGPRVIQGPGENAGVVDIDDGDCVVFKMESHNHPSYI EPYQGAATGVGGILRDVFTMGARPIAAMNALRFGEPDHPKTRHLVSGVVSGVGGYGNS FGVPTVGGEVEFDARYNGNILVNAFAAGIAKSNAIFLSEAKGVGLPVVYLGAKTGRDG VGGATMASAEFDESIEEKRPTVQVGDPFTEKCLLEACLELMQTGAVIAIQDMGAAGLT CSAVEMGAKGDLGILLELDKVPVREERMTAYEMMLSESQERMLMVLQPQKEQEAKAIF VKWGLDFAIVGKTTDDLRFRVMHQGEEVANLPIKDLGDQAPEYDRPWRESGKHGPLPA NLVAAPEDYGQALLQLVGSANQSSRRWVYEQYDTLIQGNSLQLPGGDAGVVRVDGHPS KALAFSSDVTPRYVEADPFEGGKQAVAECWRNITATGAEPLAATDNLNFGNPEKPEIM GQFVQAVKGIGEACRALDFPIVSGNVSLYNETNGVAILPTPTIAGVGLLPDWRKMARI GSANDGDKVIMIGVDGSHLGQSVYLRDVLSSREGPAPEVDLFAERRNGDFVRSVIRNG QATACHDISSGGLAVALAEMAMASGKGLTIDLSEGKGEPHALLFGEDQARYVLTLPAD VADFVCVNAEGGGVPFRRLGTVGGTALVVGDLILLPIQQLRDAHESWFPDFMEGRGEL AAAE YP_002975961.1 MAMKPGDIEDMIKAGIPGAKVTIRDLAGDGDHYAAEVVAEAFRG KSRVQQHQMVYEALKGNMGGVLHALALQTSAPE YP_002975962.1 MKLIEKRVVLHFTGFEPLDGVAHRARYERSARQSAAVWGYSVET GAADEGSDPVSFEVMTAGALAEPESETGFPGWQTKSRIHMVDHDTLVRKLRAGNTLSQ IIAGFRSCAEIMLEGGMRGYFHHAWRFGLFFLFPFLLTALAIALTAQIAILPYALSFS PWHMLWSGPLALCFFIFAFLPFSERFHTLHLFADWKMAVALGRMDQADFNGWLEDQAI AVRKALEEEADEYVISSHSMGSSVAAHVIGILLEREPEIFKGKRVVFATLGSAILQCA LMSSATLLRARVGLIARCPEISWLDVQCLTDSINFYKVPVVAVSGHPDAPHAKMILIR VKQMLTREHYRKIRKDQLRVHRQYVLGPDLKASFDFTLMTAGPMPASVFADPDEKRMP G YP_002975963.1 MADLVKELISGVVDSVLKEILKKTTGRVTTKRKRHKTRPAATTK VARKTTSAKSKPARKQVSKRRTAAGRSRQRRS YP_002975964.1 MMRIVKIILAAVVAICLIAVAGVYALSEMRLSRTYDVAATDFTV KTTLSAEESERRARTLMCGGCHHDAGYVLLDEPGVGRIVAPNMTRFVPMYSDAELVRL IRHGVKKDGTGAVIMPASNFANISDDDMAAIITWLRSLKQLPDAVEGTTQWGPLGRIG LALDKIPFEADLVPAVITPAATRPADIGEYTFKTGCGHCHNLDTAKQSEAFLAPALKP LAQSYSAADFKTLLRTGKGVGGRDLGVMTEVSQWDFSHFTDVEIEQIQIYLARRP YP_002975965.1 MSGIHEFIGNEIKSNDVVLFMKGTPQFPQCGFSGQVVQILDYIG VDYKSVNVLADSEIRQGIKEYSNWPTIPQLYVKGEFVGGCDIVREMFQAGELQQHLQE NGIAVRAAS YP_002975966.1 MGKREFIALAAFLMAINSLAIDIMLPALQQIGASLGVESENHRQ FVVSSYLLGFGCAQLFYGPLSDRFGRRTPLLFGLVVYIVSAIGIVFVPSFAGLLVLRF VQGIGSAATRVITISIVRDIYGGRQMAEVMSLIMMVFMIVPVIAPGTGQIVMFFGNWH LIFLFIATMATAIAVWAYLRLPETLHPANVRPFTARSIFGAFKLVLTNRVALCYTIAS TFIFGALFGFINSAQQVYVGIYDLGVYFPFAFAGVAIFMSLSSFFNSRFVGKLGMRRL SHGSLIGFIAINTIWLIVQLASTEPMPFALFISFFGLSMFQFGWIGSNFNSLAMEPLG HVAGTASSVLGFMSTVGGAIIGAGIGQAFDGTALPMVAGYFTVSIIGLVFVLIAEKGR LFQSQNPAV YP_002975967.1 MTPPHKPHQENQGSRRIGMGFGEFVVTIAIMTASVAMAIDSMLP ALPNIGHSLGVTNTNDAQLIIGVFFLGFGVSQIFFGSLSDTFGRRNILLGGLACYIVG MFAAAATGSFEMLLVMRFVQGIGGAAVRITTMAMVRDCFGGREMARVMSYVMIVFMIV PIVAPSVGQLIILYANWHWIFILLGIIATILFVWALLRLKESLPPEERLPLSVASVVD GFKTVLTNRITCGYMIGLTMFTGVISAYVISVQQVFGEVYGLGDWLPIAFAATAGGIA VANFANGFFVRKFGMRRISHAALLIFTALSAVGFFYSLAGKPDFAIAYGIFTIVLMMF ALIATNFTAICLEPMGNLAGTATAITGFVSTTAGAILGGLVGQMFNGTVQPLFGGFAL FGAVTIAATLWAENGKLFTHPGDSPQLDPGAAHF YP_002975968.1 MTSIVDATLLADLLRRAAKAEILPRFRRLSQADVRAKSEATDLV TEADEQAERMIKAESAQLWPGALFLGEESVAADHDLLGRLEHADLAIVVDPVDGTFNF ASGIPAFGVMASVISGGETVAGIIYDPMGDDWVMAEKGGGAWLRRPDGGAERLSVAAP VGLEHMVGMASTGYLPQEKRAEVLANLAKVRFLTNYRCAAHEYRTFAGGHVHYLMYNK LMPWDHLAGTLISQEAGAYAARFDGSPYLPHHLDGGLLIAPDKASWEVLRREVFTV YP_002975969.1 MTISDQDILFLGDCVREAAQAEIMPRFRNLGAADVSEKTSAIDL VTQADLLAEHRITAALRERFPAALVVGEEAYDADRSVVPALADAELAFVIDPVDGTFN FAAGLPVFGTMLAVTVRGETVAGIIHDPVLGDTVTAIRGAGAFLTRRDGQSTKLKVAE PASLNQMVGGLSWSHMDEPDRARICANMAKIRMTFAFNCSAYEYWMVASGKLHFIGHA KLMPWDHLAGVLAHQEAGGHTAKFDGTPYRPGETVGGIISAPDKESWQLIRREIVGI YP_002975970.1 MNIAANIADDLETGEADTSGHALFADAPRSVSFNKLRKRLLRQV RQAFDDFDMLKGQKRWLVGLSGGKDSYGLLALLLDLKWRGLLPVELIACNLDQGQPNF PKHVLPDYLNKIGVRHRIEYRDTYSIVKEKVPEGATYCSLCSRLRRGNLYRVAREEGC DALVLGHHREDILETFFMNFFHGGRLASMPAKLLNDEGDLMVLRPLAYAAEDDLAKFA VAMQFPIIPCDLCGSQDGLQRNAMKDMLADIERRMPGRKDTMLRALSHVNPSHLLDPK LFDFSTLGVTDPS YP_002975971.1 MADLQATLDSIYADIQPRIGEGKVADYIPELAKVDPKQFGMAIV TVDGKVYRVGNADTAFSIQSISKVFMLTLALGKVGEGLWKRVGREPSGSAFNSIVQLE HESGIPRNPFINAGAIAVSDVVMAGHAPREAIGELLRFVRYLADDESITIDDKVARSE TQTGYRNFALANFMRAYRNLDHPVDHVLGVYFHQCALSMSCEQLARAGLFLAARGSNP TTGHSVVSPKRARRINALMLTCGHYDGSGDFAYHVGLPGKSGVGGGIFAVAPGIASIA VWSPGLNKVGNSQLGAAALEMLAARTGWSVFGD YP_002975972.1 MSKRESSKYKIDRRMGENIWGRPKSPVNRREYGPGQHGQRRKGK LSDFGVQLRAKQKLKGYYGDLREKQFRAIFAEADRRKGDTSENLIGLLESRLDAIVYR AKFVPTVFAARQFVNHGHVSVNGVRVNIGSYRCKAGDVIEVREKSKQLVIVLEAVSLA ERDVPDYIEVDHSKMVATFGRVPTLSDVPFPVVMEPHLVVEFYSR YP_002975973.1 MQVGIDMGLASGAPATLDIEELLATRLLVQGNSGSGKSHLLRRL LEQSAQWVQQVIIDPEGDFVTLSDRFGHVVVDGERTEAELAGIANRIRQHRVSCVLTL EGLDLEDQMRAAAAFLNGMFDADREYWYPVLVVVDEAQMFAPSVGGDVSEDARKMSLG AMTNLMCRGRKRGLAGVIATQRLAKLAKNVAAEASNFLMGRTFLDIDMARAADLLGMD RRQAEMFRDLKRGNFVALGPALSRRPLPIQIGAVETSARSSSPKLMPLPDAPQDVEDL IFTPDPEEFQRPLVRRAPPAPRPTTDILAELSRSTPAASAVPAEARASQVEVSAEERE ERLAGVLAEILDDPASAFRTDSVLYQDFLVRLRMRRVPGPPIALPDFRRRVAISRSGV DAATAATDAWATALSLSSGVTDDLQGVFLMLAKAAVCGEPCPSDARIARAYGTHSARR ARRLLGYFEEQGIIVVHADFSGKRIVAFPDMDCQTAPGDANAPDAGGDPRLAAE YP_002975974.1 MKPYFPGLAFLWVLKGLTTTVPANLLEHDAEKCTRFSDDIMLYF FDVDQETGFRPIGPKIILI YP_002975975.1 MPLQRLVMLIFFLQPIAFGAWLPRIPDIQAKLELGTADLAVALL GLPIGTLITLPFAGRLVSRIGGRMAIIYGFIFFLAVVSLPAFAPSVMLLFFALIVVGV ALSTVELGMNVEADVTEKATGLIIMSRCHGFWSFGIMAGSLIGVGAIALGLSPHWSIL ITAIVILPAALIASLRLPKLPESHHAENSQAMTGFKLPSLALLGICAFVFGVTMTEGA IADWSAVYLRDVMNAEGAQTGLGYSVFAFMVAAGRFSGDYMKGRFGAVAIARGCGIAS LAGMLVVLLAPATPLALLGFAAVGVGVSVGFPLAVTAVASLTDRPPASSVATLSFAAL TGFLIGPPIIGFLGELLGLRAGLAVLLAPLFVSLLCTRLLIPTQKDMAGDLVEREAV YP_002975976.1 MTAPTHELKPVLVFDSGIGGLTVLREVRVLMPERGFIYVADDAG FPYGGWEEQALKERVIGLFGRLLEEHDPEVCIIACNTAFTLVGADLRAAFPQMTFVGT VPAIKPAAERTRSGLVSVLATPGTVKRAYTRDLIQSFAQQCHVRLVGSENLARMAEAY IRGDAVSDEAVLTEIDQCFVEKEGRKTDIVVLACTHYPFMANLFRRLAPWPVDWLDPA EAIARRARTLVPQIADAVHPDNFDFAVFTSGNPDFATRRLMQGFGLSMR YP_002975977.1 MAGTNSERQLLAEGPAIILVEPQMGENIGMVARAMANFGLAELR LVNPRDGWPNEKALATASKADHVIEATKVYDTLEQAVADLNFVYATTARERDGYKPVR SPVIAAETLRARFRAGEGTGILFGRERWGLTNEEVALADEIVTFPVNPAFASLNIAQA VLLMSYEWMKSGMEDLGAVPFQAMGQTPSTKDQLFGLFDQLEEALDARGYFHPAGKKP KMVDNLRAVLSRRAFTEQEISVLRGVISSLDRFSRKSPRGGRFPTAAKETPPDDSADA YP_002975978.1 MHLFSGFQFRDWLLANDPALSRLRMASRVTLTIAFSFLVLLAIQ MFILPLPTAAFGLGIVLSIEGGVAVRDKGNARQLVTRLFGCAASLGVVAIAAGLEDHR FLSDLVFLVVIALASAGRVFGPRGFAIGMFAFTSYFMGSYFRPSLAELPDVAIGPVVS VLFGHLVRAVLLPDDWRRDLLRSLESVRGRINQILFKLAALAGGAEIGEADRQELRQL EDRLKEVVLMAETFIPRPAGGVFDATADPAAELAIRLFDAHLAAESAIVLSFQSPPPF ALVHAVIEADAAELAPYSAMAEAIKDEPQGETVRALLWLGEARQQLTQAIGEGQVSGF AGIDAVRDTAQPEKIDFSFANPLLRSALQITLASAIAMGFGLLLSRERWFWAVLAAFL VFTNTNSRGDTAMKALSRSLGTVFGIAIGLLLATLISGQLAIAIPVAVICIFLAFYFL QVSYATMTFFISIVLCLVYGMTGVLTLDLLQLRIGETVIGAVAGTAVAFLVFPARTRG ALDAALARWFQALEDLLNAIGEGSSGFELIALSQKIDACYRDVTVAAKPLGSSWSVVT RPGQIRQTLAIFLSCTYWARILAKSSTAPAEADDLKRLIASDLALMKDAAPRGSTCFF IERKASRTTGRHLPLSREGARLGLEMIGSALERLYPQADVLPFAAGEVIARSKQG YP_002975979.1 MKTIAVVIACLIAFSGTGHAADIIAPVKEIMEATRSNWADNNSD WTDIFDASRLDHLYSRDFVAKYQAAAQFPAIDDDGISPFDYDVIVNGQDACPLEDLTM AAAPVVDGVAEVTVRFKKSACSDAADAKDYTTVHFQVVEEGGKTVIDDIVTENIETQA RDSLKATMALIAKGQ YP_002975980.1 MNKIKVANPVADLDGDEMTRIIWQLIKDKLIHPYLDLDIDYFDL SVENRDATNDQVTVDAANAIKKYGVGIKCATITPDEDRVKEFNLKQMWKSPNGTIRNI LGGVIFREPIICQNVPRLVPGWTKPIVVGRHAFGDQYRATDFRFPGKGKLTIKFVGED GTVIEKDVFDAPGSGVAMAMYNLDESIREFARASMMYGLMRKWPVYLSTKNTILKAYD GRFKDIFEEVYQTEFKDQFKEAGITYEHRLIDDMVASALKWSGGYVWACKNYDGDVQS DTVAQGFGSLGLMTSVLLTPDGKTVEAEAAHGTVTRHYRQHQKGQETSTNSIASIFAW TRGLAHRAKLDDNAELARFSSTLEKVCVDTVESGFMTKDLALLIGPDQPWLSTTAFLD KIDENLQKAMA YP_002975981.1 MTATVRPLEASDRAAWEPLWAAYQHFYEVVIPPETTDLTWARFH DPDEPMHALGAFDEDGRLVGIVHAIFHRSCWLPQWTCYLQDLYVESSQRGLGTGAALI DAVADLARGNGAGRLYWMTHEANATARRLYDSIAERSGFIQYRKAL YP_002975982.1 MTEELVFYTNPMSRGRIARWMLEEIGQPYRTEYLTFGETMKAPE YLSVNPMGKVPAIRHGDTVVTECAAICAYLAETFPDKALAPRPEERADYYRWMFFAAG PLESAVTMKALGFEIPQERLRMAGCGGFGDVMNTLEKAVSGSTYVTGERFTAADVYVG SHIAWGLGFGSIEKRQAFIDYFGRINEREAYKRANALDDEAIKTMQAA YP_002975983.1 MNGETAWALYENRLRRVSAYIHDHLDEELDMERLAEIACMSSYH WHRIYRAIYGETLAATVKRLRLHHAAGEIVRTELAVSEIAKRSGYPNLQSFNRIFKSV YGMPPARYRKEGSHTAFEPSPNGKTKAMLDVTIREIGPIELIGVAHTGSYMHIDRAFE ALFGTLYARGLARPDMRMIGVYLDDPDIVPEEKLRSIACVTGASDVPVEAPFERRTID GGDYAVLRHKGPYANMPKSYQWLFAEWLPKSGRQLKDRVMFEEYLNNPREVSPTELLT DIHMPLV YP_002975984.1 MSGVNDIRSTFLDYFKKNGHEIVPSSPLVPRNDPTLMFTNAGMV QFKNVFTGLEKRPYSTATTSQKCVRAGGKHNDLDNVGYTARHLTFFEMLGNFSFGDYF KENAIELAWKLVTEGFDLPKNRLLVTVYSEDEEAATLWKKIAGFSDDKIIRIPTSDNF WQMGDTGPCGPCSEIFIDQGENVWGGPPGSPEEDGDRFLEFWNLVFMQFEQTAPGERS PLPRPSIDTGMGLERMAAVLQGVQSVFDTDLFRTLIGTIEDTMGVKAEGSASHRVIAD HLRSSAFLIADGVLPSNEGRGYVLRRIMRRAMRHAQLLGAKEPLVYKLLPTLVQQMGR AYPELVRAEALISETLKLEENRFRKTLERGLSLLSDATTNLSKGDMLDGETAFKLYDT YGFPLDLTQDALRAREIGVDISGFTDAMQRQKAEARSHWAGSGEKATETIWFELKEKH GATEFLGYDTETAEGVVQAIVKEGAVSTAAKAGDKVQIVVSQTPFYGESGGQMGDTGV ISSDHGKIEISDTQKRGEGLFVHQGTVIDGVFKDGDAVVLTVDHARRSRLRANHSATH LLHEALREVLGTHVAQKGSLVAPERLRFDVSHPKPMSAEELKIVEDMANEIVLQNSPV TTRLMSVDDAIAEGAMALFGEKYGDEVRVVAMGEGVRGAKAGKAYSIELCGGTHVGAT GQIGLIRVLGESAVGAGVRRIEAVTGESAREYLAEQDERVKTLAASLKVQPGEVLSRV EALMDERRKLEKELGDAKRKLAMGGGQGGSVDAVREVAGVKFLGKAISGVDPKDLKGL ADDGKTSIGSGVVALVGVSDDGKASAVVAVTPDLVQRYSAVDLVRIASAALGGKGGGG RPDMAQAGGPDGSKADEAIEAVAVALAG YP_002975985.1 MSQNSLRLVEDKSVDKSKALEAALSQIERSFGKGSIMKLGSNEN VVEIETISTGSLGLDIALGVGGLPRGRIVEIYGPESSGKTTLALQTIAEAQKKGGICA FVDAEHALDPVYARKLGVDLQNLLISQPDTGEQALEITDTLVRSGAVDVLVVDSVAAL TPRAEIEGEMGDSLPGLQARLMSQALRKLTASISKSNTMVIFINQIRMKIGVMFGSPE TTTGGNALKFYASVRLDIRRIGAVKEREEVIGNQTRVKVVKNKMAPPFKQVEFDIMYG EGVSKTGELVDLGVKAGIVEKSGAWFSYNSQRLGQGRENAKTFLRDNPDLAREIELSL RQNAGLIADRFLQNGGPEPDDGDGDASAEM YP_002975986.1 MKKKILVLGGAHIDRRGRISGETAPGASNPGTWFEEPGGGGFNA ARNLARLGFQVTMISPRGGDPMGETVGEAADFAGIDDRPFVFLDRKTPSYTAIIEKDG NLVIALADMDLYRFFVPRRLSIRWVREAFAAHDFILFDANLPEETIAAIVAKAHSLGK PIAAIAISPAKVVRLKPCIGDIDYLFLNEAEAAALAGERPEGAAGWPPLLNEIGIRNA VVTRGRRELVALCDGRAVTLQPPIADIVADVTGAGDSLAAGTLAALISGLPLEEAVRH GTAAAILTVQSRHAVNENLTPDLLNEALALVPKVRILH YP_002975987.1 MTKPISPLLPIAYSKEVASAKQRGAPLVALESTIITHGMPYPGN IEMARSVEAIIREQGAVPATIAVIHGTLHIGLEAAELEQLAKATEVMKVSRADLAFAI AERRTGATTVAATMIAAARAGIRVFATGGIGGVHRGAEESFDISADLEELARTGVIVV CAGAKAILDIPKTLEVLETRGVPVVTYESEEFPAFWSRSSGIRSPLSLNSPAAIANFQ TVREQLGVDGGMLVANPVPEADEIAREEMEIYIERALDSAERDEVTGKAVTPYLLSTI FDLTDGQSLKTNIALVENNARLAAEIAVALGE YP_002975988.1 MVWRETGIMDERLRFVGECLAGEETMTALCAAYGISRKTGYKWL ERYRALGPAGLIDLPRAPLEHGRATAAELVARIVAEKEANPQWGPKKVLARLKRSAPQ LCWPAASTIGEILKRHGLVGRRRHRWRAAGCGPFAPANGPNAVWSADYKGWFRTRDGR RCEPLTVMDTASRFLLALEACATPAEVEAWPVFERLFAEHGLPERFRSDNGSPFAAIG VTGLTTLAVRFIKLGIGLERIQPGKPQQNGRHERFHLTMLPLAMAPEVDHAAQQAVFD AFRQNYNAERPHEALAMDVPADHYRPSLRRLPDRLPEPDYPAEAAVRRVRSNGEIKWN GDLVYVAAALAGEVVAIEESEAGIWTLRFHAHPLGIIDKKTKRLVRPSALQPRPAGAG ADTGLQGGEL YP_002975989.1 MTKPRQADDYNAPLVDRGGRSGTVLRILLLALVLIAAAGAFVFF KKSLDNEVVLGGLGVLAMVGIFFLVSSVIGFIEVMPQRQSDSLARAFLNSHPDGTLIT DEKGRIIYANAAYGALTGARKATEVQTLETLLSRHRESNEALYRLVNGLREGKEGREE FRLLRAVGPGSNSSGAHWYRLKARLLQPEENGGQPLQIWQITDITTERDDQERFFKEL QNAIDYLDHAPAGFFSAGRKGEIFYLNATLAEWLGLDLTKFVPGSMTIGDVVAGEGLA LIQSVQAEPGLKKTVTLDLDLRKSNGQSLPVQIVHSVTSMRDGAPGESRTIVLAREKG DAGGQSASAAAMRFTRFFNNTPMAIASVDGNGRILRTNAPFLKMFSGVVSRDDLEKAP HLETIVQESDRPQLAAALAAAKDRQGDIAPLDTRTPTDEARYFRFYVNAVIDQSDEAP EEAAIVYAVEVTEQKALEAQMAQTQKMNAVGTLAGGIAHDFNNVLTAILLSSDHLLLQ ARPADASFADLIEIKRNANRAAVLVRQLLAFSRKQTMRPSVLNLTDVVGDLRMLVDRL LSGTNVKLDVQYGRDLWPVKTDLSQFEQVLINLCVNARDAMPEGGTLTLRTRNLTAAE VSAFNYSYMPAEDMVLVEVADNGTGIAPEIMDKIFEPFFTTKDVGKGTGLGLAMVYGI VKQSGGYIQPESEVGKGTTFRVFLPRHIPEPAVAAEAGSIDGAIAGAEVVPLPVSPQQ PEDLTGSAVILLVEDEEAVRRGGKRMLETRGYTVHEAGSGVEALAILEELDGKVDIVV SDVVMPEMDGPTLLRELRKTYPDMKFIFVSGYAEDAFARNLPPEAKFGFLPKPFSLKQ LAVVVKETLDG YP_002975990.1 MLDDVVGAYGSRFLLAAGGVGLALLLLIIVLWVIRSRAPSPFVR GGRNRQPRLQVLDAAAVDARRRLVLVRRDDVEHLIMIGGPSDIVIESRILLAAAEQPE SVSGTQQPAEQRPISVARPETPPVSPPRPPVAARVEPAAEPTFSAPVSPEPRPRPEPP AQPPSQPAVAPPVVTSPLPAEPVTAPLSAERDNPLRAVPPQPRPQERPAAPPAAQPAP FHDASSAAEILDAARQRVLPQQRIEPEVSAPPVRDMPAAARAAPGSAEDEAAAQSAAA IRHDFQRVLEEEMSNNLTAERIVPAPANQAPRQAVSQPANLPRRDPELAPITGADTEL QKEVARIFGEMSVNRDK YP_002975991.1 MCNTLRVRWTIIPKTAPQPWIACGGCGGLRAFQSSGKIRLNANG RKLDAWLIYKCLTCERTWNRPIIERRNVRDIDPAVLDALQSNDPDWIRAETFNLEALR HKSQRVDEFAEFEIAKEIHQKTADWTRLAIELMVPFPTSTRLDRLLASELKVSRSRLQ ALHNQGMVLTNPHPADVMRRRIKNGTLIVIDLAMQADREQSWKPLASGDPL YP_002975992.1 MSEKIDLSNYVPSEEEEFMNVNQRAYFRAKLVAWRNDILREARE TLDHLAEESANHPDLADRASSETDRAIELRARDRQRKLISKIDAAMQRIDDGTYGYCE ETGEPIGLKRLDARPIATLSIEAQERHERREKVYRDE YP_002975993.1 MTVPVPPPNRIYLLRHAEAGWAESGQRDFDRPLNEKGFGDAEII ADKAADKGYRPDLLISSTALRCRDTADAVYRAIGLTLEVRYVDALYNATVDTYLEIID AQDESAVMLVGHNPTMEQTLEALIGHEAMASALPGGFPTAGLAVVDYDASGAVWRLID FVVV YP_002975994.1 MPPRLTSFADDARIAFDNLADRASGIVNPTVRLGVTGLSRSGKT VFISSLVHNLLHGGRLPLFEPVQSGRVSAVRLEPQPDDAVPRFQYEDHIRALVKDRIW PDSTRAISELRITLDYQSASGWNRLFSPGRLSIDIVDYPGEWLLDLPLLGKDYRTFSE ETLALAETGVRSELSRPWLALTRATDIHAGADEMIARDLATAFADYLKACKADERSLS TLPPGRLLLPGDLDGSPALTFAPLALPPDGRPGRGSLWTMMERRYEAYKSVVVKPFFR EHFARLDRQIVLVDALQAMNRGPEAVQDLERALTDVLACFRPGTNSLLSSLLGRRIDR VLVAATKADHLHHESHDRLDALTRRLVDRAIDRIGMAGAGIDVMALASVRATREATVK RDGHELPVIVGTPIEGETIAGERFDGQRKTAIFPGDLPEDPESLFDRIASGETGLQLP DVNVVRFRPPHLEETGGGIKLSVPHIRLDRAMQFLFGDRLA YP_002975995.1 MSKPPSDPPRRAPAAFIYEDEATERRDNGRQGGERRKPESFSEN VVVTPDEDDPFLNPDKDLSAVPVAAPRKRRTSFGKIAAGAFGILLSLAIGLWTDSLIR DLFTRADWLGYAALAVLAVGILAVLALVIRETSGMMRLAAVQTINAEADAAMLETRPV KARAVVARLTTLLSANPETSKGRATLKATEGEVIDPPHLIALAERELLAPLDRKARAL IVNASKRVSIVTAVSPRAVVDLLYVLYEAVRLIRAMAELYGGRPGTLGMFRLLRDVLA HLAVTGSIAVGDSLVQQVLGHGLASKLSARLGEGVINGLMTARIGIAAMDLCRPLAFR ALKRPGIGDFIGDLTPSMSPRGNNP YP_002975996.1 MYSRKFLIVCGLAAAALSPVADVAPAATAATRDKAFFDSVAGSW KGPGEIVAGKYKGTKFTCNLIGEPTGDSSAGIKLDGTCRVGVFKQPMTAVISQSGSSY KGKFLDGAAGKGLDVVSGAVSEDTVVVGINRAKLNGAMIARVRDDKTMNVTVSVKVES QMIPVIGLTLTRQVDEMAVGSIQ YP_002975997.1 MQSATLGLGGNIGDPVKAMAAALQRLNGRDDCRVTAVSRLYRTP PWGKTDQSFFFNACAAVETRLKPEALLDVCLSIEREMKRERIERWGPRTLDIDVLTYG DVIQDAPRLELPHPRMTDRGFVLMPLADIAPGLLVRGRAVSDWLSDAEVAGIEIADDS RDWWLSA YP_002975998.1 MTTYTITLQNCAFFARHGVHDEEEFLGQRFFVDAELDVVAGEAL ESDSINDTVNYGIAFTVIEQIVTGKRRYLIESLALDIAKGLCETFPQIRRAKITVRKP NAPVPGVLDFVQVSVEHFA YP_002975999.1 MTGLEGIIWRVGHGREIELGRRSVIMAIINVTPDSFSDGGRFET VDAAVEQALRAVSEGAGIVDIGGESTRPNAATVSPSEEQARVLPVIEALRGRTQALIS IDTYRAETARLAISAGAHIVNDVFGLQREADIADIAAVTGAGLCIMHTGRDRVKLVDV IADQVHFLERSLAIAAASGVNRDRIVLDPGFGFAKETAEENLELMARFSELSRFGLPL LAGTSRKRFLGTVTGREAQGRDAATAATSALLRLQGAAVFRVHNVAINRDALDIADAM LNARQEFERKRPT YP_002976000.1 MPLAVRYMVVPIAFSIALSLCSPSAAEVIASKSYSYFDIRGKSA DELDRELSRRGPTASGSSARHPGATKIRFGGEATYIQNNGRCRVGNVKVTVHTQIILP RWSSRKGASKELSMIWDALSSDIKRHEERHAEIARDQARAMERAIRALPQQRSCEAMQ ELVSGESARGIEEHDQQQARFDRVEAVNFQKRMLRLLNNRINGRAGAK YP_002976001.1 MPKLTIVAFDGTRFDLDVDQGSTVMENAVRNSVPGIEAECGGAC ACATCHVYVDEEWTEKVGQPEAMEEDMLDFAFDVRPTSRLSCQIRMKAVYDGLVVHVP ERQA YP_002976002.1 MAAQMAGLNIVFEAPDNAKGPCPSKVRPIDLVHLAKQTMGDKTL ELEVLQMFARQARACLQDIASGETIRIGAAAHRLKGAASSVGAFRVSQTAEAVEENGG DAGATAALGAAVIDAENFILKLCRG YP_002976003.1 MANNKYNESIEDKAFKALDEALQIDFSKDNVPSRRGDAPQAPEA NVSDPVNARNQQAQEEAQRRSRRGAAGEQTSRAPAFAPANDASRNTPASILKSFDGAS SRSAVRTATLFSVLWVMAGLGLMSLLYAPQIWQIRSLADLVALPGVIAGLVGIIIPVM MFYAFAIMISRAQDMRNAARSMAEVALRLAEPETIASERIMTVGQAVRREVSAMNEGI ERTIARASELETLVHSEVNALERSYADNELRVRGLVHELGSEREAIVNHADRIRTSIG SVHDQLKEELSLATEEIAVRLATSGEAFASLIDTRAATILEKSDSALQSMGSLLAAKT DTLLQTLNASGFALATEFDNRLEALSVNLNDHGERLLSQFETRASTMDSSTEKLNAAL NERTHQLNEILIARTREINESLTSGERTIGGTLDDVLSKLNSALDEKGASFRQSLQTT ADDAVMDLDVRSGFFEERLQTTVAQLSTAFDERVSEFTSAFDKRTGSLDTKLMESLAR INETLTGGSDSIDGILNSGIDRLGSSISDQSLALATALATGHEVLESTLGSRADEITT ALTSRTGELTSALQSATSDIALTLASGTSELQNNLQTRSAEFRDALRTTTTDLTTAVA AGTEQVTSAFGGRAEELSGVLTARAAEISEALGTAHGRIDSVMAERGGALLEALTTHH GRFEEALTTRSDAIINAVSGTHDRLAETLDEKAMALAISLNESQARIEDTLETRSEAF LNAVSGTHDRLSETLDSKAAALTTSLDERHARIEDALGTRAEALLNTVSGTRDRLAET LDEKAMALAISLNEGQARIEDTLETRSAALLNAVSGTHDRLSETLDGKAAAFATSLSE GHARIENTLETRSAALLNAVSSTHDRLSETLDEKAMALAISLNETQTRIEDTLETRSA ALLNAVSGTHDRLSETLDEKAMTLAISLNESQSRIEDTLEARSEAFLKAVSGTHDRLS ETLDEKATAIAASLNEGQSRLQDTLESRSESLLNAVSATHDRLSETLDDRAMALAISL NESQSRLEETLTTGAEAITNAVSGTHVGLNGVLDQKAAALAASLTEGQARLEEALGHR TAAIIGAVTATHDRLTDTLDEKTMALAISLDDNQSRFDSVLEARSNAIMEAVSGAEAR VAGAFSDKTDAIRTAYTDNQQRLENALSEHSAALSGVLDTGGARFEDLVGGLTGRIEG RLTDAHTRLGGLADEAAARIEGGLASAHERIRTTLEDRANAIDLSLNQAHALISDTLA EQATSIGTSVATSVGMLELSLEHREAAIRQAIDAGAQTLEDRMHAGAGQIAGRFQEAA RAISSSTQDLSTHLDRSVESLTGRFEETGSRVEAGLAAIETRIRDGVGGVAEKVEAAS GQLSGVLADGVSRIGALSNDATQRISATLEGSAANLTQAIDSRTANLAETLDSRTTSL TGAIDGRTASLAETLDRGNERIEERLSTMDRALTVGLDAVNRTIEGKAAGLASTLRSA VADAAQGMEGEATRTTELLGKTGQQFAEDLNVKSDEFTRTMDERSSQIVTRVAEAQNR LASQAAAVAQTFSEAGNAIVNKVAEAETIVGTQVNAISKVLSDAGQSLETRGNAIRST LSGAGTEISATMADVDRSLEARSSAIRTNLEERAREIDTTFSEIDRALEARGNSIRST LEERTRDLNSMLSGRSVELTRILDETARPIIDRYTDAGEQAAARITAAANLSADRLRA ENEALASAVAARTENVANAVSAIENSLVGNVNGLVERMSESSAAMAMMMNRAAEQLTS VDGRLGDTTTRFADSATKAAEMVSASTRLLEGKVDRLSDISGQTLAQVGGIIGRFDEH SKVLTQASQLLGAAQSNLASTLEERESALQTLSVGLVSRSAEIEKTMQGLGSMIETVF ERAEQRSNQVTGNLRQGVQSSFADIGRILTETEKRAQEAAETMREAITRAGDEANTTI DGTFANVERRSGDLSNRIRGGLTASLSEVDRMLGEAGRASDGAAQHMREALREAIDDA VGRFSGATEDIRRSAADIRNELDATRAELKRGAFDLPEEAKESASAMRRAVAEQIKAL QDISQLVGRSSQQLEISEPVARTLAQVQPAPRPAQPVAAQPPQPAAPPPIEATGLRGA IAPSAPAAAPQRAAPQPAPARQETGRPETGRQEPARPESGGWISDLLRGASREDAADE AAAARVPARPAETAAPAARSNDSRNPRHVVESLNSLSVDIARAIDHDASVDLWRRYQR GERDVFTRRLYTLKGQQTFDEIKRKYDREPEFRTAVDRYIGDFEKLLADVARTDPNRT VTQSYLTSDTGKVYTMLAHAAGRLG YP_002976004.1 MTKALVIIDVQNAILSGKASPERQPQVDAALDQTVARLAALQEK ARQAGAPIVLVQHDGDSGHRLAVGTPGWALRDEIAPRQAEVVVRKKSADSFFETDLGE RLGERSVTHLVVGGCMSQFCVDTTVRRAVSLGYDVTLIADGHTTSDTATLAFSEIIAH HNETLDGFDAGKATVEIRPAAEIDFS YP_002976005.1 MTSGRSASGALLDVKPLTTSIKVARETGTVLNIYHVNSLVHWEE REIQLRDHMIGFFSQEVRSFLRSVNPAWDVRRVEAPALMPRSLVSSAYSNADIWVQEQ LSTSETALVLRPETTPSTYIYMQHILGNHSKTWLPLCVWQAGRSYRREQEQPTKHMRL KEFWQLEFQCAFTADSGNDYHAACLEPVRRMIASLIHLPTRIVPSDRLPAYSEVTVDI EVDNGDKWMEVCSISRRTDFPQRYRSQPRKGAAVDHDVLVLEIAIGLDRCVYNWNIAA DR YP_002976006.1 MMTKTTSRLLATALSSVFLMGAFTLAQAGQASFVVDVQSGQVLE GSNQDDLNYPASLTKMMTLYLAFEALHDGRLNWDQKLTMSENAESKEPFKLAVGAGRK VTLREAVEGIVVLSANDAAVAIAEQLGGSEQVFAKAMTDKAHQLGMKDTVFKNPSGLP DPEQVTTARDMATLGVSLMRDFPEEFKLFSMRGFQFRGMKLRGHNNLMYRYDGVDGIK TGYTDASGYNVVTSALKDGRRVVGVVMGEKTASIRDDKMASLLDSTLQSSSTATASTT PVSQPGATMTDSQPTK YP_002976007.1 MVVARVFGKSSLEAIQRENDRLAVLQQLDILDTPRDEGFERIVR LIKEIFSIDIGIVSLIDAHRQWYKACSGLAGDEVSREDTFCRYVVDCEKPIVVQDATK DSRFSQHPAVTGENHIRFYAGVPLRTKAGHMIGTVCAIDRRPRSFGNRDLSILEELAG AAMDRIELMQSAAIDSLTEAMTRRAFKQEADQLISLALRHKHDLSCIVFDIDHFKKVN DTHGHAAGDEVLKAVASTCKTTLRAGDLFGRLGGEEFAVILPHVDREGAAAVAEKLRA AVASHIIRGDHGALTVTASFGTSALSIVSKEIETLLAQADGAMYQAKHGGRNRCVSWS SIHADHAIGARRRVLKAGSILFNDRRSTIDCTVKSIGSESAGISVSNTSGIPSEFVLA IKGEGFETNCKVIAQDRQHLEVAFR YP_002976008.1 MVASHLLSRRSWIRGTVIALPLTALILSGCGTAAKNDTYDLPAA VDGSGPAAKSRQILIASPTALRSLDSEQIVIRVSPSEIQYLSKAQWGDKLPRIVQSKL VEAFENSGKLGGVGMPGQGLAIDYQIVTDIRSFEIDASRGNQAVVEISAKILNDRNGS VRAQKVFRAMAPAGGDNDGFVKGLDRAFSTVASEIVTWTLRSI YP_002976009.1 METKANYTIVGFFTVLVIAAAFGFVYWMAEYGRGGPMTELIVRI PGSANGLSVGSPVRFNGIQIGSVQTLSIDADDPQYSLAFTQVRTDAPIYPSTKAALEI QGLTGAAYIELSGGRKGEESILQHAIDNGKRAVIVADQSSVTNLLATADKILDRANDA VGELQGFIEDSRGPLTETFKNAETFSDALAKNSGNIDAFLQSVGELSNTVKAVSSRVD STLQAVESLVKAVDAQKIDNIVSNAEKITANVADASGDLKGAIQKFDQTATTFNDFGK QAQATLDRVDTLVAQIDPAKVKGSVDDISQATKDARAAVASIREVANTVSARQKDIDQ TIQDVSQLSNKLNSASTRIDGILIKVDALLGTDNTQSLFTEARDTLESFKKVADNLNS RIGPIADNLQKFSSGGLRDVQTLVNDMRGTVSNLNDTITNFDRNPQRLIFGGDTVKQY DGRTRR YP_002976010.1 MADRVDEKPIDMEDKDERDIVLSARDVTVGFGSKVVLDNLNLNI YRGEILGFVGASGTGKSVLMRTVLRLLPRRSGTIKILGQDFDELDEPQRNALDMRLGV LFQQGALFSSLTVKENIQVPMREYLDLPTSLMDELAHLKIRMVGLAADAADKYPSELS GGMIKRAALARALSLDPELVFLDEPTSGLDPIGAAEFDELIANLRDSLGLTVYMVTHD LDSLFSVCDRIAVLGKKRVMVEGTIDDMLAYDDPWVQAYFKGKRARSIVPQNDAAARH DSSGK YP_002976011.1 MSLQALKDISILNAENRNAASLDVDDQADGSGQRVRLQGNWRSA YIHLVLRDFEKLLQKKTGDLTVDLSDISEIDTAGIWLLCRLKKQEEAGGRTVRFEGTN SHIDEMLEMVSEEPAKVEPEQTEKVSLAARIFAPIGKMTYEVWDNFAAAMYILGSAVR GAQMKFGRGSGVSPASIVNQIDHMGVRAVPIILLMSFLIGAIIAQQGAFQLRYFGAEV FVVDLVGILQLREIGVLLTSIMIAGRSGSAITAEIGSMKMREEIDALKVMGLNPIGVL IFPRLVALTIALPLLTVLANFASLGGAAAVAWGYSGITFANFLSRLHEAVTLSTVLSG MIKAPFMALVIGIVAAVEGLKVGGSAESLGQHVTAAVVKAIFVVILMDGLFAMFYAAI DF YP_002976012.1 MPRTLDIQMNSFPIAGTFTISRGAKTEAEVITCTLVEEGAQGRG ECVPYRRYGETMESVFAQIEAARPLIEAGISRHDLLSAMPPGAARNAVDCAHWDLQAK QTGDSVAARLGIAAPQPLTTAYTISLGEPEVMAAQAREHAGRALLKVKVGTGDDESRI RAVRAAAPDAAIILDANEGWPEAVLERHLHIAAEAGIALVEQPLPAGRDGLLAEIRRP LLVCADESVHHTGDLASLADRYDAINIKLDKTGGLTEALSMKAEAERLGFSIMIGCMV GTSLSMAPAVLLAQNADFVDLDGPLLLARDRDPGLRYAASLVFPPESTLWG YP_002976013.1 MIPAQNSPTGEGAPPRFRLLSALSYCAPLLVNGIVLPFFPVWLA THSFSDHEIGIILAIPMVVRVLVAPVVAMIADRLKERADVLLWSGGLSLLTAVALFWT TTFWPVTIVYALQGATFAPYVPVVESIVISGVRRWGLDYGSMRVWGSIAFIVSTLVGG QMISRWGGGMVLDVMVFGFVMTVVMAIFCPRIGPTRRRGQPINIPAATGSGLREPHLL LLLIGVAIQQSSHAVLNAFSSIYWHQLGFSGTEVGLLWSAGVASEVTVFFLSKRLNRR FDAWTLIRFGCAISVCRWILFPMNTGFAGFFLLQCFHGFTYAFVHTGVQRRIMATVQE TQESSAQGAYFFYVGMAMALMTLASGYLYAWLGVVSYYVMALVAFSGLGLVIFAYYLQ PQRVLSGGKTSEAA YP_002976014.1 MGHERQLVKDMMEPRHFRTLFISDVHLGSKAAKADFLLDFLRHH EADTIVLVGDIVDGWRLKRSWYWPQVCNDVVQKLLRKARKGTRVVYIPGNHDEFLRAF PGMHFGGIEVVERMMHDGADGRKYLILHGDEFDVVVRNARLLAYLGDWAYDTAIRINI ILAAVRRRLGMPYWSFSAWAKLQVKHAVNFIGEFERVVAEEARKNGADGVICGHIHHA IIQDMDRIRYINTGDWVESCTAVAEHEDGTFELITWRALASSVPALAAIEMHDEGELA PQAA YP_002976015.1 MRLFLVRHGESLGNINEQAYRQFGDHNVPLTRWGHRQALEAGGV IASYLQALPSAGFGKLHIWYSPFLRTRQSKDALLEALPESFVGDIREDYLLREQDFGL FTEIYDHAEQKQKFPEEFEKWARLRSNSGKFYARPPDGESRADVAQRVRLFLQTVMHD AENSDHNVVIVGHGVTNRAVEMNFLHRPVEWFERSDNPGNADITLIEGTRSQGYESIL LHQAADRQPGQEGELRDAHGADVTITPKPTA YP_002976016.1 MARFFIDRPILAWVFAIFISIAGLIALPFLPVAQYPKVAPPQLS ITTSYPGASPQDIYQGVTRQIEEELNGVEHLSYFESTSDTSGAMTITATFAAGTDIDQ ASVDVQNAIRRVEPRLPQSVKDQGITVEEASSGFLMFISLTSTDGKTDEVALGDYLNR NVIGELRRLEGVGRAQLFASQRAMRIWIDPDKMVGLNLTASDISAAISAQNAQVAAGQ IGAAPNPISQDLTATVLVKGQLTDIKEFGDIVLRANADGSNVRLRDVARIEEGSESYS FSTRLNGQPSAAIAIQLSSTGNAVNTSNLVKAKMEELSRFFPAGVEYSVPYDTSPFVS ASIEKVAHTLAEAVALVFVVMLIFLQSFRYTIIPTLVVPVALLGTLAVMFAAGFSINV LTMFAMVLAIGILVDDAIVVVENVERLMAVEGLSPKEATKKAMRQITGAILGITLVLS CVFVPMAFFPGSTGIIYRQFSLTMVVSILFSAFLALSLTPALCATFLKPIKGHHEKKG VAGWFNRNFDRLTGRYARTVEGLAKRSWRVMAVYVALLIGLGYLFVNLPSSFVPDEDQ GFLIVDVQGPPEASRNRTVASLESIEAIFKKEPAVANVVAIQGFSFSGQGPNAALVFV TLKDWAERGAGNSVQEISNRANMQLFGLKDATSFALSPPPIEGFGTTNGFTFRLQDRG AKGQAALTEAAGMLIGKASQNPIIAGIRPEGMPDSAQLVLVIDREKANTFGVAFADIN STITANLGSSYVNDFPNSGRMQRVIVQAQDKSRLQAEDLMKLNVRNASGGMVPLSSFA VAEWQKGPAQIVGYNGYPSVRISGAPAPGYSSGAAITEMERLASELPDGFGFEWTGQS LEELRSGSQAPFLFGLSILFVFLLLSGLYESWSIPLSVMLVVPLGVIGCVLAVMSRDM SNDIYFKVGLIAIIGLSAKNAILIVEFAKDGFAEGKSLMDAAIEGARLRFRPIIMTSL AFTLGVVPLAIATGPSAASQNAIGTGVLGGMISATVLAIFFVPVFFVFVLRLLRTKRP ETVNEEAAAGQEPATVTSPT YP_002976017.1 MLFRTKSLGCTLVLALIFGADLPAYAQEGGAMPPTAVSVLTLNA RPVPVISELPGRIAATRVSEVRARVSGILQERIFEQGSLVHQGDVLYRIDPALFRVRV ASAEASLDRARATQLNARQQLDRQKSLRDRDVASGIEYDAAAVALAQADADVALAQAA LDEAKINLGYTEVRAPISGIIGGALVTEGALVTADGGDALAMIQQIDPVYADFMQSSG DLLALKRAVENGSLTSTEPGKADIKLVFDDGTVYGEAGKLLFSSASVDATTGQVTLRG EFPNPKGDLLPGLYIRVRIEQAVREKAILIPQRAVIRTADGKAQVYVVQEGDVAQPRD VELGQSFGNEWVVESGLSSGERLVVDGSQKLQPGAKVAPEEWRDGQLASGDAKKPE YP_002976018.1 MVDSPRNRKKQPDVVRQALLDCATKLALEHGLAAVSLQAVASAA GVTKGGLFHHFPNKQALIEAVFDGMMENLDREIDEELEKDKGGHGTFTRAYVRTLFAD RALNNSPWSAQTMTVLADPYSKSLWHRWMNDRLVRHAETDMGMRLEIVRLAADGAWLA HVLRPDDHAGSDDAALLHELIELTEG YP_002976019.1 MDNLNLTTLQRGQTMVNDVAIDAFAAGFRGSLLTSKDLDYNEAR TIWNAMIDRRPGIIARCAGAADVVRAVRFARDNNLLLSVRGGGHGIAGNAVCEGGIVI DLSAMKSVRVDPQTRRARIEPGATLADVDQETLAFGLVLPTGINSTTGIAGLTLGGGF GWLTRKFGLTIDNLLSVDVVTADGELVKASETEKPDLFWALRGGGGNFGVVTSFEFKL NPLNTEVLAGLVVHPFADAESVLKEYRQALETAPDELTCWVVMRQAPPLPFLPAEWHG KEIVVLAMCYCGDIAAGEKATARLRAIGKPIADVVGPVPFTGWQQAFDPLLTPGARNY WKSQDFASLPDAAIDVLLNAVRKLPGPECEIFIAHIGGAAGRVPTEATAFPQRSSHFV MNVHARWREAGMDGSCIGWARELFEATKPHAVGTAYINFMPEDEGDRVETAYGANYAR LAEIKRRYDPNNLFRMNQNVKPMAAVRAA YP_002976020.1 MPDLLNLQTLIAAATSGSFAAAAKRLGISPAMVGRRIQALEQEY GVKLIERTTRTQRLTEVGSRFLVKANRIIDELEELNDISRPDAEAMSGRLRLSAPTTL GIKRLAPVMAELAERHPALSVELNLSDRNVDLVAEGYDLAIRIGELKPSSLIARRVGS YHFVCRASSVYLDRFAVPERPEDIRLGRCILNLNLVPRDEWPFETKGGEGFTVGVRGN IEIDNGEALRMAALAGAGIIYVPVDLVAEDIADGRLVEVLAGWRKLVLPIHAIHPSRR FVPGRVGAIIDAIARGLRD YP_002976021.1 MKTAIIGIGNMGKGLASRLAGKTDLIVAARNDQAARSLAESLGV ESATIAEAIAAAGIVILAVPYASALEIAASPSLSGKIVVDISNPLKPDFSGLLFGHET SAADKIQNAATGARVVKAFNTIFAEFFNASHDATAKVPVFVAGNDADAVEKVSRLVVD AGFAVEKTGGLDAAKLLEPLGMLNIRLGYGLGRGTAIAPAWMNIAA YP_002976022.1 MDHPEKSKTEKNLTSGDLDEQALFFHRYPRPGKLEIQATKPLGN QRDLALAYSPGVAAPCLAIRDNPEMAAEYTSRANLVAVISNGTAVLGLGNIGPLASKP VMEGKAVLFKKFAGIDVFDIEIDAPGVDQMVSTIASLEPTFGGINLEDIKAPECFEVE RRLREKMKIPVFHDDQHGTAIIVAAAILNGLELAGKNIADIKIVASGAGAAALACLNL LVILGAKRENIWVHDLEGLVYEGRVELMDEWKSIYTQKSDTRTLAENIGGADVFLGLS AAGVLKPELLAQMADKPLIMALANPTPEIMPDLARAARPDAMICTGRSDFANQVNNVL CFPYIFRGALDCGAETINEEMKMAAVRAIAALAREEPSDVAARAYSGETPVFGPDYLI PSPFDPRLILRIAPAVAKAAEQSGVARRPIQDFDAYLDQLNRFVFRSGFVMKPIFTAA KAAERKRVIFSEGEDERVLRAAQVLLEEGLAEPILIGRPQVIETRLKRYGLRIRPLQD FEVINPEDDPRFREYVDLYFSLVGRRGVIPEAARTIVRTNTTVIGALALRRGEADALI CGLEGRYEKHLRDVRQIIGKRKNVRDFSALSLMISQRGATFFTDTYVTFNPTAEEVAE ATVLAAEEIRRFGLTPRAALVSHSNFGSRESESATKMRNALQLVREAAPDLEVDGEMH GESAITEALRKRVMPDTTLHDEANLLVFPNLDAANITLGVVKSMTDGLHVGPILLGTA LPAHILAPSVTSRGVVNMAALAVVEASQPA YP_002976023.1 MTGIVNELSSPATTVGLPETQDGFHVFVDGCYEPGSGHGGWAFV VYRDTAEIASGFGGAEDSANNSMELTSVLRAAIWINSEASGEPVTIWSDSVYAVKGCN SWRHIWKNNGWKKGSPNGNARRRTIANAELWKAVDLQLSQNGLVTIAWCKGHSAIAGN ERADALADKGRLSLRRA YP_002976024.1 MEHLASLITTGSLFIIEHWVSGGPLAKIAKIR YP_002976025.1 MKRRNLSLALLLALAAPAAAQSSAVCEDLRGRLADLPRSIGNGN GPEARQYSSAMAEQNLELRKVRNELRSNDCTSGSMVVIGGENADYCAELSQSEARMID NIRYLQDRRNELAGQNGADDGARRELVAALDRNGCNSENFYAPSDRSANEPAPSVEEQ AMRTDTFIPLGGGEEVDPRYDLPRAEMLSPVSTMCVRSCDGGFFPISSNATSVDFGRD AQTCAKMCPGIETELFYRDVTSTEASNMISVATGTPYSAMKNAFAYKNRTPGEKSACT CNLTAYYEEMRGKQTLSEPPQQGSITTIRTNPPAKDAAAQIAPQPSVPERPYDPAQNR VRQVGPQFLAGDQGSIDLANPATSGPQPQQQQ YP_002976026.1 MNMIDLKERIIVITGGARGIGYAIAERVIQSGGKVAIWDLNENM AKDSASALGSGTVAFGVNVADPVSVKNAAGRTEEIFGRIDGLVNSAGITGPVKPTIEY DVSEWKDVVDVCLTGTFNCCRHVVPVMLKRDYGRIVNISSVAGKEGNPNIAAYSAAKA GVLGFTKSLGKELAKTGIAVNAVTPTTAKTPILDGLTAEFIEYMRVRIPRDRFAELHE IASMVVWLLSEENSFTTASTFDLSGGRTTY YP_002976027.1 MRPFDEDALSAASGFSLAEREAVYHAIKTRRDVRSQFLADPLPD DVVQRLLTAAHHAPSVGFMQPWNFILVKSAAVRARVRDAFASANDEAALMFAGERRQA YRALKLEGIVEAPLGICVTCDPTRSGSVVLGRTHNPKMDSYSTVCAIQNLWLAARAEG VGIGWVSIFREGDLKSILGIPEHIEVVAWLCAGFVDRLYDEPELSVKGWRQRLPLEEL VFHDGWGLNA YP_002976028.1 MLDVDAVRAFVTIAELQSFTRAAEALGSTQAAISVKLKRLEERL GHRLIERTPRLVRLSAQGAVFLQSARDFIGAHDRAVAALSSTSRHFTLGIAAHVAGPE VPTILARLSAHDPGLTIEVRLDNSRVLLDAFDRGELDAAIIRREEDRRDGEVLGPEHF GWFAAPQFEYRRGEPLRLAALSPSCGVHNIATHALDGAGIAWNEVFLGGGSLVMTAAV SAGLAVAAFSHRLAPVGSMEVSQRFSLPPLPSSEIVLHSTLTDARSRSALRTLAAAFR EHRASAN YP_002976029.1 MPPASIASSRLQGIVALAAACLSSLMFGLEISSVPAILPTLEAV LHADFRQLQWIMNAYTIAVTTVLMATGTLADRYGRKRVFLIGIIAFGLTSLICGLADN APVLIVARFLQGMSGGAMLICQVAVLSHQFPEGRQRSMAFGWWGIIFGIGLGFGPLVG VMIAALSTWEWVFLVHVVLAAVTATLAVFGVCESRDPEAKSLDIAGIATLSLSVFCLA FYITQGPALGFDNTTALAAIGLSAASFIAFIVAEKINSRPMFDVSVFRIRAFSGAIIG SVAMNISFWPFMIYLPIWFQAGLGYGSLAAGLSLLAYTLPTLIVPPLAERLSLRYRPG LVIPIGLFTIGLGFLLMRLGAASAAASWLTMLPGALLSGLGLGMTNTPVTNTTTGAVA RDRAGMASGIDMSARMISLAINIAVMGFILVSGVFSHLENVLPATLGPEQLRAMAERI SAGNIASLPDLPANIIRDALVEGFGWVMLYGGLGAWLLATASFLVFGSGKIRRCAEDE ASNSTVV YP_002976030.1 MEQDVTAHPLYPAANRQPPRVGLKRLGKPLHPIVPMALSPPKAY GLRQSIAASRLPVPRLTPMILAVALFMEQMDSTVIATSLPAIAADIGTSPIALKLAVT SYLVALAIFIPISGWMSDRFGARNIFRMAIFVFMIGSIACAFSNSITAFVISRLIQGA GGSMMTPVSRLLLVRGTPRHELVDAMAWLTIPALIGPIMGPPIGGFLTTYLTWHWIFW INVPIGVLGIILVTRFLPAVEPRSPRPMDFPGFFLCGIGFSGFVFGVSVISLPAVPVI YGYVTVAIGILAGLIYLLHARRAPYPLLDPKMFRYPMFRAAILGASNFRMGLGALPFL MPLMLQLGFGLTPLQSGSVTFVSALGSMGSKFAASRTFNAFGFRTVISLTTLLAAIFL GINGLFTAETPLFLIMACLLIGGLFRSMAFSGVNAMAFGDVDDADSSQATAINAVAQR ISMAMGVAIAGGILEISSSFHDGRLIVSDFHIAFFSVSAISALACITFLRLPHDAGAE LTARGRKRRHAEPEEAVAENS YP_002976031.1 MARAYSNIPFYFIIYSHIKYTLRLRVLGCYQDMGMSARDVTVSV DARIDTPVGNMSD YP_002976032.1 MFHFLKTMPLTAKLAAIIVAVNLCGISAFATYTWMYETRALIDG AKANWSKDAEQFASLAAGGVKWGKANAVREAYSLYRDDPTLDLVQFAAFNAEPAAVDT WARDGVGGLPAPADLAKSLTAKPEKTTIDDGRISAGVVTIIAPLPLDKSGKATGYVVT NWSVEKIASEVRQKVLISLLTQSAITAMAVVAFLLAMRSLVGRPIRVISERISALQKG DLVSPVTYRENGDEIGFLARALEVFRHEAIAKVEREQAAAEQSASLDAERARNALLTE EASNTQRLVMNALANALEGLAAGDFSIHLADVGPEFDKLRQDFNNMVDAVAAALTEIK TASVAVETGSSELATSADQLARRTEQQAAALEQTAAALDEVTTTVRTSSQRAENAGQL VEETKRSAHVSATVVRDAIGAMDRIQTSSSQIGRIIGVIDEIAFQTNLLALNAGVEAA RAGEAGKGFAVVAQEVRELAQRSANAAKEIKNLINVSGQEVAAGVGLVNETGDALLKI EEQINRISDSIASIVQSYREQATGLQEINSAINQMDQTTQQNAAMVEETNAACHELLS QGRLLQDSAGRFVVSASTASQPKPVQAARQARPEPRAFAQRHTGNAAVAAAPGAWEEF YP_002976033.1 MKKIVPAFLLACTAFAMPMGVSMAQDAKLAPISDYVTSDVKPWL NDPAIIEAVKAQNTANANLSAADVDALDKKWRAEVDGSDHSMIDGVLNNALSKFLQGK KEASGGKITEIFVMDAKGLNVGQSDTTSDYWQGDEGKFQKSFGAGKDAVFVDEIEKDE STQTLQSQASVTISDDTGTPIGAITVGVNVDAL YP_002976034.1 MRRITLSVLLNLALIACANAGEDISGVWRDLFTRCRVAVETGKD FDAMGLRDLGQSLRIAAPPMMAGSTKAIMPGYDMAEQRWGIPGDRFVVVETEYPPHGG KTRRSCNLELAPQAKPISADEESLLRAAFLAERDKLLDTGRYEHWSPDPIFSTNLGIR LAGNNVNGCRVVSLLFIDTQSNFPSFLQTGSGEQDGACGGASRRAHP YP_002976035.1 MSDTIDDLRIVEITPLTKPADIIAEISRNADVSKTVTINREAIR KILQGEDDRLIVVIGPCSIHDPVAARDYAARLTEQRQRFAGDLEIVMRVYFEKPRTTV GWKGLMNDPHLDGSYRIEEGLRIARRLLLDINAMGLPAGVEFLDTITPQYIADLVSWG AIGARTTESQVHRQLASGLSCPIGFKNGTDGGVRVALDAILAASQPHHFPAVTKDGQA AIASTTGNEDCHIILRGGKRPNYEAADVEAVTGEALKLGVARRILIDASHANSGKDPM NQPLVVKSVAAQIAAGNNDIKGMMIESNLVAGRQDLVPGKPLVYGQSITDGCIDWAMS VAVLEDLAKSARERRQTRA YP_002976036.1 MRSSVEIYNVRTGRAREVWQTDKLVEAPNFSPDGSYLLLNGDGL LYRLPLDGGEVVKVDTGFAVNCNNDHGISPNGSEIVISDKTEFGKSAIYILPIEGGTP RLITENLPSYWHGWSPDGRQLAYCGIRDDLFDIYTISVDGGAETRLTHGEGRNDGPDY SADGQWIYFNSSRTGLMQIWRVHPDGTGLQQVTSDDYGNWFAHPSPNNDMVLLLSYDP SVFDHPRDLDVRLRLMDMDGGNLKTLFELFGGQGTINVPCWSPDGEEFAYVRYFPAA YP_002976037.1 MTQENALSDIFRIAIGQLNPTVGDVAGNLAKAREARTDAAREGA HLLVLTELFISGYPPEDLVLKPAFIRACWKAVENLAADTADGGPGVIIGFPRQDETGR YNSVAVLDAGKVIAVRDKIDLPNYGEFDEKRVFDQGAMPGPVNFRGVRIGIPICEDIW GDLGVCETLAESGAEILLSPNGSPYYRGKVDIRHQVVLKQVIETGLPLIYAAQLGGQD ELVFDGASFAFNADKSLAFQMSQFETALAVTTWKRGEDGWHCAEGPMAHIPESEEADY RACLLGFRDYVNKNGFKTVVLGLSGGIDSAICAAIAVDALGEERVRTVMLPYRYTSED SLKDAADCARALGCRYDIVPIEQPVTGFSSALASLFEGTDSGITEENLQSRARGVILM AISNKFGSMVVTTGNKSEMSVGYATLYGDMNGGFNPIKDLYKMQVYAISRWRNENVPP GALGPSGEVIPQNIIDKAPSAELRPDQKDQDSLPPYPVLDDILECLVEKEMAVEEIVA RGHDVATVHRVEHLLYLAEYKRRQSAPGVKITKKNFGRDRRYPITNRFRDR YP_002976038.1 MSNLSNFVHHHFDKPADELGDIEKRVLAKTHARKIISTDVNAAL SAESSFGERIADGIARVGGSWSFILAFLAFLAVWTLMNTIGLVANPFDPYPFIFLNLI LSMIAAIQAPIIMMSQNRQAERDRFEAAKDYEVNLKAELEVLSLHQKIDMSVLTELTA LREDVARLSAELSARS YP_002976039.1 MPNLENLKKQAKQYLRWHSERYYPVAAEIRAALPRFRHLDDSQV LEARFKLSDAQDLIARQMGFEGWQALKTGAAAMTDPKKQPAPQAILSSLSAQLFVTDI KASCEFFTGKLGFRVDFVYGDPPFYGQVIRDNAQLALRLVCEPVFVGDIRQREHLLSA SITVGTSDEIKRLFLDFQTAGVSFHQPLRKEPWGARNFIVLDPDGNLILFAGPGG YP_002976040.1 MTERHTGGCLCGAVRFSTTAKPGPVVGCHCSQCRRQTGFYYAAV NVARAALSVDGTEAVRWYRSSEEAQRGFCSNCGSALFWQADGSAEISVMAGAFDAPSG LTFGHHIYCADKGDFYEISDGLPQYAVNPPSSSSPARD YP_002976041.1 MNIRFSRARKSSRRHNVFPRFFRDDANAADRERRWSRMRKFLSY YRPHLPLLLADLLCAILVAGTAVALPLCANIVTSRLLALPDIPQAFAQILTMGGVMLV VLAVQIVAIFFVDYRGHVMGARIEATVRQELFEHCQKLSFSFHDRQRTGQLMSRITND SLWLGELFHHGPEDLSIAVLKYGGAMLVLFFIDPPLAGLILLLTPVAVAYALYFNRRM NRALEASKRQIAAVNERVEDALAGIRVVQSFANEALEKERFAEQNRRFLQSRAEGYRS EAWFSVGTETFAQLVTILVIIIGGLRILAAELTVPDMLTFLLCVAVLVDPVQRLANFV RLWQEGYTGFSRAMEILEIAPDITDRPAARPMLAPRGEICFSNVAFGYEADGPRVLEQ LSLTIAPGEFVALVGPSGVGKSTLCALIPRFYDVAAGAIRIDGIDIRDVTLASLRRHV GVVQQDVYLFAGTVAENLRYGRPDASDAELEAAARAANAHDFIIALPHGYDTDIGQRG VKLSGGQRQRITIARAFLKNPEILIFDEATSALDNESERAVQQALLSLANGRTTLVIA HRLSTVRHADRILVLTADGIVEQGTHDELMAQEGVYANLHSVQASI YP_002976042.1 MADNWTPSSWRQKPILQVPEYPDAAALAATEATLASYPPLVFAG EARRLKKHLANVAEGNGFLLQGGDCAESFAEHGADNIRDFFRAFLQMAVVLTFGAQLP VVKVGRIAGQFAKPRSSNVEKQGDVTLPAYRGDIINGIEFTEESRIPNPERQAMAYRQ SAATLNLLRAFAMGGYANLENVHQWMLGFVKDSPQGERYRKLADRISETMDFMKAIGI TSENQPALRETDFFTSHEALLLGYEEALTRVDSTSGDWYATSGHMIWIGDRTRQADHA HVEYCRGIKNPIGLKCGPSLQADDLLQLIDILNPANEAGRLTLICRFGHEKVADSLPK LIRAVEREGRKVVWSCDPMHGNTITLNNYKTRPFERILSEVESFFQIHRAEGSHPGGI HIEMTGKDVTECTGGARAVSAEDLQDRYHTHCDPRLNADQALELAFLLAERMKGGRDE KRMVANG YP_002976043.1 MAILPPGFISDRSGNFGIMTALLVVPLFGAAGMAVDFAHALSLR TQLYAAADAAAVGSIAEKSGAVAAAMTMSGNGTISLGKDDARSIFMSQISGELTDVQV DLGIDVTKTANKLNSQVSFSATVPTTFMRVLGRDSITISGTATAEYQTASFMDFYILL DNTPSMGVGATATDVSTMEKNTSDTCAFACHETQNNNNYYNLAKKLGVSMRIDVVRQA TKELTVTAKSTRVSSNQFRMGVYTFGTKAEDAKLTTISDPTDDLDKVRSYTDAVDLMT IPFQGYNNDQQTSFDSALTQMKTIITTPGDGSTATTPQKILFFVSDGVGDSEKPKGCT KKLTGNRCQEPIDTSFCQPLKDKSIRIAVLYTTYLPLPKNSWYNTWIKPFQGEIPTKM QACASPGLYFEVTPTEGIADAMKALFLKVIRAPRITS YP_002976044.1 MSSYDYDLFVIGGGSGGVRGARVAASLGKKVAIAEEYRYGGTCV IRGCVPKKLFVYASQFHEHFEDAAGFGWTVGETSFDWKKLVAAKDVEIARLEGLYKKG LAGANAEILETRAELVDAHTVRLLKTGQTVTAKTIVIATGGRPNPHAALPGHELCISS NEAFHLEELPKSIVIAGGGYIAVEFANIFHGLGVETTLIYRGAEILSRFDEDLRRGLH EAMVAKGIRILCHDTLQKVSKGADGLVLETLNNGTLQAGVVMLALGRDPNTEGLGLEA AGVAVDERGAVIVDEYSRTNVENIYALGDVTNRVQLTPVAIHEAMCFIETEYKNNPTR PDYELIPTAVFSQPEIGTVGLSEEEAGRRYPELEVYRAQFRPLKATLSGRAERMIMKL IVDAASRKVVGAHILGHDAGEMAQLLGVTLKAGCTKDDFDRTMALHPTAAEELVTMYA PSYRIRDGKRI YP_002976045.1 MDQTLFSNLCKAGKFKEALGLAIQGHEDEKYTPSRFSIDKKTRL PIFYRGNKRVEPDEEGVWQLAKNPNP YP_002976046.1 MTADMFDHDKAVRVRISGKVQGVGFRYWTRDEAVRLGLTGWVRN EEDGAVVAVIAGPDSAISTMIERFRRGPLGASVSGVETEAAQLEKNPTDFRITR YP_002976047.1 MMNIAGLGRLAAATVVLSGLAFGSAVKAQEVSEDQLKASRAAID AIGATAQFDNILPGLAERLKAGLIQDSPNYQDIISSTVDAQALALAPRRGDLEKEAAL TYAKTFTVDELKAIADFYNSDVGKKLLRDGPVASRETAKAADIWAQGISRDLEKQSNV ELSKVIKAPPPATDSPTAPAPAPAAQQ YP_002976048.1 MDAREMKIKAAEAALAHVESGMRLGIGTGSTAEEFVRLLAEKVA GGFRVEGVPTSERTARLCVELGVPLKSLDELPALDLTIDGADEVDQALRLIKGGGGAL LREKIVAAASERMIVIADESKLVDTLGAFALPIEVNPFGLVSTRIAIEKVAARLGLSG ELALRQSGDGEFTTDGGHHIIDASFGRIPDAEALSSELNSIPGVVEHGLFINMAALAI IAGPAGARTLQANR YP_002976049.1 MPLTPAPTRPALVVFDLDGTLLDTHVDLVESLNHTIAALDLEPV SYDDLTHLVGQGARVMIERACRLRGHPLESDALPPLVERFVAHYAGNMPGRTEPYPGL VAAMDRLKSQGYRLAVCTNKMESLAVRLLDKLDLVRYFDTITGGDSFEYRKPDARHLT GTIERAGGDIARTVMIGDSVNDIAVARNAGIPSIAVPFGYSDVPVSSLDPDLIITHFD ELTPDLVETLLREYAEKVAV YP_002976050.1 MVWRETGIMDERLRFVGECLAGEETMTALCAAYGISRKTGYKWL ERYRALGPAGLIDLPRAPLEHGRATAAELVARIVAEKEANPQWGPKKVLARLKRSAPQ LCWPAASTIGEILKRHGLVGRRRHRWRAAGCGPFAPANGPNAVWSADYKGWFRTRDGR RCEPLTVMDTASRFLLALEACATPAEVEAWPVFERLFAEHGLPERFRSDNGSPFAAIG VTGLTTLAVRFIKLGIGLERIQPGKPQQNGRHERFHLTMLPLAMAPEVDHAAQQAVFD AFRQNYNAERPHEALAMDVPADHYRPSLRRLPDRLPEPDYPAEAAVRRVRSNGEIKWN GDLVYVAAALAGEVVAIEESEAGIWTLRFHAHPLGIIDKKTKRLVRPSALQPPPAGAG ADTGLQGGEL YP_002976051.1 MTFVLKSAASALAISCGVAMMSAAPAHAYTLMDMLRGDRQRTQS TIFMDQMPPGRVGPRGGVGGSLGGLDPEAPLPKVSGPRYYTYKTETLQFVDTGRFADP VVTGAVADVSGSGDASAEPAVQRRFLAQAKVRANADVAKALEAYYGDSRNPLVWVEGN QVNDRAKSAMLVLADAASVGLDPADYAVQTPDIDPANPDPAFRDRALTQFELDLSAKV LAFVQDTVRGRIDPNKISGYHDFQRKVVNLAPVLKLARLSPDVGAYIASRSPDSSQFQ ALKAELAKLRAADGGNEERIVVSLDRLLRPGDSSPEIANIVKAIGKHGSETLRTDHAA TLAAYAGSIDYSPDIVSLVEEFQKERGLKADGVIGQATVRAMTGGDTNASKIDKLIVA MEQARWLPEDLGSRYVMINQPAYMVYYHNDGKEQLSMRVVVGGKNNQTYFFDDEIETV EFNPFWGVPQSIIINEMLPKLRSDPNYLDQLGYEVEVNGHAVASSSVDWYGSTNNVSV RQPPSSDNALGELKILFPNSHAIYMHDTPSKSFFKRDMRALSHGCVRLADPRAMAAAV LGTTVDDVAKQIASGQNHAVRVPQKIPVYVSYFTAWPNKDGVVEYFDDVYGRDAYVDK AFDATTKARGAQI YP_002976052.1 MHPGVFAALVWRRKFGKKLTNNFIILCELLGGAVQDLRHTKLSP PALAAQAGF YP_002976053.1 MTATRTETDTFGPIDVAADRYWGAQAERSLGNFKIGWEKQPLSI VRALGIVKQAAARANMSLDQLDPGLGKAIVDAAQEVIEGKLNDHFPLVVWQTGSGTQS NMNANEVISNRAIEMLGGVMGSKKPVHPNDHVNMSQSSNDTYPTAMHIACAEQIAHHL LPALKHLHAALDMKVTEFSHIIKIGRTHTQDATPLTLGQEFSGYAAQVGSAIKRIEMT LPGLCELAQGGTAVGTGLNAPVGFAEKVAEEIAAITDMPFVTAPNKFEALASHDSMVF SHGAINAAAAALFKIANDIRLLGSGPRAGLGELALPENEPGSSIMPGKVNPTQCEALT QVCIHIFGNNAALTFADSQGHFELNVYNPMMAYNFLQSVQLLADAAVSFTDNCVVGIE AREDNIKAGLERSLMLVTALAPKIGYDAAAKIAKTAHKNGTTLKEEALASGLVTSEEY DEIVRPESMIGPK YP_002976054.1 MTNAKPTAVDDLLVFFEDNWIRGNLLRLSSDPEGADMYVRFVNG VRIDAKHGPDHETIIRGEYGTFRLKPDGHFEYELDYTLDVVKNLTKYDQLIEKLSFKM SDGSGGTDLGVLTLAIDGVNEGDKYHEILDFDDLGVTSRADNFSLPDYRNFALSVNGS HEVTLLNGYVYDTIPGVDAITEDGFSDTVLSPGSAPVSLKMLDGGEFTFQSVSIAELS AAPFHLTLTALNDGQIVYQQELEVTGPTLEVNLEDIEEIRFDFMGNSVVMDNFSLFAL L YP_002976055.1 MADDLFPLGKDATPYRKLSGDHVSVDTFKGQEILTVEPEGIRLL AETAFADINHLLRPGHLKQLASILDDPEATDNDRFVAYDLLKNANIAAGGVLPMCQDT GTAIIMGKKGRRVWTEGEDTAALARGVMDAYEKKNLRYSQLAPVKMFEEKNTRNNLPA QIDIYEEGTDAYEFLFVAKGGGSANKTFLYQGTPSLLTHDRMIDFLKEKILTLGTAAC PPYHLAIVIGGTSAEMNLKTVKLASTRYLDELPTEGSESGHAFRDIEMETEIHKLTQQ MGVGAQFGGKYFCHDVRVIRLPRHGASLPIGLGVSCSADRQAKGRITRDGIFVEQLET DPSKYLPEIDEAKLSESTVRIDLNRPMADVLAELSRHPVKTRLSLSGTIIVARDLAHA KIRERLEKGEGMPDYLKNHPVYYAGPAKTPVGYASGSFGPTTAGRMDSYVDQFQSFGG SMVMLAKGNRSRAVREACKKHGGFYLGSIGGPAARLAQDCIRKVEVFEYPELGMEAVW KIEVEDFPAFIVIDDKGNDFFQELNLG YP_002976056.1 METSRHISFAILAFTALAAGALLLEHTGFFAGAFRREILARVDA WDVVSASEAVTARNIGASDKASAR YP_002976057.1 MNTAVAPKVQVPDVAGQITYAMRSMGVAPIPRNYELFYEAYIGS NPALTRELAALGGQVTQAELDALGAQHFTHSPARVFDDAHTRISGELDGLLRILKQEQ SSLESYTRLLGETHKRITSKSNASVELIENAIDLLSQATGDTMAHGERTVEDVVQRSQ EMDQVRKELDEYKRIANTDSLTRLSNRRAFDDRLAAIFDNSSMRPVTALLLCDIDNFK KINDTYGHPVGDKVLATVASVIRSNVRRDIFVARTGGEEFALIIDGNTPEEVTGIAER IRRTLETTPFKNSRTRVNYGPVTVSIGICMASNAEDAGELYSKTDIALYGAKNAGRNC TILYQDGMQKDFTKSWLIYKT YP_002976058.1 MKIISSIEELNTIYGAGLSPASVTKVTKQLTPLYREMIEISPFA ALATVGPEGLDCSPRGDLGGVVRVANDETLHLPDWRGNNRVDSLSNIVRDPRLALMFL IPGSNTTMRINGRGVVSDDEALLSSFEMDGKHPRTVVVISIDEVYFQCARAVMRAELW NEEHFSDPAKLPTPGQMLKAATGDFDQETYDREWPGRAAKTMW YP_002976059.1 MANFALDVTRLGFSLLQAVSPDLAGRAAFRLFCRTPSAKPKGAK ARAAHAAGAARLAGAERFTLRLAGGRQAHAYRLNGGARGKRKRCLVTHGWGSSAAYMA ELVSMLAATGAEVVALDFPGHGRSGGRFLHMGLAVETIAAAEARFGAFDAAIGHSFGG AALMVSAAGLLPGVAPVGGDRLVLIGAPSEMGWLFTDFGRMVGLRPAAQAALENEVHR ITGRRLEDFDAGAAAGVIGRPVLVIHAEDDKEVSSLHARRYGAAGKGVRLLWANGFGH RRIIGAAPVLAAIATFLDGDRGPGEVPDESIKKDAEIIPFFELPARRAAL YP_002976060.1 MNKNQSLPWDHPRFRSWIAVARACQLMQQSLARSLADLDIKPPH LDILVNLYRFEGISQQELARKLLVGRSNMSMLLPQMEKRGLLLRRDDERDKRVLRLYL TPEGRKLSEEAMAIQTGLIDRVLSDEPIEQCMATADSMERIITVLLKDLRDED YP_002976061.1 MAKTNGKNWLRAKGSASSNKVTFLELFFDLVFVFSISQLSHALA AHYTPLGAAEAALMTFAVWWVWIFTAWVTNWLDPDKMPVRGMLVALMMLGLLLSASIP EAFGDKGLLFAGAYVAMQVGRSLFTTYAMTRVDRANTLNFVRITAWLVAAGVFWIAGG LLEHEARLIAWVIALAIEYAGPAAGFAVPGLGRSTARDWDVSGAHMAERCALFVIICL GEAILVSGRTFAELPFSGLTSVVFVTAFIGTVAMWWLYFRFGHGRAAHRIEHEETPGS LARQAFTYGHIPILAGIIVHAVAVEFMFSHPHETGDLGIAAAVLGGSGLFLIGNLWFK GATSGRMPLSHLAGLVFLILLAFVAPFIEVYLMGILATLVLIVVAAWEYRSLTGTEAA PTLH YP_002976062.1 MMSDIWPSELRVSKDRQRLVVTFNDGQSFDLSAELLRVLSPSAE VQGHGPGQKVTVPGKRNVAIISMMPTGNYAVRIGFDDMHDTGIYTWTYLRELGEQGPE LFSAYEAELSEKGMNRDTAEKPR YP_002976063.1 MNTRVGTIGNASPLVAGADPMIDPFGRAVTYLRVSVTDRCDFRC TYCMAENMTFLPKKDLLTLEELDRLCSAFIARGVSKIRLTGGEPLVRKNIMYLVRQLG QKIGAGLDELTLTTNGSQLSRHAEELYDCGVRRINVSLDTLDPDKFRKITRWGDIAKV MEGIDAAQKAGLKIKLNAVALKDFNDAEMPELLRFAHGRGMDLTVIETMPMGEIEEDR TDQYLPLSKLRADLEDQFTLADIDYQTGGPARYVRVEETGGRLGFITPMTHNFCESCN RVRLTCTGTLYMCLGQNDAADLRAALRATEDDALLHAAIDEAITRKPKGHDFIIDRTH NRPAVARHMSVTGG YP_002976064.1 MNSMNTNYSIRDEIRDFWSERAATFDQSVGHEIFSEAERKGWQR LIRKHLGEGQGRAALDLACGTAVISHLMHGAGFAVTGLDWSDAMLAQARAKAKKRGTD IRFVSGDAENTMEPRESYDVITNRHLVWTLVDPASAFKEWFAVLKPGGKVLILDGNMG KETWVKGLQKLWTKVTGKPAASHMTPEMMARHQKIRSRVHFSSQMPAEAVVDLLRQAG FTDIVVDRKLADIHWAQARKMPFLRGLERMVQDRFAICARKPE YP_002976065.1 MNFVKMLAVSAAAIAGLMPLSAWAQSFTVKDVAGREVTFDKPVE RVILGEGRMLYAVAPIEKEDPFAKIVGWRNDLWTTDKDGFNAYVEKFPKGKDLPFLGN LTDGTLQTETVVNLHPDVLLLPIGNKAAADEVKLEDMLNGIGVKIVYIDFREHILANT EPSLKILGQIFGHEDRAEAVASFWKEQLARVTDKLKAANPPKPNVFMYRAAGLVECCG TFGPDNFGLMVDWAGGHNLGSDFLPGYTGSINAEQVVASNPDVIVVTGSNWSQTKNAK DFVNVGPNAAATFDDGRKALNTLMENPAFTGSRAVAGGNVHAIWHQFYTSPYQFVAVQ QLAKWFHPNLFADLDPDATFKEFHEKFLPVAYQPGYWVDAKAGQ YP_002976066.1 MAEIAAISIEAEAGRERYRALARRKLLILAAMTAALCLSFAVDL AWGPARYNLSEVVAALLDPSSVSDQVRAVVWDIRMPVAVMAIVVGASLSVAGAQMQTI LANPLASPFTLGISAAASFGAALAIVTSVPLLPVAAGLLVPVNAFIMALIATLFIHFV SQARGVSVQTVVLLGIGLVFTFNALLAFLQYLASEQALSAVVFWTMGSLTKATWPKIW VTLAVLLIALPLFARNAWALTAIRLGEDKAASFGVNVRRIRLETMLVVSLLAAIPVSF VGTIGFVGLVGPHISRMILGEDQRFFLPGSILSGALLLSLTSIVSKSIIPGVVFPIGI ITALVGVPFFFSLILSNRSRSW YP_002976067.1 MVALQLQSVGAYHGRKLFVEDVTTPVMTSGEVVAVIGPNAAGKS TLFKRITGLLKGPGQVVVEGSKATNAIGYMPQDTSANAVLTVYESILLARKQGQSWAV SDSDLRFIDEIMKALDISAIAFRDLGALSGGQRQLVSIAQALVREPEIMLMDEPTSAL DLHRQVEVLDFMRRQARIKGMIVLIAIHDLNQALRFADKVLVIANGRMHACGTPRDVV TAEMLREIYRVEARVEKCSMEYHHVIVDGTAH YP_002976068.1 MTRLNELRLISRVAQMYHLEGRRQAEIAQHLRLSQATVSRMLKR AEAEDIVRTSVTPPVGTYSELESALREKYDLPEAIVVECTEDRDGAIMARIGEAAAHL LEVTLAPGEIIGVSSWSQTISKMVENIHPLKSAQAKYVVQTLGGMGDPSVQTHATQLT TRLARLTGAEPKLLAVQGVTTSREAKFLMQADPYVRETMDLFGSITLAIVGIGAVEPS ELLARSGNIFSSRELSDLAEAGAVGDISLRFFNKNGKPVKTPLDDRVIGLPLEDLERV DRVIALAGGSKKTDAIAGALRVGVIDMLVTDKFTAQRLIN YP_002976069.1 MRAILAIDQGTTNSKAVLVSEKGEIVGRGSAPVGITYPKPGWVE QDPHRLYASVCEAIDACLKATPDVAVEAVAISNQRESVTAWDAETGEALGPVVSWQCR RTAQDCERLIAEGHLDRVQALTGLPLDPMFPGSKFRWLLDRIPAGRSVRLGTVDSWLV HCLTGGRRHACDASNAARSQLFDLQEQRWSEELGEIFGVDIALLPEVLDSSADFGRTQ GSPGVPDGTPIMAVVGDSHAALFSHGAFKPGDGKVTFGTGSSVMTTLPRFIPPRNGVT TTVAWRLNGKPTFAFEGNILVCAASLPWMAGILGLSDVAALVELAASAEPGGPGFVPA FVGLGAPYWNSDARALFSQINFNTTRAQMARSVTDSIAFQVHDVIAAMRAQSGGELGA LYVDGGPSQNRFLMQCVSNLIEHPVIQCEAPEASALGAAYLAGLSLGLWSDLQVIAEL PRSSHIIDPEPVDRAVLLNTWNDALARSTARETTANDE YP_002976070.1 MNAPINAPKLYDCRDAFATTLERLAAENETIVAVCNDSVGSSKL GGFKAKFGERLVNVGIAEQNMVGVAAGLANGGRLPFVCAAAPFLTGRSLEQIKADISY SNANVKLVGISSGMAYGELGPTHHSIEDFAWTRVLPNLPVIAPCDRIETAAAVEWAAA YNGPCFLRLSRVGVPDLLPEGHKFELGKANLLRQGSDVTLIANGTLTHRILKAAEILA ERGINARVLNLATVRPIDEEAIIAAAKETGAIVTAEEHSIFGGLGSAVAEVVVDNAPV PMKRLGVPGVYAPTGSAEFLLDEFGMAPSAIADAAQSLIRRK YP_002976071.1 MNTTELERVAREIRLRDLRAVFEAGAGHIGGEMSVIDILTALYF RVLNVWPDQPTHPDRDRFVLSKGHTACALYVTLAKRGFIPEEEISTFLQPHSRLNGHP NCNKVPGVETNTGPLGHGLPVAIGMAKAAKLSGAKYHTYVVTGDGEMQEGSNWEAIMA AAQFKLDNLTLVIDHNRFQQGAALAETNDLAPLRPKLEAFDWEVTEINGNNMSEVVSA LEHRVSRPHCIVAHTNKGHGISFMQDRVDWHHKVPSKEQYEIALAELSEAL YP_002976072.1 MVALDINEHGLSSGAWLSKLKGATGPLVGLLLLCVFLSLSTDTF LSVRNGLNILDQITVLGIMAVGMTFVILIGGIDLSVGSALALAMMVMGWTANVAGLPL PVGIVFALVASGISGLIVGLLVTQFRVPAFIATLAMMSAARGVANMITDGQQIVGFPD WFMMLAIDRHFGVLTATVFLMLAVVLAAWLFLHFRSEGRMLYAVGGNPEVARLAGINV PLVTIGVYVASSVLAGLAGIVLAARLDSVQPSSGLGYELDTIAAVVIGGTSLSGGAGG IGGTLIGVLIIGVLRNGLNLLNVSPFLQQVIIGIVIVLAVGAETIRRRRA YP_002976073.1 MKIARTMLASAALLGLMLGPVHAAELKKLGLAVANLQANFFNQI KQSVEAEAKKRGIEVITVDAKGDGPTQVNQIQDLLTQKIDALIYIPAGAAAATVPVKL AKSAGIPVVNVDRNAEGAPGDTFLATDSVASAKAVCDYILKEAGGKGKMVIIHGQKGT TPEVDRSKGCAESLKAYPDVKVVAEQFSNIWSQDEGFQIMQNMLQANPDVSIVFAQAD GLALGAAQAIKVANPSQKIVVGGFDGDTAALEALSKGVFNVTATQQTQKMGRDAVENA AKLVAGEKVPPVQLLDATLTTKENVAGFIANHP YP_002976074.1 MTDPVLSLRGISKWYGPLQVLKNVSLDVYPGEVVALLGENGAGK STLSGIIAGSRTPSEGSMTWLGQPYAPATPREAIDKGVVLIHQELQLLPQLSIAENVF IGRWPMKNGVVDRAQMVRRAQDQLARLNLHIPATRTVAGLSTANQQLIEIAKALALNA KLLILDEPTAALGGAETEALFEQVRKLRSEGVGIVYISHRMEEIKRITDRIVVLRDGE RVQEFSDSATPVRTIVESMVGRPLDRLFPALPVPTEHPVLQVSGLCSPDNSFRDVTFE VRAGEILGIAGLVGAGRTELVRAISGADPISAGSIKLEGEELRLRDPADAIAKGIVMV PEDRKEQGLIVGHRISENIIYANLDKLGGRWITPSVKRSFAEKAVAKFGVKGRAEQYA SDLSGGNQQKVVIAKWLMRDPKVVVLDEPTRGIDVGARAGIYDIIVNLAKRGVAVIVV SSDLEEVLGVSNRILVLAQGKQAGILNRDQANDVSVMELATI YP_002976075.1 MSDITLNAPKLFDLSGQVAIVTGAGSGIGQRIAIGLAQCGADVA LLDRRTDDGLVKTAEHIRAAGRRSIQIAADVTSKSSLGEAIARTEADLGTLTLAVNAA GIANANAAEEMEEDQYQTLMDINLKGVFLSCQAEARAMLKNGRGSIVNIASMSGVIVN RGLSQAHYNASKAGVIHMSKSMAMEWVDRGIRVNTISPGYTATPMNTRPEMVHQTKLF EEQTPMQRMAAVDEMVGPAVFLLSNAASFVTGVDLLVDGGFCCW YP_002976076.1 MKRFEQKTVVITGGSRGIGAAIAKRFAKEGANLVVSANEDLVHG VAEQIRAEGGKAISFIGDVTDKASVTALYDAAEKEFGSVDVSIQNAGVITIARVEDLT ENEWDKVMAVNTKGVFLCAQEAISRMRKHKRGGRIINTASGQARDGFIYTPHYAASKM GVVGITQSLAKEVATEKITVNAFCPGIIETDMWAYNDQAWGKLLGNYAPGELMKEWVE GIPMKRAGSGEDVAGLVTFLASDDAAYITGQTINVDGGLIMF YP_002976077.1 MTIATIEDAISAIASGKMVVVVDDQNRENEGDIVVAADAVTPEA IAFMMTHARGLVCIAMEGERLDALDIPLMVPNNTESHKTAFTVSVDYLKGTTTGISAA DRAATVRALVDDRAKPAEFARPGHIFPLRSNPRGVLGRPGHTEAAVDLARLAGRIPAG VICEVANDDGTMSRLPELTLFAERHNLPLVTIEDLVAYLDRQAAKDIREVA YP_002976078.1 MTLLNDKIAIITGASSGIGRAAAKLFARQGAKLVVTGRRQDALD AVIAEIEAEGGQAVAISGDVRDEALQERLVETAVSRFGRLDIAFNNAGIIGEMGPVAG LSVEGWRETIETNLTAAFLGAKHQSAAMGKGGGSLIFTSTFVGHTVGMPGMAAYAASK AGLIGFVQVLAAELGAQKIRVNALLPGGTDTPASITNAPDATPEVLAFVEGLHALKRM AQPEEIANAALFLASDMSSFVTGTAMLADGGVSISRT YP_002976079.1 MPRSRNTEGAIYMSMAMAGFSASDALSKSVIAYMNAGEIMFLRG LFTSLLVYLIAWKMGALRSWRIMLQPMIIFRIICETLSAVTYITALGMMPIANASAIL QSLPLVVTFGAALFFGEPVGWRRWSAILVGLVGVMIIIRPGPEGFTAAALLCVAAVLT TAGRDLATRSISPEIPSLMITVITAISASFFGALLIPVLGGWQPVSAAALGHLVLASV LVLVGYQSVILAMRTGEISFVAPFRYTSLIFSSVLGFFFFAEVPDSWTLVGAAIVIAS GLYTFYREAKRRVSPIAQESAPRAPV YP_002976080.1 MAQFSLDKSHIAGVVLAGGRSQRMGRDKAGVMLGAESLLRHVLT RLSQQTFHVAVNADAAAEGVPVIPDRFPGKAGPMAGIHATMVYAAGLPSITHVVTVSV DCPFFPADLVARLAAAVEHASQIAIATSEGRSHPVFGLWPVTLAADLEAWIATDEKRR VRDFLLRHDVTEVAFPLHPTRASLLDPFFNINTPDDLVEAERWLEALRV YP_002976081.1 MTAPKIFGIAGWKNSGKTGLAVRLVTEFTRRGYRISTIKHAHHD FDIDKVGADSYRHRQAGAHEVTIVSGTRYAIMHELRGAPEPEFEEILARLAPCDLVLI EGYKREPIPKIEARRLEAANREPLAPSDPHICAIAADHAVTDTALPVFDLDDTGAIAD FIADIVGLGQAKL YP_002976082.1 MAGIAAIPNSGKPKALIYTPAGRDAWVARSLVDEAGLASIAATD LSMFASSLSDDIALGVLTEEAVRSSDLKPIAAWVSAQPSWSDLPFIVLTTRGGGPERN PGAARLSEVLGNVTFLERPFHATSFISVARTALKGRLRQYEAQARLEALGEGERRLQT ALAAGRLGAWELELSSMALSASATCKAIFGRGPDDDVTRDDLIASIHPDDRDLVLARL RQTIDTGRDYSIEHRTIWPDGSLHWTEVHAQLYSDRYGSARKLVGVCSDTTVRKTIEE NLRRLNENLEERVRERTKEVNAAHQTLLEEVAQRERAEEQLRQSQKMEAIGQLTGGVA HDFNNLLMVVLGNLELLGKHVGGDAKATRLVDGAIQGARRGAALTQRLLAFARQQDLQ VKPIDLAELVSGMNDLLRRSVGSSISIETILPATLPPALIDANQLELALLNLAVNARD AMPDGGTLSISLREEQVPGDDGALGEGAYLVLAVADSGTGMDAETLKKAVDPFFSTKE LGKGTGLGLSMIHGLAVQLNGALRLTSELGVGTTAELWLPATERRAERAIEAELPVPQ AASRLKIILVDDDALIAMSSVDMLEDLGHEVVEANSGSQALELISSGQHFDLVITDYS MPGMTGAQLAQAARDICPGLPIVLATGYADLPAGTDIDLPRLAKPYDQAQLAKEIAKA MASETVPLLRSGGNAGGSKLRLSKANDVSDEIGDGACVV YP_002976083.1 MNTAAPATKARTGVSGLDTVLAGGLSPGHVFLLEGNPGAGKTTI ALQFLIEGARLGEQGLYITLSETESELRAGAASHGMVIDGNIEIFEVVPPESLLDADQ QQSLLYSSDLELGETTKEIFAAFERVKPRRVVLDSLSEIRLLAQSSLRYRRQILALKH YFARQGATVLLLDDLTSDVLDKTVHSVVHGVIHLEEMAPNYGSERRRLRVIKYRGQAF RGGYHDFIIQTGGVIVFPRLVAAEHRSSYTRDQISCNIAELDLLLGGGLERGSSTLIL GPAGTGKSTFSFQFLVAAVARGEKVAAFIFDEELGLLFTRLKALGIDLEAMRDAGHIH IEQLDAAELSPGEFAHRVRSCVDKSDAKTVIIDSINGYQASMPDENSLILHMHELLQY LNRQGANTFLTVAQHGLVGDMKAPVDVTYLADTVILLRYFEAAGKVRRAVSVIKKRTG FHEDTIREYRIDASGLRFGDPLVGFQGVLRGVPEFIATSTPLLKTDGGDSGNS YP_002976084.1 MPRPAVNDLIAFLAVARAQSFTKAAGKLGVSQSALSHTIRGLEE RLGLRLLTRTTRSVAPTEAGERLLVSIGPRLDEIESELAALSAFREKPAGTIRINAGE HAADAVLWPALEKLLPDYPDINVEIIVDYGLTDIVAERYDAGVRLGEQVAKDMIAVRI GPDMRMAVVGSPAYFGTRPKPLTPQELTDHNCINLRLPTYGSVYAWEFEKDGRELRVR VEGQLVFNNIALRLNAVLAGLGLAYMPENLVDAHLADGRLVRVLEDWCLPFSGYHLYY PSRRHTSPAFALLVDALRYRP YP_002976085.1 MEIKRSGSQPSAKGPADWFTGSVRVDPLFAVTSPARAAGASVTF EPGARTAWHTHPLGQTLIVTSGCGRVQREGCPVEEIRAGDVVCFAPRERHWHGAAPTT AVTHIAIQEQLDGKVVDWMEHVTDTQYQG YP_002976086.1 MQKRELGKSGLQVSAVGLGCMGLSYGYGPATDIQEATVLIRRAF ERGVTFFDTAEAYGPYKNEELLGEALAPFRNEVVIATKFGFNFDANGGQSGMNSRPKQ IRAVADQALKRLKTDVIDLFYQHRVDPDVPIEDVAGTVKALIAEGKVRHFGLSEAGAR TIRRAHAVQPVAALQSEYSLWWREPEQEILPTLEELGIGFVPFSPLGKGFLTGAISET TTFDSKDFRNVVPRFSQEARKANQALVDRLGEIAARKKATSAQVALAWLLAQKPWIVP IPGTTKLHRLEENIQAAEVELTAEDLASIESALATIKVEGDRYPAHLQARVNR YP_002976087.1 MKLYQNEISSATSRVRIALALKGLTAEALPVGILGEDSESRQAG YRSVNPQGLVPALLTDSGVLITQSLAIVEYLDEIKPEPPLLPDTAEGRALARSIALAI AAEIHALLPPRIGLHLGKVFQADADAITAWNRHWVGEGMAAVEAMIAGRRQGAFAFAD QPGIAEIFLFPQAISARRLGFDLARWPNIAEIVARLEAIPAFQENAPAPRR YP_002976088.1 MTKIDKVLYTGKTHTTGGRDGASHSDDGQLDIKLSPAGSNRGGT NPEQLFAAGWSACFIGAIGFAAANQKVKLPADLAVDTEVDLGTADGGYFLQARLKVSL RGIEAEVAKALIDEAHQTCPYSKATRGNIHVELTVA YP_002976089.1 MKAAIPLRSGLTWPSTLRSRIFLILLIGLALAYGLSFSVLYMER YMSAKAVMLGTLENDVATSIAVLDRLPPGERGDLLDRLSRGNYRFELGPGLPGVPDTS SKGAEISGKIEEAIGHRFPIRIERIPGDVNRLQAHLTLSDGSPLTIDVTPKGVMPIAA WLPYVFVVQMVLLILCTWFAVRQAIRPLGELAAAADALDPNKDGPALSEAGPSEVAHA ARAFNAMRERIAHYLEERVQILAAISHDLQTPITRMRLRADMAEDSPDKDKLVHDLGE IQRLVQDGIAYARSAHGNGEKSARIDLASFIDSIAYDYQDTGKAVTVVGLVQGAAFTK PHALRRILSNFIDNALKFAGAAEISVERSAENDIVISVMDRGPGIPDDMLEAAMQPFF RLEQSRNRETGGTGLGLAIAQQLTAKIGGSLRLYNRAGGGLAAEVTIR YP_002976090.1 MDHVDHILIVDDDREIRELVSGYLQKNGLRTSVAADGRQMRSFV EANAVDLIVLDVMMPGDDGLVLCRELRAGRHKAIPILMLTARTDEMDRILGLEMGADD YLPKPFAARELLARIKAVLRRTRMLPPNLQISEAGQLLTFGDWRLDTVARHLLDKEGT AIALSGAEYRLLRVFIDHPQRVLNRDQLLSLTQGRDADLFDRSIDLLVSRLRQRLGDD AREPTYIKTVRSEGYVFSVPVEISEPRQ YP_002976091.1 MSEQINHHRRRFFGMTAIALAAVEFGVAGTAAAQSSATAASVPA IKPGTNTSFEALKQVKAGVLDIGYAEAGKPDAPVVLLLHGWPYDIYSFVDVAPLLAAA GNRVIVPYLRGYGTTRFLDDQTPRNGQPSALAADMIALLDALDIEKAVIAGYDWGGRT ANIMAALWPERCKAMVSVSGYLIGSQEANKKPLPPKAELAWWYQFYFATERGRQGYES NTHDFAKLIWQTASPKWNFDDATFDRSAAAFDNPDHVAIVIHNYRWRLGLVEGEAKYD AYETTLAATPVISVPTITMEGDANGAPHPQPSAYAGKFSGRYEHRTIGGGIGHNLPQE APQAFAQAVIDVDRF YP_002976092.1 MTLLIIAYLGGALTILSPCILPTLPFVFARAGQPFVRSTLPMLA GMAATFALVATLAAVGGSWAIRANEYGRLAAIVLLALFGASLLSPRIASTLARPVVDL GNNLMNATGGGRGTTTVKSALLLGVATGLLWAPCAGPILGLVLTGAALQGANLQTTFL LIAYAAGAASSLAVALLVGGRIFTAMKRSLGVGDRIRQGLGAAVLAGVAVIALGLDTS LLARLSYASTASLEQAVLDRLHAKPLSGASSELASNEVMIAAADAKTPFRSDLPVEGH APSLDGAVEWLNSQPLTTEQLRGKVVLVDFWTYSCINCIRTIPYVRAWAEKYADQGLV VIGVHAPEFAFEKKIDNVKKAIGGFQIGYPVAIDNDYSIWRAFENSYWPAAYLIDAKG QIRYHHFGEGNYDRTEQAIQDLLREAGSQTTASAPVAPDARGVEAGPDLGNIRSGETY LGYEQAANFASPEGLQADTAKSYSIAEPGLNGWGLSGTWTVGRDQATLDQSGGGITYR FSARDLHLVLGPGGSGKPVRFQVKVDGKAPGLDHGSDIDADGNGTVTATRLYQLVRQS DTVAARNFEIRFLDPGVQAYAFTFG YP_002976093.1 MNKRLLFTAALAFATTAIAFAAEAAEVKNIVIVHGALADGSGWR KATDILEKRGFNVTIVQQPITSLDDDVAATKRVLDLQDGPVLLVGHSYGGMVITEAGN DPAVAGLVYVAAFQPDKGESLLSLASSKPAGSMDIKETKDGKYLYLDPGAFAADFAAD LPQADADFMAKSQVFAAKQAFSAKITQPAWRTKKSWSIVATEDRSINPELERDMAKRA GSNVTEIKASHAVFASQPEKVADAIETAARKAGE YP_002976094.1 MDSRKGRRIAVLSEALSQHRILHIKNAAEILGVSEMTVRRDVGA NADQFAFLGGHIVPAIEAENDPYEISKAADSHAAAKRQVCIQAIDYIHHDDTVFFDCG TTLPYLVDLLPDQIHITAICYAMNVADRLTRKPNVRLVMLGGLYHPASASFSGASGLE MLDQLVINVAFLSAAGVDARRGATCAHFHEAEIKKKAMSRARKNILLADSSKIGKLKP AFFSDMKSFDVVITENGMDDFD YP_002976095.1 MPDGTHNRPRNTGMGLDLSWVLDTRVNLSATERRVASLPGRRTV KKDAQAAWLLKAVTCIDLTTLNGDDTPERVKRLCAKAMNPIRADILDSLGMSGRSITT GAICVYHRFVATAVEALGDSGIPVAAVSTGFPAGLSPHHLKVKEIEASVADGAKEIDI VITREHVLTGNWTALYEEMKEYRAACGDAHVKAILATGDLKTLRNVARASLVCMMAGA DFIKTSTGKEGVNATLAVTLTMLRAIRAYQERTGIKIGYKPAGGISAAKDVLNYQFLM KDELGREWLESDLFRIGASSLLADIERQLEHHVTGAYSALNRHPIG YP_002976096.1 MTVAKYFDEMSYGPAPESDIEARDWLARHASGFGHFINGAFVPS ASVKNFDTFEPATGKVLAKLANGGAADVDNAVAAARKAQASWARLPGHARARHLYALA RMIQRHARLIAVVEAIDNGKPIRETRDLDVPLAARHFYHHAGWAQIQDTEFADHVPVG VVGQIIPWNFPFLMLAWKVAPALALGNTVILKPAEFTSLTALLFAELASAAGLPPGVL NIVTGEGETGALLVGHADIDKIAFTGSTEVGRVIRERTAGSGKSLTLELGGKSPFVVF DDADIDGAVEGVVDAIWFNQGQVCCAGSRLLVQEGIADLFHERLKRRMETLRVGQPLD KCIDMGAIIAPVQLTRIEALVKKGVSEGATLHQAKIDLPKGGSFYPPTLLSGVQPTSI VATEEIFGPVAVSMTFRTPEEAIQLANHTRYGLAASVWSETIGLALNVAAKLAAGVVW VNATNLFDAAVGFGGKRESGFGREGGREGCYEYLKPKAWVGRKARAAMPALSQAKPVA GDFALPSIDRTAKLFIGGKQARPDGNYSRVIASPKGKAIGEVGESNRKDIRNAVVAAQ AASAWSNATTHNRAQILYYIAENLSGRADEFASRITAMTGASAANANAEVDAAISRLF TYAAWADKYEGGIHQPPLRGVALAMPEAIGVVGVICPPEAPLLGFISLIAPLIATGNR VVAVPSEAFPLSATDFYSVLETSDVPAGVVNIVTGSAIELAKILAAHNDVDALWAFGS AELSTTVEKLSSGNLKRTFVDNGKATDWMDKAAAEGALYLRRAVDVKNIWIPYGE YP_002976097.1 MLKNIDPALNADVLHALRSMGHGDTVVVSDTNFPSDSIARQTVL GKLLRIDNVSSARAIEAILSVMPLDTPLQPSAGRMEIMGAPDEIPPVQQEVQVVVDGA EGKPAPMYGIERFAFYEVAKKAYCVITTGENRFYGCFLFTKGVIPPETV YP_002976098.1 MKVGVSILGIFVADTAYLAKRMPNIGETITGTGFAVGPGGKGSN QAVAAARAGGTVSFISKIGRDTFGDMALKTYAEAGVTPKVVQMDDMPTGAAFIYVNDS NGDNAIIVYPGAAGTIGVGDVEAARETIEQSAVFVTQLEQPAEAAQRALEIAHAAGVT TVFNPAPAEPFPDTIYPLCDYIVPNETEAAAIVGFPLDTLDDARRAGDAFLVKGVKAA LITLGGRGVLYHTAGQSVHVPAISAGAVIDTTGAGDAFVGGFSAALSRGFSPVEAVRF GCATAGIAVTRRGTAPAMPTIEEIEALLQKGGAA YP_002976099.1 MTRNDPVKHFFIWPALLIVLVISIFPLIYSLTTSFMSLRLVPPI PAHFVGFGNYTELLQNPRFWSVTWTTTIIAFVAVSLQYVIGFSVALALSRRVPGEGLF RVSFLVPMLVAPVAVALIARQILNPTMGPLNELMTAFGFPNLPFLTQTRWAIGAIISV EVWQWTPFVILMLLAGLQTLPEDVYEAAALENASPWQQFWGITFPMMLPISVAVVFIR LIESYKIIDTVFVMTGGGPGISTETLTLFAYQEGFKKFNLGYTSALSFLFLIVITVIG LVYLAILKPYLEKHK YP_002976100.1 MSVRDLKGSGRWWALAGCLLWLAFTFFPLYWVAITSFKSPLGVV GGPTYIPFVDFDPTLTAWSELLSGARGQFYNTFIASTIIGLSASVLATFIGSMAAYAL VRFTFEVKLLSGIIFVVVAFGGYLLGRHVLGYGQAISLIFAFVAALALAIGSSRMKLP GPLLGNDDIVFWFVSQRMFPPIVAAFALFLMYTEMGKLGFKLVDTYTGLTFAYVAFSL PIVIWLMRDFFAALPVEVEEAAMVDNVPSWRIFFGIVLPMSKPGLIATFMITLAFVWN EFLFALFLTSSKWQTLPILVAGQNSQRGDEWWSISAAALVAIIPMVVMAGILSRLMRS GLLLGAIK YP_002976101.1 MRRLLLSSTAAGLLAAAGVTSALACEPDYTGVTLTATTQTGPYI ASALQLAAKGWEEKTCGKMNVVEFPWSELYPKIVTSLTSGEDTFDVVAFAPAWAPDFT DFLSEMPKAMQSGADWEDIAPVYREQLMVWNGKVLSQTMDGDAHTYTYRIDLFENAEN QSAFNAKYGYDLAPPKTWKQYLDIAEFFQQPDKGLWGTAEAFRRGGQQFWFLFSHVAG YTSHPDNPGGMFFDPDTMDAQVNNPGWVRGLEEYIRASKLAPPNALNFSFGEVNAAFA GGQVAESIGWGDTGVIAADPKQSKVAGNVGSASLPGSDEIWNYKTKKWDKQPEVVQTS FMAFGGWQAAVPSSSKNQEAAWNYIQFLTSPAVSGQAAITGGTGVNPYRLSHTTNTAL WSKIFSEREAKEYLGSQKDAVTAKNTALDMRLPGYFSYTEILEIELSKALAGEVTPQQ ALDTVAAGWNKLTDEFGRDKQLAAYRSSMGLPAK YP_002976102.1 MSQVRLDQVTKSFGSVEVIPPLDLAIADKEFVVLVGPSGCGKTT TLRMIAGLEQTTSGEIRIGERDVTALRPGLRNCSMVFQNYALYPHMTVAENIGYGMKV RGTPKQDIDAAVANAARILNLGAYLKRKPNALSGGQRQRVAIGRAIVRQPDVFLFDEP LSNLDAKLRIEMRTEIKLLHRRLKTTIVYVTHDQVEAMTMADRVVVMNQGRIEQAADP ITLYESPKNLFVAAFIGSPSMNFIEGQLVQNSGGIAFQAEGGVDIAVPTQKAERLSAA VGQSVVLGIRPEHTMAGDPNVPTVSLQVADIEPLGPYTLAIGKVGSAPFTAQIHASSR VGPDDRISVPIDTQKMHFFLKSTGDTVG YP_002976103.1 MGMKSRLFASAAFPLLSLSLALQPAAAMAAVRDVATQASPVRQA EQGSFQVAQDAPAEVAQDAPSEEELLKKKRKQKEEAPAEQAPAAEKPAQQEAPAEKPK AERKEAPAPEPKAEPEAPKAEAAPKEEPAQQPESKPQRKAKSEAQPEAEQPVTQEKPK KPKKTEAQQAEPEQQPAAKEAQPEAEQAQPEAKPEGGKRDKGQDKAQGRDKGKGKAEK EAQPAAPEAVKPTAESAKPEATPEAKPAAEAPAEKKPAKGETAAPADKAPTDKATEGK ATEGKATEDKAAAPEAAPAEKPKDGTAAKPAGEQPAGAQPTAPATDTAQPLPDASGSQ QAEQAIPAPEKASPEELERRKKIAADPAKSSETVVLPVENGAAVLDSDKDADRSKGRE GRRDRDRQRADSQEVKVPTSDADAQAATGGKAPAPVKLEAVTREKGRKLDERPRFVRP DGARFDDRGSDDSRVIIQYDNRTIVRGDDDRRFLRDGERPSYEELSGDRYRETITRPE GYRIVTIRNRYGDIIQRSRVDARGRENVLYYSQDLYDDPDRDYFEDPGADLPPMRLRV PLSDYIIDTRSDPNRDYYEFLSEPPVEPVERVYSLDEVKYSARIRDKVRRIDLDTITF ATGSADIPMTQARTLRKVADAISQVLEKDPSETFLIEGHTDAVGSDQSNLILSDQRAE SVANVLSDVYGIAPENLATQGYGESYLKVNTSAPEQENRRVTIRRVTALVRPVAANK YP_002976104.1 MLNSTRIFAAASIAAMSLFAGSAMADGEKYVIGTDSTYPPFEFV DASGTIQGFDIDITKALCAEMKAECTFVSTDWDGIIPALNAKKFDMIVSSMSITPERL KLVDFSNKYYNTPPAIAVPKDSTISDVAGLKGKVIGAQTSTTHANYAEKHLADTELKL YPTADEYKLDVASGRVDAVIDDVVVLSEWVKSDAGACCKILTTLPVDKEINGNGAGIA IRQGDPLREKLNTAIAAIRASGEYKKIQDKYFDFDVYGQ YP_002976105.1 MGGLFSALSSFWSWIVHIFDPLCGPVGIFTWLGQSTILACGDTG WGDEIALGLQVTISVAIVTLPIGLAIGFLVALGQQSEEKPLRLAAGIYTTIFRGLPEL LTLFIIYYGMQMLIQSLLTFVGYDGPPIEINAFLAGVIALSVVFSAYCSEVLLSAFRA IPKGQYEAGDALGLHRGRTLRLIVLPQLVRIALPGLTNLWMVLLKDTSYVSIISLADI LRQTSVAVRVTKEPFFFYGLACCLYLVLAILSSFLLVYVERWAKRSEIRR YP_002976106.1 MSYAETLIPPQPAPREVMKPMTPARMAGYILVALWALLGVLLVI SVINGWDPEKFTRYGPRYLHGLWITLSLVFISVICGAILSLPLAVARMSKNRVLNALA YGYIYFFRGTPLLAQLFLVYYGLGIFRPQLEAVGIWWFFREAWYCGLFAMTINTAAYQ AEILRGAIESVSHGQHEAAAALGIHKFIAFRKIILPQALIVALRPYGNEIILLIKGSA VVAIITVLDLMGETRYAFSRTFDYQTYLWAAIFYLTIVEALRHLWAWFERRLTRHLKR YP_002976107.1 MHKEMEKQLKGYGLTTAQILYRLPDHPVILQTYVWQDYDLAPDF PEMRGFLKFWEEKLDGPLHSVRYIHRKLISATEWRALKGELILH YP_002976108.1 MKMEEDGTSSAREGEGGWPLLLPSTPGEVTNTLCHYYRGELGRM TSWRDRIDRTSNWAITVVAALLSVSLSTPTSHHGVLLFGMMLVTLLLMIEARRYRFFD IYRARIRQIERCYFAQILAPDPNAGSEWAVVVASSLRKPRFLLSYQEAMHRRLKRNYG WMYFILLLAWCLKISTPKLQTEGMPALQAQSWAYVIDNAVLGPVPGLAVIAIVIAFYV GMLGFALRSDRDEGEFGHGEAHV YP_002976109.1 MAKKIDEDALGEAYNRALELEKAGDVDAAVAAYEEVLALDPDDH GGAAVRIAAMGRGETPVKAPDAYVETLFDQHAEVFEDVLVEQLGYHVPMLVRQRLQAL KLGPFKRLLDLGCGTGLTGGALRDLCADMTGIDISEKMVEIAHEKDLYETLFVAEVED FLDDNDEEAFDIIAATDVLPYLGALEPLFFGAAENIMPGGLFIFSSETLPEEILAGRA YMVGPHQRFAHADAYVRERLTATGFELVEISDINVRMEEGRPTPGHLVIARYNG YP_002976110.1 MSLVLYGHPLASFCHKVLIALYENGTPFENRIVDLSDESSRADL FRFWPIGKMPLLRDEARDSTIPETSIIIEYLEQYYAGPVRLLPPEIDRALQVRLWDRF FDHYVQAPMQTIVSNRRRPDGTADEIEVAAARATLATAYAMIEKQLADKQWISGDGFT MADCAAAPALFYAETLVPFSSEHPNLRGYYDRLLARPSFARALDEASPYFKFYPYKER LPARFRDAAG YP_002976111.1 MIESQADLDRMFHALSDRSRRGMIDRLGRGPASVTELAAPLAVA LPTVMKHLQVLEESGLVLSEKSGRVRTYRLQQDAFAAVERWVEQRKIQWTTTFDRLDH FLANETESLPE YP_002976112.1 MTTRSAEHATLVIERHLKAPVARVFRAWSVPEAKRQWFACHGEW VPLDYGLDFRPGGTERNYVADTDGLLHAYDAHYIDIVPDARIVYAYEMKLGESRISAS LTTVAFEAAPGGTKMIFTEQVVFLDGYADNGARLQGTEIGLDNLELFLEREESPIH YP_002976113.1 MKKATATLKKSGSSEEKDGDSPSRLIDARIEELSDWRGEMLARV RTLIRQAEPDVVEEWKWRGVPVWEHAGIICTGETYKSVVKLTFAKGASLEDPSSLFNS SLDGNTRRAIDFHEGDEVDEEALKALIRGAATLNTSKRAAARPAGSRKKPSNA YP_002976114.1 MSGKTSKTAAKVTKKTAAKPDTAEPTLLSGGNPQIPKGYGDAPV QAYIAAMPGWKSDVGRRLDALITRTVPDVHKAVKWNSPLYGMEGQDWFLGVHCFAKYI KVAFFRGTSLSPVPPGESKQKEVRYFHIHEEDRLDEAQLAAWVEQASQLPGERM YP_002976115.1 MNWNNWLRQIHRWLSIAFTLAVIINIIAMVQEKSSVWVGLTALL PLTLLLLTGLYLFALPYAARWRGAGRSGG YP_002976116.1 MTEISNETRSVIVEREIPFPPEKIWRALTQPHLIADWLMKNDFV PIVDQRFKLSADWGSVDCQVLEVEPNKTLSYTWAAYDLESVVTWTLTPTGAGTQLRME QSGFRPDQRQAYGGAKSGWPQFFANLEQVLTRIE YP_002976117.1 MSDTQDVLFRTLADPTRRALFERLCREGEKTVGALTARAGVSQP VVSKHLGILKQAGLVRDRHEGRQTHYSAQLGALAPLVDWTSEMAGFWQNRFDQLEDLL KRMDQ YP_002976118.1 MTSIARERFHAVAEEPAYARENAPAVGRSAPAAISLTGLEKSFG ANRVLRGINLHIPAGQFVAVIGKSGCGKSTLLRILMGLDEPSAGELHFEDAGGAQASP NARIVFQEPRLLPWLSVADNVVVGLGDGIDRRAALKAADAVLAEVQLGEKTGEWPARL SGGQRQRVALARALVSRPGVLALDEPLGALDALTRISMQELINRVWCELGFTAVLVTH DVSEAVHLADRVIVLDEGRIALDLAIPYPRPRRHGHPGLAELEGRLLAAILGTDGGH YP_002976119.1 MSTFDTPFVRSVASEKAGKAGLRLPLPGLDKLTPYLVPVAIVAL WQLASSAGWISSRIMPSPADVGLAFWSTTVSGQLPNDVLVSAGRAFAGLLVGGSIGFL LGIANGVSRISEQLTDTTLQMLRTIPHLAMIPLVILWFGIGEESKLFLTSLGVLFPVY LNTYHGVRNVDRDLIEMGKVYGMGNWTLFRKVIFPGALPSIFVGLRYALGIMWLTLIV SESIAASSGIGHMANNAREFMMTDVVVLALVIYAVLGKLADVIARALERRLLVWNPVY QK YP_002976120.1 MTTSHTGTSEPINFLWFIPTSGDGTYLGSSDLNRAPEIGYLTQI AQAVDRLGYSGVLLPTGVACEESFVTAAALAAKTEKLQFLVAIRPGTASPAYYARLAT TLDRISNGRLLLNVVVGGSPAELAGDGIHLEHDERYAHAEEFFTVFEELLEKGTASFD GKYIKATNARLGFPSVQNPRPPLYFGGSSDAGIDFSVGRVDKYLTWGEPPAQVAEKVT KVRKAASERGREVSFGIRLHFIVRETDDEAWEAAERLIRHLDDDTIREAQERFVHESD SVGQKRMAALHGGRRDKLEVSPNLWAGVGLVRAGAGTALVGSPKTVAARLREYQEIGI DTVIGSGYPHLEEAYRVAELLFPELGITREQQRLGFNNEFGRKQVFAGGSHGGNLKVV SGS YP_002976121.1 MEFTTDGVDQPKDRPDTIKKGKVMISRRQTLGLFAAAAATAILP AVRPARAAASEFRIGWQKNGVLALAKRRSALEKRLADHGITVSWSEFTSGPPLLEALG AGALDFGATGDVPPLFAQAAGGQLYYVGLYKGSPAASAILVRKDSSIKTLEDLKGKKV AFKRGSSAHNVTVKVLRKAGLKPEDVEQLDLSPPDAAAAFKNGSIDAWSIWDPYLAIA EADPETRIITTAEGIVDSYSFFLANRDFTDANGQVIVDVLDELAKVGKSAQSNLDETV KELSEITGVPADVTRVTLTRKGADLGSVSTITDAAAAYQQALADEFYGLGILPKKLVT GDIVWRPKAS YP_002976122.1 MSEIPTIKPRRKGRSGMQAMLIPSQQMVAEQIRSAPEGVLTDVG LLRRRLAAQYGADACCPVTMQRHLRAIAELSFGALEKGEPVSTVTPYWRMVDPASLLA KRLTGGPTFIRERLAAEGRE YP_002976123.1 MAKVAFIGLGVMGFPMAGHLKTKGGHDVTVYNRTPAKAAAWAEK FSGHAAPTPAKAATGADFVFVCVGNDEDLRSVTLGENGALHGMKPGSVLIDNTTASAE IARELHAAAKEKGVDFIDAPVSGGQAGAENGVLTVMCGGDEAVFERARPVIDAYARMV GLMGPAGSGQLTKMVNQICIAGLVQGLAEALHFGKRAGLDIEKVVEVISKGAAGSWQM ENRHKTMNAGKYDFGFAVDWMRKDLGIVLTEARRNGAKLPVTAVVDQFYGDVQAMGGN RWDTSSLLARLEK YP_002976124.1 MIAPSSDAAELIAHLETLRSEENVAAMARFGIVTDHALGISNPD IRAVARLAKKDHLRAMQLWRSDIREARLLALYTAEPKRLTSEEARNWAADFNSWEIVD CAADLFVEARLDELISDFAADEREFIRRTAFAMIAGAAVHLKKEPDATILAWLPLIEA HADDPRNFVRKAVNWALRSIGKRNLACHAPALALARILAESPDKTAHWIGKDAARELA DEKLLARLR YP_002976125.1 MDDLNDYYYFAAVVSSGGFASASRDLKIPRSKLSRRVSRLEEGL GARLIERSTRHFRVTEIGQAFYERCQTILQEADRAKSIVSEAQSDPQGVVRMGCPLGL VDISVGGILPEFLERYPKIKLQIIGSDRRADLINERIDLEVRATNEPETQTSLTMRKL DRIRRILVASPSLVERTGNLHCVDELADLPTLAMTSWVSFHTWELVGPNDAKLVIRHQ PRLTCRSMTAILDAARAGLGFGLLLESACEADLQAGKLVRVLPEWQSEESQFYIVFTT AKGMPPAVRVLIDFLVEKSRQH YP_002976126.1 MNIRMVLLASAAAFAASTPVLAADAIVAAEPEPVEYVRVCDAYG TGYFYIPGTETCLKIEGYIRFQVNVGEDVGGDSDWDAVTRGQVQFTAKSDTEYGPLTG VIVMQFNADNATDQDAILDSAYLDVAGFRAGLFYSWWDDGLSGETDDIGSVVTLHNSI RYQYESGTFYAGLSVDELEDGVYKADEEANNVGVAFGVGGTAGAFSYQITGGYDVDNE DGAVRAMGTVDIGPGTLGLAGVYSSGPNSYYSSAEWAVAAEYAIKATDKLKITPAVQY YGNYFGGDKAVPDDFDGLGDAWKVGLTVDYQIVDNFYAKASVQYLDPDDGDDSTTGYF RLQRSF YP_002976127.1 MDRLDRKILRLLQEDSTLAVADLAKKVGLSTTPCWRRIQKMEED GVIKRRVAILDPEKVNTKVTVFVSIRTATHSIEWLRRFSEVVAEFPEVVEFYRMSGDV DYLLRVVVPDIAAYDAFYKRMIAKIEIRDVSSAFAMEQIKYSTQLPLDYMILDNAKSN ED YP_002976128.1 MSDEAMLEMLRQEIGSCRICRDAPGKGLEHRLPHEPRPVVVISS NARILIAGQAPGLRVHESGLPFDDASGDRLRSWLGVDRASFYDRDRFAIVPMGFCFPG YDDKGADLPPRRECAPFWRQRVISAMPQIELVLVIGQYAQAWHMAGERRDNMTETVRA WRDCFLSNRSPAVLPLPHPSWRNSGWLKRNPWFEEELLPVLQERTKMLLS YP_002976129.1 MTRGLRLIRDGVTAFALLVLVALIAAKLNDAAKIEHAGAFHAAD GDSLALGGERLRLEGIDAPELNQSCERAGKAWACGRAAREALQDMVLASGTVCQGSRR DRYDRLLVVCRSGAGGDINAAMVRRGMAISYGDYGKEEAEAKGQKAGLWAGTFERPRD VRDHARQSSGFDGVLRFIGQAVGWE YP_002976130.1 MSTGVSTSTDKIIVDRSRSHRNKAVSKAVRQTRERLQSGHASNS SFDRDVLNMYVASVLQSASLMPLFVVIITALGVYFTQNTQLLFWALLTLTCHTGNILL ARRARRQEITSENARKWRRLLLSGQFLLGCCWAVFALQGCDTCEPSSFILYKGATLLI ALSVTAMSNFMLTPAVLAAFSPAVLALAAKSGLSRDLLEISLTVAFTTTLVFFNYISD RLFKSNLRILSYQSEKDDLIAELEVAKSMSDEARRRAEEANLAKSRFLASMSHELRTP LNAILGFSEVMSAEVMGPLANPTYKEYAGDIHRSGQHLLDLINEILDLSRIEAGKYEL SEEAISLLDITEDCIGMVQLRARAKNIAISDQFERQLPAIWADEKSMRQVVLNLLSNA VKFTPQGGEIHVKVGWTAGGGQYISIKDNGPGIPEEEIPVVLSAFGQGSIAIKSAEQG TGLGLPIVQAILAKHDGQFLLKSKLREGTEVIAILPAKRVLQSLPAVEEAHAVARKRK SFA YP_002976131.1 MKPAVTKETGLRHFIAAASYSWAGFLRVLKEAAFRQELGFFVVS IAALALVGASIGEIVVAVLLFLGLFSMEAMNTAVEEVIDRISPEISIVGKHAKDLGSF AVTCMIAACCLYLGFVLGKHLFFS YP_002976132.1 MSVSGLPFDDFRTLLRDLPGPDARALVAARERDAQLTKPPGALG RLEEIAFWLAAWTGRTPAVNRPLVAIFAGNHGVTRQGITPFPPTVTQQMVENFAAGGA AINQICVAYDLGLKVFDLALDYPTGDITEEAALSERDCAATMAFGMEAIAGGTDLLCI GEMGIGNTTIAAAINYALYGGSARDWVGPGTGSEGEMLERKIAAVEKAVALHADHLDD PLEIMRRLGGREIAAMAGAILAARMERIPVLIDGYVATAAAAILKAANPSALDHCLIG HVSGEPGHLRAIEMLGKTPLLALGMRLGEGTGAALAAGIVKAAAACHSGMATFAQAGV SNKH YP_002976133.1 MKIKDYAVDTARAVAFLSRIPMRQSLFKGYDGRLGPLVRSFPFA GIVIGFIPALALFLLLGLRADPLMAALIALSVQVLVTGALHEDGLADTADGIGGGKSR EQSLLIMKDSRIGTYGAIALILSFAIRAAALAAIARHSSPLTAALAIPAVAALSRGAI AWHWQRLAPAKADGVAASTGQPDEAAMQFALASAGLVAALLIWPAFGLRPLVASLLAT GIAGFAFTAFIRRKLAGHTGDTLGATQQICEIATLCALATAL YP_002976134.1 MQTPCIHVCSLEPTTGFCAGCGRTLQEIGSWMSYSDTERRRIMA LLPARLAGAATPSNRMKTSLAGGPERPL YP_002976135.1 MIRLTVFLVVIGIGLAVLIVNNDNSRILGLQSDDFGRVVYLLPI ALMLSAGIWASRRSIGETMRQMMIWLVIILALVTVYLYRQEALGVGNRLLAGLVPGRA VVVTTSEGGQEIILHKLLNGHFQADVAVNGQTIEMLVDTGASMVALSREDAERIGIDL SRLTYSMTVMTANGRGRAAPVTLDQVAIGPIVRNNVAASVSEDGRLDQSLLGMSFLET LGSLQMQTDELRMRN YP_002976136.1 MNSLWPIVIGGALPALFWGITAIFQKQSATSATGSAVYLIAFGA ACALAGLIAALIWRPAPWTAEGLGFAATAGACFAVGTGLISFALFTYGVPVSKLAPIW SCNVLVTLAIGAVFLGEASELDVMKLVAGTLLIISGALLVSSA YP_002976137.1 MNPRQLKTFLAVIRHENLTRAAAEVNLAQSSLSDQIQALEEELG AELFLRSRQGVVPTAAGSVLKAYAEEILALNDEANAAVSAAAGSAEQSVTLGTLETIA AERLAPWLSLFRKKNPDVGLKLKVGGSGELLAQLQHGSIDVAFTFDRGQQDERFLTRR ICSEPLVLIGGRDSQARLPASLAALSTAPFVATETGCVYRHLFDTAFAEAQIAAPSIV TEAGSIATIIRLVASGTGYGLVPRLAVGPAATRGDVVELPWPGNPPAASLVMMWRRRR VQPPALTLLLQSASEELSPLRPADARLRHAG YP_002976138.1 MTDIRIEGARIIIVGGSSGMGLALARRLLGEGAAVTIAGRSEDK LAAARRDLGEHPGLATVAVDISREEEVATLFRNSGRVDHIVSTAADIEGAYQLLPKIE LAAAQRVVESKIYGPLLLAKYGAAHLPPSGSITYTSGVAAYRPAARGSVVAAVNAALE GMVRALAVELAPIRINAVSPGWVDTPIWSFVAGEAKQATLDAMAQRLPAGRVGRPDDI ADAIRFLIGNGFTTGTILHVEGGHRLV YP_002976139.1 MNIEAVKSIYFFEMARTRRTLLQSVISPVISTSLYFIVFGAAVG SRIQEVEGVSYGAFITPGLIMLTLLGQCISNGSFGIYFPKFTGTIYEVLSAPVAMTEI LLGYVGAAATKGMLIGFIILLTANLFVDVRIEHPFMMILFFLLTAITFSLFGFMIGIW AGNFEQLNLIPMLVVPPLTFLGGSFYSVSMLPPFWQAVSHLNPVLYLVSGFRWSFYGI ADVNPAISLAMITMFLVICLGTLAWIFKTGYRLRN YP_002976140.1 MAPIISVQNLTKTYANGFEALKGINLDVEKGEILALLGPNGAGK TTLISIICGIANPSRGRVLVAGHDVVKDFRATRGMIGLVPQELTTDQFETVFNTVSFS RGLHGKKANPAHIEKVLRALSLWDKKDNMLRQLSGGMKRRVLIAKALSHEPEILFLDE PTAGVDVTLRKDMWHVVEELRASGVTIILTTHYIEEAEEIADRVGVINGGKLLLVEDK AALMAKLGRKQLILDLTEPLSRLPDCFAGNGLTLEADGNRLTYDFDAGNEQESIAALL TRLGENNIHFKDLSTRQSSLEDIFVALVGAEK YP_002976141.1 MGRNENTRRRLRKGVAVGRMPVLTSALLSDTKITG YP_002976142.1 MIRNPILPGFNPDPSICRVGADYYIATSTFEWYPGVQIHHSRDL VNWTLVRRPLERRSQLDMRGNPDSCGIWAPCLSYADGQFWLVYTDVKRYDGNFKDAPN YIVTAPTIEADWSEPVYVNSSGFDPSLFHDDDGRKWFVNMQWNHRTESYGGSPKSPAF DGILLQEWDPVAKALKGPIKNIFAGSPLGLVEGPHLFKRNGWYYLTTAEGGTGYDHAV TMARSRNIDGPYEMHPNMHLITSKDHPGAVLQRAGHGQYVETPEGEAYHTHLCGRPLP PKRRCTLGRETSLQKCVWRDDDWLYLENGTSVPDVDVPGLFGAAPVEKPMRSEYSFDG GTLPADFQWLRTPGPARIFNLTDRPGHLRLIARESIGSWFEQSLVARRQEHHSFRAET VIDFSPDTYQQVAGLTHYYNRHKFHAVAVTLHETLGRCVTILSCNGDYPNGRLSFPAD SGVSIAADGRVQLAMEIRENDLQFFWQTEGKGAWQPIGPVLDAGVISDEGGRGEHGSF TGAFAGVFAFDTSGRAKAADFDWFNYDEL YP_002976143.1 MSWQPAADRYSKMKYNRTGRSGLKLPAVSLGLWHNFGGDTPHDR KIDMCRTAFDLGITHFDLANNYGPPPGSAETAFGEIMRTEFVGLRDELIISSKAGYDM WPGPYGEWGSRKYLIASCDQSLKRMGLDYVDIFYSHRFDPETPLEETCSALDHIVRSG RALYVGISSYNSQRSREAAKILKDLGTPCLIHQPSYSMLNRWVEDDRLLDTLDEVGMG SIVFSPLAQGMLTTKYLGGIPEDSRAAQNHFLKRDYIRPSIIDNIRKLNEIAERRGQT LAQMAIAWVLRGGRVTSALIGASRSSQIVDCVKALDNLEFTVEELAEIDVYAREADIN LWAKSAERE YP_002976144.1 MTRELGVGIIGCGNISTTYFSLAPLFKGLKVLACADINAQAAEA RAKEYGVKAQTIDELLVNDEIDVVVNLTIPDAHFRVSKAILEAGKHVYSEKPLVLSVE EGEELRRIAKEKNLAVGCAPDTFLGGAHQLARKFIDDGGIGRVTSGACYVMSPGMEMW HPNPDFFFLPGGGPILDLGPYYIANLINLIGPVKRVGGMTSMASPTRTITSQPRNGEI IPVKTPTTIQALLEFVNGATVTLTASWDVWSHRHANMELYGTDGSLYVPDPNFFGGTV EASGRDKDIKPLDAWEHPFGKINQESPNGSRANYRTAGLADMAMSLIEGRDARCSLDR TLHGVDVMTSILKSGEEGRFIDLTTTCTQPAALGIEEAQALLK YP_002976145.1 MTKLSYQLYSARNFQPYSAIFEKLGKSGYAEVEGFGGIYADLDD AGLKSLRAELDKNGLVMASGHFSPDFLEKEVQKSLNIAKILGMDSIYAPHLAAEERPS DAAGWAAFGKRLQEISKPYKAAGYEFGWHNHDFEFFKLQDGSRPIEHIFEGAPDISWE ADIAWVIRGGADPFAWIAKLGPRITAVHVKDIAPAGEATDESGWADVGHGKVEWARLM TALRATKAKHYVVEHDNPNDIDRNISRSIASFQSY YP_002976146.1 MTHRSTTPNIDLSGSWQLASVDGKIRTAIMLPGDVHTALHRASL IPDPYFGRNEEKVQWVAEREWAVERSFTLQEIEGDWYLDIDYLDTVASVHVNGFLALE ADNSFRRYRPDVSSMLKSGDNSIRIVFASNVAVGAARQKQQPFYIPYSTGNSPIPDGN MLRKPQCHFGWDWNIAIAPLGLYGTIALKKLETARIEHVVTRQTHNNDGSVDLKVTAT LFSKGPDIAQVYFDLDGERVRLDVGVNGETHVNHLFHIDNPRLWWPSGSGEQALYRLS VELPTDEVTKQIGLRTIELITTPDASGSRFAFEVNGREIFCRGANWIPADALFSLSSP EKTEDLLQSAKAANMNMIRVWGGGFYEQDHFYDLCDRLGLMVWQDFMFACNLYPSTED FLDNVTIEVDFQVRRLSSHPSIVLWCGDNELVGALTWFEESRKDRDRYLVSYDRLNRT IEQAVKKALPGALWWPSSPASGYLDFGDAWHADGSGDMHYWSVWHENKSFDNYRSVRP RFCSEFGFQSYTSLPVIKTYAEAKDMNIASPVMELHQKNAGGNERIAGTMFRYFRFPK DFPNFVYLSQIQQGLAIKTAVEYWRSLKPHCMGTIYWQLNDTWPVASWSSLDYGGRWK AMHYLVKRFFQPVAVAAIPSDDGKTIRFSLVNDTLADVSVDLSISLLTTKGERRHLRD VRAICSPDAAVTATSIDVSDIPEGTLLAWRFTASNGTGGEGHHVNGTYKALELEPAGL TVTHDYVEENGSVDINVTARGLALFVMIETETDGKYSDNAFDLAAGESRRITFTPARP LDRGALPEFRFYDLYSCQSAD YP_002976147.1 MNMNASVSIKDLSLNFGAVSVLKDLNLDINDGEFLVLLGSSGCG KSTLLNCIAGLLDASEGQIFIKGKNVTWEEPKDRGIGMVFQSYALYPQMTVEKNLSFG LRVAKVPQAEIDKRVARASEILQIQPLLKRKPAELSGGQRQRVAIGRALVRDVDVFLF DEPLSNLDAKLRSELRVEIKRLHQSLKNTMIYVTHDQIEALTLADRIAIMKSGIIQQL DDPTTIYNRPRNLFVAGFIGSPSMNFLHGELVKSGDGIAFSANGVIFSLADYDAGETL QPGRKVVLGVRPEHIKVNENTGGEEHDATVDIEEPMGADNLLWLKHAGHTMSVRVNGA RRFNVGAKVKLSFDMTVASVFDAESELRL YP_002976148.1 MADVGTLNTTAAGIDAVAPKLGDGPSGPRPRPAVSARNIMLYGT LIIAALYYLLPLYVMVVTSLKGMPEIRLGNIFSPPMEITFEPWVKAWAQACTGLNCDG LSRGFWNSVRITVPSVIISIAIASVNGYALANWRFRGSELFFSILIVGAFIPYQVMIY PIVIILREIGIYGTLTGLVIVHSIFGMPILTLLFRNYFVSLPEELFKAARVDGAGFWQ IFLRIMLPMSLPIFVVAMILQVTGIWNDFLFGVVFTRPDTYPMTVQLNNIVNSVQGVK EYNVNMAATILTGLVPLIVYFVSGRLFVRGIAAGAVKG YP_002976149.1 MTGQARAGRPNKLLRNLNAKIASIPMILTALVIFVGGTFWTIFY SFTNSKLLPRLSFVGFDQYQRLWAAPRWVTAIENLAVYGILSLIFSLVIGFMLAALMD QKIRFENTFRTIFLYPFALSFIVTGLVWQWVLNPDFGIQAVVRSIGWTSFTFNPLYTP EIVIYGILIAALWQGTGLVMCLMLAGLRGIDEDIWKAARVDGIPMWRTYLFIVIPMMR PVFITTIVIIASGIVKVYDLVVAQTSGGPGISSEVPAKYVYDYMFQAQNLGQGFAAST MMLVTVAIIIVPWAYLEFGGGRKRG YP_002976150.1 MKIRILAAVLAASVALPFGAANATDLEVTHWWTSGGESAAVAEL AKAFDATGNHWVDGAIAGSGGTARPIMISRITGGDPMGATQFNHGRQAEELVQAGLMR DLTDVATAEHWKDIVRPSSLLDSCTIDGKIYCAPVNIHSWQWLWLSNAAFKKAGVEVP KNWDEFVAAAPALEKAGIIPLAVGGQPWQATGAFDVLMVAVAGKDTFNKVFKDKDAEV AAGPEIAKVFKAADDARRMAKGSNVQDWNQATNLVITGKAGGQIMGDWAQGEFALAGQ KAGTDYTCLPGLGVNEIISTGGDAFYFPLLKDEEKSKAQAVLAKTLLDPKTQVAFNLK KGSLPVRGDVDLAAANDCMKKGLEILAKGNVIQGTDQLLSADSQKQKEDLFSEFFANP SMTPEDAQKRFAGIIASAD YP_002976151.1 MENKGNFGNAASTPAARERPTLKTIAFMTGLGVTTVSRALKDAP DIGAETKERVRMVARQLGYQPNRAGVRLRTGKTNVIALVLSIDEEIMGFSSQMVFGIS EVLSGTPYHIVITPHSHSKDPMLPVRYILDTGSADGVIISRIEPDDPRVRLLSERGMP FATHGRTDAGLTHPFHDFDNEAFAHKAVEKLVKRGRRRIALLQPPSKLTYYAHIRIGF QTGLHDYGAEEVPLRINTDAPLADIRDVVEVMMRSANAPDGIVCSAGSAAIAVNAGIE AAGKALGRDLDMVSKQSVPILNWIRPEIITAQEDVRHAGREMAKAVIARIDGVEPELL QSISQPTWPESGR YP_002976152.1 MPYDPKTIEPKWQAYWADHHIFRAEIDPARPKFYALDMFPYPSG AGLHVGHPLGYTATDITCRYKRMRGFNVLHPMGWDSFGLPAERHAMRTGVHPDITTKR NIETFRGQVQRLGFSYDWSREFATTDPAYVRWTQWIFLKLFEKGLAYQEEIAVNWCPA QNAVLADEEVKDGRYVETGDPVIRRRMRQWMLRITAYADRLLQGLDGLDWPENLKTMQ RNWIGRSEGAEIRFPLEHGKKVITVFTTRPETLFGASYMVLAPEHPAVAAIIEPEMRE AVAAYIAEAEGLEETVRADGRREKTGVFTGAYATNPANGARLPVWVADYVLAGYGTGA LMAVPAHDARDYAFAHAHELPIIRVVDSEDDIEKVAYEGEGAMVNSGFLDGLGSPEAR SAIIAWLQANGAGWPKVMYRLRDWLFSRQRYWGEPIPVLHLADGSVMPLPEECLPLLP PELDDYAPAPDGEPPLARAQAWVETIVPGTDIPARRETNTMPQWAGSCWYYLRFLDPE NTSEPVGREAERYWMPVDLYVGGAEHAVLHLLYARFWHKVLYDIGVVSTEEPFQRLFN QGMILAHSYRDAAGRYYAPSFVVEEEGRWLAGSVEMQRAVEKMSKSRLNVVNPDDVVH QFGADALRLYEMFMGPLDAAKPWQTAGVIGVRRFLERAWRIVCDESDGLSAAVLEAAS SPQLLRLRHQTVKAVTADIEAIRFNTAVSRLMELANALTAEAVRPREVVETLVLLLAP FAPHIAEELWSKLGHGETLTWVSWPTFDPAMVGMETREYVVQINGKVRHRFEAAADLG EALLAAARSEPSIMALLDGKTVVKEILVPGRLVNFVVEDL YP_002976153.1 MDFFRLRTLRELSRRETMAAVADALGISSSAVSQQIAQLEDEVG IALVERRGRGVTLTPAGRRLVLHADRIFGIVEEAKTDIAELQNVVAGDLRIVAQPSAA SSFIPAAIRQLAIDHPHLNIVMTTMGPAEGLAALRAWQADIVVADDISFDPHTLEGTA DTLFLCRDQLYVLLPAGHRLENEPVIELAQLRDEHWALDVASSAYSMAIRQACRDIGF EPVINGFSDSFEVVFALVEARCSISVMPGLALRDFGGALFVKPLVPSVCRGIHAITRR SEARNPKIAAVLDQLVKSAPRDLNRS YP_002976154.1 MQQSGVPYFSQWETPGMTLPVLAEGSQALLGDPLWRHSGAATIE EYARWAVNVCGMACLKMILAARGEIHPTLELARACTAYGGYVVNEIDATIKGLIYAPF VRFAADRFGLSAETITGVETSAIPELLSKRRFFIASVNSGIRWPEREPPSKGGHLVLV TAASDATIRFHNPSGHDEASQADVTLPLAIFDRFFANRGISVDA YP_002976155.1 MTPSPNRLRIAVLFGGRSAEHEVSVLSATNVMGALKPEKYDAVP VFITREGQWLLSNFEDGILATPSSGTEICLVPGGHGRMLAMPAHGAPHELARIDILFP VLHGPHGEDGSVQGATEVARVPLAGCGILGSAAALDKDIAKRLLRAAGLPVARAVTIH EGAAPSLAALEGELGLPLFIKPARQGSSVGVAKVHASQEFAPALTEAFRHDRTLLAEE FVRGREIEFSVLEDTAGELFVSRPGEIVPAESHGFYSYDAKYIDEKGAVLKVPAELPQ EIETAMRDVASKAFRAVGCDGMARIDFFLTDDMQFLVNEINTIPGFTDISMYSKAMAA SGVTYAEIIDRLVDHGLARSRRAA YP_002976156.1 MNRLVLISGCSGGGKSTLLAELAARGHSIVEEPGRRIVKQELEG DGAALPWVDMAAFARRAIEMAMADHTAAREQSGWTFFDRGLIDAAAALQHLTGEQVLE KLSAAHRYHQRVFLTPPWPEIYTTDPERRHGFDEAVAEYDRLAAVYPTLGYDVVTLPK IVVADRADFILDCLSPETRQQ YP_002976157.1 MTGEKREHWDEVYRTKSADSVSWYQPTPGPSLQALDALQLPATA SLIDVGGGASSLVDRLVERGWSDLTVLDIAAPALEVAKARLRDEAARIAWVVADVTSW QPDRHYDVWHDRAVFHFLTEPEQRLAYRRALETGTAPGSVVIIATFAPDGPERCSGLP VQRYDAVALATEFSSTFALQHDWREEHTTPGGGRQSFQWCVFRRR YP_002976158.1 MLIRDETPDDIDAIHDLTSTAFKPMPYSDGTEAEIVRRLRAAGD LKISLVAEQGGEILGHVAFSPVTINGAHDGWFGLGPISVKPERQRQGIGKAMIARGLE LLNEMGASGCALIGNPEIYSRVGFSSDGQLEYLDLDTRLVQRIVFRGSPPSGTLQFAS AFES YP_002976159.1 MNDIADHGVRFGRIAAMLPVKDMTKAYDFYANILGFKKTFENGN PVGFMILKRDQGEVHLTLQPNHKAADFNVAHLMVDNVDALHTVCQQYGLRIIKSLQDK DYGLRAFVFEDPDGNRIDVGQPI YP_002976160.1 MTSIRIAAAQTPEFRENVGAALDYAVRVAALAEADGVVLLVFPE GFLQGYLTDERSARCVAFDLASAEFAAVLDRLPKSGPVLVMGLIEIDDGRLFNTAVVV ERGVLLGRYRKAHLLRGERAFEAGKDSPLFAIGALRYGINICYDTNFPEAAAKVAASG ASLILCLSNNMMPREKAEIFKPLHNAVRGERCRETGLWLISSDVTGEHDGRIAWGPTA VLNQEGQVVAQLPLEEPGLLVFDFPCDGQDAGARLK YP_002976161.1 MEKAEIGLIGLAVMGSNLALNIAEKGNKIAVFNRTPEKTDEFYE SAGDLKKQIIPCKTIEEFVDAIRPPRPIIIMIKAGEPVDQQMELLRPHLSKGDIMIDA GNANFRDTVARFDRLKNTDLTFIGMGVSGGEEGARHGPSIMVGGTEESWKRVEKVLTS IAARYNDEPCVAWLGNDGAGHFVKTIHNGIEYADMQMIAEIYGILRDGLGKSASEISG IFGEWNKGRLNSYLIEISEKVLAATDPVSGGPMVDMILDKAGQKGTGKWSAIEAQNMG IPATAIEAAVAARSLSSMKSQREAAEKIFGTQAVSFPIAYGPELNKDLELALFAAKIG AYAQGFAVMAEASREFNWSLPMPTIARIWRAGCIIRSQFLDEITSAFTKAPDAANLIV TPAFSDMVKESVPALRRVVGAAIAAGLPVPALTSALTYFDAYRQGRGTANLIQAQRDF FGAHGFDRLDGRDFHHGPWGSGASTF YP_002976162.1 MSSGRRKDTALLAARAGQYREAMPPPALRRHFSRLWSHALHDGP PAMVAIVPDGYCDLLWIDGRLVVAGPDRTAAFPVIRPGATVIGARFAPGAAAPWLKTP LSALVGCSVPLADIGRKDTAEFEARLADCLDPPAATALFSRLLEETARNEEEPARDAA MIFAAADNARPVSGLLDRLGMSERQLRRRCHHHFGYGAKTLERIRRFQRFLDLCRRSG AMPLARLALEAGFADQPHMTREVGELSTLTPAVILGQLSIRQRAD YP_002976163.1 MSTMPAKILHLSIERDWRDVYDFAGKPENMPLWASGLATGLETH GADWIAHGALGSVKVSFVPANEFGVIDHTVTIESGLRVYNALRIVPNGDGCEVMFTLL RLPGMTDTQFSADAAHVEKDLAMLKALMER YP_002976164.1 MAEKTENHDRRIDYVEFNVADIAAAKAFYGSAFGWRFTDYGPNY CEFDDGRLKGGFSDFGPVRPGGGPLVVVYASDLEEVLTSVERAGGTICRPITDFPGGR RFHFLDRDGYELAVWAAA YP_002976165.1 MLELFRAFSLRCVQIEEAIRLGDDQRVTALDRHVEPLVEAILAY RAVNLLEVYMQLQFVSHLISQDADDSASVTEHTSVLSYLLDRYFGAHGPDWRVPSPQD VRIEPPPAYVPDTDNGQFLNAVILESLPDRVAVLTRDYRYLYSNPANSAHLNRKPMEL IGRHLSEFIGEERFAECAKHKLDACFAGEQIDYSYERSAGSGKSRQVRCRMSPLRDAG GTVIGALLVMEDLDRLSQTA YP_002976166.1 MKLFITRIVLALRNFQQWLVAQLMFGFMNFLKLFPADGAIRFAD RMMRRLGRLTGRHRLMLTNLRNAFPEKREAEIEEIALASWGNMGRLAAEYVFLDQLFD YDPEKSEPGRVEVSGVPIFLDLRDNPRPFIVFTGHTANFELLPVAGAAFGLTVTVLFR PPNNPYVAKKVFDFRSARMGKLVPSHAGSSFALARQLEAGQGVGVLVDQKFRKGLKGT FFGRDVKTNPLLPKLVRQFDCEVYPARCIRLPGNRYRLEIEPRLDMPRDAKGNLDLPA AAQLLNDKVESWVREYPEQWLWYHDRWQIKQTLAP YP_002976167.1 MRALQLIDDRKLEITDLPEPDAPGAGEVTLRVKAVALNHIDVWG WRGMAFAKRKMPLVIGAEASGVVEAIGPGVANVLPGQLVSIYGARTCGLCRPCREGRD NLCEHVSGVHGFHLDGFAQEKVNLPARLLVPAPPGVDAIGAALAPVTFGTVEHMLFDN AKLEPGETILVHAGGSGIGTAAIQLAKKIGCTVITTVGSDDKIEKAKALGADHVINYR TDRFEGVVRKLTKKKGVDVVFEHVGKDTWAGSMLCMKRGGRLVTCGSTSGVSTEMNLM MLFQQQLKLFGSFGCRMENMANAMQKMGRGLVHPVIDTEVSFSDIDRALERMESRQIF GKIILKMD YP_002976168.1 MTASAYQDHLGRPIVAVTGMGIITSLGQGLSDNWAALTSGTSGI HEINRFPTEGLSTRIAGTVDFIGIPLPNAVERSYAFARETTIEALADAGLSGDFNGPL FLAAPPIEPEWSARFELADRSPASDHPGDAYERFLTALRQRPDPAFHEAALFGAISER LADRFGTRGLPVTLSTACASGVTAIQLGIEAIRQGRSDRALTVATDGSLSAEALIRFS LLSALSTQNDPPTKASKPFSKDRDGFVIAEGAATLVLESLESAVARGAKVLGIMKGAG DKADSFHRTRSSPDGGPAIATIRAALADAGIDESGIGYINAHGTSTPENDKMEYGAMS AVFGDRLVGIPVSSNKSMIGHTLTAAGAVEAVFSLQTMLTGTLPPTINYNNPDPSIVL DVVPNKKREAQVSAVLSNSFGFGGQNASLVMALEPA YP_002976169.1 MSKAANDVVISGIGIVTCQGVGKDAHIALLSAASAPKAIVETEK FKPYPVHPLPEIDWSQQIAKRGDQRQMENWQRIGVFAAGLALDDAGFKDDIEACGTMD MIVAAGGGERDINVDTLIVDEALKRNDRELLLNEKLTTELRPTLFLAQLSNLLAGNIS IVHKVTGSSRTFMGEEAAGISAVETAFYRIKSGESSHALVGGAFAAERPDMILLTEAI GAHARGDWVPLWSRRPSDGGGMITGSAGAFLVLESRKHAEARGAHIYAVIDAVEGDRG NRNSGNLEVRLERLLAPAAGLATESTAIFSGSTGMHDLAARERAVLEHQLPDVAIRGF GGVTGHTIETQFTLGLALAALAVDGKAKVPPFDAAHEAPMRAGITAAVVTMVGHQRGE GVAVLSADA YP_002976170.1 MLLEYFQMIDRVEAVDLKKGTLKARSVVPAKSPVFEGHFPGMPL VPGVLLIETMAQASGMLVLAVTNFAAMPFLMTVDGAKMRTFVEPEAVLDIEAVLEHDG SGFAVTKARITSGGKKVCDAQLKLRTMPFSEVPLGDIVKKRAGEIGLLEAIAAQGVIG YP_002976171.1 MRGFKSSFHEVQRVTATFDKVADIIAETSEIDRATITPESHTID DLGIDSLDFLDIVFAIDKEFGIKIPLEKWTQEVNEGKVSTEEYFVLKNLCAKIDELKA AKA YP_002976172.1 MDVARSEVSDAGTLAACRSCQARHGVVCGALSSSQLRELGRHSL RRKVDAGSEIIAQGSESSFYSNIMRGVVKLCKVMPDGRQQIVGLQFAPDFVGRPFVRE STLSAEAATDSEICVFPRNLLDRMISATPELQRSLHDQALKELDAAREWMLTLGRRTA EEKVASLLHLIATHAEPQTATSTAFDLPLSRAEIADFLGLTIETVSRQMTRLRKSGVI RIENFRRIIVPDMDELERMISA YP_002976173.1 MRRVLAAAAASLLLQFSPVAAQAATLVANISIGKQTMTVSENGF VKYRWKVSTARNGYVTPTGSWSAKWLSRDHRSRKYDNAPMPYAVFFNGGYAVHATFDL KRLGRPASHGCVRLHPDNAAQFFSLTRQAGLANTRVVITR YP_002976174.1 MTVQVELPCPKCKSTKMKFERPEIRETDIITCAACGHNLGTMAS IREKMNKAYQQLNQFGAKRKLQ YP_002976175.1 MTIDQNLLVLLLALLLDRIAGDPQWLWLRVPHPVVMFGAAISYA DRQLNPASLTGSQRRMNGVAAILALLLLALAAGFVFNRFFALFGLVGILLETGLVAIF LAQKSLADHVAAVAVALRDEGLAGGRTAVSRIVGRDPETLDEPAVCRAAIESLAENFS DGVVAPALWYAVFGLPGLFAYKMLNTADSMIGHKSEKYIDFGWAAARLDDVANWPAAR LSILLIAAGAWIRRGTSAGREAIRVAMRDGALHRSPNSGRPEAAMAGALNVQLAGPRI YGGVIVREPMINDAGRDVATSGDIEDGVSVFYASCMVLAGVTFGLFLCFL YP_002976176.1 MSAPIVHGGGITAAATAFGGRPEDWLDLSTGINPCPVALPEIPA RAWHRLPDRHLVDAARFAARDHYGSGEILPLPVPGTQSVIQLLPRLVGADERIAVTDD RIAVVSPTYGEYARAFTSAGFAVDAVNDVAAIGAAHRLAVVVNPNNPDGRIWPAETLV ALHDRIKAANGFLVVDEAFGDTNPALSLASRAPQLSNLVIFRSFGKFFGLAGLRLGFA IAGENILERFEDWLGPWAVSGPALSLAASLLRSDVSPIHSRIEERSIGLHTALDGAGL RIAGGTALFTLVNDARASDIYTYLCQHHILVRKFDNAPDWLRFGLAPDPAADRRLGEA LQRFKR YP_002976177.1 MSGLLIAAPSSGAGKTTVTLGLLRALRRRGVAVAPGKAGPDYID PAFHAAASGTLCLNFDPWAMRPELISANGALHRSGDRILVIEAMMGLFDGAADGKGTA ADLAAQLGLSVVLVVDASRMSQSVAPLVAGFAGFRADVRVAGVILNKVGSDRHEAMLR QALEAIRMPVIAVIGNDKALSLPERHLGLVQAGEHATLDNFIDHAADAVSEECNFEFL LRIAKQGLNRPSAANIDRLPPLGARIAVARDVAFAFSYEHMLLGWRRRGAAISFFSPL ADEAPANDADAIYLPGGYPELHAGRLAEAQNFRAGMLDATARGVRIYGECGGYMVLGN GLIDAEGKRHQMLGLLPVVTSYAERTRHLGYRRVVPLDGAFFARMMTAHEFHYSSVVS EGEGKRLFQVQDALGTDLGAAGLRCGQVAGSYMHLIDLAGATAA YP_002976178.1 MIDAAFSHLPVLEPGSVWLVGAGPGDPGLLTLLAAKGLFEADVI VHDALVNEECLALARPETLVEYAGKRGGKPSAKQRDISLRLVELARAGKRVLRLKGGD PFVFGRGGEEALTLAEHNIPFRIVPGITAGIGGLAYAGIPVTHRDINHAVTFLTGHDS SGIVPDRINWEAIGQGSPVIVMYMAMKHIGEISARLIAAGRLPSEPVAFVCNAATGRQ QVLETTLGEATEAVAVSGLEPPAVVVVGEVVRLRASLDWLGALAGRRLQPDPFRRSGK VRA YP_002976179.1 MHKVIYDTDPGVDDAMALLFLHRHPEIDLLGITTVFGNASVETT TRNALFLKREWNIAAPVSKGASVTIDSARAERPWPAMVHGENGLGDIDVPETIDLPLD PRPAHRFIIDTVRAHPGEVRLVAVGRMTNLALALKEDPEIATLAKDVVIMGGNFYVPG NVSPVAEANIHGDPEAADIVMTAPWKVAVIGLDVTAITTMSRSYLGEMAARGDKAVKQ LDALSQSYIDFYKHAVEDGMMVHDSCACVYVVVPELFSSISGAVRVVCGGIADGQTIV RPDGRRFPPDGAWDGLPSQVVCTGIESEKVLDLIRNTLLAA YP_002976180.1 MTVSMSDISFDTARRHVLGLGCERGTPPAEMLALAIEALDAVAI SAAELTAIASIDSRRLEPAILAVAAHFSVPAVFFGALRLEEETPRLANPSAIVFARVG CHGVSEAAALAAAGPDAELAVAKIKSAHATAAVARSGLQKA YP_002976181.1 MSDETTNAEIGEAGSGKDDARHAEKMAKKKAARDKIMATKTDGK GLIIVHTGKGKGKSSSAFGMIFRHIAHGKPSAVVQFIKGAMWTGERDLIEKHFSDLCQ FHTMGEGFTWETQDRARDVAAASAAWEKAKELIRDERNSMVLLDEINIALRYDYLDIN EVVAFLKSEKPHMTHVVLTGRNAKEELIEIADLVTEMELVKHPFRSGIKGQPGVEF YP_002976182.1 MHLLLAQQGTISDGEEAIDLGQTPGDILFLSAADSELAAIATAH RERRAGPSLRLASLLSLKHPMSVDTYVERTVRHAKLIIVRALGGASYFHYALEALHAA AARAGALIAVLPGDARPDAGLVPFSNVDLDDLNALWAYLIEGGDANARAFLDYAEAML SGAEKPAPAVPLMKAGIWWPGRGLIGVEEWRRIVASLQGAPLGVAPPSVLPDISPTRG EIRDERSRSISSAEGTVSSNVSFVRSPSDLPISPLVGEMSGRTEGGTTNSTQQASPIV AISFYRALVQSGETGPIEALIEALVTLGLRPLPVFAYSLKDPVSTGILESVFSTLKPD VVINTTGFAVSAPGADRQATVLEANEAIVLQAILSASSREAWAASSQGLSARDLGMNV ALPEVDGRVLARAISFKTAARYDAAVETNIVASEPDTGRVRYTAELAANWARLRKTSA HDRRIALVMANYPNRDGRLGNGVGLDTPAGTTEVLKAMRAVGYPVAEIPADGDALIRH LMEGPTNSGSDGKIIRETLSLSLYNSFLESLPDKIQDEVRARWGNPQDDPYFREGVFA LPFARFGEVLVGIQPARGYNIDPKESYHSPDLVPPHGYLAFYAFLRREFGAHAIIHMG KHGNLEWLPGKALALSETCYPEAILGPLPHLYPFIVNDPGEGTQAKRRTAAVIIDHLT PPLTRAESYGPLKDLEALVDEYYEASGGDPRRIRLLSRQILELVADIGLDRDAGIAKG ESEGEALKKLDAYLCDLKEMQIRDGLHVFGVSPEGRLLTDLTVALARVPRGLGEGGDA SLHRAIAADAGLGGGMRGIPPSVLPDISPTRGEIGDERPRLISCVAGAASSNGSFSGS PSDLPISPLVGEMSGRTEGGASIFDPLNTEMAAPWTGPRPAILADILDAPWRTNGDTV ERIELLAAKFVSGEMECPTLWSQTRCVLSEIETRLKPSILACGPAEIAGLLAGLDGRF VAPGPSGAPTRGRPDVLPTGRNFYSVDSRAVPTPAAYELGKKSAELLVRRYVQDHGEW PVSFGLTAWGTSNMRTGGDDIAQALALIGVKPLWDMSSRRVTGYEIIPPAMLGRPRVD VTLRISGFFRDAFPEQIALFDKAIRAVGALEEDEADNPIAVRMRGEAARLAAAGLDEV SAKRRAGYRVFGSKPGAYGAGLQALIDEKGWERRADLAEAYLVWGSYAYGAGEEGKAE RGLFEERLRSVQAVIQNQDNREHDLLDSDDYYQFEGGMAAATEQLTGARPSIYHNDHS RPERPVIRSLEEEIGRVVRGRVVNPKWIAGVMRHGYKGAAEIAATVDYLFAFSATTGA VGEHHFDAVYQAFVADDGVRDFMIEKNPAAFDEMRERLLEAIDRSLWTPRSNSARFDL AARQQNEVNQ YP_002976183.1 MNQQKIPATVITGFLGAGKTTMIRNLLTNAGGKKIALIINEFGD LGVDGDVLKGCGAENCTEDDIIELTNGCICCTVADDFIPTMTKLLEREQRPDHIVIET SGLALPQPLVAAFNWPDIRTQVTVDGVITVVDSAAVAAGRFADDHDAVDARRAEDESL DHESPIEELFEDQLTCADLIVLNKTDLIDAAGLIRVRDEVASRTARKPVMIEARNGEV SAGILLGLGIGTEDDIANRKSHHELEHEDGAPHDHDEFDSFVVELGPVADPAGFIAAL KTIISAHDVLRLKGFVDVSGKPMRLQLQAVGSRIDHYFDRAWGASEKRATRLVVIGLH EMDQDAVRAAIEALA YP_002976184.1 MTATFILGGVRSGKSRFAEDLVTAAGLDRHYLATGRAWDEEMQA RIAQHKVDRGPSWTTHEEPLDLVGKLTAIDGPGRIVLIDCLTLWITNLMMEERNMAAE FAALADFLPAAKARLVFVSNEVGLGIVPDNRMARDFRDHAGRLHQSIAAKAAEVYFIA AGLPLKMKG YP_002976185.1 MLMKLDRRGFRALRVFFDPGRLRALTRRSEVGLSLAGAVVGIIS GLAVTGMSYVSNELHQLVFGIADSERLSSSEIENKLLLLTAPVIGGALLGLLLFVLAK RRKKPMVDPIEANALHGGRLSLTDSIIVAVQNLISNGFGASVGLEAGYTQLAAGLASK FGLKLQLRRSDLRTLVGCGAAGAIAAAFNAPLTGAFYAFELIIGTYTIVSLTPVVVSA LVSTLIARLLAGSDFTIDIGSFGSVVPADYIPALLLGAFCAGVGILIMQGVAFVEELA RKSSIAPPFRPALGGIIVGLLAMISPQVLSAGHGALHLNLSRDVAIPTLIGLFLLKSF ASAISIGSGFRGGLFFASLFMGALLGKLFAYCGPYFADATLTPVIYAVVGMSSLAVAV IGGPLTMTFLALEITGDFPITALVLAAVITSSLVVRSTFGYSFATWRFHLRGESIRSA HDVGWIRNLTVDKLMRADVKTARAGISLEEFKQAFPIGSTQRVILVEESDKYAGLVLV PEIYANPTDAQDEGKTLADFIHYRNDFLQPQMNARQAAAIFDKSESEALAVVNNLIER KVIGQLSESYTLRRYSEELDRRRREVSGEI YP_002976186.1 MPSIHPMYGGVSANFVRGGATTIENAEYGTAGVLNFEKTPIYFL LGRGETSGRWNIAKGEGVDDYPQLAEFAHSGGTDHLVSLLEFPKEAALRGVSLSLTSD RPGGFSDDQLAIVAKLFPALGLACYRIAATKTASDILAVYTGAKTSARILAGDIQRGK GGAINAAILLADLRNFTALTEVYQPGEIAGFLNEHFELIGWHVEENSGEILKFMGDSV LAIFPTDAEDPQKACMAALASARNILEANKVLNGQRRNDVGPDIGVDVVLHLGEVFYG NIGARGRLDFTVIGSAVNEASRLEKLCGTLGHHLLMSESFAVTSAVASECLGSFELRG VSKPVNVFKLAGTD YP_002976187.1 MNEKLLSGAVELRLRKVMALLTSPLRALVVWRRRRSAANQLGAL PDHMLKDIALSRGEIPFVASQDADWSRFR YP_002976188.1 MPQFVVERNIPGLGDMDKETLREISAKSNAVVASLGEPYTWITS YVTGDKMYCVHEAESAEAVYRHAEKGGFPADRVTEITTLIGPHSAR YP_002976189.1 MKATKAPIAALLIMAALPAAALGGGGLPEQVREANARFRDVNQA VKEGYSPIPCTSGIDGGAMGIHYVSADLIKDEAIDLSRPEAVMYEPDEHGKMKLVAVE YITTKGPANLGGQLFSFTNAPNRYGLPAFYELHVWAWKNNPRGPFADMNPDVSCRHAH G YP_002976190.1 MEQQLSEIIGAIYDCVAREDAWPNALRLINGQVNGFLTTLAVFD TTTRSARLAQIACDDGEAIRTLVAHAKDVPFFHLLHRMEIDQPDTLERMFSLYGPDGE AVWKSGALHQNFHARYGVLNSIDMAVLKRPTRVGTINISVQYEPSERRVFDIIGLLGP HIRRAVTIHDMFEMERAEAAVLREVIDALDHAVFIVAEDMTILFANEAAEARLREQHV VHSLSGRLAARYSYAGAALSNAVALGARDEISLAAAGIDVPLASAERPAVAHVLPLQR RTERGRFESRAAAAIFLAAAGTVVQSAVEAVAALFALTPAERRVVGYVSEGMTRSEIA NAQGVADGTVKSQLAAIYDKTGAEDQRSLQQLVRELSPPVRRA YP_002976191.1 MSILDRFSLAGQVALVTGGGRGLGFEMARALAEAGAHVIVNGRT AATLENAVSTIRAAGGTAEVAAFDVADREAQRAAMADVDKNHGRLDILINNVGARDRR PLAEFDDDAIIELLRTDLAAAMTLSRDAAVLMKRCNHGRLIAVTSISGHVVMPGDCVY PAAKQGLTGLMRGMAVEFGPHGITSNAIAPGWFATETNAAMAANEEFMPFVRQRIPVQ RWGRPDEIAGAALFLASAAASFVNGHVLTVDGGMTVRM YP_002976192.1 MRAIMARAIMLQGTGSDVGKTVLVAGLCRLAANRGLTVRPFKPQ NMSNNAAVADDGGEIGRAQWLQSLAARTPSSVHMNPVLLKPQSDNGSQIIVQGRVFGQ AKGRDYQRLKPELLGAVLESFEKVAAGTDLVIVEGAGSPAEINLRAGDIANMGFATRA GVPVVLVGDIDRGGVIASLVGTHAILDEGDRAMIAGYIINKFRGDVSLFDDGIRAIEG FTGWPCFGIVPWLRGAARLPAEDSVVLERLARGGARALKIAVPVLPRIANFDDLDPLR TEPDVELVFVRAGERMPADASLVVLPGSKSTISDLADFRVQGWDRDLQAHVRRGGRVI GICGGYQMLGRTVHDPLGIEGGTLETPGLALLDVETEMAPEKTVRNSHARSTEYDAPL DGYQIHLGITRGPDCNRPSAIIDGAPDGALSSDGRIMGTYLHGLFGCDTYRARLLQSF GLSGERRNYRESVEQALDDVAGELERHLDPRWLAGLLG YP_002976193.1 MKTFLIPAVFAAALSIVAPAEAAECGSVSIAEMKWASAGIAASF DKIILEKGYGCSVTIVDGDTLPTFASMNEKGTPDIASEYWINSVRSLLDQAVNSGRLV QGAEILADGAVEGWWIPKFIADAHPDIRSVEGALKHPELFPAEDDPSKGAVYNCPADW SCQISTTNLFKALAADKKGFELVETGSPEQLDASIARAFENKVGWLGYYWAPTAILGK YDMTRLSFGVGHNKNEWDRCTAVAGCARPELNSYPVSRAFTLMTRSFASRTGPVTTYL KTRKWDNATINQVLAWQDENRETNEDAAIYFLRNYESLWTKWVPVDVAEKVKASL YP_002976194.1 MTGNVPFPDRETVAEKLAGLSETDKSYLALLMENAAQDDNLLDG LRRHLDLAAGSRFLNSLKLENLGIWLGSHAPDRLQIRLTETARSSQHPAYQAFRTGLD RSGGLEKIYPPVIR YP_002976195.1 MTTTAKIAAAFMVLLALSSCGNTIRGIGKDTANTVNATQDAGRS VDRAAKK YP_002976196.1 MIWGYKSEKLKDATMSIPFRRPIPLLDNEVLRTFVAIAETGNFS TAAEAVFRTPSAVSMQIKKLEEQLGATLFLRDARSVSLTRHGEMLLSYARNMLALSNE AVSRFIMPELSGVVRLGAPEDIGERLLPSILKSFAESYPGIMVDVTIDMSIGLKKRME EQRLDLALINCATRPFPTGGEVVFRERLVWAGAKCGSAHRRDPLPISIWEDGCIWRQE ALCQLERNKRHYRVAYLSGHTMAQRAAVLSDLAIAPLPLSYVNEDMAILGPQEGLPEL GAFDIRLLTASQVSGPIETVADSIRGAFAERAKAVAA YP_002976197.1 MSQTSIWNIVQALSAFKSQRRRDANHEHALRELRRFPPHLLADC QRELELTVPERRACDGTR YP_002976198.1 MNFSIYGTGLMMGLSLIVAIGAQNAFILRQGLRNEHVFAVCLAC AVSDAALIVLGVTSLQQIARFMPWLDPVMRYGGAAFLVLYGARSLYSALRSSAALTAG EAKSSSFRQTLATCLALTWLNPHVYLDTVVLLGTISTRYPGEQTSFAAGAVTGSFLFF FSLGYGAKRLRPIFSKPSSWRMLETLVAFTMWIIAFKLLGGL YP_002976199.1 MLDYPALRAVATIVQTGSFERAATALNVTPSAISQRVKQLEERL GVVLIVRGTPCTATEKGEWLCRHMENVGMLEAELFGQLPALVDPNEPRQRVTLQIATN ADSLGTWFVEAMSNFAKSSSYLLNIAVDDQDHTAEWLQRGRVIAAVTSLEKPVRGCRR FALGVLRYHATATPDFVARHFPQGVTSEAIRNAPALTFNQKDRLQSSWIRRTFGRDLD YPTHWLPSPQSFVEASLSGMGWGMNPTQLTREHLASGRLVELVPDTPLDVSLYWQINR LAADRLADLTREVVTVAQRRL YP_002976200.1 MLMVSAISSSASTILSSAASTSSSSSSDQLASLEAQLAEKQAAL SETQDEQENATIEKSIDTLEAKIAKLEASESSQTQASAASKPAGDVPKELSGESERIG TTNFDETSEFGSRTAYV YP_002976201.1 MAVAFTKEESFETASETLLPDRPISAHPNLVTEAGLKALELQFQ QAREAYDAASPIEDVNERRRQAASPLRDLRYLTARLRTAQLMPSPTSIDTVAFGSTVT FRRDDGRVQTYRIVGEDEADPKAGSISYVSPVARLLMGKAVGDMVETGGQELEIIAIA YP_002976202.1 MPAKSKAQQKAAGAALSAKRGETPKSELKGASKQMVESMSEKQL EEFASTKRKGKPQHVSK YP_002976203.1 MSKQLKRGDEVSWNTSQGKTEGRVVKKQSSPTKIKGHSVKASKA EPQYIVESDKSGKRAAHKPEELKKL YP_002976204.1 MISAEQIREHMEVRAADGTHVGTVDHLDGPTRIKLTKLDSEDGK HHLIPIDWVDHVDAHVHLSKNARDVRSQWATIN YP_002976205.1 MTRSVRNMLLGSVFAFAVLATNPVSTSAAEPSDATVISGFAAQS DPPVFETPEQAIDAFKAALAADDFDKFTALLGIDAAKAKAGEGVMDTYAQIRDGTSKK IVVKDVDNRKIIEIGDKLWPLPFPIVKGDDGKWGFDTYAGFEEIINRRVGENELQTID TMQAYVDAQKEYSSADHDDDGVLEYAQKLISSDGKTDGLYWSPDLGESDSPAGNALED NAALDKAKAGEGYYGYRYRIIDSQGPNIAGGEFDYVINGNMIAGFALIAWPIRYGETG VHTFVVNANGTVYQADLGRETEKIAAGVRTFNPGDNWDVTAD YP_002976206.1 MARPHALLIATGLFLPFAGFPFSPHPMPIAAAQEPAASSPVAAE DDAPAPLSEDELEILVARIALYPDELVALVTSASLYPLQVVEAARFLETLKKQPELKP KTTWDGSIVSLLNYPQIVTMMSEDLDWTQSLGEALSYQQKDVLIAIQQLRDKAVADGI IKSDDKIKVSQENDNVVIVSASPDKIYVPQYAPEMLYEPNYVAAPIGYYSEPYPNYYY PTATFFAGVVTGAVWAAAVDWNRWGVWGGRWNGNVDIDCNNCFNNINGKVNINDVDWK NVDRSKIGFDSAQFNKIDRSSFRTSIEANGNNRVGARGNDRANTIRDQPGKVSVNDVR KSKIDADRVNAARNKAGNTGVAPGGGKGAQAAQNRRPEARTPNRAAENARPGVNAKRP VAKPKPAARVDSRPRKPSALGQVDSGRRAEMQSNRGRQAMAGGNRGGGRGEIRRGGGG GGRRR YP_002976207.1 MSAERSLAVEEQKRKGLRVAFAVSIGFTLAVYAGAIVPFLGPLF AAQFLLGSSRPMPLGKTIGAAMIILIAGMAMMVLTKVLGDRPAPFLLILGLTYFACFA AQSTGKGGPAVFLVLVVSIIVPLLGILNKELANSILSILVAGVLSGTALMWFAHAVFP EPFSLEVEAVAIDERPPALLRALANTVILLGSVVICLTSDNLTAAAVIPITVASLLGQ LDVAASARVAIGLVLVNLFGGVLASVAYAALSLRPNLFSIFLIVLVVVLLIGGRAAGR SKDAPVFAGALTTFLILFGLGVSPLPGSAAESFATRIVYVAAALIYALLFAVILWPRT RETKRISA YP_002976208.1 MVSENLDEPAISPTPRNPLRRIALIVLLLALVLFVLSIFMERRT PSTSQAQVQAYIVGIAPEVTGRVVEVGVADNSRVEANQILFRIDPERYELAVSEAEAS LASVGQSMGASTAAVDAAQAKLVTAQVDRDNLREQAARAAELQKRGVYSKARADSTKS AFGQSEAAVTGAEAELAKAKEQLGPSGNDNPQLRAALAALEKARLDLLRTTVQAPSAG VVTNLQLTTGKVVSAGQSAMTFIDVGTIWITAAFKENSLEKVAVGNRAEVLFDALPGR VFPAQVESVGFGVSQGSTDPNTGLPTIRSDSGWVQEPQRFPVRLIIEEAQRPKGGVRY GSQATVVIYTGDNPVTNAWGSLWIRIMSVLTYVR YP_002976209.1 MDEDVTAVRRQFPARIKAIDDLSARSEDFREICRDFADAQSALQ KWNVSTDPKREERVVEYQELIAELSKEIEGALDASVPPTAR YP_002976210.1 MQTSIPAEGSAAPISSRPAPQADDISEQLERVVSSPEFPGVGRA AAFLRYVVSETLEGRGNRIKAYSIAIEVFGRDPGFTQDDPVVRIEAGRLRRSLERYYL VAGQHDPVRIDIPKGGYVPTFAWSCPESAEIGDEDAGETSPSEVRPGGWWRARRVLLP GAAALAAVTISLYWIGTRSPAPSLERVASLSPDRPALVVAPFANLGEGPEAQLYTAGL TEELMTILPRFKEIKVFGRETSKSLPADVGASEIRAEFGARYLLAGGVRTSGKRLRVT ARLLDTSDGEILWSENYDNDLASGDLFAIQTDVARKVATAIAQPYGVMAQIDSAGPPP DDLGAYECTLRFYAYRSELSAEAHARVRDCLEAAVARFPSYATAWAMLSIVYLDEDRY KFNPTPGQDSAIQRALDAARRATQIDPNNTRGLQALMTALFFDRQLAESLRVGEQALA TNPNDTELMGEFGTRLAIAGQWQRGASLLDQAIALNPGSGGFYHGTRALAAYMLRDNH TAVLEIRQANMQKFPLFHVVAAIIYAEAGMMDDARREGQVFVGMRPDFLPNIVTELAM RNMQPEDRNRLIEGLRKAGMTVPDPDAIASTAATSDLQPR YP_002976211.1 MSTAKCLDGRIQPSPSLAQFQQKCAAVLRPELRENKKTEHFRGS EKLGNALGLKWISALLLLCALAGCGGHPKNVLIPVADSAPNATKVAMLVTTTRSRSTI QGEMFTGERALAPAFADITVSIPPANVRKIGEVAWPKRLPSNPATDFATLKAEEITRD DAKKWLSASVRKSRDRSVLVFIHGFNNRFEDSVYRFAQIVKDSGVHSAPVLVTWPSRG SLLAYGYDRESTNYTRNALEMLFQYLAKDPEVKEVSILAHSMGNWLALEALRQMAIRN GRLPAKFKNVMLASPDVDVDVFRQQIVDMGKQHPNFTLFVSRDDRALAVSRRVWGDVA RLGAIDPEQAPFKKELADSQITVIDLTKVKAGDRLNHGKFAESPEVVQLIGARISDGQ TLTDSKVGLGDKILAATTSTAAAAGSAAGLILAAPVAVVDADTRDNYAGQVSGLTGPV GTRPKASECTAAGRSKETCRQ YP_002976212.1 MPLLSKIRMVRPLVIGATLASILLCASIAVAQSATPASPQPESV QRFIGMFSDPDVQRFLQQQSEAAKAVTEPPVATPNADPSFSEFAMRFRVHASGLLGAI QSFPSETMRGAAILDQDIQANGGTRPIFLVAAFVAVGLAAQWLFWWISAGWRSWMARA PYATVLERVTALTARLLWAACYVLSFGLGSIGFFLLFQWPPVIREIVVGYLFAIVVFR LASALFDVFLAPRAEEGGGFRVVPITSDTAGHWAKRLGYLVGWYAFGWVSIRLLGTLG FSVPARQLAAYSLGLVLLFIGIEAVWRRPSQLAAGQASRIGLRTRNWLWTVYFVAVWL LWVVGAMRLFWIAVVCAALPGAIALTKASVNNILRSSTDEEDGHKKGTVVSVIVERGI RAALIVGAIILLADALDIRLTQMTMQDSPLLRLVRGLLSAGIILLVVDLAWSVVKVLI DRKLGDTEAVLEVGSERERRRTRLRTLLPILRNFLMILFSAVAIMMALSSLGVEIGPL IAGAGVVGVAIGFGAQTVVKDVISGMFYLLDDAFRVGEYIQSGSYKGTVESFSLRSIK LRHQRGAVYIVPFSELGAVQNMSRDWAIEKMTITITYDSDIEKARKIIKKIGLELFED PEFKPTTIEPLKMQGIDSLGDSGLLLRMKVMTLPGQQFTLKRRALRMIHEAFNENGIK LAVPTVQVSGGRDDAIAAAAQQTLAAHNAATAAGPA YP_002976213.1 MKILVILSHMLATLAVMMTVSAPVLVPETAEAARRGVAACGPRG CGAAACGPRGCAAVGRRTAPVVRPPVRRGVVVVAPRRYWRPGTAIAAGAAIGFVAGAA AVSLAGTPPQSGQCWYYTSPAKTTGFWDVCPR YP_002976214.1 MDFTVIDAALVGKLLLLLLIGMGPKIALVPFLEKTHAFDTQTKV RIGRQMVMIAVVTALILFATGALLMRLLHITGGAVAVAGGIILALIAIKMASGPIEKP HDDIAAPVDPDKLAVFPLAVPYLLNPVGITVIIIASGEVVSITSAILVIGLILIVGAL DYLVFTNIDKLAKRMKPVTMIVSEVVFGILLTAVAVQLIVVGLGNLGIITPGAAH YP_002976215.1 MTTLTYRGGGKSLTSSESRFDLADYARKLVLAWTRWQTAREIEA MPFDIRKDIGWPSTDDNKHRTM YP_002976216.1 MKDLAFQGTWRAYQQKILDDLANLIGDDGLHIVAAPGSGKTVLG LEVMCRLGEATLILAPSKTIRDQWAHRLRTMFLPPDLAEPEWISHDVRAPGTVTIITY QALHAAFSGHPSEEEVLDGEEEGSDGAESGEPGKQETEKARKKIIAKLREEKVRTLVL DEAHHLRNEWWKALTMLKEALGQPTVVALTATPPYDVDPQEWDRYQALCGPIDGEISV PELVLQGDLCPHQDYVHFSLPSALEAQKLAEFRAQIGDFIETLLESAEFKEAILRHPW ITQPIENVEHILDDARFFSSMLIFLNSQYVDLPQSTLDILGVKVREIPDLTLQWIEVL LNGVIYTYRNDFEEAEQAIRCQQDRLREIRAVEHSRVRLTDPRSVQKLLVSSASKLNA IVDITRLESASMRDELRMVILSDYIRKDALPTAPADLQPIERMGVVPIFETLRRSELD LKLGILTGSLVVIPAGSKGILDEIADRLGIGAEHIRYQQTAFDAGFLSVELGGELSRN IVHMITELFSRGGITVLVGTQALLGEGWDAPSVNTLILASTVGSYVLSNQMRGRAIRI DPRSPQKAANVWHLAVIDPEKLEQRIPLRFGRKSTDRKAPDPYDTMTIDLGSDVDALK RRFYAFEALSFGEPPLIQSGFQRLMLGTAKWNARGVDDINKMMERRAADRKSLKFRWH SALHSKRAVARMQETLESNYAPKGLVNWNTTKFLFAHSAALAGMILNGFLHSFRVTHI RSLQQLELVLFVMFAILAIFCLPGALKASLLLLRNGSLEGSMEQVGITVLETLHHMGV IRTELGKVSVEATLDQNGMIYCRLEGATTIERSRFLDALREVLIPPQNPRYILVRSSK FWRLNRVDYHAVPSIIGRKREDAIYFAQLWNRYVGYSELVYTRSAQGRLKLLQFRAKS FASAFTRKTDRISRWE YP_002976217.1 MNRMQIKKRSVVFFMVPQFTMLPFSAAVDTLRIANRMLGYQAYT WRLTSVDGEKVYSSCGIGVEANSSLAEERRHLGGENRPGMVLVCSGIDVEQFNNKSVN AWLRECYNRGVAVGSLCTGAHVLAQAGLLNGKRCAIHWENLPGFSEAFPQAEVYADLY EIDGNLYTCAGGTASLDMMLNLVGEDFGESLVNRICEQHLTDRVRNPHDRQRLPLRAR LGVQNAKVLSIIELMEGNLAEPLSLIEIADGAGLSRRQIERLFRQEMGRSPARYYLEI RLDRARHLLVQSSMPVVEVAVACGFVSASHFSKCYRELYHRSPQQERAERKMTMATAR QAVAA YP_002976218.1 MAFQADFLRDGIGLRSGGLKILLVEDSRMFSAVLCHRFQTELGL AVKSCPSLKALRKELADDAHGYTMAVVDLNLPDSPYGEALDCTIEHDIPAIVFTATFD LNTRNRIMERNVIDYVLKDNEFALDNLVATVRRAISNRKTRVLVVDDVVSARQVLVDL LKAQQYLVVEANSGLEALAALEAYSDIELVVTDHHMPDMSGYELTRRIRHRFGSDRLR VIGVSSSNDRMLSASFLKAGASDFVYRPFVAEELQCRIANNAETLAQMRQLRAAAACD YLTGLYNRRYFYDNGPKLVNECLRLKVPSSVAILDIDHFKRLNDTYGHEIGDKVLKAV ANRLFTIFEGSDNLLSRLGGEEFAILFPQMDSAAATKLCDEIRSDISRLKVTADDEEL GVTISIGIAEIAGYETFENYLNAADQFLYMAKHRGRNQVYSDAKMTEEAAQ YP_002976219.1 MAFLGISGMQYSGEMFAGARIIVAEDSNVFTSMISKRLKELFDI DVEICRNFEDLQVSYDKSSDPITLAISNINLPGAENGEALEYLVDLSIPTIVFTGTFH ENMRDKLMAKDIVDYILKDNIFAVDLLAESICRFLTNHRHHVLIVDDSATARALLSSR LKRYNFRVSVAESGGKALEILKANRDIGLVITDYNMPDIDGFELTRRIRASIGSHELR IIGVSSSSNRLLSARFLKAGGNDFMLRPFIDEEFYCRVNQNLDTLLQIQSMRKERAVA YP_002976220.1 MKRRPDRAASNFFADMIKKSGAKRRILGVARVFADNRRIIGRTD VLIFGFVPSLAQSGRSMASAGERLMRKPKAPLVARPTSPSGWHRLAEAVINEPSTPTR RQVRP YP_002976221.1 MIQSDPVIEWLLDSDPSIRWQVMRDLLDAPEREWMTERAKVETE GWGARLLSCQDEDGQWAGGAFLPAGFDPLEWEERGQPWTATTFSLSQLREFGLDPACG CVRRTVKLIGANARWEEGGQPYWEGEVEECINGRTVADGAYFGVDVSPIVDRLAGERL DDGGWNCERINGSVRSSFASTINVLEGLLEYERSTGGTHRSREARRTGEEFLLARNLF RRLGTGEPADERFLHLLHPNRWRYDILRALDYFRAGAILTGANPDPRLGEAIDHLRSR RLQDGSWPLDNSPAGRVWFEVDDGQGKPSRWVTLRAMRVLRWWDAQPSINA YP_002976222.1 MPPANPVSKPRTKPPEERRDELMTAAERLFLEKGLEQTTIEEIT TGAGVAKGTFYLHFSSKADVLQALRARFVQGVLDGIVAAVEGRRHEDWRGKLAAWSTA CATGYLDTAGLHHLAFVAAPPATREGLSRNILIDHLNELLAAGAGENVWLVRDPGFTA VFLFNALYGVVNQPGIGETPAARAELLRALEGHFLRGLGLPSGID YP_002976223.1 MSAQPLLNSLFQYKASVDDKLLDALYALGAEVRSDEFRSALRVL NHAHLVDRIFTANLQRLDHSYAASWSSEAPPLAQLSSDIRDTDRWYIDYTSRITPEEM EEVVDFTFTDGGRGRMSREEMLAHVVTHAGYHRGEVGRLPPEIEITAMRDVFTGYLHR TDPARRQ YP_002976224.1 MKRGLALINVIDNSKIQENEIDLGLLLSVLTGAKDSPLIFYYDG KAVKPGYHVTEVKAGQFSALDCGANPEAWAEIFIQLWDIEEGDRTHMLAGKFHAIIRK VSDHVQLDGSAKLTFEVSDGVRPMQLYCAAMPVLRAGAVHVALSPRPSSCKPRDRWLA EENSKAAACCGPQAAASGCCA YP_002976225.1 MDQRQALIAFGALSQETRLHIVRMLVVSGPDGMAAGAIAEKAEV SPSNISFHLKELERSGLIAQQRESRSIIYTANYEALGGLVRFLMEDCCSGHPEICAPA AEVAACCAPKMKEKLQ YP_002976226.1 MTPDRIYNVLFLCTGNSARSILAESILETEDKGRFKAYSAGSQP KGEVNPYALKELAAQGYPTVGFRSKSWDEFAEPGAPEMDFIFTVCDSAAGEACPVWIG HPMTAHWGVEDPAVVEGSEVDKGRAFAQAARFLKNRISAFLSLPLSSIDKLALESHLR QIGTMEGASIKQPKAS YP_002976227.1 MGFDLNQQALEGHDDRLRGALAGAGLPVDDLTDAGRSFYRFSRG GETVGFGGLELYGETVLLRSIVVLSDQQGFGFGHAITLSLLDQAQRKGATAAYLLTET AASFFQSLGFRPIARDEAPAEILTTRQAASLCPASAALMVRSLPA YP_002976228.1 MSAPMFPPSRRLVAEALGTLLLVATVVGSGIMADSLTDDTALAL LGNTLATGAILVVLITILGPISGAHFNPVVSLVFALRRELPASSVPPYIAAQIVGGIA GTMLAHAMFALPVLQASETVRTGGAQWLSEVTATFGLVFVILAGVRFRADAVAWLVGL YITAAYWFTASTSFANPAVAIARSLTHTFSGIRPIDLPGFIAAEVLGALLALMLAGWL LMEARDPETLTKTESAS YP_002976229.1 MTIDVTIYHNPECGTSRNTLAMIQNAGIEPNVIEYLKNSPSRDQ LIKVIADAGLSVREAIREKGTPYAELGLDNPDLTDEQLLDAMLKNPILINRPFVITPL GTRLSRPSELVLEILPETHQGAFTKEDGEKVLDAGGKRIV YP_002976230.1 MPEASALSDLPAISPMHLRQPDMDALRPAFSTHKPRILILYGSL RAVSYSRFLAQEAARLLEYFGCEVRIFNPEGLPLPDAAPASHPKVQELREWSAWSEGQ VWVSPERHGAMTGIMKAQIDWIPLSVGSVRPTQGKTLAVMQVSGGSQSFNAVSQLRIL GRWMRMIAIPNQSSVAKAFQEFDADGRMKPSSYYDRVVDVCEELVKFTLLTRDASNYL TDRYSERKEEAEKLEQRVSLRSL YP_002976231.1 MTISTERPPVAAIAALGLTQIIGYGSLYYSFSILAPDMARDLGW SSEWIFGALSVALLIGGLAAPLMGTWIDRFGAGRIMTSGSAIAAAALVACAFAPGKIA FVAALIGIEIASNLVQYGAAFALLVQIRPQVAQRSITYLTLIAGFASTIFWPITTALH AHLSWQNVYLIFAALNLVVCLPIHAWLSRGISQTRGRTGEEAAKRAEPSLPPSVRRLA FILMVTGFALESFVNSALLVHMVPVMSALGLGAMAVVVGTLFGPSQVLSRLINMVFGE SLSQVMLAIICAILLPTALVILIATAPSVPGALVFAVVFGLGSGLNSIVYGTLPLALF GSDGYGRRQGQIMSVRLVVSSMAPFALAFLMGYLGVSWSLSIAALLSTVAVAAFFAIT RLTRPVVARPEPVPNPGEA YP_002976232.1 MMARFAAVLLVPLLATPAAEAASITNTDPAAVVLVITESGQRVE VVVDAGASENLCASGCFMTTPDGDRIGLDGGETIDIVKGSAVVK YP_002976233.1 MRLRPILLRTILLLTLAVAGAHFKGVAAFAQEPQAPAAVQAPLA DTPFDQATKEIDKAKVQLTALQDGVKQNADNDDALVGLATKADELSRAVITISVNLRP RFDQIKNRLAEIGDPPKDGQPPEAEVVTQERNALAAERAQINALTGDAENLSITVTKL VNEITEMRRRLFADTLLRRTEISVSVLDDAGSAFVHEASEFSQALSSWATFVWKFKRF PMFAAIFLSLAAALILLSGSYRLFGSYLQRDEAVENPSYIGRLSIAFWSTLIRTLALS VLLVTSFFFLNGFNVLRPDIAPVIGALFGAIGLVYFVGRFVNAIFAPHEPRWRLVRLS NLGARSIGYCLLAMAIVNALDYLFGTIGEAMGSPLVLTVVRSLIAALIIGLILIAVSF GKPMLARNGDPDAPGRHWPRGMAIILRVVGAGLILTALTGYVGLARFVATQLIITGAV VVTMYVGLLSGKAISRQESFGDTFFASFLTRRFKLGPVAIDQAGLLVGLAIYAVALLV GIPLILLMWGFHVQDLQILAYRLFTEVRLGGISISLLGICTGILLFAGVYLLTRWLQR WLDGNVMARSHVDLGVRNSVKTGIGYLGVGIAAIIGVSAAGIDLSSFALVASALSVGI GFGLQNIVSNFVSGLILLVERPFKVGDHVVSGTAEGIVKRISVRATEIETFRKQSIIV PNSELINGLVGNWTHRNKIGRSEIPVSVAYNADPQQVMDILLELTAKIPLVMRNPEPH VEFLRFGPYSLDFELRFFLADMGDGMAVRNNLRIEILKRFKAEGIEIPLPQSDLTIHR EARPALADAGRDQPNEQENSADTPMEEEEQPVRQLRSKTADGNRKG YP_002976234.1 MTTIRTPKEVYDFWFVRCGRELWFRATPDLDAEISTVFRDTHQA LAAGVDAEWRADAESRLAAVIVLDQFPRNIYRGTALAVATDGLALREAKVALASGADQ AVEPACRTFFYMPFEHAEDLGEQERSVELFGALGDAEYLDYAIRHRDVIATYGRFPHR NVMLGRESTAEECDYLTRPDAGF YP_002976235.1 MSNSSGDADALIHILYIDDDEGLALLMQKNLRGRGFSVERAESG AAGLARLGEGGIDAVLLDHILAGETGLDILPCITAMPDHPPVIYATGSDDTSIAVAAL KAGADDYMLKGISADYFDLLAAALEQALERARFRRETAQAQEVIRQQRDLAEMLLTEV NHRIANSLGLVGALIRMQSSMTTDQVAIDALHETQMRINAIASVHRRLYTNRQVGSVQ VDEYLNSLLTELETSMRDDKRPHRIVLTAQPVNLATDKVITLGLIVSELVTNAFKYAY PDSVPGEIRVIVDQTDEALRVIVEDDGAGFDPSSPARGTGLGTRILTAMAASLKSDFA YDPGHDGTKATLVFSLHEVK YP_002976236.1 MTTAETSENGQEAASGRQAKIVALVVAVSFFMQILDGTIVTTSL PQMAASFGVQPVSMSIGITVYMLTMAAFIPLSGWLGDRFGARRIFLMSIAVFTGASLF CGLSGSLAEFVLWRAVQGAGSALMTPVGRIIVLKNARKSELVQAIALITWPALTAPVI GPVLGGFITTYASWHWNFLINIPIGILGITLVLRFVPEQRETNPGRLDLLGFVLSAAG LTFLLAALELSVKWDGGLLPVLSMLAAGIVLSVMATRHFLAVDNPLLDLSAFRIQTFS MSTLSAGTACRMAINATPFLLPLLFQLGFGLSSIAAGTYLLVYFLGNFSMKAVTTPLL RFFGFRTVLGVNGLIAALSIVCCGFLSPQTPPFFIHALLFLAGLSRSMEFTALNTLAF ADIGPAQRSSASTLSSMLQQVSMLLGVAVAAAVLNIGSALRGADNPVLADFRWAFVVV GAIGVVSSLRFLQLPAEAGAEVSGHRKFQKS YP_002976237.1 MQKTSKALFGLATAFVMSSALPNLAKADELTLCWAAWDPANALV ELSKDFTAKTGTQMKFEFVPWTSYADRFLNELNSHGKLCDLIIGDSQWIGGSAENGHY VKLNDFFDKEGIKMDDFVPATVVGYSEWPKNTPNYWALPAMGDVVGWTYRKDWFEKPE LQKEFKEKYGHDLAAPKTYDELKQIAEFFQKREIDGKTVYGASIYTERGSEGITMGVT NVLYDWGFQYENPKKPYDMEGFVNSADAVKGLEFYKSLYDCCTPPGSSNVYMVESADA FKSGQVAMQMNFAFTWPGLYKDEKVGGDRIGFFPNPAEKAHFAQLGGQGISVVSYSDK RDAALQYIKWFAQPDVQAKWWELGGFSCLNSVVNAPGFAKSQPYAQAFLDSMAIVKDF WAEPSYASLLQAMQKRVHNYVVAGNGTAKEALDGLVKDWSDVFKDDGKI YP_002976238.1 MTISHSSIVEKAADATARATPSSVARRVRGLSDRAIAWLFIAPA ITLLLAINIFPLLWAVYLSFTNYRANRPNAPVLGVGLGNYQRVLNDPDIWQAMQTTAH FVFWTIVLQTVIGFTLAYLIDRKFRGHAFWTTIILIPMMLSPAVVGNFWRFLYEPQIG LFAYAVSMVSGIPTSDIQMLGNVSLAPWAIIIVDTWMWTPYVMLICLAGLRSIPDYIY EAAEVDRASPWRQFWSITVPMALPFIMLAVLFRGIENFKMFDMVTLLTGGGPGSVTEV ASITLKRAAFESWATGRASAFAIILFVAVFGLANIYVKALNKVKQR YP_002976239.1 MSAANSAHSVVVPSLSSKRIAGTIVVLYALITLIPLVWIFLTSI KSPPDSISYPPKIVFSPSLEGYCNLFTTRTRQTPDYIASLPAPVGTCDEVTRKRNMVI AGPSNFLPRFINSLVIAFGSTFLAVFLGTLAAYGFSRFKIPLADDLMFFILSTRMMPP IAVAIPIYLMYRELGLSDTALGMILLYTAVNVSLAVWLLKGFIDEIPREYEEAAMIDG YTRLQAFRKVVLPQATTGIAATAIFCLIFAWNEYAFAALLTSGEAQTAPPFIPTIIGE GGQDWPAVAAGTTIFLIPILVFTILLRKQLLRGITFGAVRK YP_002976240.1 MSHLIETRTPARPKRSFFLRRGPMETIATVLIGLGFLMLFQPFL LVLYTYSLVTLLAGTVMFIIVSKFPE YP_002976241.1 MADIRIENLRKEFGSFVAVEDSSFTVHDGEFLALLGPSGCGKTT TLRMIAGLELPSSGKIYLDGEDVTFNRASARDIAFVFQLFALYPHMNVRKNIGFPLLS QGMPKAEIRQRVEETARLLQIDHILNRSVSGLAGGDRQRVALGRAIVRRPKCFLMDEP LGTLDAEFREIMVHELRELHNRIHATTVYVTHDQHEAMAMADKIAVMNHGVIEQFGTP QEIYAKPATMYVADFIGSPPMNFMRFTSGLKSGDKSILLDGVDVAVPEIHQDMAESQL ALGVRPEHIRFSDASALRGAVYGSEYLGTNQVVAVETQGGLIKARVPANRSFQIGERV GLEFNPAKLALFDCTSGRAVPSSLYQETRHG YP_002976242.1 MANVVLRNLAKRFGDTQALADLDLSIRDGEFVVLLGPTGAGKTT TLRLIAGLEKPDSGGIEIGGRNVAAEAPAERDVAFVFQQYSLYPHMTVYENLAFPLKA PVRKLSTAEIDRRVREVARMVRIDHKLENRSTRLSGGEMQRVAIGRALVRRPAIYLMD EPLSSLDAKLRAELRLELKRIQKELGSTLLYVTHDQVEAMTMADRIGIVAEGRLMQVG TPREIYGNPANLHVAARLGQPHINLLPADLLPGGQPPAGTKTVGARTEHLDIVVGKDA NAEIDWIEHLGDQNHLHIRVRDPRQAAREHKLVTLADPYLAIAPGDRISLTLRDPLYF DVAGQRLS YP_002976243.1 MKHFFNRRENIVTEALDGLLLTSSKGRLARLDSFPDIKVILRAD WDKSKVAIISGGGAGHEPSHAGFVGKGMLTAAVSGEIFASPSVDAVLTAIRAVTGEKG ALLIVKNYTGDRLNFGLAAEKARAEGFDVEMVIVADDIAIPEINQPRGVAGTLFVHKI AGYHAERGEDLKTVAAHAAAAAGDIASLGMSLSTCSVPGQAHEDRLGENEGELGLGIH GEPGVERITLQPVADIVATMVARLSPALREGASHALLINNLGAVPPLEMTVIANAVLS SPLGRRVRLIIGPAPMMTALNMNGFSLSLIRLDAVREAALTAAVEPHAWMPAVERHEI QIIAAPRTSAGLNGANGAAGDDAHNRRLITALCEHLISQESELNRLDGRVGDGDTGST VATGARSVLARLDTLPLDRPAATLASLGDILGTSMGGSSGVLLSIFFTAAAKAMADKA DISAALLAGLDRMTFYGGAGVGDRTMVDALSPALQALASGDVAAAAKAAAAGAESTKA MTKAKAGRASYVGERDLAGVADPGAVAVAGAFGVAASLA YP_002976244.1 MQAEPVLVAGLIEVCRATIAANSDHLCALDRAIGDGDHGTNMRR GCEAVSAEGESLSSLPFPDAMEKIGLTLVMNVGGAAGPLYGTLLMEIGRELRKSNEKA DFSLVLKQAIDAVARRGRAHAGDKTLLDVLYPVHAALEKRSPLGDVARKAERSASRTA DMKAMRGRAAYLGDRSIGHVDPGASSCALLTTAICRYLGEHRPQ YP_002976245.1 MNGKTANVGIVIVSHSPLVARGIADMVRQMVGDSVPLAWSGGNV HGELGTDAGGILRAIEAAWSDAGVAVFVDLGGAETNSEMAIEMLGLPRAALVSICNAP LVEGAVIAAAEASGGASLAKVVATAEELSP YP_002976246.1 MHVNCQTEVEVKHGVGLHARPSVTFTRLAKSFPCSIEIAVNGSD VWLNGKSIIKIMGARIRKGSILRIRADGILAEEAIRALKELIERNFDEEKKHGRTA YP_002976247.1 MAEPLRLQAKSASPGIASGPAFLAEEPKAPSAAEQPDTAPASRA VGGYGALEKAIDISISELEHLADGADAESRDIIDFQIEVLRDPSIAEATGARLEADEN VVFAWVATLDAYIGELEAADEEQMRARAVDILDIKNRVLGALAGTPIADFPPGSVFVG KDMEPSRFLAHDWSKGGGIALFAGSTAGHVALLARAKSVPMVIGTGRFSAADGDPVSV DGNAGAVILQAGSMLIPPLVPAQEPAGDTQTTGGELRTADGVPILLSININDPAEIDA LDPATAGVGLMRSEFSITSVADAANEEQQLAIYRRVLEQAGDRPVTIRMLDIGGDKPL PGLEDLPALASGLRGIRLLLARPEIARVQARALLRAAVFGRLSVMLPMVTFPDEIDRM RDIFREEAEKLGRRALHHRMPPIGMMVEVPAAALMLDTFGSAAFFSFGTNDLTQYLAA SARDDIDADTGKAAPAVLRLLAQAVKLTAGKPVSICGDMAGNPHYLPGLLAAGLRHFS VAPARRPAIRSAIIGLNADGTRAAGE YP_002976248.1 MAREDTEDAIIAYKSILAQIIDNRPSGTRQRLATALGKHRSFVT QITSPTYATPLPARHLATIVRVCHFSAAEQERFLEAYQAAHPGKLPDLGHSEKLRHLS LMVPDFGDDRKNRLLEEAISDLVQKIVAISGASEQ YP_002976249.1 MKKFMNTAETMVAESVEGFVRAHEAFVVFGPERRFIRRRHLTPE KVALISGGGAGHEPMHIGFVGHGMLDAACVGHIFTSPTPSQIIAAIEEADTGAGCLLV VKNYDGDLMNFEMAIEMAGDRHNIDMVVVSDDIETSRSGEGNGRRGVAGTLIVEKLLG AAAERGMSLAELKQLGEGLNTRIRSMGVALNGVTVPQTERTTFSLGPGDMEMGVGIHG EPGHARQPFAASDAIIGHLCETIAGDIAVAPGTRALLFVNGLGGTPPAELYLAYNGAR RFIEERGIPIERSLVGTYVTSLDMQGLSVTLALLTDEEIALWDAPVATAALRWP YP_002976250.1 MNREYLRWYSQRLHRDMEMLVFGHAGAKVLVFPTRDGRFFEYEQ LGLVASLADKLEAGHLQLYCIEGLAAETFYGFHRHPAERIRRHGALEDYILNEVLPLM ATKNPHDCTIVHGCSLGAFQAASLFFRHPHLFRKLVAFSGRYDLTTKVESFGDLFDGY YDDSVYFHTPAHFLPGLGSDWRLDRLRERDIVLTIGDADPFLGNNRYLSRLLGEKNIG HQLYVWDGRAHRAGAWRKMAALYL YP_002976251.1 MTIPTTHPFAFDPTYGMKLDELLAVEPPEAPEGFDVFWKARYLE ALTVDPQPVLSRSRITHPDWHVLDLVYLSTGEFRIGGWLLLPREGQVRRGLVVGHGYG GRDGPDFDLPVRETALIFPCCRGLSLSAHPPISENPSWHVLHDIDTPEAYIIGGCVED IWLAVSTLTALYPWLSGYIGYSGISFGGGVGAMAIAYDERIDRGHLALPSFGHQPLRL MLPSVGSAESVQEYQAEHGNVLETLRFYDAATAASRIKVPMLTAVALFDPAVAPPCQF AVANAIPKFNEIFILDAGHFDYPGSAEQEAVLRDKVRQFFRVP YP_002976252.1 MHALIVVAHPDPRSLTHGVAAHLAEGITLSDAGHSFEIADLAAE AFDPRFSAADIALHLREGVLPADVAAEQARIDRADALVLVYPVYWWSMPGLLKGWIDR VFTNGWAYDYGADARLVKRLGRLPVHLVGLGGADVRTYARHGYFGAMKTQIDHGIFDY CGARVVTSEVLFEAGPDHATAHLEAARAVGRRLFVTSGRYEATDAA YP_002976253.1 MSSAETTGQPTNPQPRRRLSRQDRHRQLLDVAWQIVRDEGTEAL TLGRLSELAGVTKPVVYDHFETRPGLLAALYREFDARQTAVMDAALAASQPSLADRAT VIATSYVDCVLLQGREIPGVIAALAGSPELERIKREYEAAFIEKCRIALSPFTGAGTI AAAGLWAMLGAAEALSYAAASGDITAVEAKNELFETIVAMVARSMGGGTHPDHLRNTA GLASR YP_002976254.1 MELGYFATLASDATAAFSHLMMHAAHKPNGPTYAHAILTTAELI EVLPKASASKDNAMTMLFKMLTSAGSESPNRDRRRFLTTAAIGIAAVGATSLFPSYPA SAATGDAIRPFRVDTPEADLLDLRRRVLATRWPERETVDDQTQGIQLEKIKPLVDYWG TGYDWRKAEAKLNALPQFITEIDGLDIHFIHVHSKHPNALPVIITHGWPGSVFENLKI IGPLTDPTAHGGRAEDAFDVVIPSMPGYGFSGKPTGTGWGPDRIARAWAELMKRLAYS SYVAQGGDWGSPVSGAMARLAPQGLLGIHINLPAVVPPEVAAVLAAGGPAPQRLSTEE RAAFDALSAAAKMGNRSYATMMGTRPQTIGYAISDSPAGLAAWTLGHPGFTHWTYDSS DPEKSPDEVLDDITLYWLTNSAASSARIYWEYGGGRSPVLAAGEKTSKIALPVAITVF PGESYQAPETWARRAYRNLIYFHKVDKGGHFAAWEQPELFSAELRAAFRPLRR YP_002976255.1 MMMNRRTFSAALVAGAAASLLATRGMAATPSAVKARNVVLAHGL FADGSCWTEVIARLQAAGLNATAVQNPLTTLPEAVAAVKRVLDRQDGPTVLVGHSFSG MMVTEAGVHPDVSALVYVAARAPDAGEDYTALAKTFPTPPASAGIVFDADEGRLGEEA FLRDFAGDLPEAKAKVLYAVQQPFQKALLTGKTTQAAWRSKPSWYAVSTEDRTINPDL ERFMAKRMGAKTIEIQASHLSLISHPDEITKLILTAAGQNTD YP_002976256.1 MASGFETEDDGDDRGLLSDRIRNALTDEIATGTLAAGAALDEQQ LADRFGASRTPVREALRQLAAGGLVELRARRGGVVARMTPERIMEMFETVAEIEAICV RLATYRMTPLERSHLIELHDLSESIVETGDFDAYDSFNRQFHEAIYHATHNSFLAEQA IAVRNRLNAFRRTQLRQGERLRRSRDEHEAIMQAIAEGDGEMASRRMRAHMLNAATSL SRFIETNKPGGV YP_002976257.1 MSGILIATLLATVSAKIPAGFSSGDLLYSDCGGSRQFVVGYVAG WLDKWNRDEYLARRIFTEVVPAPKAMVNSAYFANSVGVNVCVPVGTTAEAIGNTLCTF LEENPGIREATGDELMTTLIAYKYRCPVP YP_002976258.1 MDSRIQDVLDIYHAMIETEHNSPRELPPGGRDGGQDQRLRAVGP ATGQFINILAKSLKSPTILELGTSFGYSGIWLAEAARASGGRLITMEMHDYKSGYARD MAVKAGLAEHVEFKVGDAVQMIGALSSGIDFVLVDLWKDLYVPCLEAFYPKLNPGAII VADNMLRPGGDDLKRYGEAVRAKPGISSVLLPVGSGLEVSRFD YP_002976259.1 MDSNQFKDQASSTPGIERIRHDTRRRLLTVESVVDITPSMRRVV LAGDDLADFISLGADDHIKIFIPTADGGEERRDYTPRRYDNAERRLTIDFALHEAGPV TKWAIDANPGDRLEIGGPRGSAVVSKTVKRWLLIGDETALPAIGRRIEEIGAGTVVTT IAAVTGPLEEQTFETSAELHLHWVHRPLSQATDAAALLKLLSTVDVQPETFIWVAAEA SVTRDIRAYLLERGCPLGWIKASGYWVFGKADTTEKFG YP_002976260.1 MARVAVIGAGVIGVSSAYLLARAGHDVTLIDAASEPGMGASAGN AAQLSWAYGDAMASPALLKHLPAIAMGRDPAFRIHWQLDRDFLCWGLKFLANTPFRRW WNNTEEILRLAEQSRHELAILLKETDIEFDYRVAGKLHLYADRQSFSAADSSVARKNA LGFEQRLLTRVEAENIEPALAAYQGEIAGAVYTPGDALGDAAGFCRQLTAWMIERRQV SVLFGRRVSSFVQAGGVLTGLRFEDRDELHVDAAIVAAGPQIRSLISDLPEARDIRSI RGYSLTVPRTGLAPSISLTDVKRKLAFAAIGDRFRAAGLADIERSGAGFDAGRFETLR LAASVVLPDLFERSDELMRWSGERPMTPTSKPIIAASRHIKGLYINAGHGMLGWTLAL GSARRVVDLLFQ YP_002976261.1 MTIETTVATGNARRHATQSHEPRWPVILMTPDVSEAPDAPTETE YVVRANYADAIAEAGGIPLILPYNTRNLASALALADGIVLTGARPGTEVTGARRQFET QLVEQALKTGKPLLGICHGMQLIGECLGGEFQTELPAAAVSHIPQDIPDVLAHEIIVE EGSVLTDWVGKGPARVNSLHRHALAGRGRFRVAARAPDGTIEAFEGDTDGFCLGIQWH PEYRLSELDRNIMRAFVDRSAEAAVRKAHDASSAGSNRVRERLAQLELALPEASSPPG AFSGAVRTGSIITVSGQVPLKDGVVLRTGHLGASVSIEEGRECARWALLNALAQLEGA AGGFDNILGFVRLAGYVAADAAFERHGAVIDGASELLRELFPDRWAHARIAIGVTSLP RGVPVEVELTAVVGDEA YP_002976262.1 MSAFLAPYGDYGAEWLPLLLRAMVNTALLSVCAFALAFVFGLLL SLCQKSSFAALRYFAALYVTIVRGVPLLAVLFLIYFGLPGVGIVLDAFGAAIAGLALC FAAQVAELFRAGLKAIPAGQSEAALAAGFTPAQSFVLIILPQVARVILAPMIITFVSL LKDSSLASLITVNELVLTGRSMATEYFLPLQIYIAVGLCYFAIAWPFSVLSRRLALSA AR YP_002976263.1 MIGFSVFFSVVEGLVAGLGVTVFVTVASLALAAAFGFLLALLRQ FSGIKFIDLAIDACCEILCNVPALTHLFILYFGLASLGVKLTSITAAILGLGLIGAAI TCAIFRAGFAALPGGQAEAALAAGLTPLQTIFEILTPQAMRIALPGLGNYAVQLLKDT SVVSAIAAPEIMFFARSMVTSSFQTTMIYATAAALYLLLSLPLMQATQFLERRYGRLK G YP_002976264.1 MNALLSVAGLRKSYGPIEVLKGIDFDVAPGEKIALIGPSGSGKS TCLRCMNFLEKPSAGEIRLDGERIGTHNGRIMSDRQLAPQRAEMGMVFQLFNLWPHLS VTENVAIAARKVRGLPAAEARELALEMLAKVHMTHRAEASPLELSGGQQQRVAIARAL AQKPKLMLFDEPTSALDPELVQEVLKVMEELADEGRTMLIVTHEIAFAREIADRVLFL DGGTIVESGPARQVITAPREARTQAFLNKIRH YP_002976265.1 MALSLSRRMVTCALLAGSFMSLSSIANAFELAEQGKLTVAFTGD MPGSGWQDGKLVGYDGEIMQRIAEKLGLKIQPALMEWSGTIASVQSGRVDVMLGTMGW TEKRTKIMTLSEPIHYFKNGIMQSTKTSWDKLSDLEGKKIGTITGFSFVPELKSIKDL QLSLYDTSDAAVRDLIAGRIDAVIGDPPVISYAIKQNPDWNMHFLAFTDNSPDFPLLT GLGQVVYGLNQKNDDLRQKMDAIIADMWKTCEMKEIGARYGLSSDVWFKPAGQNFRAG VDRPADYKLPSCAAGG YP_002976266.1 MITSEEPSEISANEPDYVRWLDDLWAERLLVARDRKADLSVGIL LWPTFPMMSLTGIVEPLRHAADFADNSRPLHCRWSIMGAPGHAAVASCGIRVHADAPY INPTDFDYVVVIGGLLPHLRAAPSKHRDYLRVAASAGVPVIGVCTGAFVMAQEGLLAG RKACVHPFHAEDFRITFPRLAFSTRDDFLIENGRITVPGGVSILSLMTELIRTHCGPD RAAKAVHQLSLSEQKHMSAFDHGRATTFRRAEDSRIQRAVVLVESRKGRDVSPEQVAS LVGLSPRQFARLFQDNIGMTPKRFIVETRLRYGRFLVENSTLPMTAIAFEIGFSDSAH FATAFRKKYGKPPRSFR YP_002976267.1 MPTSKAAKGPDMPAPASPPAGKEGRKLSNFLCFAVYSANLAFGR AYKPILDELGLTYTQYIAMVALSEEDDQTVGVLGEKMFLESNTLTPILKKLESNGFIT RHRDPADERQVRVSLTPAGRQLVETDPGNALLGAIGLGDDFPVVQKSVTTLRDNLLRS TQGEPEKS YP_002976268.1 MPPTSLSTPTRRELLAATAAAGAMSMLAGTRSAQANGDGVSPFS VNFPEDQLDDLRRRVATTRWPDKETVADDTQGVQLATIQKLAKHWATDYDWRKMEARL NALPQFITEIDGLDIHFIHVRSQHKNALPLIVTHGWPGSIIEQLKIIEPLTDPTAHGG SEEDAFDVVIPSLPGYGFSGKPTGSGNWNPPRIAHAWVTLMQRLGYTKFVAQGGDWGN AVTELMAVQEPPGLLGIHTNMAATVPADIAKALAAGGMPPADLSPDERRAFDQLDDFY KNGLGYAIEMNNRPQTLYGIVDSPVGLASWMLDHDIRSYRMIARVFDGEPDGLTKDDI LDNVTLYWLTNTAISSARLYWDNAHHPSGGFFDPRGIKIPVAVSAFPDEIYQAPQSWA EKAYPKLIHYNRLPKGGHFAAWEQPMAFTAELRTAFRPLRQ YP_002976269.1 MPDIAMGVLSQNGTVLLARRSSERKVHPDRWSLPGGHIEEGEDA ETAMCRELMEEIGVTSELWQFLGRFVSEDPPEASVTFHVYHVDKWHGRPRLVGDEHTE LRWFTAAEIEKETELALPQLTEMLANLAMRETGTPA YP_002976270.1 MINSHAQPHQAYDEFSLVLDPAVYEPLPEDWLIGITDVVSSTLA IKSGRYEDVNFAGASVIAALGNAWGSFDFPFVFRGDGAAFALPPHGIMAATSALRQVA SFARSDLDLTLRIGLLTVREIRNSGRDVRVARYAASENATYAMFAGGGLKWAEQQIKS GRYLVRPGRYATKPDLTGLSCDWTPFPSQQGEILSLLVEPDDDTSPEVFAALAKRVLA VFDAPSHRSHPVPVDMAIPRDIAMPKGSGKRVDAKRWSEVASHSDFRKFDDGLRLTLD CTREQIDRVETMLLLARARGEINFGLHRQSHALMTCLVPSGSPDSHLHFLDGMGGGYA KAAEMMEAGALGDVLHHAR YP_002976271.1 MTEKLLFTGKTHISGGRNGSARSSDGTIDIKLPQPHPTAENLFG IAWSACYIGAIEFAASQRKITLPDGPEVDAEIDLNVDNGSFFLRARLNVSLPGIDRDV AQELIDAAHGICPYSKATHGNIDVETTLV YP_002976272.1 MSSITADNAGQYGDSRKLAARARLHSQYTIAETAWFPWVAAQLS LKPGDRVLDVGCGPAWFWAATAGLLPENLDLTLADLSQGMVDEAVARCSTLPFGSVRG CQADAAALPFEDDAFDAVVAMHMLYHLPDPAAGIADMSRVLRPGGLLAVTTNGAGNMR EIYALTTVFGSAPSDPAAEAFGYDAAERSMRSQFGNVTMSQHPASLRITEPEDVFLAL TSYPPGDGASELQLTRFRQAVADAFSQRNGMLEVSKETALFLSRKTA YP_002976273.1 MSYDFHVGQKVVCINDTFKHVSIDQLIRKGEIYTIRWVGEYTHY IDGTFIGVKLAEINRGNDDGPEGYGAADMPYRATRFRPLVKDKISSLRKLLAPTPDAP VEPKEKIRKKEKV YP_002976274.1 MAFVSFPRRTLLPVILSAALTTCSISDGLVPPANVDNGTRASSI SPARAPAARMAPSVRMAPVESQASYPVSSAPVGNSQGSVDYLDTPNLAGTGHAARAAP PQTAPGRKLPMIDSDEALAQQNQNWGGTQDLAIPSGGVNMDDDLGAEPVVGLAQEQQQ QIAEGNATEPVVDGIGTDNPTQLNQPMRQPVPQAMPQPAAEAQMSRAPAWNDGSPVVA PSRVLEEDESEEVAMLRPNNPMMSEPAAPVDPSVMPSSELACRRELKRMGVLFDDKPP ISNGPACQVPYPVSLKGLSGNIGVRPAVTLNCQVTLAFAKWVKNELAPSARYRYWSGI KTIQPLGGYSCRRMNNSRQKYNPMSEHARGNAIDVGKFVLKNGHEIDVRKKGLFSLRE GRLLKAVRSDSCRYFNTVLGPGSNPEHWNHFHFDLRSRKSGKAYCD YP_002976275.1 MRNVKISTRLYCLVAFALAVLAATMVFFLNYSYSELEAERKAGL AQMDATALGIFDKYYKMEQAGTMTREQAQAAAKDVIGAMRYGADGYFWINDMHPTMVM HPIKPQLNGTDISQMKDPTGKFLFVEFVNKVKKDGKGFVDYLWPKPGADQPVLKYSYV AGFEPWGWIVGTGVYADDLAALYRQNAMWAALLCLLGAAATIAIAYAIVRSVTAPIAR LKAAMNAIAAEEASVEISGSDRRDEIGQMAKALLVLRDSVDERSALRGREDERQQQIE EERRGNEASLRSASERQTQAMQALGVGLEKLAGGDLTVAIGDIGEDYAKLRSDFNAAV DALNGVIHAIAESSHVVNESASDISEATGNLSKRTEQQAAALEETAAALDEITATVKT ASERANEAREMVAETKASAGKSGEIVRNAVTAMGRIEDSSNRIGQIISVIDEIAFQTN LLALNAGVEAARAGEAGRGFAVVAQEVRELAQRSANAAKEIKELISRSATEVEGGVAL VRSTGEALLEIEALVNQVNDHVASIATAAREQSTGLNEINGSVNHMDQMTQQNAAMVE ETTAASRTLADESTQLKTLLSNFRLRAEQSAVTRYTRAA YP_002976276.1 MTDAAKPRGELTLRTLAMPGDANPAGDIFGGWVMAQMDLASGIR AAERAKGRVVTAAVKEMAFELPVKIGDTLSVYTDIDRVGRTSITLIVEAWAHRSRYNQ QEKVTAGTFIMVALDEEGKPKQVPEE YP_002976277.1 METVGSPEVFLHIKVVMGMVISLSLARVLTGLAGIVQHPAKAKV YPIHLGWALSMFLFIIHIWWWEYRLQAVPAIGFGIYLFLICFCSLFFLLCALLFPASL DEYGGYEEYFISRRKWFFGILGLTYAVDILDTAIKGHERILSLGWEYPARNIIYILLC AIAAWTPNRRFHTAFIIANLIYQISFIFRLYDVLG YP_002976278.1 MSPFFVIRLVLDFTAAGLLLAALAYWWLDNTSHELIGTSMFILL LSHNVFNRRWWARLPKVERGKRSFLTIASNISIALAISALLVTSLLISRSVFAFLPVS GRPTAREIHILAAYWVFILAAAHLGLHWSMIMAVIGRLLRVGAPNPIRTASLRVAASA IAAWGIHSLFVMGIGDRLIARPSIDFWDFQESTIGFFLHHIAILGTCACAAHYAVVWL RGVIRVPARVIFALAVMLWR YP_002976279.1 MRHLIKRISILFAMAGAVVLVGWNVDAEPNRTTLPELAGLVHYT TVRRGNVTEHIMTTNEAIEAVKNGQPVPDGTHFVVVDYRDGEIYRTFVMEKGSGWGSD YDEDRRTGDWQFQWFKPDGGVNMAENTARCQSCHSSRADEDFLYTLDALKEFDGAVID YP_002976280.1 MKQLLGNLMLSASMMLAVLPGVVSAQAPAEGDDKSRRSRAQQLM GATAPKLAELTDDVLYGDIWERPQLSKRDRSLVTVAALIAMNRPDQLRSHLAMARQNG VSEDELIETITHLAFYAGWPNAVSAVAVAKDVFGRE YP_002976281.1 MRYGLSNTLAALLLASAQLAAPLATAADAAKPEPLVIQEQGSFA VGGTSTTAPGTFDPLKPLDPSGQTYHGDHAFAFYQVPANPRQYPIVMWHGAGQFSKTW ETTPDGREGFQNIFLRRGFSTYLVDQPRRGGAGRSMAETVVKPTADEQLWFNQFRVGT WPTYFDGVQFSRDPEALNQYFRAMTPNIGPFDMDVVSNAVAKLFEKIGPGILFTHSQG GGPGWLTAIKSDKVKAVVAFEPGSSFVFPAGEVPADMPSAFDTLKGVPVPMDDFIKLT KIPIVIYYGDNIPDQPTTMPAQDSWRVRLAMARLWRDTVNKHGGDVTVVHLPEIGIRG NTHFAFSDLNNVEIADLVSAFLSEKKLD YP_002976282.1 MPLDNNFNELVAFLTVARERSFTRAAAKLGVSQSALSQTVRGLE EKLGLRLLTRTTRSVSPTQAGERLLERVGPRFEEIQFEIAALSEMRERPAGTIRITAG EHPAISVLAPALARFLPDHPDINVEVIVDYGLTDIVAERYDAGIRLGEHLAKDMIAVR IGPEICMAVVGAPSYFEHHPRPDIPQDLTAHNCINMRLPTHGTIYPWEFEKDGRELRV RVEGQTVFNNIAMRIGAVLDGLGLAYMPEDQVQSYIEDGRLIRILEDWCQPFPGYHLY YPNRRHASPAFTLFVDALRYRGK YP_002976283.1 MKRRNIGGLEVSAFGLGCMSMSAAYGPPAAEGDMIKLMRTAHQQ GVTLFDTAEAYGPFVNEELVGKALAPIRDQVVIATKFGFDIDQQTGERRGGTNSRPEH VKAVADACLRRLKTDHIDLFYQHRVDPDVPIEDVAGAVKDLIAAGKVKHFGLSEAGVQ TIRRAHAVQKVTAVQSEYSLFWRGPEAELLPTLEELGIGFVPFSPLGAGFLTGKIDEN TKFDPSDFRNSVPRFSLEARKANFALVDLIRRIGDRKGATPAQIALSWLLAQKPWIVP IPGTTKQHRLEENLGAIDVDLLPEDLAEIDAALSGIEVHGERLPEAALKMTGR YP_002976284.1 MTLPMNRISLSRRGFLTSACCLAAAPAFTPVTFAAMPGDKRFVT IVLRGAMDGLDLVQPYGDAGFAALRPTLALTPDTGLLDLDGHFGLNPAAAELMPLWKS RELAFVHAVSTPYRDQRSHFDGQDMLESGGEHVAEEKTGWLNRALAVIPRSDARKAID INTSTELILSGPNNVDVWASDSNLAPARDEMQFLARLYAGDPPFAEALAEATRANSAS MIIEPEGQRGAKIADVAALAANMLKGDYRIASFSISGWDTHIGQAGQFKRPVQDLSQA INTLKTTLGPEIWAKTVVLAMTEFGRTVRQNGSAGTDHGTGGCALLSGGTINGGRILG RWPGIGDGQLLDDRDLMPTADVRELAAAMLYRQFDVSADDLTGKIFPGLGFDKGSQFL RG YP_002976285.1 MSLSFPTMAAIRFGYGFRPGEAPPSSKDELIDQLRKGAAATPDF PLGGPNMRHQAILSLQEQLQQIRQDAKTVTDDTTQREMRKGVQRQAQQQFQHDANLRL MQAVLSPYGFYERLSTFWTNHFSTSANKSLPMRLIVPLYEAEAIRPFISGTFGDLLRN ATAHPAMLIYLDQADSLGPDSAGGIKRNKGLNENLGRELLELHTLGAGSGYSQADVTA AAMVLTGLTIDRKEMDIAFRPNISEPGTHEVLGVSYGGRRRSRDDYLDMLDDLALHPK TAAHISRKLAVHFIADQPDEGMVSDMAEAWKKTDGDLTAVYTAMLDHPAAWRDEGAKA RQPFDYVVTGLRALNAGPVNGVVGSFLAANQQGTDEGDMAANTPGMAGSPVTTDPAGE AREKRLKAFQTARALGQGALRRMGQPTWLPPSPAGFEEGFSAWITGSQLAERLAWARR AAAQFGRDEDPREFLKSTLADAARDETIRVVSQAPNKISGLTLVLASPEFNRR YP_002976286.1 MSFSPAALWPVVMLFASNIFMTFAWYGHLKHKNSAIFLAIIVSW GIAFFEYCLAVPANRIGSAVYTTAQLKTMQEVITLIVFAGFSIFWLGENLTWNHAIGF ALIAIGASFIFRA YP_002976287.1 MDTTPQMPPLPSRHFWRRFAAYTVDIIIFQAAILIAVYYFSTIS PLDFLLHGRTSMECSEAVPDQLAQRIDAGWPLRATEIRTSEICEVSQIDWRKQKYLQI NVAIEPWDYVTPAQVLTIPIDANNYPLTKTIPAYSSLMSGIANTALIALAFACFSANS RRTFGKAVFFLRVQSVDGKNPDFGTAFKREILKFSPNLLFSAAVFAISLLPVYPTEDF DALLGMFRSGYTPEDHGTAKSYFIWTIAVVAWWVWPFIVWKGQTFYDRICACKVVSA YP_002976288.1 MSALESLRRLTPQQRNTVIASYLGWTLDAFDFFILVFVLKYIAE EFHTDVPAVSVAIFLTLAMRALGALIFGLAADRYGRRITLMADVLLYSLFEFLTGFST GLTMFLVLRALYGIAMGGEWGVGASLVMETVPEESRGIVSGILQAGYPSGYLIASIAF FLLFPVIGWRGMFFVGAVPALLVLYIRRNVEESPAFLKRKAEGRRPFLTVLRENIPLF IWAVLLMTAFNFFSHGTQDIYPTFLETQRNYSSYTVGAIAIVYNIGAICGGLFFGALS QRIGRKKAIVIAALIAVPVAPLWAYAPGPVLLAIGAFLMQFFVQGAWGIVPVHLNELS PDEVRGTFPGFAYQLGNLLASGNATLQAGLAARWDGDYAYALLIVAAVVALIVAALAG FGYEKKDVRFGTEEAEEPHGAMRI YP_002976289.1 MPSSFNVESADGYERLMGRWSRRLAPMLVDFAGLADGDRVLDVG CGTGSLAFTLAETPGLEEIVAIDYSPVFVEAARRRNTDPRISIQQADACALPFEDGRF DRAMSLLVLHFVPEAGKAVSEMRRVVRPGGVVSAAVWDHYGGMSGMRMMWDTVAMLDE NALAMRRRYCFQPMMRRGEMKESFIAQGLADVEETSLLIRMEYLSFEDYWDPIASGEG PLGKYVAGLDPAKRKAVDAAVRAAYEAGEPNGPRSFASVAWACRGRVPGGG YP_002976290.1 MIDPLSDVFSLLDIESARCTRFEVGGQWAFRFPAKPALKFVAVL RGACSIVLPGEPPFSLVAGDTFLLANAPAYVIANDLDREPEDGIAFFDWEHSDIARHG SDETALIGGTFVIGGGNARLLLDALPPFIHIPASDRAAALLSTTLATLDDELEAGQMG STLMTRRMGDILLVQALRAYVGRKGAAETGWIGALTNPQIGAAISLIHNSPGHRWTVE ALATRIGMSRSAFAQRFKELVGVAPLDYVTRWRMHRAREALRREDVSVAGLAAALGYS SESAFGNAFKRVFGRAPKRYWSDAKIG YP_002976291.1 MSDIKDKVIAITGASSGIGEATALLLAERGAKLVLGARRADRLQ ALARRIAEKGGEAICLAMDVKKREDLTALVALACNSYGRIDVMINNAGIGPISLLDEL RVEDWEEMIDVNIKGPLYGIAAALPVFRRQGSGHFVNTLSTAGLIIKPTMAVYAGTKN AMRTIAEGLRLEAGPHLRVTNISPGFIRTDFAGSMTSPEIKAEMAKRMDEMAISPDAI ARAIAFAIEQPADVDISDIVVRPTAQA YP_002976292.1 MSNTRSVLVTGATGQQGGAVVRALMTRGHRVKAITRRPESDGAK RLTAAGVQVVAGDLDDAASVAAAAEGVDAVFLMGNSYEAGTEAETRQGIAAADAAKAA GIGHLIYSSVADAHKTTGIPHFDSKYLVEKHIAGLGIPYTISAPVAFMENTVAPWAID GLRQGVYAAALPPARVLQQVTIDDIGAFVATLAERREQVFGKRFDIAGDELSGEQQAK ILSEVFGRPITYRELPIAAIRQQSEDTALMFEWFDRTGYDVDLAALRRDFPEVGWHSY ADWAKGFDWSVAAW YP_002976293.1 MLDLNDIMIFARVVEAGSFTAAARLLGMPKTTVSRRIAALEREL GVRLLQRTTRSLNLTASGRLYYEESSQALRTIEGANQRLAEARAEPAGTIRISAPVGF GGHFLQDAIFEFLSTCPKARVELRLTDDRLNLVESGIDLAFRTGILEDSTLVARKLGS LHRLLCASPDYLARRGPPAAPEDLVRHDCVIAGQAAHAQWLLEGPHGQETVAVSGRFA ANEMQAVMAAAIAGHGIAQLPHRFAEACIKDGRLRRVLDGYTTPAGGLHVVYPSSRHL PPLVKAFIELAVRRLNATGTGGGDDFAIVSR YP_002976294.1 MLLSSIRRDWSANPMREMLAGAVATFALIPEVIAFSFVAGVDPE VGLFASFVISIVIAFTGGRPAMISAAAGSVALVAAPLVHAHGLPYLFAAGLLAGLIQI VFGLLRLGVLMRFVSKSVRTGFVNALAILIFAAQMPHIVGAGWMEYAVLVAGLVIIYL TPRITTAIPSPLICILILTVASLALGLPVLTVADLGKLPDSLPVFGWPAVPLTLETLR IIAGPAFAIAMVGLLESMMTASVVDDLTDTPSSKNRECTGLGLANAAASLFGGIAGCG MIGQTVSNVKYGGRGRLSTLFAGAFLLILMVLLKPWVSEVPVAVLVAIMVMVSIDTFD WSSLRSVVVHPRMSSAVMVATVIVTVFTANLALGVTVGVLLSGVFFTFKVASLLRVDV APDTTTGRLTYRVSGQVFFASADVFVEAFDVQDAIGRSVLIDVSEAHFWDITAVAALD KVVQRFGAHGIAVEVVGLNQASATLIGSLDSKVVLKEV YP_002976295.1 MKYALIAVLFALSACASAGDPQPLPGSLTYGGKVLRSPYRPGTV VKNTFLGDFGYRVFETYVVQPDGTLKLTSQSTGPDFLWQ YP_002976296.1 MKVMIVEDENFIALELERIAQEAGHQTIGPVSTVEQALAHAKRS DVALVDLSLSDGLSGSQLARRLIDRHGVDVIFVTGSPENVGHGIEGALDVISKPFTDE RIASALSRAEERRKNFDSSKAAF YP_002976297.1 MDTDFRVTGGNAAALFALTIHRGDGMVLIGMDWKNGRPPADFVG FAIQYREPDTDFFKTVHNRIGFPGQLVPEDGIRTTEAPIQKFRWVHFPFNADLPGKFT YRVTPKFMDAAGALTSGEAQEAELALMRETIPGKLNVAFTRGFVSSQAFVRNFAAGGP IITLVPPDGDQGLDFVPTHADAERALAWMGFEARAETLDLLDRAHAAGAEVRAIAYDL NLPEVVTRLEALGPKLKIIIDDSASTKGHGRPNSPETRAAERLIASAGAANVKRQHMA NLQHQESIAVSGGGISTVLYGSTNLSWRGLYVQSNNSLAVHSDKAIEDYFTAFESYFT AKRADHFRASPSSAGWIDLGLDGVDAKVAFSPHSDANGRLDEIGADIDTARSSVFFSL AFLGQMTKGAIGPALGRALERPDMHVMGIADAEVRAGNLGLTVLTPDNRRRVVRSAAL TGNVPPPFVTEPSGLSGIDGKQRGTRMHHKFVVLDFDKPTARVYLGSYNFSEPADDEN GENLVVVRDRTVATSYMIEALRMYDHYIFRVASEASNGPARPLELKHPPQPGGTPWFE RDWTDPIRARDRELFARAE YP_002976298.1 MTASAENGKSGQAMRKPPVVSPQTWEAARAQLLVKEKAETRARD ALAAERRRMPWMAVEKAYAFEGPQGKVSLLDLFDNRHQLILYRAFYEPGVFGWPDHAC RGCSMVADQVAHVAHLNARDTTLVFASRAPQADIARLKARMGWTMPWVTITDSFDKDF GVDEWHGTNVFYRDGERIFRTYFINNRGDEQMGGTWNYLDITPLGRQEVWEESPAGYP QTPTYKWWNWHDSYVPDAEPDKKWVEVSDAGEAAFRAESANEKP YP_002976299.1 MNVFIATVAALVIGVIPSWAGPLHEAAKDGDIARVTQLLDHGSD LSELDEAGEPALIIASLAGHADVVVLLLDRGADIEVRNKGGLTALHAAAYGGNLEVVK RLVAEGADVNDRKNFYQMSPLHGAAEEGRTDVVAFLLTKSADVEATERNGYTPLTQAG WRAHWDTAKLLMEAGAVCQKAELVGEPLYKECTKRQ YP_002976300.1 MSYHARKSGKRMGVAVGAAVMLLSLLQPAGAEELVNTGYFGDVA IKGYDPVAYFTENQAVEGSETYSHHWLGATWYFASARNRDLFKGDPSKYAPQYGGYCA DGVSFGTVTTNIDPKAWRIIDGKLYLSYDPGAAEGMEKNPTKVTDSKKHWSEVQQTLI SEKMHTVWQQPDTK YP_002976301.1 MERRLAAILIADVAGYSRLSQIDEEGTRARFQADQNDVFEPAIA RHHGRLVKTMGDGLLVEFQSVVDALRCAVEVQQLKATQSAAALPEHRLEFRIGINLGD IIVEGEDIQGDGVNVADRIQALAEPGGIAISGTTYDQVKSKVPVGFASLGEQRLKSIT EPVRVYRVLLDPTSAGKTLTSRRRLPRRRLVAGIAAAVVLALAGAGLWWQPWMPAEPP GPAERFAYPLPDRPSVAVLPFINVSGDSEHDHLAEGLTDDLITELSKVSGLFVIARHS VFAIQGSVGKIQDVAAELGVKYVLEGTLQRAGPRLRINVKLIDAVTGLSLWAERYDRQ YADLFAVQDDVIGKIISALSVKLSARERDQLARIPTENLEAYDYYMRAEQEGFILRDV DTYRRTLSFYHKAIDLDPGFANAHAGIARVAVDVWRNDYNYLWSAAVARKIAYDAAGQ ALKLDPNNARAHTVLALLQWVDGRETEAKNSANMAVAMEPNDAEAAANLALILVHTGS SGQAITEMEKALRLDPSPASSFQLLAGIVFYTAGDDQRAISLIEPTLDSLPKVEPARE YLAAAYADQGNETKAAAETAKLLELFPESNLTYYGYLYDYWRDGDLQRHLAALRKAGI PEWPFGFTGNQADRLGEADLRNLVDGKSWTGKHKNGTDFTQFFDKAGNTAYRSANTNI TGIAEVRGDSLCEKFDGYFLDRMVCGYVYRNTSGEQRDRPYVHVTPRALTFFSPTP YP_002976302.1 MTSEELRRSEYAYNKRKLLLIFLVIVAITAGIIWLGFHPPGDKD PRVAWFFVVPGAAFFGILALLLLPKLFSVEPGLVMSTAGIRLPNFPGQILPWSAIQSI GRVQTKYADNIILHLDPTAARTLVRRGLTSRLPEWFVGSRAKVGVALKLLRGNSDRIF DEFVELLSEAYEAERQTMQEDGSTAPDDEDEALEPALNSGGHPIFTYILLATLIAVYA GELTFGLEPPVAGTPTNWTLFVLGGTFRQSIVEHGQWWRLFTAPFMHGGILHLAFNCV SLWFAGGLFERLIGWRWFAAIFFASALGGSVASVWINAPNTIGVGASGGIVGLFAAVI AASFRFRSGPIADTLRIGAAQILIPSLLPFLSAARGGENIDYAGHFGGALIGAALSSL LLAFWPRERPTPRFGAAATAFSTLFVIIAAASLWPISNTRQFIVNDPMANYFAGKYEQ AATGFAIRTTENPPTAPYYHLWRFMAQSRGNDTKAIADLKIAASKTDQGTWPYPVFSL FLGDLKPDELMAKAADSNQRCEATFYNGEWYLLGGNTQEARRRFEAALSSCPTTYMEY DGAKGELNSLGVQ YP_002976303.1 MTTASSSEPTRHGSARICRGCWDQMHVPIPIGGPLALPFRALGI TRSKMNPDICTICERSFQYVKKQRQITVDATILFADIRGFTDLSERIEAVQLSEIVSL FQDRCAQAIWAHDGIVNKQMGDGLMAIFNFPIVRKDHAGAAILAAQEIQRNCAAALSG LVLEALPGRTLGVGVGIHSGEVQIGEFSSFRSDFTAIGGVVNQAAKLESQAAAGEILI SSETAAKAADLAAGAETRMLVLKGIEQPVQARVLAKR YP_002976304.1 MKMKVLVCVALLALAGCNAPVSQSVADSQRPPSSDVRQNFINIV FKRSYRHEAGEVVWARISSVVVLEPEKKIYAYCVRLVAKRGWGDWAYLGVSFTDGKIL GATVNDDRCRDKRLRYYPFPELTGMKT YP_002976305.1 MSGGDHSAQYWNRDRSPAVSFPVTSLLGATAISDHSAFWETPIS IDLDTGERLVLRSPQDALYALVSDWPVNGGVHQQRAIDFCRAWLAGRMPAETVRQAFI LAALEAGVTINDDEDEDGAGSSVVNPSV YP_002976306.1 MWEDRSFDCRALTDDISTESAFPLFAIAQVNSDDRRASVVARGQ ERTSTSATAAVAKVAKLRTTGRTDLTQAEDDLVTVAYEAGKPSRKAARPQKTIEGPPR SHSGRGISSEIWPWREYGESARREEGKSTKGKRTVCSEDGIVYKLMALRADAPAPPFE APCGAPQGEALLSVGAASSSAGYRLRHAPTPLMLRCAAPRAKPRSTRRSVAAGSHCFS LEWITGARDVRRRSFGPILEPRSVSRS YP_002976307.1 MSVPIEDYALIGDCETAALVSKNGSIDWLCFPRFDSPACFAALL GAEDNGFWSLSPSGENVRVTRRYRNDTLILETEFQTETGTAVLIDFMPLRDGTSDLMR IVEGKSGTVAFDMELNLRFDYGRTVPWVTHGEDGGITAIAGPDRLTMNCAVPLEGRGL STVGSFQVAAGESQIFTLTWSPSHMPQPAQRQVEYALPDTEEFWQSFAAKCPKVGRWT EQVKRSLITLKALTYMPTGGIVAAATTSLPEKIGGPRNWDYRYCWLRDATLTLLALMK LGYYEEASAWRSWLLRAVAGAPAQMQIMYGVAGERNLLEWQVPWLSGYEGSTPVRIGN AAAEQVQLDVYGEVADALLQARKGGLPPHHRGRELAAAILPFLEKIWMEPDEGIWEVR GQRQHFTYSKVMAWVAFDRAVQIAEADGEPEAAVRWRTLADHIHAEVCEKAFDPELGC FVQAYGSKALDASLLHLGMVGFIPPDDPRYVATVEAIERRLLRDGLLLRYETQEVDDG LPPGEGAFLACSFWLVDALGMIGRRDDALRLFERLLSICNDVGLLAEEYDPAARRMLG NFPQAFSHVGLINSALNLARLEGPADQRSA YP_002976308.1 MAKSPKKSPAPNGFEEAPQSSFEGAPLSGSVADWVKQLEADAET SGVETQRQIASKAGKHRKKVEIAARTKTSDGGVSASKSARGTSMGGSTDPKTRAAAGL NPVSGMDTTLEEASSLQAGTAVTATVEALSALIESGNPLHKNGKIWTPHRPARPDKSE GGIAIRMQSDYEPAGDQPTAIRDLVEGLENGDRSQVLLGVTGSGKTFTMAKVIEATQR PAVILAPNKTLAAQLYSEFKNFFPDNAVEYFVSYYDYYQPEAYVPRSDTYIEKESSIN EQIDRMRHSATRSLLERDDCIIVASVSCIYGIGSVETYTAMTFQMSVGDRLDQRQLLA DLVAQQYKRRDMDFTRGSFRVRGDTIELFPAHLEDAAWRISMFGDEIDAITEFDPLTG QKVGDLKSVKIYANSHYVTPRPTLNGAIKSIKEELRLRLAELEKAGRLLEAQRLEQRT RYDIEMLEATGSCQGIENYSRYLTGRDPGDPPPTLFEYIPDNALVFIDESHVTVPQIG GMYRGDFRRKATLAEYGFRLPSCMDNRPLRFEEWDAMRPDTIAVSATPGGWEMEQSGG VFAEQVIRPTGLIDPPVEVRSARSQVDDVLGEIRETAAKGYRTLCTVLTKRMAEDLTE YLHEQGVRVRYMHSDIDTLERIEILRDLRLGAFDVLVGINLLREGLDIPECGFVAILD ADKEGFLRSETSLIQTIGRAARNVDGKVILYADQITGSMKRAMEETSRRREKQMVYNQ EHGITPESVKARINDILDSVYERDHVRADISGTSGKGFADGGNLVGNNLQTHLNALEK SMRDAAADLDFEKAARLRDEIKRLKAVELAAMDDPMAREEAKAMEGVRRNAKATRESL LPAGEKVPGRADEGPTPSYFAKPSIDDMGPGTDTTTPLFRKPALDEMGRDIAEPTKKT LFRKNDLDEMTVGRTEKPVTGALPEKPDAAKSTKRFSPLLEGQPERDDVRPVVRGKTG VGSYEDPGEQKRKGRTKGKTGRPGR YP_002976309.1 MGDFMDQPFWLAACPQTEFPMPEICIDPFPSAAELNALFSAAWG SPHNRDFTPILSRSLAHIGAYQDDRLVGFVNVAWDGGIHAFILDTSVHPDMRRQGIAT RLVREATRVAGERGAEWLHVDFEPHLTSFYRACGFRPTKAGLIKLV YP_002976310.1 MALNILDTNGATVTKTGAEFEAYDVIRDSETSPSAPVSLTVSDS SAADLADELGLVSANVTVSSGGSTVTTGAGNDNISGGEGADTLSGGGGDDTIYGNAGN DKLIGGDGNDRITDGGFGFVLGPDGSLQSEIVDIDGGDGDDLITIERFAPLISGTIDG GAGIDTLRASALRGLTIKNVEVLELADFRVSGSSAQFESFDKIARSTDPVFNFDPSLV VTDSAHLDLSDELGDLGTLVRGFSGIDVKTGDGNDEFAGSDVNDIFDGSGGSDLINGN DGNDKLTGGDGNDTINGGAGIDTAAFAGNFAEYSFEIDNGSRVVTSALEGTDRLTDVE FARFADGVYDFATETFTLNSTEPGTPLNILDTNGATITKTGAEFEAYDVIRDSEINPL VAVNLVVSDSGAVDLADELGSGSANVTGSSGDNAITTGAGNDNINGGDGADTLNGGAG NDLIQGGNGNDTLNGGDGNDHISGDLGNDVIRGGAGNDTISDGELFGLASEVVDIDAG DGNDAITLETFAPMNSGTIDGGAGIDTLQISSLQGLTIKNVEILETAGWSVAGSSAQL ESFDKIVWSTDPFGDFRASVALTDSAHLDLSDELGDLGSFIDGHAFGIDVKTGSGDDE FTGTDGNDIFDGNGGNDILNGNAGNDKLTGGAGDDTINGGDGIDTAIFSGNFANYSFA LNNGDHILTSAGEGTDTLTDVELARFADGVYDFATETFTPKGNAAPTNIQLSKTALSE DTPIWTTVGLLSAKDADGDALTYTLIDGANDHFRIKGNRIVTSKEFDYETDKSHTIKV AVSDGKVTVEKDITINVLDVNEAPVNQAPTKLAFSRSSVSENVAIGTSVGLLTAVDPE GGAVKWRLTDDADGIFKLVGNKIQTKAAIDYESTHSLTFTAEAYDAAGNFTGHDFTLA VKDVFEASVSSLSHEALI YP_002976311.1 MALNILDTNGATVIKTGAQFEAYDVIRYSADNPLAMVTLKVSDF GTINLADELDSVSAEITGSSSANAITTGRGNDTISGGNGNDTLNGGEGNDTLNGDDGA DTLNGGAGEDTIRGGAGNDTLNGGDGNDTLDGGQGNDKINGGAGNDTITDSAHFNTPK TTIDAGDGDDKVIILASDESLTGAIDGGNGIDVLSGLNNLTAFTIQNFEILETGGIHL AGSAAQFESFDKIIYGDNPIYDSSVISLILADGVHVDLSDELAARRTSITGTAFGIDV TTGAGNDNFVGTAGNDIFDGGAGNDTLHGNAGNDLLTGGEGNDQVYGGAGNDVIRGGT GNDTIGDGDFFGPPEVFDIDGGDGNDTVNLQIAYSIENSGIVDGGADTDTLQAYNLTG LTIKNFEILETGGMETIGSAAQFESFEKIVVYDDPNYDSYAISLKLTDGVHVDLAGEL ATRSAHISGNAFGIDVTTGGGNDQLEGTDGNDIFDGGAGNDVIDGYSGNDVLRGGAGD DTITDGDDYADAKIFDIDAGNGNDTVTVFRGSDVEASGTLNGGADTDTLQAYNLSGLT IQNFEILELGGAGAIGSAAQFESFDKIVVYEPGYESIISLTLTDSAHVDLSDELATRS AYISGTAFGIDVATGGGSDQLEGTDGNDIFDGGAGNDRIDGYGGNDMLFGGTGIDTAV FSGDFASYSFAIDNGSHILTSALEGKDTLTDVEFARFADGVYDFATETFTSNNTAPTN FQLSKTALSEDTPIWTTVGLLSAKDADGDALTYTLLDGANDHFRIKGDRIVTSKALDY ETDKSHTIKVAVSDGKVTVEKDITINVLDVNEAPVNKAPTNLAFSRSSISENVAIGTS VGLLSAVDPEGGTVKWRLTDDADGIFKLVGNKIQTKAAIDYESTHNLTFTAEAYDTAG NVTSHDFTVAVKDVFEPAVSSFSHEALI YP_002976312.1 MRLPTFILALAVSALAALPASAATYKTPKALLKALYSYDTDNSD AEAPSPYSAFFSDHLTKLLQTDLDNTAEGDVGAIDFDPVIAGQDGTASDVRIGQPILL DDKAEVEVEFENGEEVTLFYTLVREHGGWKVDDIANQKGDNPWSLSGLLGDAQ YP_002976313.1 MATKGTVKFFNQDKGFGFITPDGGAKDVFVHISALQASGIQSLR EGQQVTFDTEPDRMGKGPKAVNISAS YP_002976314.1 MTQKRPIFAVAPMIDWTDRHCRYFHRQISREALLYTEMVVADAI IHGPRDRLLGHDAAEHPLALQLGGSDPAKLTEAVKIAQPYGYDEINLNVGCPSDRVQS GTFGACLMLTPETVAECIAAMKRVATAPVTVKCRIGVDEQEPEQALPELISRVLDAGA DAIWIHARKAWLKGLSPKENREIPPLDYEIVYRMKQRWPDVFIGINGGIRTLDEAAVH LEHVDGVMLGRAAYQNAAILADIDQRFFGAPAAEPDWEALCNRMMAYAERHIAGGGRL QHVARHMVGLFTGLPGARRYRQILSTDAAKTGAGPEVLAAAFAAVDFSGTDQEAVSA YP_002976315.1 MGYMDKQIAPQNDGALIDAYSQSIAAAVDTVGPAVSRIERVGGR QGQGSGFAVSPDGLIITNNHVVDDAKVVRITTPDGFVTEGRVLGRDVDTDIALIRANT STGAWAKLGDSQRLRRGHIAIAIGNPLGFEWTVTAGIVSALGRSMRAASGRPMEDVIQ TDAALNPGNSGGPLVSSGGEVIGVNTAVIQGAQSIAFAVASNTANFVVSEILRYGQVR RAFIGIAGDTIVLPRRVALAAGTTQTTSVRIRRVEADGPAAKGGLQEGDYILAIDGSP VGGVDDIVRLMDGSRIGRDTEILVFSLAGRIETKILLPMARS YP_002976316.1 MSTVTTKDGVEIFYKDWGPKSAQPIMFHHGWPLCSDDWDAQMLF FLEKGYRVVAHDRRGHGRSTQVGDGHDMDHYAADAAAVVEHLDLRNTVHVGHSTGGGE ATHYVARHGQPQGRVAKLVIIGAVPPLMVKTDANPGGLPIEVFDDLRKQLAANRSQFY RDLPAGPFYSFNRPGAKVSEPIINNWWRQGMIGGAKAHYDGIKAFSETDFTEDLKIIT VPTFVMHGDDDQIVPIADSALLSSKLLQNATLKVYEKFPHGMCTTHADIINPDILAFI KG YP_002976317.1 MACHPARRHSGHVDRLTASDSFSYETVFGDLRLAAMKREREPSS KAYRQDRFENTERAAKETIEAEQRARREKTKRLKELRLSQLGAKDPATK YP_002976318.1 MSDKLFSTRDEPLASEDLDVCRRVHEALCSGLKIDRSSKEADRL GALIIELYRQGVHDERQLLLLAGGR YP_002976319.1 MPNWRVRRTALILGLGLILSISIYDRTSRDSGRVVNNIILTSDP DSNQQIHAGAGDDVVYGRGGQDEIRGDEGDDTLYGNEDDDLLTGGTGNDTYGYARGDG NDTIVETIEDSGDADTLIFSDMGPGDIGLRQEGDDLIIAIRMSAADAAKNVSILEEAR PAAKSDGRLTWALERTAARMAAGGSILIESGRSGGDKGIESIRFSDGTIWSKQDIAAH ISGVLPEGIAGTVGRETLEGTMGDDLIIGLEGNDTLSGSFGDDTYVYTSGDGSDVIDD IVNMSNEIDTLRLTDLTASDVMLLRNAAKLMVIVKPTGEVITVEKQFLAEGYWGIEKI EFSDGLSWDRDKILVRLR YP_002976320.1 MNRFAIIALSITTAFSGMPASAGLAFVPSPVQSAQPTSQSTDAR ITTVGCNNFTNCPGQFGNNRRWYRNRNHYRDRNYDRDDRYGWDRRYDRRYRRHDNTGA IIGGLAAGALLGGIIASQPRTRAYSSGSHAEYCYSRYRSYRAYDNTYQPNYGPRRQCR YP_002976321.1 MKMRGFLIPECPLRGSPGDTVDCHAVQQIALPPIDQLYMYESTV R YP_002976322.1 MNKFGIIALSIATAFSGMPASAAPAFVASPMQSAQPAPQSIDAR IMTVACNPYSIVCSGNGDNRRSYRNRDRDNDRDRDRRSYRDRRYDRDDRYGWDRRHDR RYRRYDNRYDNNGAIIGGLAAGALLGGIIASQPRARAYGSSHAEYCYSRYRSYRAYDN TYQPNYGPRRQCR YP_002976323.1 MTSGETTKPAGPVILLPPDMENLSGFEAALAAGWSPDPRRAGDE TYVRGELQRLRQDRAKFPDDLIRDGKPHAGSGSPPLTTRLFWIWDGEFCGSISLRFQA GIEELPPEVSGHVGYSVVPWKQRNGHATAALRLLLALASKEGLDRLVILCNEDNDASR RVIERNGGELFMRGPHPSDRPDQIKLYFWLRPGIAG YP_002976324.1 MRSNPFPFSLPVASRGLSLALPVALAMAAAGCSSVPLKEAGTLS SYGNLGAPKGKLSKSRVYVDGTRLSPAKTVSIVPTTFAFNAATRVKSNADRVMVANAL DRALCISLSDKYQLVSAGQPADLTIRSVVTDIVPTNKAMAGVSTVVTVGTGFVLPVSV PRLPAGLGGLAVEAEAVDSGGVQRAAIVWSRGANSLQNNPRVSEVGDAYSLASKFSSE FSRMLIKGKEPKGLDISLPSGHRMKSWLGGKPKYAACDAFGRPPGLMGAVAAKYGAPP QWTEKKPKPAATY YP_002976325.1 MREATKSNLSLWWTLSWQLSIVFVAVVATVIIGLCIYATSILSP NEGMQVQLTAALEEALTRDSRGRIVIADSPRLRSFKAENNRLWFFVATPSGETASYGV MPAPYADLARYVYLIKDADIRGASGTTEVASIDRVDTAEGEYRVMYGGNASRSSAFLA ILGKTYLIYTPLLAIALPGVFLTIPRVVARALAGVKDIASKASEIEPRRQGARLPVDG IPTEIAPLVIAFNGTLERLENEFKKRQRFLLDAAHELRTPIAIMQTRIDGMPDGRERQ RLLDDVARLGQAAEQLLDFERNDQAADLDEPVDLVEIARMTVADLAPLAIVAGYQISF QSEVERLERKGSPSALPRAVSNLVRNAIDHGGNSGMIAVSVSGSPSGGERITVTDEGP GIPAEHRELVFEPFYRVTPKSKGAGLGLSLVKQIVANHGGEVSIESSAAGTRVTIELA YP_002976326.1 MRILLIEDERELAEALSAALGKHGIVTDHTMHLADAVELTRQNL YDAILLDRRLPDGEGLSFIPDLRRTGKDTPIIVLTALNEPKERIEGLDLGADDYLGKP FLVEELMARLRAVLRRSPELAELKITAGRMVIDPLHLSVTVDAVPLDLPRRELLVLAA LARRNEKTVLRSTLEAAVYNYEEEIQSNALDAHISRLRKRLIDAGAGVAIHNIRGVGY LMKEE YP_002976327.1 MIRPILRLLTRHFLSAFILAALLAAPLVILHTLHEKTAFENGLL MDVR YP_002976328.1 MKILAISGSARRNSTNTAMLQAVRAVAPNEIEISIFDGVGRLPV FSPDLEGEHLPEAVRDLIDVIAQSDGVIIASPEYVRSIPGGLKNAIDWLVSGEQIVHK PIALLHASHRGDDMLAGLRTVLATITDRFAGDIFLRLPLMKLEPTEVFNTIEAAENRF KVQAYLQAFSAYCMADSKTA YP_002976329.1 MSALIEARGVSKRFRQHKRFPGLMGALKTLVTNEYTEVLAVSDI GFDIAAGEAVGYLGPNGAGKSTMIKMMTGILVPSDGTLSVLGRTPHLKRMDNAREIGV VFGQRSQLWWDLPLVDSFTLHQRIYDIPAARYADNLRRFSELLDLTPFLDRAVRQLSL GQRMRAEIVMSLLHDPKILFLDEPTIGLDVVAKDAVRRFLAEINRERGVTLILTTHDL QDIETICPRLIMVDHSRLIFDGELKSLRAALGSARRLTLEFASDPGPLPLRTAALVSD EGLRKNYLIEREDISLVTILSEVGNGRDLKDVALHEPDIEEVIRTFYQRRNAKALAS YP_002976330.1 MSAYFAFARSSFHSQLAYRNEVWANIFGKLVQVFARVAIWQAAY AGIGGIVVDGVSLQQMVTYALLGGAVMGATRPERIIGEIGRSLKTGDIAVWLLKPLSY PLYLFANECGSFGYRLMTQVIPTIAFTALFYGMLPPASLFDGLMFIAFWALSFTLLFL MSALFGLVAFWLMTSFSLDWILGALLQLFSGLLIPFWFFPEPLATIARHLPFAWVVYY PNAVYLGRLSTADVWLHFGLGLAWAALFLAGVLWLWRCASGRITVQGG YP_002976331.1 MLMHHLRVLPLLVRMHVRSKMEYRGAFWLDRLAQILSYGSVFAT IGILLARFDTLGGWTWPELALLFSFQLLAYSLGAAMSFVQLRELEELVRLGTYDTLLV KPFSPWAYLVFSGLNIGYAGHVILAVALMAWAVLSVDFTWSVWSASFLIAALISATLL TGALITMIGATALIWVRSNHLFSIFFGFWELTRYPLNIFPGGIQTILITAVPLALTSS VPVGALLGKPIPILGDWAGPASLVAGPIWVLMAITHWRYATGKYQGAGG YP_002976332.1 MDIELRSGCPINLTMEVLGDRWSLIIIRDIMFGNRRHFRDLLTH SEEGIASNILAARLKRLLSLGFISKRDDPSHSQKAIYSLLEPAIQLVPVFAMIGAWGR RHLPVSEELSIRAQLLEEGGPPLWDEFMEDLRQIHIADPIGGANGTCTSPVLVKLTAA FLEVRARSLSQAS YP_002976333.1 MSKVRVAAFSLSVDGFGAGPEQSMTDPLGKRGTEMFEWFFRTRT FRAMIGKDGGSEGVDEGYAARGMANFGAFILGRNMFGPIRGDWPYDAWKGWWGPNPPY HAPTYILTHYPREPIVMEGGTTFHFVTGGVEEALDKAKTAAGGKDVKIGGGVSTVRQY LQAGLIDELHFAVSPVVLGKGEAMFTGIDLPALGFRFAEHVATEHATHIVLAK YP_002976334.1 MLLSLISLNDDEITIVTDAVRQWCCERKLDIDSIEGRHAITVAV DLVQMNTNHDGLFAELSKQLDNQ YP_002976335.1 MTDRPRARISSRKQPKQARSSELVAAILDAAAQVLAREGAQRFT TARVAERAGVSIGSLYQYFPNKAAILFRLQSDEWRQTTDMLGGILADAQRPPLERLRR LVHAFLRSECEEAAMRVALHDAAPLYRDAPEAQAARASGDRIVELFMQEVLPSAPEAT RVLAGDLITTTLGTVGKQFSEAPRSDAEIEAYADAMADMFCAYLEQLRAGRDGA YP_002976336.1 MTTLTTAPLAPLLNGLFEEAAAATSPAMSGLSGDERMRLIGSKT EYLDLYGRLKDLWLPVSREAGVLLYMLTRSSRARTIVEFGTSFGISTLHLAAALRDNG GGRLITSEFEPSKLVRARANLTAGGLIDLVEIREGDALETLSTDLPETIDLLFLDGAK ALYRDILELVEDRLRPGALIIADNADLCPEYLARVRSPAAGYLSTPFEEDIELSMRT YP_002976337.1 MMGGDESKEQEDGLKPGERADRRVRADAKRNLDGLLQAALTVFA TSGVDAPVREIAEKAGVGIGTVYRHFPERSDLVVAVFRREIDACADAAPILAAKHAPG EALAKWMQRFVDFIAAKRGLAAALHSGNPAFDALPAYFQQRLQPALRSLLDAAAAAGE VRTDIAAEDLLNAAASLSMHAYAQGSEHARRMVSLLVDGLRYGAVQR YP_002976338.1 MQYRTLGRTGIKVSPYCLGAMMFGAAGNPDHEDSIRIIHKALDA GINFIDTADIYSRGESEEIVSKALKGRRDDVVLATKAHLPMGDDPNRQGNSRRWLIRA VEDSLRRLQTDHIDLYLIHRPAPDTDIEETLSALTDLIQAGKVRAVGSSTFPVSEIVE AQWVSERRGLARFRAEQPPYSILNRSIEREVLPACERYGMGAMVWSPLAMGMLTGKYR KGAPQPDSARAKRFPRQMNDERRLDAVERLIPLAQQAGLALAHMAMAFTIAHPAVTSA IIGPRTMEHFDDLLAGAGVSLTDEILDRIDAIVPPGTDTGPLEAAYNPPAVTLPNLRR RPIAERFAA YP_002976339.1 MTYDPVARVRRFNRAVTSEVGALDTSFLGRGRPLGAARVLNSIG RGQSDVAVIRDYLGLDSGLMSRLLRSLEEEGLIETLPNPQDARRRVARLTETGRSEFQ AYEALSNAQAKTFLARHRRPDELLRAMDIVASSLRREQILLEEKDPRHEDASYCLNEY YGELARRFEKGFDVSLSRDPDAKDMIRPHGAFLVAMSDGLPIGCVGLKGNGGEVAEIK RLWVAPSARGLGLATRLMTAAENIARELSIKLLRLDTNSALPEATQLYRGTGWSEIDR FNDDPYPDTFFEKRL YP_002976340.1 MGWQRSEGLPVFRFALHALIVLILTLLTQIGGIAYLAALAASRA SGLRRFLAKLAIFLLCYAGATFVASLAAPIFGRVPISCVANAADRLVVRSPIYCLLNR NYVTPEVRDLAKALAAHMDKEFPGTITVALDANFPFVNGFPLLPHLSHADGKKLDFAY YYKDVGGAFLNGATRSPIGYFAFEQPVPGDELPCEGRNDWLTTRWNFDALQSLFPAYR IEEQRTSAAIGWLTSEGVTRFGLQKIFIEPHLKNALGITDGHIRFQGCRAARHDDHIH IEVD YP_002976341.1 MPRRLPPLNALPSFEAAARHLSFSRAADELNVTHGAISRAIKHL EEQLDVQLFERGTRSVRLTAIGEPYAQSVREALDLLAAATKSASARHLDKTLNVSASD GFAGRWLVPRLYRFHQANRDIDVRISTTGRLTTFLADGIDIAIRYGGGEYPGLTSEFL TGEEVFPVCSPRLLEGAHPLRAPGDLKHHTLIHDGFPIDWATWLRSADVRDVDPKSGL TFDSATFAVESAVQGEGVVLGRTMLVAADLAAGRLVRPFRLALTSASQYFVVYPPRAI HQRKVKAFRDWLLAEISHF YP_002976342.1 MATIFPFLWFNTQAEEARDFYLSVFRNAKKLGSTEVPDWVSGTN RTVPIATYDFALEDLRLRTFNAGPGDGFNDAVSFFIETRDQAETDYYWEALTSRGGSE RACGWLRDKFGVNWQVCPEITLRLIGDPDRKKAERTLQAMYKMQKIIIADLEAAYAG YP_002976343.1 MTSLTGKTIIIFGGSSGIGLGVAAATLERGANVVIAGRSEEKLQ AAEEELGGPPHLRSFAADLEREADLTRLFETVGEFDHLVTTAGTPPPGAPIEALDLDV IRRFVDNKLIGTIALAKHASPYLKRGGSMTITSGINKDRPPVPGGAVVAAIAGSFSYF AHGLALELAPSRVNVVSPGWVDTPMWDVLVGSAKSGYLKDMAGRLPAGRIATLADVAS AYIYLMESDFTTGETIHVDGGQRLI YP_002976344.1 MPSASDRHAKAAASAWRMMFDLLMKSAPQRLESLQARGLTPNDS RALFTLHDEGEPISMLARQWGCDASTATWLVDRLERAGLAERVTPERDRRVKLVRLTG KGAATKDELLTEHYRPPAELRHLSTGELEELARLLAKTEGEG YP_002976345.1 MRSAVAILFLIETCLYAIASLTHAGFVLEGHEHRQAMIAEAVIS AVLLLGLISLGLDRSWSRTAAIFAQSFALLGTIVGAFTIAVGVGPQTNLDYITHTVMI LLLVTGLVWLARSRSASSLG YP_002976346.1 MPVILDPIDRHILRVLQRDGRISNVDLAKEVGLSPSPCLRRVRL LEEAGIIDRYVAVLDPAKVGAGLTVFARVWFKTQDAEVTLRFAEAVRRFPEVMECYLT TGECDAVLRIVTADLHSYWRFQADHLTRIPSVLSVKTEVPMETLKRSFELPLR YP_002976347.1 MTKIEKIVLSYSGGLDTSIILKWLQETYGCEVVTFTADLGQGEE LEPARANAEMVGVKDIRIVDLREEFVSDFVFPMLRANALYEGQYLLGSSIARPLIAKH LVGIAREVGADAVAHGATGKGNDQIRFELAVNALDPSIKVIAPWRQWNIRSRMQLLEY AEKHHIPVPSDKRGEAPFSIDANLLHTSTEGKILENPAEVAPDHVYQRTVDPVDAPDT PEIVTIGFDWGDPVSVNGKSMTPAALLTELNGLGGRHGIGRLDLVENRFIGMKSRGIY ETPGGTILLTAHRGIESITLDRAAAHLKDEIMPRYAELIYNGFWFAPEREMLQALIDH SQAFVSGEVTLRLYKGSASVISRASPCSLYSADLVTFEESTIAFDHHDAEGFIRLNGL RLRSWVARNGR YP_002976348.1 MTVTFPLTEKRDAETLLKHLTSHNLSVPGNCVVSLKAQVAQVSS SHTTALGTARTAW YP_002976349.1 MATHDGLTRYHHGNLRPTLLSVARALLEEGGPTALSMREIGRRA GVSAPAAYHYFNSLDAIAAALAEQGFAELSESIDAALAGPRRNLLAGGIAYVAFARAN PGLYRLMFGEGFQAYSKGNEAIRALRMQVYRQMKDDLEKRLTPEDVPNAALFLWSLTH GLALLMIDGQVEPGADPDAKVEDILKLAGMGLPAAR YP_002976350.1 MRASEPSRTAMGAAAYRAAHQRVDGGAIFFDPYARRILGEAACA EADLKAQDPATRSFRLFVAARSRYAEDCLDLSVARGDRQAVILGAGLDTFALRNPHAG LKVFEVDHPATQSWKQMRLSETGLTQSLPTFVPVDFEHEDLKTRLVDSGFEPEAPSFF IWLGVVPYLTEASVFTLLRFVASLPSASIVFDYGEPLENYSPERRARAAEMGARTAAA GEPWLTHFDPEDLANRLLSLGFTSLEDIGPTEMAKRFFGLPRDAPDRGAGPRIIFAGK YP_002976351.1 MRVYATVGAIDSVKSNGFYDATLATIGWSKHGEFPGWSAYSEGG KGDGFVLWVCTPFNGEPASAGNGSMVGFMAKSHAEVNAFYDAALTNGGTDDGAPGLRP HYGPNWYSAYVRDRPETRLLSSTTAEASSIAATRHLGDFPISRRI YP_002976352.1 MTHLKHGILAAAIMLAATMTYPHPAHAQPCEQESFEEAKYVVCA LEPGKADLRLFWKNADGAPYRAFSSLAEAVHAEGRMLAFAVNAGMYRVDFSPMGLYVE NSRELQPANTTKAESSSGQVPNFYRKPNGIFFLSEASAGILPTDEFLKHRPKVRFATQ SGPMLVIANKLNPIFIVGSTDRTRRSGVGVCDGGAVRFAISEDGVNFHDFARLFRDHL KCPDALFLDGGRGVGLYNPEMGRNDRSWHGGYGPIFGLVE YP_002976353.1 MVPSWSRLPDLLDGLIMTTLPPFPFPAIGRTVAAIVKGCGDGEG ANPVRGCIMLGRASLSKTACFA YP_002976354.1 MSISDAIYRPFESLIRPLDIPYRPLPSKGPVAVLLHFISMFRGV LIVVTLCTMANEAMNLSIVWGLSVIVDGVTQQGASAFLASEWPLLTFLGLLLFPAMPI ASFLVNTLTSHTLGIGMPAAIQWQGHKAVERQDLGFFHDLYAGQVASLLQQVASAVQQ QLISAFQSIPRFLMQMVGSVILLSALSWQLAVPTVIWITLNVLLAVRLAPIFTERARR SAKRRSLIAGAITDLYTNMQMVKQFAAEDSEAGTIRGIIGKSIETQQSEQRIYRTAEL TVVVLNVLHWLSILSIGFTGLVEGFVTIGEFTGAVYVLQRLSGHTFTFLQMGQQIFQA IGTIKDAMPVMTTPPTITDRTDAKNLVVERGEIRFENVRFAYKSGKPVIDDLSLTVRP GEKVGLVGLSGAGKTTLVSLLLRFYDIEDGAIFIDGQDIRAVTQASLRRSIGVIAQDV ALLHRSVGDNIRYGRPEATKDEIEAVAKIASADVFIADLSDGEGRKGYEAFVGDRGIK LSGGQRQRVAIARVLLKDAPILVLDEATSALDSESEAAIQERLNLVMEGKTVIAIAHR LSTIARMDRIVVLDHGRIVEEGRPDELVEQDGLFARLWKRQTGGFIPEDIDEPLPASP TT YP_002976355.1 MSPFKNKTSLGDNEPMRESKSISRRAALAMAIAATGRVAHAAPA SDQALPDIISRTEQQAAAFMAGDMTRWASLIRLDDDFTLMQPFGGPASHGFDDSPERL AELARFFRNGGGTLEVEKSYVSGDLIVLVMIERQHGEVGGLPDQNWSLRVTQVYRRHG SDWRLAHRHADPLVGKISLEQAAALARGE YP_002976356.1 MLNHIDLSRIDLNLLVLFETVMEERHVGRSGQRLNLSPSAISHG LGRLRMLLGDPLFLKTPKGVVPTDRAEELAAPIADILARVRSVVATAEPFDPAHSQRR FTIGAPDGVSSVFLPPLLDLLAKFAPGIAISVRQLLPKQGEPSPDLAWRDALAALEAR DMDIAIIPQAGFPIRFASLLLYEEDFVIAARQCHPFLIDQTLATYCAAQHLVVSHLGD THGFVDNLLASHGCSRRVALTVPNFMLALAVLAETDFISALPRRFVEMHAARFGVVSV NPPLPLGRFAINATAPKAAIRDEGVAWLVRLLQASMDNAIDKTGAHSGSPPARRKAGT YP_002976357.1 MLTGSCHCGKASWTLEGDPGSITACNCTLCRRYGTLWAYDYEGE RIALTGETASYARADRDEPSLEILFCPSCACVLSWRGLRLPKDGRRRMAVNMRLAPPD LVQHLPIDHFDGLDTFEDLPSKGRCVRDLWF YP_002976358.1 MAKNTICVWYDKEAEAAARFYAATFPDSAVGAVNRAPGDYPDGK QGDVLVVEFTVAGIPCIGLNGGPAFKHNEAFSFQIATDDQEETDRYWNAIVGNGGQES ECGWCKDRWGVSWQITPRILSEALSAGGGQAKRAFDAMMTMRKIDIAAIEAARRG YP_002976359.1 MIYLLRHGETIWNTLGRFQGQKDSPLTERGIEQAEQMAKLLKKE MAGSQQSFQLHVSPLGRTQETAARIERVLPLAARPESRLMEVTVGSWDGMTKFEIDNE FPGMLDGSDAFDWYFKSPDGESFDAACARAKDWIVGIHGPTVAISHGLFGRLVRGVYA GLSKQEMLELPVPQDGFYCLCDGEFSLVSGSADMISDVVAEEGFLPECQPGRQC YP_002976360.1 MTENGNFKRRVRARAAKTGESYTAARMHVLPRSANDALPEARRL RLAVAQTTHADDPRDADKLRQSGQEMRLLMRQAREAGARLIHFPEGTTSSPNKRIMSE IGPREIGPSDWRRFEWAVLREELDTTRKLAHELGLWAIIGSVHPLTPPHRPHNSLYVV SDRGELVTRYDERLLSNTKISFMYTPGSIPVTFEVDGIRFGCALGMECNFPQIFTEYE RLDVHCVLFSSTGGTSANDTSFAAEVQGYAASNRYWVSFSILAHPGLADPASSGIVAP GGRWAAQCPADGTAAISLADIDDNHGDIARPWRRKALSGLYEPHRVAGDPRSDGRDMF YP_002976361.1 MRLNQVTVTMPDLDAGWNFYCALGLKPVVDARPRYARFVCPDGD STFSLHQGEGGGGGTTVYFECEKLDETVGSLSEAGLRFVTGPEDKSWLWREAELFDPG GNRIILYFAGSNRTDPPWRVDKVDRPK YP_002976362.1 MHKFTVSITREIEADTAEEAALLLYQDLSRGPIPDRYSVTDETK AATEVKLDRQKADDFASIDHTADPGNW YP_002976363.1 MTSPIKIAVAGANGRMGRAILPLLAADPDFAFVGGIGREGSAGA GLIDRSSAIKAADVILDFTTGRAAAELAGLCASAGGPALVIGATGFEPDELERIAEAA RAIPILRSGNFSIGVNMLVGLVAQAARALPANGWDIEILEAHHNRKIDAPSGTALMLG EAAAEGRGVSLASVERRGRDGITGERPPGEIGFAVLRAGGLVGEHSVLFAAAEEVVTL SHSALDRGMFARGVLAAARWIAGRPPGQYAMRDVLGLA YP_002976364.1 MTKILKDENLVGSALMVVAIDALETAFLARAGNRLISPPRHHVS FPGRGDLVFTIGGILGEKPLAGFRVYETFDGAEHSQIVAVWSADDAKLKGIILGERLG NLRTGAIGGLAIRHLSAPDASIVGILGSGAQARTQLAAAAAVRKLDRARVYSRDEKNR AAFADEMQHTLGIEVEPAGSASEAVDDADIVICATTSRTPVIHARDLKPGVHVNTVGP KTHEGYELGLDIADVAAVIATDSPEQTRAYASPFFLAGSGNEHRIADLADIMAGKAAG RGSPGDTTLFCSVGLAGTEVVVASAILDML YP_002976365.1 MDGSGTTIRHVRADEVEAFRRIRLEALRTEPSLFASRYEDWEAL SLEEWRNRLNEPVFIAFQDGEPVGITGLVRQRSSKMAHRATIIMVYVRRSLRGTGLAG KLLGAVADHARDIGILQLELFVSAENPAAVRFYQREGFSEIGRIPGGVLEEGREIDDV MMARRLVG YP_002976366.1 MTAIASLFQEAARLAAGFRQAAPARHMPTHDYAASLACFEEPLP AAGSDMLYVIRRLSDGAEPGLHATTGPRFFGWVIGGSHPVGVAADFLTSAWGQNAGNH VAAPAAAAVETVAARWLLDLLKLPAESSVGFVTGATVANFTCLAAARGEVLRQVGWDA DAKGLFGAPEITVLIGDDAHTTVFSALQFLGLGHDRVLRLPTDAMGRIDPAALVGTLD TVSGPVIAVLQAGQINTGAFDDFATIIPLLKAKGAWVHIDGAFGLWAQASAKTSHLSR GIEAADSWATDGHKWLQTPYDCGYAIVRNELAHRRAMTIAASYLPLAGEGERDPSHYV PELSRRARGFATWAMLKHLGRDGIAALIDQCCASARIVADLLSQQPGIAILNEVTLNQ LVIRFGADLPSEEGDALTRKTIEKIQADGIIFAGGAKWRGRDVLRLSVTNFQTTSDEA QLAAQSIIAAFKSVSGG YP_002976367.1 MKNVLFVCSQNKLRSPTAEQVFAGWPEIEVSSAGTNNDAENPLS NELIEWADIVFVMEKAHRAKVQGKYRSALKDKRLICLHIPDDYDFMDPALVQLLKAKV PRHL YP_002976368.1 MSDEVIIRELVGLDQTMAIFPLYSQVSRLSQAVVCQRLSAMFAQ SNYRCIAAYIDERMVGASGFWTGTQLWCGKYIEADNVVVDSAVRGQGIGGKMMAWIEA EAERTECAVVRIAMVLGRERTHQFYARNGYFDDGLLMVKALSRGAAEFPEYVSQQV YP_002976369.1 MNANDVAAHWESNAETWTIYSRAGYDKYRDALNTPAFLDMLPPV AGLAGLDLGCGEGSNTRAVARLGARMTGLDIAPTFIRYARESEAEAPLGIDYVLGDGQ SIDFPEASFDFVTAFMSMMDMADQREVLKGIHRVLKPGGFLQFSILHPCFVPPTRRNI RNQAGEPVAVEIADYFDESDGRIDRWIFSSIPEAERATLTPFAVPRFHRTLSTWVSMI VEVGLTIEAFGEPMASVEVALAEPMVADTRIAPIFLHIRARKA YP_002976370.1 MKVILVIVLTALAVPCEADMLGTASKYKSMHERSISFLSINPRR VSWCGAFLAFVAKRSSRQPPPNPNMAASWRKFGKPVGVRSARRGDVVVIRTGRRFHVS LFDHIDQRRQYVYLFGGNQSNRVQLSRYRASSVVAVRR YP_002976371.1 MSAAVVDNPEIAGFSASRHFVGMSGYAADIPPQSEQSAEMKPHH RIFFIQFAVALSLGAFVSRLPDLQLKFSLTEGELGLLLAVMSSGVLCGLTFSVRLIER LGARTTAFVTVFGAALFFALIPWMPSALLAVPLFFIAGIFTGAFEINANIETDRREAL LGYRIMSRAHGMWSLGFCITALVAAGMRQAAVSIELHSFIVLVTVLIAGSIVFSGIEN ATRRQDAHPGDTPIIAFPTIGLLPLCLVAAAPLLAEGASVDWSAIYMRDVFAVEPFVG GLSVTIFSSFIAIGRLGMDPVIDRFTPRPVAITLLGISTIGVVMVATATHPAIALAGF GLTGIGCSSVYPLAISAAARRTDRPAPVNVAALGQTTFLVFFAGPPLLGFVAEHFGIR FSYWAVVPVLAAALLVTRALAADPAPVTGQPEPAQPHG YP_002976372.1 MTSTHMDKTGDGAGGKGFVGLVIGAVGVVYGDIGTSPLYAFREA LRPFAADGVHEAEVIGLISLMVWTLTIIVTFKYVLFLLRADNDGEGGALSLLALLMKK MGRNVPVLFFAGLIGAALFIGDAMITPALSVMSALEGLKLITPAFAEYVPLASAAIMI VLFAVQSRGTAAVSKLFGPITVLWFLAMAAGGLIHIGDDWRILAALNPANAFLFLTHA GSVGLIVLGAVFLTVTGAEALYADLGHFGRRPIQMAWFVLVFPALLLNYLGQGALVLA HPETAGNPFFLMYPDWALPPMVLLATMATIIASQAVITGAFSLSRSAVHLGFLPRLRI KFTSETNTGQIYVPAVNLLLLVGVLMLIFSFGDSESLATAYGISVTGTMVISTMLVFQ FLRVVWGYSLVLAAAWLLPLFTIEVMFLAANLLKIYDGGWVPVALALAIMILMWTWTR GQAYLKRLRANNEIPLDSFIRSIERKSDHSPVTVPGTAVFLTSVPDRTPNVLLHNLKH NHVLHEQNVILTVWTEDEPYVPDSRRIKISQLSPRFVRLDITFGFMDDPDVTRALALC REGGFKFEIMRTSFYLGRRNLVRTPNTGLPAWQERIFMALEGLAIDPSDYFNLPSNRV VELGEQIAI YP_002976373.1 MEPTHLFELVIAMFLAIIALHYAAHRLGLPPSVALLTGGALLAF VPGLPAISVDPELVLVIFLPPLLMDGAWAIALARLRRHMIGIASLAVGAVLFTCAVVA VVAHLIFPSLPWAACAALGAIVAPPDAVSARAVLERVRLPRRLQILLEGESLLNDASG LVLFRFAVAAVATGAFSAGEAVGSFFVLALGGAVVGVVVGTAWVKLARRLGDEYLIIA ATVLLAWISYLLGELLHVSGVIATVTTGLIASWHQHTVFSAATRMRGTSFWTVMIFLM EASVFTLIGLSLRDVVERGGGLTTVIATMGLAMLAILLTLVVARFAWVFASDLIIKFC AALGVTRAQPLGVGGATVLSWAGVRGVITLALALSLPEGFPGRDFILATSFAVILGTV LVQGTTLGRVIAWARLAPETERARLTMSQAEAAMAQVQLGIVKNLAYDAKGTLIHPQL LERYQRRATAIVDYAERTEHYVPVLHAHFDVVLEAVATGRRELIRLHRAGDIDDETLD ELERDLDLEELSAISAKA YP_002976374.1 MRRRTLVLGGAAIVASSVAAVAIWPRRHVVVAARTFDWKGTDFV SGGTKSVTLEKLPEPLFRSRPNCVVTVAQTLGPCHVNNVPARQDISDGKAGLPLRLAV RIVHAADCRPVENADIEIWHTDHRGIYSGREAAQMCTLGDAEAISGLAFRGRQLTDAK GQASFLTAYPGWYKGRTPHVHCLILVEGKELLVSQIYFDDVLSDIIYGEHPDYIGRPA RDTRNDEDGLIPEDAADHIFDFEKLDSGVLSATITIGLST YP_002976375.1 MSRIITRRRFLIGATMTASALGLAGCDALVESDRTKSVLKIAEG FSMKTQRFLLGDDALAREFTEADISPTFRANGTSMPDNARYIDWMNQRFSSWKLEVGG LVDRPMQLTLADLKALPARTQITRHDCVEGWSAIGKWTGVPLGALLQTAGLKPEARYI VFHCADEYEKTLDGSGWYYESIDLVDAFHPQTILAHTMNGRDLEVAHGAPLRLRVERQ LGYKQAKYLTGIEAVADLGQLYGGNGGFWEDRGYEWYAGI YP_002976376.1 MATTDAGMASGGTLFYRHGLLVRLSHWVNVLCMTVLLYSGLQIF NAHPALYWGQYGADNDPSFISMEAEQEGDALKGVTRIGGLSFDTTGILGVSNVDGEAA VRGFPNWLTLPSYQDLASGRRWHFFFAWLFVINGFIYLAYGFISRHFRRDLLPAAVEL KPSHLGHEIVSHARLRFPKGAEARHYNTLQKLAYVAVIFVLLPLMIGTGLTMSPGFNA VAPWLLDLFGGRQSARTLHFLTAFSLVAFVAVHVAMVLVSGVFNNLRSMITGYYDIEQ GGKS YP_002976377.1 MTKILSSLAACSFVIGLSLAGAASAQSSDAMKKDSMKTDTMSKD AMSKDAMSKDAMKKDTMATGSTKADCMHNAGMEKDTMKKDTMTKACDAMK YP_002976378.1 MTSAHSEQALKMLMLLSLDGDEAAYRRLLVTLRTLLVGYYGGRI GTTAKSDTEDLVQETLLALHSRRATYDRERPFTAWFFSIARYKLIDHHRKHGGRYKAE MELDEEIEDDFREDAIAARMDVERLLNGLPQHQQELIRQIKLEGQSVADTASRTGQSE SAVKVGVHRGIKALAARLRGGM YP_002976379.1 MRETEDVIDSLVRDLKPVPRHALERRFALAILPALAVSLLLMLI VLGLRTDMAQALMLPVFWIKSAYNALLAIAAFAAVYRLSRPDGSEGRFFGIAAAIILA LAVMAAVQLALSPAASYPVLVLGSSALHCPLLIFAFAMPILIANTWVLRGGAPSNLGI AGFIAGIAAGASGAWVYSWFCTENGLPFVTLWYSLGILLTGAIGALLGPRLLRW YP_002976380.1 MVRNEGVAHLTRQSAEQGLARLMMRLPATRATIRAVAASQPHFY ELCGAYGEACTVLDRMRRDRSADPAVITEYEIICAEIEVDVISILLGDQ YP_002976381.1 MAIYYVNPAIGSNGNSGTSEDTPFASFWAVENLKLQPGDSVLLA AGSVFNDQLDLKYSGTVNAPITIGSYGVGDAPVIHSPNDGIHSLYASNIVIENIKISD TGGAAIYGGSVSNWTVRNVEVDHTGLAGKSGSITFRTGSNITIENSTINDVNGDGVWI EKVNGVNFLNNTVTNAHGTAADAVQMNDSSNIVISGNYLDQTGAATPKGVIALVRPVN ALVEDNAIIGGGFGIGAQAGTNVAIHDNDISGYGGYSWSYAIGLGDQGNTRDYDISGN YIHDGVWGVAISAAGTTSYVREDINIYGNVFDDLSSAALKVDRPASGSFHDNVIASDV TPYSISPAIIAANTFPVSNNTTLNEAQATMLASSDSLAVGDVTHTDTAPALVATHDSL KITSDLDAAHYGNLLENDSSANGTLLLRRFEGEFVNKDGVTLTGQYGTIHVDGDGDYS YTVDAAKLAGLSGEVSDTFHYKISDGTSLHFDTDTLSISIHVDDLLI YP_002976382.1 MTVYYVNSASGSDRNSGTGQTSAFATLSKVESLKLKPGDSVLLA KGSVFNEQFDIKYSGSESAPIKIGSYGTGAAPVIHSSGDGIHSLYASNIVIENLKISN TGGAGIYGGSITNWTVRDVEIAKTGLSENSGAVTFRSSKNVTVEDSTISGVKGDGFWI EKVNGIKILNNTVTNAHGATADGVQVNDSSNILIKGNHLDQTHADSPKGVIALVRPVN AVVEDNVIMGGGFGVSAQAGKNVAIRDNDISGFHGYSWSFAVGLGDTGNTRDYDIAGN HIHDGAWGVVISAAGSPSYVRTNIKVHDNVFDDLSQAALKVDRPASGSFSNNTIETGT KATSISPSIVDAHTFSVSNNHTVANVETALASPVAKTAAATEAAVDPAVVAVHDNLKI FTDTGAAHHGNLLENDSSDNDTLVLRRFGDETVGKHGLTLTGEYGDIHVDREGNYAYT LDETKLPDHSGHVSESFSYGINDGTSHHSDGDTLTVFIHLDGLLS YP_002976383.1 MNVLAVHRDTVGTEQAAGNSLAAAYSVGVLCWLLSAGVYIAAKW VSTEMPPWALCFWRVLIAFAILMPIVRRHFGDMVALVRARALELLLIGGVGLAICQGM IFVGLEHADATTAGIIIALIPIITMILARLMLAEPMGRWQVIGSILAFLGIVVIIIKG SPAALMRLDFNPGELWIVAGAFCFSLYTVLLRRAKFDMNRLALLVLLLGAAVLTALPF YLFELFSDERSTLNGSGLISLAYVAIPGGAVMYYLFNRSIEALGAARAGVLLYIQTIF IAVLAYLILGEQLQRYHLEGAALIIAGLLLIILLKPKIKAEAATA YP_002976384.1 MTLLSLQPSPDILLSSAGRAWSGLDAALLRVPRGLSRVRDGELH SLGIHVGPPVRADCSCGGKRMRRLQRHGDIDFVPAGMDGSWEDDADCQILRLSLRPSL LDQVAEDLGRDAAMVDLIPRLQLRDARIEAIGWAIRADLEADTPSDPLYIDHLANALA VRLIETADGGRQHSERSGAAKMSTRQLRMLTDFIETNLDRKLHLADLATVAGVSMTRL KTLFRNSTGTPVHQYVIRRRIEYARALIATTTMPASEVALAAGFAHQSHMASTMRRIL GQTPGEIARQASEIRPKLQRTA YP_002976385.1 MSSSLAHTESGTSQPVQLVKEFFDATVATPDGFWNSFDAYFTEA TVWENVGVATTVGPVEARNFARAFPVKFDHLRVEDLVLSGAGDRVYAERLDHFCRPDG SIILTVRALGVLEIEGKKIARWRDYFDTAGFAAALGAQPD YP_002976386.1 MFAIVGAAGKVGYSTSLALRKAGLPVRAILRDEAKAAPLSEIGC EIALADLRDPAALARSIAGADAVQVILPPPLRAEDAVGEMRQSIEGLAEALGQARPKR VLAISDYGAHIAEDVGMPTMFRVFEERLRQLDAHKIFLRSAEHMEGWGLVIPVAIASA TLPSFHDPVDMKFPTISAADLGLIAAGLLLQPATEENPQVVHAEGPRRYSANDVAAAL SQLLGRTVEAQAVPRSQWKESFERALSPSATELLINLYDAHNKGGLIDIEPQTGEVRH GATELIDALRPLLPPQ YP_002976387.1 MSLTLKQIFLMIRVNLGSLPRRLWISLSMVLSVALVVAVLAGFL AMARGFEAALQGAGSTGIAVILGGGTNQETGSDVPAEAIRSLTAATGGIGVARNAAGG LALSREIVVAVDVTRASDGADQTLSLRGMDLAGPGLRDRATLSAGRLFSPGARDIVVG ARIADEFSGFAVGDKVRLGAVDWTVVGHFTSGGSAFESEIWADLEAVQSAFDRQGQVQ SLRVRLAGAGGLPVLQEHLSVFPGAPLTAVSEADLYAAQSERTASLIRLFGWPLALLM AVGATAGALNTMMSSVSDRTVEIATLRLLGFGRLPAFTATWVEAVLLSVAGVALGILA SWLAFNGWQASTMGADNTKMAFQLDVSADVALTAGLLGLAIGIIGGALPALAATRLSL TSALRARG YP_002976388.1 MTFFQLMRRNAWRKPLRATLLMFSVGVAFLIYGLTASFLSGSQG AAGASDTLLGVFNRSGRAQPLPLGYLNRIAADSDVAAVAYMTRMRGFVDVEKNVVMTS AVDPRLIAAANGDELRLTPQLISALEEGRDRVLVGRALAEAQGWSVGQRIGVTSHLTR EDGSRNWSFEISGIFEGADASTDTYFMIARYDAVNAARARGKDTVDGFVVRPRSGVSS AVLAARIDALFANSAAPTRTQSEKQFLEAFLRQFADIGLIVSLVVGAAFVTILMIVVN TMLFAVRERSFEIGVMKVLGFSRGRIIALILGETLFVFAVGGAGGLVLAKLATLFAGP EFGLAFTSSVLLKSVAIIAGLGLLTGLLPAANAMRLPIVNAFRTR YP_002976389.1 MTDTQEPYIALRGVRKAFRIGAETIPIFSGLDLSIARGDFVAVM GPSGSGKSTLLNMLGGIDSPDGGEIRIGRSHLEQMGEGARAAWRAHSMGIVFQFYNLL PMLNAGENIELPLLLKPLKRKERRARVETVMDLVGLSGRQRQFPSSMSGGQLQRVGIA RAIVSDPDLLLCDEPTGDLDRKSANDILEMLGFLNRELRKTIIMVTHDPEAASFARRT LHLNKGEFVEQERLAQ YP_002976390.1 MPSRATYTIAIEDCQKRVRGRGFAAVGHCGGMNTTSEHDRDLAA KLRSLSIRLAAFKTEPPEPRARRWVIPGAMLALATTASLAVVLFYRPDTLERIETVLA GFIGKDEAAISVDGTDVPAADPIRALPSNDPADRAWPPREVTGSGYVVASDIATVFSK YEGRIVTVEVEAGDRVAVGQVLVRLDDAGARFALEGAEIARRSAELALAAKSIDLSQA RSSLTRIERLAGRDAMSAQTLEEAKTAFDTAENAALQARQDVAKADLDIDRAREQVEA LTVRAPISGTVTRLTAHVGDTVLSREDSVRENESLLAIADMANMVIDADVAEANIALM RPGLRGEAALDGFPDLPFAVEVSKIAPVISREKGTVTLRLSLSSPPPDMRPAMAARIR LVVGEAATSVPTASNPPGTGAHTGGPTTFRPQAGDTRDQKQGAER YP_002976391.1 MMAIHSPLRSYQAMLFIPLPFVVALLLLILFVTVLRRDEEAQPN LPFLALILLAVLQSVLSGLRWGYGVQAVGVIAPVTAAMVPPLAYAGVSRLVRTSRRPL AARIALHAVPTAVILLLMVVWRDVIDIALVLVFVGYALAILLLMRPGADALRLAPFEG AVPAYRAIIFAAAALCLSASVDIFVFFDFTWAHGEHALTLISVSNLAVLVILGIAAAA ASRSRAPAETMEAVLKFETTEDKETIATVDALMEARKLYRDANLNLDRLARKAGIPAR QISTAINRAMDKNVSQYVNDHRIGEACRLLAATQKSVTEVMFEVGFQTKSNFNREFRR VTDMTPLAWRERKGGAGGSPASS YP_002976392.1 MALKILFVGGTGQISYPCVERAVVQGHDVSVYNRSLRGDPLPAG VTSIVGDLAAPTYADLAKANYDVVCQFIAFTPDQVARDINVFAGHCGQYIFISSASVY EKPPRHYVITEQTPAINPYWRYSQDKIACETLLKNSENLAWTIVRPSHTVRTGLPIMM GDSDVMARRMLDGEPTIVAGDGHTPWTLTRSVDFAVPFVGLFGKPAALNEIFHITSDR AHIWNDIQTTIARLLDVEARIVHVPTDTLVRYNPEWVGPLRGDKAWSAIFDNSKVKSV AGDFTCAESLDEILAEPIMHLKQRLAKNRPPKGDLDALVDRICAAQSDLG YP_002976393.1 MKDYLPYAAGVLAGLLVAFLMSGLLELTETPQLVLFALLPAVGG ALVERVCCRRPNNS YP_002976394.1 MARLKEIVIDCDIPSRVARFWAEALDGYDVMPYDDEELARLAAV GLTPETDPTVMVEGPGTRICFHLRQGERPARNRLHLDIATPDRTREVERLLSLGASFV READGYTVLNDPEGNNFCVASE YP_002976395.1 MAEILLFHHAQGLTPGVRAFADDIRAAGHIVHTPDLFDGRTFPS IEAGIAYIGEIGFDAVRERGVRLADDLPAGLFYAGFSFGVLPAQKLAQTRPGARGALL FYSCLPISGEWAFGPWPAGVAVQIHGMDNDPIFVGEGDIDAAREIVEKVEDAELFLYP GDQHYFADSSLPSYDADATALLTLRVIEFLNRV YP_002976396.1 MPDFLVIHDVVISGAGPVGLFLACELRLAGLSVLVLEQAEDPHS PLKRLPFGMRGLSAPTIEAFYRRGLLDDIMAPQREKDQWTSAHWMQQPRRPGGHFAGI QFFHDTIDTSTWPYRLPGPAGTSMAVEMEHLEFVLAARASAMGVETRRGLGVDGFDQS GGGVTVRAGGEIFLGRWLIGCDGGRSTVRRAGGFEFVGTDPEFTGYSVQVEMADPEKL VPGRHYTPTGMYTYQKPGTIAMVDFDGGAFHRTQPITPEHVQSVLRHISGADVTVTGL ELATTWTDRAYQATAYRKGRVLLAGDAAHIHSPLGGQGLNLGLGDAMNLGWKLAATIR GDAPEGLLDSYFCERHPVGAQILDWSRAQVALMRPSRSSRALEAIIRDLIDTRDGATY FAERVWGVSLCYDLGGSHPLVGRSAPDFELADGTKLGDHFRQGKGLLLDFDAGAPLQA LASRWNVITYVASDARDRLGLSALLVRPDGFVAWAGEAVPDNEEAAQAASRWFGKA YP_002976397.1 MSETAAHAQTQTVHVQAHTGQQHPLRLYFLVWGLLFVLSAASYM VDYLGVQSYLRWTLILVFMMLKAGLIVAVFMHMAWERLALVYAILLPPLLVLVFVALM VSEADYTIFTRLAFFGAAP YP_002976398.1 MAQTIETHGGADLRPAGLRGVASDFSSDQRAFKTASWGKAMMWI FLLSDTFVFGCFLIAYMTARMSTPVPWPNPSEVFALHIGGQDVPLILIAIMTFVLISS SGTMAMAVNFGYRRDRRTTAILMLLTAVLGACFVGMQAFEWTKLITEGVRPWENPWGA AQFGSTFFMITGFHGTHVTIGVIFLLIVARKVWRGDFDEERRGFFTSRKGRYEAVEIM GLYWHFVDLVWVFIFAFFYLW YP_002976399.1 MNVILVFLAAIGAIIIWWLSGQRLTSKPWLETGSVQLPDHHSTE RRPMPAVKIGLFVFLGVVGALFSLAVSAYFMRAASADWWAMPVPRLLWVNTAALALSS ASLQWAKREAGQGRMENLRPALAAAFVLAVAFLVGQVQAWRELVAAGYVLVDNPANSF FYMLTGLHGLHILGGLAVLAHTTVRAFSTDVAPERLRLSVDLSAIYSHFMLAVWLLLF ALFAGWANDFVDLCRTLLN YP_002976400.1 MVEIPSDSAGAIPSAEVEDVELYHPHSWWTKYVFSQDAKIIAVQ YSLTAIAIGLVALVLSWLMRIQLAFPGYFAFIDADHYYQFITMHGMIMVIYLLTALFL GGFGNYLIPLMVGARDMVFPYANMLSYWIYLLAVLILAAGFFAPGGPTGAGWTLYPPQ SVLSGTPGGKDWGILLMLSSLIVFIIGFTMGGLNYVVTVLQGRARGMTLMRMPLTVWG IFTATVMALLAFPALFVACVMMMFDRVLGTSFFMPAIVEMGTQLQHGGGSPILFQHLF WFFGHPEVYIVALPAFGIVSDLISTHARKNIFGYRMMVWAIVIIGALSFVVWAHHMYV SGMNPAFGFFFATTTLIIAVPTAIKVYNWVLTLWRGDIHLTLPMLFALAFIVTFVNGG LTGLFLGNVVVDVPLSDTMFVVAHFHMVMGVAPILVIFGAIYHWYPKVTGRMLDDMLG HIHFWITFLGTYAIFFPMHYLGLLGVPRRYFEMGETAFVPPSAHTLNIFITVMALVVG AGQMVFLFNLVWSLFRGREAGGNPWRATTLEWQTPQTPPAHGNWGKDLPVVYRWAYDY SVPGAAEDFIPQNVPSSDGVTREVHA YP_002976401.1 MAVVLILVLIVVGSVLFHVLSPWWWTPIASNWTYIDSTLVITFW ITGVVFVAVVLFMAYCVFRFRHKPGNKAHYEPENRRLELLLGGGTAVGVAAMLAPGLI VWNQFITVPADAASVEVVSQQWLWSFRLPGADGKLGRAETRDVTPENPLGLDKNDASG LDDVIIEGGELHLPIGKPVHILLRSVDVLHDFYVPEFRAKMDMIPGMITYFWFTPTRT GTFEILCAELCGVGHPQMRGTVVVDEDADYQTWLGQQQTFTQLTASSGELPPAN YP_002976402.1 MDYRIVLLIATSVIALSSGNAGAQEGDATAGATVFKKCATCHVA DSDTNKVGPSLNGLFGRKAGTHPNFAYSAGMKAAGEGGLVWDETVLRDYLHNPKAKVK GTKMAFVGVKDDQEITDLIAYLKQYSK YP_002976403.1 MIPDFLVTHSGGFHADELLSSVIVTRLFPQARIVRSRAPEWITP GEDRIIYDVGGAYNPTIGIFDHHQRGAPLRDDGQPYSSFGLIWKHYGRDYLIAFGLPE AHIETLHGSFDASFVLPVDLTDNGALSPSGPLAGLTLPALLETLKPVFDEADPEADNR AFHAALAIARSFVEARIAQSAAKLRAEAIVHRAIEAAGQGRVLELPRGMPFRPAILKA GADHLLFVVHPREKDWCVTGIRRAEEGFELRADLPGAWAGLTNGALEAVCGIEGASFC HNGRFIAAAGTREAALAMAELAVKEALSIRG YP_002976404.1 MEIPQHFHVVETAGWLVNHRMNSTLPGYLMISSKTDTNDLSDLP EDALAEFGPLLARAQSTLKRQLNAQRVYIGRYGHTPGYPIHFHVIPIYEWVEELFWKD ARYRLLENFAEGPGETATDGAELTLFVWREFCERAEPPPIRGPSVSEAIELLREAMRF PPL YP_002976405.1 MSISIDAVSFAAGDTVIVNGVSLTVEKGKVLGLLGPNGSGKSSL LRLICRLRKVRSGIIRLGDDDISSLPRAALARRVAFVEQQSTTDTQLTVHDVVRLGRT PHRGLLSSWGAGDDAAVDEALSRTGMQERAGQLWQTLSGGERQRVHIARSLAQAPSEL LLDEPTNHLDIQHQLDILSLISKLGITCIVALHDLNLAAMFCDRLAVLQKGEVVASGA PEEVLTEDMIGRVFGVRAHVQKSAVHGRHHIQYVMD YP_002976406.1 MKAVSVRQQGWWALAALTIAAFLLLGMMISLAVSIGEIAIPLAT TAEAVSNRLFGTDFELSRIHQGIVWDYRLSRALVAASAGASLSLSGAILQALLRNPLA EPYVLGISAGASTGAVCVMILGFGYGVLGLSSGAFIGAVIAFLLVGILATGAAGTGER IILCGVAGSQLFNALTSYIVTTSANAEQARGILFWLLGSLSGVRWPDVYLSVPIALAG FVICMAHVRALDAFAFGTDAAASLGIAVRRVQIVLFAMTAAMTASVVSMVGSIGFVGL VIPHAARFLVGPAHRRLLPATALGGAIYMVGADIISRIIIPQQILPIGVVTALFGAPA FAVILYRVRGKA YP_002976407.1 MRQILATMTFAFGLAGLAAPSLAATRYPLTITNCGQQVTFEKAP SKAVSIGQGMTEVLFSLGLADKIAGTAVWVGPVLPQYAEANSKITRLADNDPSFESVV GQEPDLVTAEFEWHVGAQGSVGKREQFKDLGINTYLAPADCVAKVNTDGGDGVRKELF TMDLIYREITELSEIFDVKERGDALISELKKREADAVASISGASGKNLPVAFWFSSKE VNGDAFIAGKNSAPAYILKTIGAKNVVTTEEEWPLVGWETIAQANPAVIVLATMDRRR YAADDPKVKVDFLENDPVTKELDAVKNKHFVMMDAQSMNPTIRTIDGIETLANGIKSF GLAQ YP_002976408.1 MRVSVTDAKGQLTELVRRAEAGDEVILTRHGHAAVKLVPVRAAP DRKSRRTLMEAVRASAIAKAADGPPAARSQDFLYADDGLPE YP_002976409.1 MIAVDTSALMAIVLGEPQADALIAVLEAEDDLLISAGTVAEALI VSARRNVGDEMEQLIDGLGFEIVAVTPASARRIAEAYRTWGKGAHPASLNFGDCFAYE VAREHGCRLLFVGDDFVRTDIENAL YP_002976410.1 MTSVKVNELISVAGQPDAAGFAAFAADGFAAVINARPDGEEPGQ PGNTAEKASAAAAGLAYSFVPVKGTEITEADICAFQTAMAEAKGPVVAHCKSGTRALT LYALGEVLDGRMKPGDVEAFGQNLGFDLAGARRWLEKRSGQVADVKAFFEPRTCSVQY VVSDPATKRCAIIDPVLDFDEMSGATGTANADAILAHIESEGLTVEWILDTHPHADHF SAAHYLHEKTGAPTAIGAHVTDVQTLWKEIYNWPGLATDGSQWDRLFADGDTFEIGAL KARVIFSPGHTLASITYVIGDAAFVHDTVFTPDSGTARTDFPGGSAAALWHSIQAILS LPEETRLFSGHDYQPGGRHPRWESTVEAQKRANPHIAGIDEAGFVALRQARDRTLPKP KLMLHALQVNIRGGRLPEPEGNGRRYLKIPLDAL YP_002976411.1 MQTQCDKLVVLVTKGIESELSSVAFTIANGGITAGLKVSVFLTS TGIDLVRKGGQRMTHVPPLDPLSSLIENFQQRGGTIWACPPCVTSRGYTQEDLLDGIV IVGASAMHAEIKEGAATLSF YP_002976412.1 MREALSENPDAAGVAREDVLSALLSTIRLSGSLQFCFMPTGDWQ TDATPSLAGLSAKASGTMPFHIVVAGSCWLKTEDEETDLEAGDVLVFPFGTGHQLGAG RDGMLVLPTRDLPQKPWREIPVLRYGDETQGVRLLCGYLQWDGLSFAPLRQALPRLIH VRTRAANDGDWLRATIRQMVEEVDRPRAGGVSMLPRLTEIIFIEILRHQIMMAEPSSV GWLAALADPSLSRCLSLIHDDPRRDWSLEQLAAASGMSRSALAERFQAMLSTSPIRYI RDWRLYLASVALATSGQPIAAIAYEAGYATEAAFNRAFSRAFATPPAAWRATAQA YP_002976413.1 MDSESPQPLTARFPVGGGEIGRLIQTRDWSKTPLGPLELWPQSL KTATNMLLLSPVPIVLLWGEDGVMIYNDAYSVFAGSRHPDLLGSKVREGWSEIADFND NVMKVGLAGKTLAYENQELTLLRHGSPAPVWMNLDYSPVLDESGKPAGVIAIVVETTK SVLAQRRLRESEERFRAFTTATTDIVYRMSADWKEMQQLDGRNVLADTANPTVAWQEA YLFPEDIPAIQAVIDEAISSKGVFECEHRVRRADGSTGWVLSRAVPLLNDPGAIVEWF GAATDITERRRKQQQLELVVHELNHRVKNNLAMVQAFAFRTFRDAEDIPRALDSFTAR LVSLGRANDLLTGELWSSASLKQTLDQATVPHRPDGGRWELTGDEIRVSAKTALALTM AFHELGTNAVRHGAWSNDGGAVSVKCSLQIIDGMQRYRIDWNEHGGPPVEQPKRKGFG TVLIQRGLAAETGGNVEISFAERGFSFSLDAPADRLRNE YP_002976414.1 MAKRVLVVEDEMTIAMMIEDMLVDLGHEVVATAARLPSALEVAA DGNLDFVILDVNLAGHQSFPVAAVLQQRGIPFAFATGYGPAGIDPAFAGRPVLTKPFT TADLAAVIESVG YP_002976415.1 MSSFRNAVVSLPGKERVAKTPFGARIVIHATAAETGGAFGMWET FTPPGHGPAPHTHTREIEVFRVIRGLYRFQCGDEAFDAPVGTVVVLPPHVPHSWRNIG EEPGQMFGTVTPGGCEQMFIDIEAFGADTPEKIAVIEARLGIINDITLALGLTGPQPR YP_002976416.1 MIDHITIAVSDLQKSKLFYERAFEPLGYRLSFGKEGVFWAFDVG GSLFEIQHTDDKPPLTRLHVAFRVQSRAEVEAFYRAALEAGAGDNGAPGPRPQYSEKY FACFVLDPDGYNIEAMIDVPAPQG YP_002976417.1 MDHTGEVLILTGPPGSGKTTTAEALAREPGSPKVHLHSDDFWHF IKNGVIPPYLPEAHEQNIVVVDVLTKAAAGYASGGYFVVVDGIVGPWFLEPFRKIAAP LHYVILRPPLDVAIRRCRERGGDALTDPAPIAALHQQFSSLGPFEQHVVSTEGHDRED TLRKVIEAMRSGMFRLAS YP_002976418.1 MIKRKLGQRGPDVSAIGFGCMGLNYHRGTAMERGDAVALLRAAH DRGVTFFDTAEAYGPFTNEELVGEALAPIRDEVVIATKFGFRDGRPEVGLDSRPERIR VVVEEALKRLRTDRIDIFYQHRVDPAVPIEEVAGTVKDLIGAGKVLHFGLSEAGVEAI RRAHAVQPITVLQSEYSLWWRNPEQEILPVVEELGIGFVPYSPLGRGFLTGKMDENTS FEGGNDHRKTNPRLSAENRKANQPVVDAIEVIAARRNATAAQIAIAWLMARKPWIVPI PGTTKLHRLEENIAAADITFTSEELAEIDAVFSGIEIHGDRYSATSAARVTR YP_002976419.1 MTDRPDARPGDRPDYRWHGRRGDMGFRGFRGHRPPPPPPSKAAH FRIEDGNTRIDLKCAEDEPMKVCADLLLQVMDRLQGQD YP_002976420.1 MPNEDQDNGHPEGAATPVPPEHRRRRLAIPVIAAAVALVIGAAG GASAVKMMRPMPEMAPLTPVAISAMPATSLVTIKGKVAEIYGNKFVLQDESGKALVET GRAGEDGDLVTKDEAVTVQGRFDDGFVHASYLVRQDGRTEALRPPKGPPHRRFADFDH RP YP_002976421.1 MRVLLVEDDEDLSGRIAAVLRSENFVVDIARNGEDALHAGLTEL FDVAILDLGLPKIDGVSVLKGWREGDRNLPVLVLTARDGWPDKVSSFKAGADDFLAKP FKVEELVLRLRALVRRASGHAASRLVCGALVFDAQLGTFELDGLPLKLTALEWRVLSC LMLRKEMIVDRRELTERVYDGDAEVDSNSIEVIIARLRKKLGGERIETMRGRIETVRG RGYMLTAAAAP YP_002976422.1 MKAASLKPKSIAGRLLMFSGIFVTLALVVAAIVLWLALKTVVRE QVDQRLDTQIGALAAAVTRDAQGKIVLSAPLDAPPFDRPSSGWYWQIDDGQQRLTSRS LMNGTIDAPPPRQDLRHVLMGMPTSGRGGDRGSGPLYLRQAIRSIEGMTLTITATAPM IALVGPALRALFWLVPCMLLLGLVLMAGTLWQIRFGLSPLKSMAANIDAINRGERARL PDEATKELAPLSAKTNALLVANEERLAATRMQFANLAHGLKTPVASLLLALDEKNDPQ GAMRNLAARIDNRIKHHLAAARRVMASSDNVVSTDVATSVADLHRAIGQIHAERNIAF DTDIAPGLRVACDESDVEEMLGNLIDNAFKWAASHVKVSARRNGPTARITIEDDGPGI PPDRLAAVLLPGVREDEHVPGNGFGLSIVSELAGLYGGSLVLEANGAMGLRCVLCLPA AVGLVLRDDDKLTNGIIRSDELNP YP_002976423.1 MAESEKRDDKFTWTYAIWFLPYLSQIWLWWLAPKWDWWIIGLIT LALTVIAIAGSICINLARRRWWRVVSLLITPLPWLVIFYIVAVTGITPDSVRFALNKQ AYLAEIERTDVTSGEPRFRTFALDSMFKATTSTTLVYDESDEIALPSGEQSAAWQQRT QKLCSEKKECVNLYPGSDWPFSVSKVGEHFYIVYQNFIDAFP YP_002976424.1 MTDAKSGISGLRPHITVIGVGGGGGNAINNMIAEKLAGVEFVAA NTDAQVLATSKATRRIQLGANVTEGLGAGSLPEVGHAAAEESLDEIMDHLAGSHMCFV TAGMGGGTGTGAAPVIARAARAAGILTVGVVTKPFTFEGNRRMRMAEIGIEALRQAAD TVIVIPNQNLFRIADAKTTFADAFMTADRVLYAGVGCITDLIVKEGLINLDFADVKSV MSGMGRAMMGTGEASGESRAMKAAEAAIANPLLDDISMRGARGVLISISGGSDMTLFE VDEAASRIRDEVQEDADIVVGAIFDRSLDGKFRVSVVATGLEGSPLPASAPHAAEQIQ TRTLQ YP_002976425.1 MQTTRSKELADEYLRLGGKRLAKIDDNIVKIRHWDDDTPEAEAF WQKHIEPLDDKRRVEVETHLPTINDR YP_002976426.1 MTELAKPVAVGDVSSASENDVISGNLLDNDLAGGSGNMFLNFFD GERVLAKTAGQVTDIEGEYGTFHVRADGSYTYTLNEPAKAGFVDGMTLTETIGYKISD GAGNTDVGHFTLDIHGVTSPPVAVDDAFSFREGSEMARNVLANDHPGEAGTLFLRSVE GTSIPAGQGQGQTTDVAGEFGTFHFAGDGSFTYDLDPAVKAGLDDGEHVTEKLQYYKV SDGAGHADAGVITLTVDGVTDGKSLNTNHVEAQADVVRPFLDHYELQGVAVDPLTGKF YVSSGHGFPDDSMVSIYDNAAAFEADNASGAISLGDYDKGEYDIGGTYFSVRGGEIIG RTNEARGEEDPFPDQTYLAKWDAADGSSDQRGDPIPGLIGENWAGTFDWGGFTAVNTM QDSTGIYVVGRIDDSTWQVSKIDPETLSPIESKTFSAGGLGYGFAVDGTFFFGDSSSS EHIGTAFDFETGVKTTVDVNIAIPGDDLITNVVYDSAADNLYLTNTGTDEIAVVHNIS DVLFS YP_002976427.1 MKSIFSYLIAAAMIALCGCATTSDSFRQKSVSKTVLSGEKTKLG QTWHVNKDCSFVGYLPTHVIEQPKHGRFQLVREPVFPYEKGELAKCRTVKVQGEVGYY ISEQGYIGSDKIVVRSPSGNGRLDETIMNVNVVK YP_002976428.1 MKSIFTYSILAVATISLSNCTTTSNVRHDSHRMTARSGERTSVL QNAHLNGDCKFIDYPRVDIVEQPKHGRIEIVHQPVTADSGGKKMKCDKVKADGVAVYY ISQPGYVGSDRFILRTPEESGRIEEGVANVRVVK YP_002976429.1 MNSCRAAVLVAASVLMVLSASAASACSCGRSSAKDKFAEADLVV KGRMKLVTFGVEIPDPRSEGEVPRLTRGDFEVDKVLKGTFKGKTLSVYTGAGMGDCGR LGDFLNAAFYYHSDKFGIYEFGLSKTEFAGKTLYSTSICDYAKGPKDGQE YP_002976430.1 MGEFVTSDNRLGTYLKDRRVRLDPAALGFAGERRRTPGLRREEV AGRANISPTWYTWLEQGRGGAPSADVLDRISRALMLTDVEREHLFLIGLGRPPEVRYH RQEGVTPRLQGVLDALDPSPALIRNAIWDVIAWNRAATVLLTDYGALPPEERNVLRFI FLDPRVRAAQYDWESVARFVVAAFRVDAARAGAAAEVEPLVDELCRKSPEFLAMWRDN DVRTHGEGAKHIKHPILGPLAFEYSAFQVDGRPDLSMVVYNPATAEDAAKIKGVVG YP_002976431.1 MRVFVTGATGWVGSAVVDELIAAGHKVLGLTRSDKGADQLAAAG AAVHHGTLDDLESLKSGAADADAVIHTAFNHDFSKFAENCAGDRRAIEALGEALQGSD RPLLVTAGLGFAPGRVGTEKDPPMPTSETYPRASEITAVSLVARGVRASTVRLPPSVH GHGDHGFVPILIDLARRKGVSAYIGEGQNRWPAVHRLDAARVYRLAIERGAVGGPFLA VAEEGVAFRHIAEVIGRRLDVPAVSLSREEAAEHFGWFGMFAGFDIPTSSEHTRALLG WQPQQPGLLADIDHPAYFGG YP_002976432.1 MANPEKDAAGQFAKSRADRKSSGVASAKPTVITGSEDATVNKNP PGKKKPEKDWDINYDPADRNESRSH YP_002976433.1 MGMTTPKDGAPGTTDQSPRWEGPKGNKPRGYMKAKDDPDRDRDA HGENNRDPETKNISDALKQKGD YP_002976434.1 MRLLAVIITGLALAAPAAHAFALLNKIGMAKADYFVAQQAYAGW WIVGLLLPLALLANIGNAVALRADGTAMMLSIAAAALIALNLIIFMVFTQPANAATEN WTVQPENWESLRAQWEYSHAINAAVTLLAFCCATLASIR YP_002976435.1 MSKTNARQLQKRAEQQVKNTSAGGHLGGTYFGQQPDGKTASSTN NDSAKARPNDGSK YP_002976436.1 MASYSIDDAIRELAPALGKAPAGAVSGEWTATTLQAGHSSRTGG YRDAEGNYVPEASRHPLDIISDVIEKLGESGVPRFNKVVIQWKKPKFPFMRGEITLET SYDRTIVPRGPDDPIYETTAAVRRAFWQSRGTVQEDFAAERGTANIHAQTKWFGPHRR ILAIHAPGRLTLATDGLSTPWAGISEPENGVECELFMEFDAATLEAAGIENWANLLIN IGDLVADGYRVARDVEKHGCILFCRLTEDYRPMTRIMLSRDPGRIDGLPFGPVPLIRA TPIAETEIDGQDLSDDWGAAAARNALAKRGMRID YP_002976437.1 MPSTIRLHRVLATSPEKVYRAFLEADALAKWLPPNGFLCTVHHS EPVVGGTFRMSFRNFTTGNSHAFGGEYLELVPGERLRYTDRFDDPNLPGEMEVTVTLK KVSVGTEVDIVQAGVPDVIPPEACYLGWQESLRNLAKVVEPDIQE YP_002976438.1 MTRKYSGQCACGAVRFAFDTDPNFVANCHCLDCKRATGGEAATF FAVPQEDFTVISGSPKAFHYIADSGKGLDRNFCPDCGARLFSSNLESFPGLVFATLGS LDRPELIKPGVEMFTRRRLAWARPLDLPQFDGMPG YP_002976439.1 MKILMVLTSHDQLGNTGRKTGFWLEELAAPYLVFRDAGVDITLA SPKGGQPPLDPKSNEPAFQTEDTRRFERDAAAMGALANTLKLSDINQADYESVFFPGG HGPLWDLTNDRNALSLIEDMLSAGKPVALVCHAPGILTNVKAPDGKPIAKGRAVTGFT DSEEEAMHLVEVVPYLLEDVLKEQGAKFSKTADWGVHVVQDGLLITGQNPASSRQGAR TLLDALKKQAAA YP_002976440.1 MNNKTTHLTCACGTFHLEVRGAPIISAECHCKSCSDAAGRLESL PLPKAIRTIHGGTRYVLYRKDRISLLAGTENLQEFRLGPNASTRRVLASCCNTPIFLE FKGGHWLSFYGNLWKQDELPPLQLRTMTQNVPDRASVPDDVPSGALATAGFYGRLLTA WIAMGFKVPDITVKGAIDA YP_002976441.1 MLIPGMEADLAHGRAGTRSERKQAAIVGAAAEVFLSTGYAGASM DEIASRSGVSKQTVYKHFSSKEALFVAVLTQMMGEADTAVHTGLPQVENRAQLEAYLL DYAVRQLTIVLTPGLMQLRRLVIAEAQRFPELAKLLYARGPARALEVMGSAFEQLAGK KLLQFSDATVAASQFNWLVMADPVNRVMMLGDAAIPTKQQIHRHAEGAVTTFLAAFLH PEKR YP_002976442.1 MITRYTSVAALSATVFSLLAFSPASAVDMAQAQQQPAQAQPPAQ AQPPMQGQPGGNGPTAAVSDQKIEAFAVAYLQVDKVRQEYSAKIGATKDETTKQQLQE EAKKQMVDTVQASPDISVEEYSSILTAAQSDPALAKKVLEKIGTPPPGQEQPQQGQQQ PQQ YP_002976443.1 MFVRNLKEVELTERFVDWGNGTSHRLLTKDDGMGFTVCHTVVRA NSEALLQYRNHLEACYCIKGEGEVEDMDGNVFPIRAGDIYVLDKHDRHYLRGGKDQDL VLVSIFNPPLTGTERHDLNNKSGSQY YP_002976444.1 MQLPPLNALRAFEATARLMSLSKAGDELHVTHAAISHQIKHLET WLGRKLLQKAGRGITLTAAGGEYYRAVSGSLAAIAHATGNMRRDHDMRAITVGCIPSI ASRWLVPALPSFQESDPDLDVRIVYARAEERLDDESLDVLITMGEDLSGGRESRLLFS RRNQPVASGHYLAKRNWTIDDVSLAGADLLHDESVDGWKEWFRKAGQKAPGPLRGPIF QDFNMLATAVIAGHGVALCPVEVFRREIERGDLLVLSGVATAENHGYYVISNSWAKKP VRRFIDWFMTECRSGA YP_002976445.1 MRKIRAARSALGQTEAKASSSIDRLLFFDRDFNPVENLLGNELP ASVKPAASFWEHFPELDKSAITLALRSLGDSAQPLRISGDLGTPASHGLTIYRIGDLF AVVRSEETIDDERATLLHLATHDPLTGLPNRRQFSEDLAVSLQETEGSDEALSLMQLD LDDFKPVNDTLGHPAGDKLLQLAASRIQACLSSDDRAYRLAGDEFTVISMGPGHPAKG HQLAEVLVDAFKKPFTIDGIALFVGASIGISASPPDGTSPEQLMKASDVALYAAKKEG RGRAKPFDPSMLELLEQRELLRRSLRMALVQQQFSVEYQPIAEGGCIVGFEALLRWHH PLLGKIPPAAFIPMAEADGMMPEIGAWVLEQACREAMKWPQNYIVAVNLSAAEFLTSG LTDRVSQTLDLIGLPPDRLELEITESVLLERTVNNIDTLNTLNVLGIRISLDDFGTEY SSLSYLKTFPFDTIKIDKYFITDLESDLKSQAIVRCIINLAHDLDMRVTAEGVETPGQ AEWLRSVGCDRLQGYLISRPLPVQAIGEFITRAETAVSPALPQ YP_002976446.1 MEQEAAASFDDHAFAPMVSLELNVAMFKSRWQFCDKITEYLSDI LGQGHSDPARYSNFLSVATNELIELAFRSTSELGRISFSLYRNSTFNRLRITFPCEEA SSPEHTSARASEHQPVPRAGSAYVVMKSDGTVLLSDLAAIFRATIRIEKDGRQGIQII ADFPSTEDFQ YP_002976447.1 MTLLPLPFTVHFDPTNQELLLSGKMRPESAAEITDALRLVQQSL EKYSGVVYVNVKRLTHINMTAFAALADTLAASCRIRPERKIVIITSSVVAWSTSLFQT LSASQPSLSVEVYDSAFYPGQSFVEDETFIPILRTQTKMTWRHERELLPRHGLRSGLV IADICCGIGDFAALVQREFRPARLVALDHSVRSLEYARRVAADFDLQGIEYTYGDASQ MLLRDNQFDFVTCRHSLQIFDRPEMLLRELYRICKPGGRVYITNEKNSHCLGEPHAES IKWTYEEVAKLFKHFDMDVEMGPKSRHLLADAGFDNIKVDSFMVTNLDGDPQDFADII EAWENVYAGEMAVRRGDSSDFIRRFRQGFKDHVFAALHPKGYAGWPIWAASGRKPL YP_002976448.1 MDQSSSKRPARLGSFRAKFILVVGGAVLFDLLVSGGLALWNVQR LSRDATLEVGQGLEAASQEYIRTYADSTAAQVSLLLRQVHNDVSTLAGVLQAQIDDPE RNSDVGAAIARTSPGSVSLSYDQKANWSQNAPGSVSVVSVWSYLLGEDHNPRPDVQTD IQTSAVLDLVAPSLLQHGASKLQMYYIGSKERPIFRTAPYTDQAQTFDRLYPGHNEAN FWDFFFPGLYESWQGWAKDMKTRPVADDITQTAPYTDAITGKLIVSFFQPLWTADRKN VAGTAGADITLDQLAQTVENVKVAQSGFGFLAMSDGNVVAINSTGEKTLGLRSASDAS GSGVTGLERSLKGSSQPAIAKLALDRELGIQHLLLRQEGNEVPYIVIVKKLPATNLWS SGPVRQEAMSLGVVVPEREIQASLYAAQAKISEATNRIVIYQILAILMSLLVVAIAVI AASKRITSGISALADAAKRIQAKDYSVRVAITSKDEVGEAGEAFNRMAEQISYHTENL EQLVEERTAQIEDAKEEISTLNAQLQRENRRLGTELAVAERIQLMVLPLHQELEEFQA LEIAAYMRPAEEVGGDYYDVLKSGNRLKIGIGDVTGHGLESGVLMLMVQSVARALQEA GNTDAVKFLTDLNSALFKNIVRTKIDKHLTLAFLDYDGKEMILSGQHEEVVVVRANGE VERIDTMDLGIPIGLEADISAFIKTREITFETGDLILLHTDGVTEAENDAGELFGIER LCREALRLKDQSAEKVVAGIIATLMLFIGSQKIYDDITLLAVRHR YP_002976449.1 MTPTVYGIESLAGISLDSSTRLKLSDGPLQLGWKHSGMTSDFIA EVMALRYSRSRKDYVQAHHDIGYLSNELIENAVKFRQPGEILIEAGIFDGTFLIRVKN TIDGVTSSRFQQLLHRLQSRDPGELLIEQIETNAISAESGSGLGLLTLLSDYDAKMAW AFEGKDNQRIILTTTAAVAMPPSSNL YP_002976450.1 MEISDENFRVWAEKNEVYFDGVFRLAGPDAYAPIYSLISGLLHE GHKQVTFNLTGLEFLNSSGINLLAKLTIEARKMGDLLLVVKGTSQYPWQAKSLPNLKK LHPLLDLRLA YP_002976451.1 MRIATLASLAALSLALAASPATIAGIDMTAQAKSGGNGGGNGGG NGGGHGGSGNSGNHGSSSQGSGSTHGNSKSQGVKGKSTEAASKSKSVKADETKVSTKQ KNLSAQLAGLNSLKRNYKALMHTSDPRMTAIAAYAVAYAQYELDNGIEPAADDPLLGD QALEDALASATKTGEVSPAVLSQAKTILGVGDANGKIDQIRTSLENAAPATSDE YP_002976452.1 MSDKTLSVWNPVKTDRFLVGAPHYPEHVDESYWQRDAERMAKAG FNVVRMAEFAWHILEPKLGTFDFDLFDRAIAMLGRYGIDTIMCTPTATPPRWLTVAHP EILRVDGKGRPMSHGSRQHCDTASPVFREHSRRITKAMAEHYRDNPFVVGWQTDNELN TSMPESFSKATLTEFQAFLAGRYDEISKLNTAWGGDFWATAYDSFDQVVLPIEFGPTF PSPGHLQDYHRFLAFSTARFQHDQVEILRAVKSSWFVFHNLGGLRDIDFRGQFTTDLD FVGYDIYPMLYDEFQRLGNHAKVQALHLDICRGFSGNYIVPEQQSGFGSQPGFCTLTP EPGELRRMALSSVAHGADGLMFFRWRPAHFGAEIYWMGIIDHDDVTRHRYDEAKRFAS EMTALAPKILGTYVRMDVGIAGSDFDNQEAHKTYPIGLPSPQDDAILLHQYCYDRGIA CGFIHPEDDLSKLKLLYVPHWVMWKDEWTERLKSFAEQGGTVVIGARTGTRNEDNHVI RETAPGTSLSQLTGVRVEDFGRLAAPGANGLFDVMERSGGLVIPPNKPAESHRRERRF KIGNRELVGGYFYENLAIASDVDVVAAWSNRYAEGQPMATSRKLGKGQVVYVGTYLTP DLTAAFAERLFAPVGIEPLIGELPEGVEVTMRMNDDRRLLFIQNYTDQPAAIGGVPTG RDLLDDEKPVAGRLDLEGYGCAIIELAE YP_002976453.1 MTSLELRQINKNYGAYHALRGIDLSVAQGEFIVMVGPSGCGKST LLKTIAGLEEISSGQILINGRDVSKQEPGDRGIAMVFQSYALYPHMTVAENMGFGLRM AKRPKAEIEAAVARAAKILRITDQLEKRPKQLSGGQRQRVAIGRAITRSPDVFLFDEP LSNLDAALRTQMRVELSSLHAELGATMVYVTHDQVEAMTMASRIVVLNQGIIEQVGSP LELYRNPDNLFVAGFLGAPRMNFLGVTVDEVSDRNVTVSAPGLVPVTVELAETTVLAK GASLTLGVRPENISMVADGAQGGAISGQVRLVEHLGRETILYVDAGNLRTIASESGTG NITVQLSYVAPFAADQNVALKLDASELYLFSSDGGRTISTRKTTLDR YP_002976454.1 MYPRPIPDVAIWQRRLYVLAVVIVLLLWLCPLFAIILTSFRSTE DVMGGNLWGWPTGIGVIDNYADVFTQTPMARYFLSSLTITIPSVIGVLVLSTLAGYVL SRYRFPGNMLIFALFVGGNFLPHQIMMIPVRDLMVRLDLIDTTAALIIFHVAFQTGFA TLFMRNFIAALPDELFQAARAEGASPFQTLWHVAIPLVRPALAALAILIFTFIWNDYF WAVVLTVSDSVKPVTAGLANLRGEWVSAWNLISAGTIVVAVPPVVMFFLMQRHFIAGL TMGAVKG YP_002976455.1 MLMLWRRQRWWLTPTLLIAPAIVLFFTVILLSAVRSLWISLHDW DGFGPMVWIGFGNYVELYNDPQFYVSLKNNLIWLVMFMAAPPLGLAIALLVNQKIRGM RFLKSLFFIPLVLASVTVGVVFTWVYTPEFGLLALIFRAFGATAPAVLSDEHFVTFAI VIAALWPQITFCMVLYLAGLNNLSEELIGAGRVDGARGWNMLWHIVLPQLTQVTFIAI AVTVVGALRSFDMVSVMTNGGPFGSSSVLAYQMFEQSIFSYRFGYGAAIASVLFVIMA AFIVWYLSRIIHTEERGG YP_002976456.1 MTFLKQFPSTTRRRFLKGAGLVSAAAVTGSFPIPAIAQAQEVTM ISAENNGAALDALKAIAAGFSKEAGVNVVINNMDHEAHKTAIRNYLVAGAPDVCSWFS GNRMRAFVKRGLFDDISDLFEKEKYKDVLGATAGAVTEDGKQYGLPTGGTLWGMFYRK DVFEQHGLTVPKTAEEFMAYGDKCKAAGITPVAIGTKELWPAAGWFDQMNLRINGLDK HMALMNGEMSYLDPSLKAVFDQWEAMISKGFFTENHTSFGWQEAAALLAQKKAGMMNL GAFLRSAFTAEDLPQLSYATFPVLDAKVGHYEEFSVNSIHIPAKAKNKQGAREFLAYF YKPENLAAYLEPGGNVPPRHDLPPSKDPLVNVAVETMKTVQGTSQYYDRDSDPDMAQA GLVGFQEFMAKPDRRKAILTRLEGTRKRIYKI YP_002976457.1 MKLKGDQTTARAMNRRLILNLLRREGPRSRADIATVIGLSPAAV TFVVADLLEEGIVIEGKTVPGLTGRRPIPVDINYEHALAVGFKLMVDSVECVATDLAT NPVAAMRVSLGGHDPDKVADLLASTVPELVKLAGRPNAKLAGIGISMPGVINHEQTAC VRSYRFKWDNVPLASLVASRVHVPVWLEDDTNAYAIAQQLFGLGRQHRNMAVLAVGVG ISCALVIDGKLYRGANGAAGKFGHTLYEENGRLCECGKRGCLMAYHSEISMLRRWREA TGREELGLPELSAALASGDTTALALVADSGRGIGTALANLVNITDPEVIVAGGEAVSL GDPFLTPLREALSARTFRTAPPLLPDWEDNSWARGAAALVTQKIFDFESSGGITDIAS LVGVRTAGSSSAA YP_002976458.1 MKMIQAIALALVLGGAAAAHAEQPLQRTDLIKNDIDVPGHEAVQ VRVDFAPGVLAPNHSHPGEEIAFVIEGTLEYQLEGSQPVTLKAGQSLFIPSGVVHSAK NIGSGKASELATYIVRKGAPLVVPAK YP_002976459.1 MTIRSIKSVAVFCGSNFGASEAFADGARALGGALGEAGITIIYG GTVKGLMGVVADAALEAGGSVHGVITESLHQRGQSHAGLTRHEIVETLRSRKERMVEL SDAFIALPGGIGTIEELMEVWTMNQLSEIDKPVGLLNSAGFFDAFLGFIDHMVETRFL PAAHRHSISVDTDASALIDKLRSYTRVEVPKWL YP_002976460.1 MKTILHVCCSPRGQAAESYRLSQAIIGFLLRREPGATVVERVIG NGTIAHVDAAYAISQASAADVSREGSMALSEELIRELEAADFVVIGTPMHNLTVPSAL KAWIDHVVRARRTFTIGPAGKVGTLRDRPVFIAIASGGRFSGERARQPDFLTPYLKAI LATIGLQDLIFFSVQGTGAGSHAAAETRIGTHRALEEHFSSLYAHS YP_002976461.1 MSNRIDYAKASPEGYRAFGGVYVAIQKSGLPKELVDLAYLRVSE INGCAFCIDMHSRDLLKSGLTVEKLVLVAVWRDAGNLFSPRERAALAWAETVTRVSET GIPDADYAAAAAEFDDKELADLTYAIGLMNAFNRFGIAFRSTPAAVAKA YP_002976462.1 MRAPAPLRIKLAGRKVRPIKGFTTMAWALLGIAGILEIGFAFFM KSSLGFTRLIPTLLTVVTGLSSVFLLSMSLRTLPVGTGYAVWTGIGAAGTAILGMVAL GDAASPMRLFCIALILAGVIGLRLVSGS YP_002976463.1 MNPDHTMRPRPATKTSPAAIPSASRTRRSIPPDTFAHSSTVPTR QASVAALALFLRLALVNVNSPPAPGSSCRRVPPTTALHLPPRPKSRHLHSQAGWINST LGTTIQEGQFVIWLIVLPFAGVFIAALIMKRGIRLWISIAIASLAILVGATCLHTFAV EPSCRIDEQECVGATAIAWLIAFIWSAPCVGFLLKLIGQIREGNVDDEDSHHIRL YP_002976464.1 MKTAIIFDCEFLCLEGSQRRFWCAAHDPDPIIAQIGAVKLGLEG EFPILDTYKSYVRPIDRFGKRYPLGPYFTNLTGITEEDIDTHGVVLEDALSGVDSFSG GARFWSWGKDELNMLAISCYVAGIQPSIPARRFDNAVKLLVAAGMPIEDLAKTPSNKL ADYYQVEHPPLRGHDGLDDALSISYALQHLMKIGKLQPEVLDQI YP_002976465.1 MDRMASGWINGFIGVVIFSGSLPATRVAVMQFDPVFLTVARAAI AGFLALGLLIAFREKRPSRGDILSLAVVALGVVVGFPLLTALALQHVTSAHSIVFIGL LPLATAIFGVIRGGERPKPAFWAFSVLGSALVAGFALTQGLTASPVGDLLMLAAVIVC GLGYAEGGRLSRTLGGWQVISWALVLSLPVTVAVALFHRPETFSGIEAPALLGLAYVS LFSMLIGFIFWYRGLSQGGIAAVGQLQLLQPFFGLALAATLLHEPVTWAMLAVTVAVI LCVAGARKFAR YP_002976466.1 MVQKAIQMSEGRTRVEMVVATIRQRIAGRSLTPGARLPSVRGLA ATLKLSTSTVVDAYERLIAEGAILARPGSGFYVANQVAPFALAEAGPKLDRAVDPFWI SRQSLEAGEADLKPGCGWLPPSWLPGEGMRRGLRTLSRADGPALADYGSPLGLPQLRQ LISRRMGERGIEASPDQIMLADSGTQAIDLLCRFLLEPGDTVLVDDPCYFNFHALLRA HRAKIVSVPYTASGPDIELFAQVVADERPRLYITNSAIHNPTGATLSPVTAHRVLKLA DQFDLTIIEDDIFADFEHTPAPRLAAFDGLERVIHIGSFSKTLSASARCGFVVAKPEW IDGLTDLKIATSFGGGRLTAELVLNVLSDGSYRKHMETLRHRLSRAMSEVSAKLKHLG ITPWLEPQAGMFLWCRLPDGIDAADVARAALEERIVLAPGNAFSLSQSATNFMRFNVS QALDPRVFEVLGDALARRG YP_002976467.1 MHIQQSFMVGIDVSKAHLDVAVEGKRAVVRFDNNAPGCAALTAA VAGAELVVVEATGGYEMAIVRTLMAAGIAVAVVNPRQVRDFARASGRLAKTDQVDARV TLHFARAMRPAQIPHIDDGRIALAALVTRRRQLIDMAVAEKNRLEHAPEAVAALIGET LAALRAQLARVDAAIALAIEAEPDMAARRNLLLTVPGIGEVSAAVLIAELPELGAIDD KKLAALVGVAPIAHDSGTWRGQRHIAGGRATVRCALYMATLSAIRCNPAIKTFHKRLR DAGKPPKVAIVAAMRKLIIMINTILKRRTPWNQPQQHGC YP_002976468.1 MVTVLCIEDEVEIRNLLVEELSEAGYKTLEASNGAEGLEMILSK WPDIVISDISMPVMDGHQLLAEIQINHPELSNIPFILLTALTDRENTLAGLRGGAADY LTKPLDFDLLLAKLEGCVTRLANDKAVHRLF YP_002976469.1 MVRQPLRVNFSAAGAIKRLQILKVGLTSHITFVLVTLTCAAAAL LCGFAWLAAVKVDDLSLRRQADFVRQGLEEQIAALPREQESVTKWDDAFLYAKQRNHE WLLDNVGRWTSQYFGHDRTYIFDDTNRLMFAFRDGADAMPPRLGDDDGHEMTALAGEM RAALVERAAKPGAEALGQLATVRTIMIGNRPAITSARPILPSSARMQIEAGQEFIAVS VKFIDGKTAESIAHHALLEGLNFAPSKGEDERAQVPVSSHDGGTIGYFVWPPIRPGFM LLQQIAPAGLGCLGLLITVVFWLGRGLHRTSLTLLDSQAQLTHHRHHLEEMVADRTAE IERQREELDRLLVHERQVNALQRQFVAMASHEFRTPLAIIDAAAQRLCRSTTNVSGDY VHEKAGVIRSAVVRMVDLMESILASGRLETGQITLKRSEGDLKALLVSCCDRQRHLSR SHVFHLDLEPIPDILTFDRSAMEQVFTNLISNAVKYSPNAPDIHVRARADEKTVEIAI SDSGIGMDAEDLPKLFQPYYRACSATGIAGTGIGLNVVKQVVELHGGTVEVTSELGKG TTFTILLPIEFLLSDQQVAA YP_002976470.1 MDAAASSDTSGIRIEPIATAHIDSFHHALDVVAREKKYLSMLEA TPLPQTREFVMGMIAKRNPQFVATAQDKVVGWCDISRHFFPSHAHRGNLGMGILPAYR GKGLGRMLIETTLRAAQKSGFARVELDVYEDNARAIALYEKMEFAREGIVRHAARIDG RFIDAISMALLFDERGAA YP_002976471.1 MHFTRRQFAALAAVVFLPGMPRAADEENALFWRAKSPANDHVLF GYVRIRADAFPDIVTEGKRLIDQSKKVLIDVNPSLILSTTKFKNSDITPVLSGLTPSG QDEFKTILSTSPAKGAIAKLSGFEASLLLIGEGQHAFAPDAPSIGLALAKYGASIGRD VETLAADGEMQAMQKPLTLETVNSVGPASIIYLLELRRRIGPIGAYFDELYKARKSGE IASLGEEITARGIVTPTDLIDADKLRALLIERITNLPAGTNAFVILPIGLLNGPYSIL SELRSRGAEVSAIG YP_002976472.1 MKYLRTTLGPKSKSELGMILPHEHVFVDLRTPDQPGYAEADIDD VVRLMAPEIERIKKLGVTALVECSTGGVGRRADIDLAVSLATDFPIVVPTGNYREPWI PEWVRQASEKELEAWMLRELTEQIDETGFQAGWIKLSAGDDGMTALETKILRAAARAA AQTDAVIGSHTIRGRVVMDQLDVIEAEGYKADRFISIHTQEEADFALNVAVAERGAWI EYDHVGRSGDNEVAELVIKALEAGCGDRLLLSHDRGWFDPAQPMGGIPKPYTHLSTVL LPELKRRGIDDDTLVRLTHDNPFEAFAR YP_002976473.1 MKKSAAKIIREYGPFPGAERVNGVTFDGEHVWFASGDRLNALDP ASGEVVRSIEVASHAGTAFDGEFLYQIAEDVIHKIDPKTGRILSTIPAPGNGGDSGLA WAEGSLWVGQHRGRRIHQIDPETGKILRTIESNRVVTGVTWVDGQLWHGTWEGDDSDV RRIDPETGEVLERLDMPEGTGVSGLESDGGDCFFCGGGGSGKIRAISRPAQGKEGKGK YP_002976474.1 MDSLITAAARALAVGDALGALKRVALRDDAPALALRGIAMAQLG DLVRAKALLKSAARAFGPREAVARARCVVAEAEIALVSRDLTWPPKALEAARKVLEAH GDRVNAAHARNVELRRLVLIGRLDEAERALETLDPTPLPPAARAAHELVVTGIAIRRL QTKAARAALDRARQAAREAGMPILTAEVENAAQVLSAPVARLISRWRESPLLLEEVEE LLGSGTLVVDACRHVVRDDQLSVSLATRPVLFTLARTLAEAWPADVARGTLIARAFRG KHADESHRARLRVEIGRLRAELRGLAEVSATKRGFALSPRRAGETAVLAPLVEGQYGA VLAFLADGEAWSSSALAIALGASPRTVQRALDSLAGEGKVQSFGRGRARRWMSPPLPG FPTVLLLPGPLPSD YP_002976475.1 MTTELNATRQQWLAARLDLLEEEKELTRQSDVLAIKRQQLPRVR IDKQYRFDTDGGNISLKDLFGGRSQLLVYHFMFGPDYTAGCPSCSSIADGFNGFFVHL ENHDVAFWAVSRAPLAKLEAFKRRMDWSFPWASSFSSDFNGDFSVWFSAEQQHQGEVD YNYRREPPAPEPLTGRTVQEWQPGDSEAPITQIAAMTGTDVPTYTRDRPGVSAFELID GAVYHSYSSYARGLDGLWGMYQWLDRTPKGRNETGIWWRHHDRYGKE YP_002976476.1 MLLSAHTPKRADGNAALNAAEWLSLAAAADGSVAKPSRRMASPG DQIAGRVADWLSLAAAPTFAIMAVLTATTGSADMICMTTPDAFPIGGMLPMYLLMSGF HLAPWLRLAAGWRGLSR YP_002976477.1 MRYTFAIGDIHGCIDPLNRMIDRIEAYASEGTVVFVGDYVDRGP DSKSVLDRIIAGPSDPWRWICLKGNHEDMMVAAYADSDSRDVWISNGGLETEMSYDGR VLPQHLQWAADRPLMHVDRYRIFVHAGVVPEFPLERQTKRDLLWLRFPPGESSIYWGK HLVHGHTPSLSNPVTTGNRTNIDSGCVFGGKLSCAVFDDEIAGGPIDFIEVRA YP_002976478.1 MKKYGRTYHLPISPGATSDDKVMAKLDGLVIGDLVITEKMDGEN TTIHRGGSHARSPDSRYHSSRDWLKAFAAGISPQLADGERIVGENLYARHSIGYDDLP SYFLGFAWIIDGKVQSWDLTLARFEELSIVPVSTLYRGPYKTGLFEDIAASLDRTKQE GFVVRIADAFLEAEMPVRMGKYVRDNHIQSETHWMQSELIPNRLANS YP_002976479.1 MKKIGFLSFGHWTPSPQSQTRSAGDALLQSIDLAVAAEELGADG AYFRVHHFARQLAAPFPLLSAVGARTNRIEIGTAVIDMRYENPLYMAEDASAADLIAG GRLQLGISRGSPEQVIDGWRHFGYAPPEGQSEADMARHHAEVFLEMLRGEGFAKPNPR PMFPNPPGLLRLEPHSEGLRERIWWGASSNATAVWAAKLGMNLQSSTLKDDETGEPFH VQQADQIRAYREAWKEAGHTRQPRVSVSRSIFALVDDRDRAYFGYGNDEGDKIGFIDE KTRAIFGRSYAAEPDALIKQLAEDEAIAEADTLLLTVPNQLGVEYNAHVIEAILTHVA PAMGWR YP_002976480.1 MRDAEAIYRTPLGAAGGLAVTGSGRQHARRAVTDRKLPSFAVVL VERGQGWLETAATGRMSLTGPALFWLFPNRVHSYGPDEGGWDERWALFEGSFTRDFVR LRIMTERHPVVALHHLDEVMRLFGKLHADLLDDTNLGQASAALMLHRIVISAARQASG AADRRQERLDMADIVETLRRRAMQPLDLAAFAAEHGMSPATLRRRFTLETGLPPKAFQ LRARMDHAKQLLATTDEKIETVAAMIGLDDPFYFSRAFHEREGCSPREFRARYPRV YP_002976481.1 MSIAAEVIQARKMDLPLAANGRTLPVERIGWLAPTDPGIGIDAI RRRYQDDGYVWLKGLLPRADVIDFRRWVFEHLAETGLVDPGSDFSLGMASAGGFDRSL GDRRLMSLVRSAAYEGFCAQPPLARFMDDFLQGISYLHKRKLMRFVQPGTPTATPAHY DLVYLRGGTSRLVTAWIPIGDIPAEMGGLVYLEGSHALGVKMETEFQVASGDLSAEER ISAYNRHMAEGGWISKDLPDMAERFDTRWLAADYEAGDVVLHSPYMIHASTTNQDHSR RLRLSTDIRYQNVDDEIDVRWNNHWSLGDML YP_002976482.1 MPRTNLNDILIFMAVVDAGSFIAGGQTMGLSRSAAGKAVIRLED RLGARLLNRTTRTLNLTDEGRMFYERGLQILALVDEAEASVAGQNSTPRGVLRLTVPD AFGRLVVLPLLEKYLQAWPDIQVEVSFTDRLADIVEEGFDLAIRIGATATDTRLVSRV IATYKARLCASSAYLAERGEPRDIDDLAVHDCLIFAGRNQRQGWRFRGEGGSWIKAQD RSRLRLDSAEAIRDAALAGLGIALLPDFLVADDLVTGRLRQILPDLETDDVKIVTLYP DKRLLEPRVRRFIDLMVEELGDQSEARNRDARSG YP_002976483.1 MRKGGPFLALAAAETLSLSGTRLSTIAIPWLVLSATGSPVLTGL TAMMEMLPYVAAKALSGPLIDRVGPKRIAVVCDTASVAVVMLVPLLDWLGLLDMSLLL PVVFAMGVLRGPSDAAKQAMVPDIAELAAVPLERVTGLVGAIERLASTAGAAGAGALI GLIGPGQALVVNAVTFAAAALIVGVGIPGLRRVPELRCMPEARPGGMSSYLGDLREGW RFLRGDAVLVSIVIMVATTNLLDQAYHAVLLPVWTRSSGHGPELLGAMFSAFTGASIA GAAIAAAIGERMPRLMVYTVAFLLTGFPRFLVIALDAPLPIIFLTLAIAGFASGFLNP ILSAVIFERIPKPLTGRVTAMNAALCFALIPFGGLVGGALISTIGLAAALSLTGLAYL AATLFPLALKSFRGFDKPITQT YP_002976484.1 MKDPRPQPAQSVTAPRTLSRVVPDPTALKALTHPVRLRMLGMLR VDGPATATQLAVRLGLNSGATSYHLRQLAQYGFIEEAPHASRRDRWWRASHELTSVPA SEAEGEALDLDLAFNQAILSLQVGQMQQALEEYAELPAEWRKASTASDIIIPMTAEQA EALTKRLTDTILEAIRVAPPLGELAPPDMVPFSIMLHAFPYPGRLPHREEDDGS YP_002976485.1 MDLPSPLAWLVDEAGASPGPERFLAELGRRLLADGLPISGGALT LSVPHPIIARRTWLWRAETDAVIEALAFAAAPQSEAGREWLAGLGPVWEERIGPSQDS PILGWAGIANGAGGSAFGPTEAGLLREVARFSVAPLAALAAREARAALLEAYLGRRSA ARVQAGALARGTGETIRAALLCADLRDFTALSEVTEPHVMIAALDAWFDRVAGAVHAF GGEVLKFIGDGVLAIFPVTATSSEGDTGRGDREACEAALRAVTASRAGMAHLDQVRQA QGLAPLPFGAALHFGEILWGNIGAADRLDFTAIGSAVNLVSRLEGLCKPLSRSVLISG AVAANTATALMPLGEHTLRGIADPCAVFTLLED YP_002976486.1 MGTVFWPKDPLDGNDMTVLTSILRRWCERYQVELTAEESSRKAK ELVEWFEFGVKDPVELEELIDDKHWLVSRI YP_002976487.1 MKALSIAAALLAGSLSIGTAEAMPMGTINVQSSVTKVDYACGRG WHLTRWGECRRSWRRPPPVAFYGGPPRWGWERRHRDWDGSRWRHERRWDRDRRWRDND YP_002976488.1 MPESFVVHREAHIAAPPAAVFALMTDPEKILRWMGTEAQVEPQP GGLYLVNVTGARFARGSFREVVPVHRLAYSFGWDGSEVVPPGSSLVEIDLIEQEGGTL LRLTHSGLPSADQFAGHAEGWAHYLGRLTEVAAGRDPGPDAFYGRT YP_002976489.1 MTPTRYAFVKASWHADIVDRALHGFHQLIPPEQVDVFDVPGAFE MPLLSRDLAATGRYCAVIAAAFVVDGGIYRHEFVAQAVVDGLMRAGMDTGVPVLSVSL TPHQYQETEHHKQIYRAHFVEKGREAAKAALTIGKTRAALAA YP_002976490.1 MSDTVAIITGASQGIGQATAQRLARDFSALVLVARNRANLEETA QAVRAGGAQALVIGADLAEPEAAQAVVDQALGAFGRIDALLNIAGGVPQIDLFEMTDA QWEDGLALKLHGARRLTVAAWPALREAKGSVVLMSGNSAVFPKAPYAAVGTINAAIVA LAKAFSDRGITDGVQVNSVLPGPVMTGRRRSYLEHWAPLHGVSVEEATAHFPEQAGIA RYGEPEEIAELMAFLVSPGARWMTGSALRMDGGEVKSI YP_002976491.1 MSTIRYRTADVDGFNIFYREAGSPDAPKLLLLHGFPSAGHMFRD LMPLLSDRFHIVAPDLPGFGRSDLPARGATFDGIATTIDRFTEVVGFDRYAVYVFDYG APTGFRLAVKHPDRIMAIISQNGNAYVEGLSEGWNPIRAYWEDASEENRNALKAMLQP ETTVWQYTHGVADPTTVSPDGYSLDNFYLARPGVEDIQLDLFGDYKSNVALYPAFQDY FRTHKPPFLAVWGKNDPFFLPPGAEAFKRDNPNAVVRFLDTGHFALETHAAEIAAAIR DFLAR YP_002976492.1 MHGEKMTTERVSAFSDAMIAVIITIMVLELKAPEVPDISAVLSL WPILVSYALSYLFIAIIWINHHHLLRLVRFASTRLIWINFVHLFLVSLLPFTTAWMAR TGLAPMPVAVYAAVFVLVNAAYLMFEREIFAQAEAGAMPEPARRLARRRTLIGLAIFA VAGLVAPFVPLLGFFLICCALVLYLQPEIFNSRQQGQAE YP_002976493.1 MSPENVNLANSVNVVFVHGAWADGSSWTKIILPLTAEGLSVFAV PLPLTSFSDDVAALEHTLERVTGPVVLVGHAYAGAVIAATRDEKVKALVYIAALAPDE GETVADIFYRGEPHPKAPKLAPDSHGLIYLPQEAFSTAFAQNAEAGEQAVLAAVQRPI SPACITVPVPRPLWKDRPAWFLIAEQDRMIVADNQRFMAERMQARVRSHAADHTPIVT APAAVLDIVREAAAAVAA YP_002976494.1 MDNRSPALFVGDALGLDFLNSIATPVDTPVDWIDDGKGLLRWLE QAGLVPHATLEAMRAQALPGELDKVADQARSLREWFRDFVRSHKGHPLPANALEELEP LNRLLERNEGFSRIIAGHADATLALQPMRKWRAPDALLLPVGEALAQFVCTEDFSTVK ACEGPACTLLFADHTRGHRRRWCSMAMCGNRAKQAAHRHRLKADHE YP_002976495.1 MSNAMRSETPIETPRTQPVDTKLEVVVIPVSDVDRAKRFYDGLG WRLDADFANDADFRVIQFTPPGSGCAIIFGKNVTAAPPGSAQGLYLIVSDVEAARRDL IARGVEVSEVFHDAAGVYAGKDEPYLFGRLRIAGRDPDHRSYRSFASFKDPDGNGWLF QEVTTRLPGRIDADGTTFTTSTDLASAMRRAATAHGEHEKRNGGKHDDNWPDWYAEYM VSEQAGKQLPL YP_002976496.1 MVRQFLPLNGLRAFEASARHLSFTRAAIELCVTQAAVSQQVKSL EKRLGAALFQRLPRGLKITAEGEALLPTLTISFDQMAIMLDRIEAGQVRELLFLGVVG TFAVGWLLPRLSDFQQQHPFIDVRVSTNNNRVDMAAEGLDFAIRFGSGSWHGTEALRL FDAPLSPLCTPKLAERLKSPADLVEATLLRSYRADEWSTWFTAADVPPAAQINAGIVF DSSLAMMEAALQGLGIALAPPSMFSRHLSSGAVRQPFPTTISLGSYWLTRLQSRPPTA AMLAFSDWITSQKSPASQ YP_002976497.1 MNRNWIKILSAALVSTCISTSGLAADALKLKAISDAAIRPIMDK YGIPGMAVAIAVDGQNHIFNYGVESKDTNRPVSPATMFELGSISKTFTVTLTSYADVT GRLSLSDKASKYLPAMKGRPFGDVVLTDLGTHTAGGFPLQVPDEVKTEKQLMEYLATW KPSYAAGTHRTYANPSIGMLGYITAKSMNDGFVALMEGKLFPSLGLKSTFIDVPKSRM ADYAQGYKRNGEPARMTPAILSSEAYGVKSTASDMIRFIDANMGLVELGDKLQQAINN THRGYFDVGAMTQDLIWEQYSYPATLTTLLDNNSSAMLKTIPVKQLTPAMEPREDVWI NKTGSTNGFGAYVAFIPKERLGIVILANKNYPNEDRVSAAYRILTDITSAR YP_002976498.1 MLTTLAVLMGLSGLVPIAQAGGSEVDVTLVLAVDTSRSMDFEEI GIQREGYVEALKHKEFIDAVKDGLTGRIAISYFEWAGYVVQDSVIDWQVIETEEDAIA FADKLEARPIATQRRTSISTAIAQGASMIVSSPFQSRRQVIDVSGDGPNNSGNPVTPA RDKAVEAGMIINGLAIMLRPSDAPNGLDKYYADCVIGGPGAFVLPVRKIEDFAVAVRR KLVLEISGLSPPATVQKTAGAETGADCLIGEKQWRDIFDR YP_002976499.1 MADLNDIAVFVKVAQYGSFSRAAHSLGMPVSTVSRKVTSLEEQL GVTLIQRTTRKLSLTAQGRAYYDRCSEPLAHLLDAEHALTETQRKPEGLLKISVPVIF GQEVFYEFVSAFQKTYPKIQVDLFVTNLFLDLIAENIDLAIRFGDLKDSTIVAQRLGK SVRYLVAAPDYLKGRVLPLKPEELKDHQCVLLNGRNGEAEWHLVSGRKSVRLHVSGPI SSRDFDAVSAFTYRGHGIGLLPSTYCEEQIRKGELIRLLPDWSSEEIFVHAVYPTRRF LPSRLQVFLEALKAWKTPLWLPLH YP_002976500.1 MTTRKTVIVTGASQGIGAGLVNAFIQRGYNVVATSRQVSASEAF QASDRLALVDGDIGDAETAARVARTAIDRFGSIDALVNNAGIFLAKPFVEFTMADFQK LSSTNLEGFIHLTQLVVGQMLAQKTGGSVVSITTPLTDHPIAGFSASVSMMTKGGINA ISKNLAMEYANEGIRFNIVAPGVVDTPLHKDNPKDFLKTLSPMAGISNVEEIVDAVVF LTEAPRVTGEVLHVDGGAHLGRW YP_002976501.1 MVDHAKAQAAGAERRLQELGITLPPPPTPFGAYVEAIRTGNLVF FSGMLPVVGHEPRYIGRVGGALTAEDGRQAAETATLSALAAARDYLGSLDRVVRVVKL GIYIATEGDFRDHPKVADGASEMLLAVFGEEKLSGRVVLGVASLPLGVPIELELVLEV EG YP_002976502.1 MSEFESATELMPSEPSTGDIGVGYFDHIKKINDIFYDQIKISDQ KAAYIFTFMLAFLVSSSEVRAVFSLARYATGTPGSMLFSGLLAAASVFSILSAILVVL PRRLDTSTSLFWGAWQNHRDLFFEAALRRDERYLFDQYLENANILSAIARSKYRCVTF AFRGLMVSVIAYVLLLVAV YP_002976503.1 MLGRWKNICRAGVALALAVPGFSPAAADPVQRATPVAGSVIARK TGEEVRFIDVSNWRVVDINQDLLTGDVLRTNANGQLAIVFSDHTQVRLGRNSSLQVKK MAASGDTTLELQSGTIWARAERGGQGLTVETPAAAAAIRGTDWTMTVEGNKTSMIVLE GRVALSNPQGSVEVNEGEGAVATIGQAPSKIISVNPDDREQMLFYLDLRDGFDLMPTS PLRADRMATERRRLLALPPERRTTEDWLALAEVQSAFDGRRAAAATLTNIRDRKLTAA QQARVDLIDATIAGSEKRYGDAAKLFQKALPHLDPIRRNMAQYGGYFARSLAEPAHAE QPPANTTGPYGAIMQAYTTGFLKNPHAALEIIKKAEQRYPDDPTLPAVRAQLAELTDD REQMKEAIERSLSLDPDHPMALSARASYKAVYQSDVNGALADLNRAIELAPGGSGTLN SLGLLQSSRDANGEAEKAFKEAIELDPQDPLLRANLAILYLDQGRMKEAKHEIDTAIA LDPSFDIALLARGRYYLQTGERDKALQDLLAASTANPAHSQSQLMLAAAHYEKGDRIP SQQALDNADRLDKNDPVISAFRTAVDIDDYDADGAIRNAQEFLRRSRARGGDYSSLGA NASAGSTLNNAFRLQGLDAWGRYYGDAVFDPFSGTGYIDQTIKGSIFPFVNATSFSDD NIIQNRGNASSYSSFIQGLLLSPHMLSGRSRSATLFDVPFIEGSLGGGINSVDGHTRR IGEADIQGYSNATIPISFYGNLTWEELALDRDYQDFGGVQTDNKLLSANGYLTATVTP DDRVVAFVNHGKNDGALSALSSNTGFMELVFRVPIPLPLYTTEETVRESTYAGIGWSH TFAYENVLNGALLYSGSKSNTSSVLDVDLDPVFIGRGVPFIIPFASVTQESESQTYIG ALSHSIGAGPLTFRYGIEGGWMDASSTVDTTLLGLTAPLDHNENTIDIGRGYVDVLHE ITPDLKGEYALFATRLEGDGIDITRLEPRFGLAWAPVPNHWLRAAFMRQSFDIGIPTL APIGVLGLQANQFSTNPRGYTDTVALQWDAEWTDRFFTSVEYQHQELHDFSIDFPLIS LPSDSSLPISRGSIDRAAVTANVVLGHGFGLSATYAYMDSENRDPLEPIYGGPLPFIP QNSGQIALTWVNEAKVKATVAANYIGERDGDRFGTKLDDYWSLDAHLIWEPFDKRIEL EAAAYNLLDEDFEITPGVPGWGRAFKGTLKVRF YP_002976504.1 MRLRDKPRQASHTRGRHLRLLVLSLTTLIAISLLSRLPAWSLLE LRSFDYLSTVDDPRPPPGGPVIVAIDEPSLADINAQWPWPRSLHAQLVTQLRAAGARV IGFDIILAEPSTPENDNAITNAVGPDVVLAGDETLIETPQASQLIRATPLPQLTEAGA VTGIASVELSGDGTFRRIPGYEDGFAAMLAKVAGVAPETLPAGRLIQSFGPARSYPTV SYYQALDPKKLLPPDYFKDRVVLVGLSLQNAPAIDKGGVDAFATPYTVHTGKLVSGVE IQATIYDNIRSGLSIAEARLPTVAACILISVLLAATTVWRSTGWLTIVTSAAALLAFA AVSAAGMRLTHIFVSPLGPTVAYISVVFGQAAFDFAEERRNKRQITRAFAQYISPDLV RRLSSDPSQLKLGGERRTLSVLFSDVRGFTTIAETLKDDPEQLTGLINRLLTPLSDVV MDHGGTIDKYMGDCIMAFWNAPLDDPDHALHAVKASLAMQAAISSLNRELEQEAAMRG GRPHVLKMGVGINTGECIVGNMGSTRRFDYSCLGDSVNLASRLEGASKNYGVALLLGE ETARLVASIYTVVELDRIIVKGRTAPSPVYTVVHKAEAEALAAHRAFLEAKYTGTLAP SDPAFDRLAADIPGLSGYYAIMRDALAGSGEE YP_002976505.1 MTELNSRRLEIDALRVLWSVRRHGGITRAAEALGLSQSAVSHKI KRLEVSLDCDLLSRKPGAPVFTAAGEDLLVYAERILGLHDEALLSLTKTPLAGRIALG LTEDTTCTDLARILGRFRRLHPDVAVRTKVRMSLVLRAMLEQGEIDAAIVQIFAHEVR PTDIVLFREQLYWVKHSDLALPPSGPIPFLSFDDECFYRQWALDIGQDDDTLFETVFE CTSAAGIVSAVTSGLGVALLNGRHLRPAMDIITNRLPTPPALAYVVRRARKARNPALD TLVAEIENEVSRYGGLTLAS YP_002976506.1 MTDEVLARRAPNHRFLWPVLATLLVISWSSGFVGIRYASEEASV ILVLFWRTFLSGLTLLPFALTIGPRMHLRIVLGQMLFGVMSVFLYLGGFALAIEQRVP TGLVALISDLLPLAIACLSQPLLGERLTQRQWLGTAIAVAGVLLVSLESLSFGEAPLW AYGLTAGSMLVFALASVLYRRRREAHMPVHQSLCIQTLTGSALFSFCAFMQGNMIPPM SVDFAIGMAWLVLIATFAAYSVYYTSLRLFPVAQVSAAIYLSPPVTMLWAWALFSEPL TATMFIGLAVTLVGVWMTSRA YP_002976507.1 MDDIQKHILAERALTAANRCVVGRYVGAAFAYAAGSIMRGEGTA FSDIDLVVVFPSLERAWRESFTEGGFPVEAFVHDPQTLAHYLHQDADSGYPIMANMVA TGRILGSDIDRARAIQTKATEMLAAGPKPLGGPSYDMLRYQVTDLADDLRGARPPEEI AAIAALLYQKLADLILLGRGAWAGRGKWAPRLMRKLDAELAAEFDAAFRPAAAGDGTR FLALADRELALHGGRYFEGFRQDAPLEARRLE YP_002976508.1 MPHPATVIPRPAPVLLPVEGSDERFPVRRVYCVGRNYADHAIEM GHDPSREPPFFFQKNADNLLPAGKAFPYPSLSNDVHYEVECVLALKSGGANIEAADAL DCVYGYAVGIDFTRRDLQGEAKKLGRPWEVGKAFEHSAPISSIVPASRLGQPAEGRIW LEQNGKRVQDGDLNQMIWKVPEIIAELSKLFTLAAGDIIMTGTPAGVGAVARGDRINC GIDGVATLSVEVV YP_002976509.1 MPVYALGGSTPKLPAAGLYWIAPDANIIGQIELGENVGIWFGAV LRGDNEKITVGEGTNIQEGVMAHTDMGFPLTIGKDCTIGHHAILHGCTIGDNTLIGMG AIILNGAKIGDNCLVGANALVTEGKQFPDNSLIVGAPARLVRVLDEKAVESIRRSAEN YVANWQRFARDLRQIS YP_002976510.1 MHIQQSFMVGIDVSKAHLDVAVEGKRAVVRFDNNAPGCAALTAA VAGAELVVVEATGGYEMAIVRTLMAAGIAVAVVNPRQVRDFARASGRLAKTDQVDARV TLHFARAMRPAQIPHIDDGRIALAALVTRRRQLIDMAVAEKNRLEHAPEAVAALIGET LAALRAQLARVDAAIALAIEAEPDMAARRNLLLTVPGIGEVSAAVLIAELPELGAIDD KKLAALVGVAPIAHDSGTWRGQRHIAGGRATVRCALYMATLSAIRCNPAIKTFHKRLR DAGKPPKVAIVAAMRKLIIMINTILKRRTPWNQPQQHGC YP_002976511.1 MTQRDTRPGHSFAAAPSFGSLAITIAVMFAALFWPAGTLDWPRG WIFLGLYLVLTRVALIWIRRTNPELFAARSRYQKGTKPWDAVVATLTIILFAAIFPVG AFDDGRFHWAPQPDWVVLIGYLLMTSGYLGLTWAQSVNRHFEPTVRIQTDRDHKVIDT GPYAAIRHPGYATALVLSVGMALSLGSLYALVPAGLLIIVLFGRTLGEEAELRKGLEG YEEYMARMRWRWIPGVW YP_002976512.1 MIRMIENPAELAGEDITGKYILRRLNYHWFAYGKAAIVTACKGT ILQLEREETVYSERWGRRAYTGTGRRYPGGICPISAVACVCDTPDDVNAVIQLDVEAQ DEFYQLIAKAEARVQALASSSRNSLFLEAAE YP_002976513.1 MVDAINPISATAAPEPAKVGTSTSIDSEPDNAWVAARQSQITAD QLAAKRAVEKEAAADLIPHADLIVDDEEHPQKRHRHAASEEGEEAGEQAEQPALSGES DRIGTRNFDDDTPFGERVAII YP_002976514.1 MTKTELAKIYRGYIACLNRQDWPNLGQFVGDDAVHNGRRLGLSG YVAMLERDFDEIPDLYFKVLMLLCDPPYIACRLGFDCRPKAKFLGLDVNGRRLSFTEN VIYEFRGGKIVEVWSAIDKVAIEAQLRGGERPNKME YP_002976515.1 MKRLFSLAAFLFILLHFQAVAGSSLPVTRSIGAPVGFAAACAKY KWLCGRMAAQQLNDQAGTALLQKVNRAVNGRIIPAEDRPSSRNKDVWSLPVAGRGDCE DYALQKMKDLIEAGFPSNRLALSVVIGPHDQNHVVLIARTDGGDYVLDNLTSAVRLWR TTGYTFLATQDFQSRTGWRVTLAGPRAGEFS YP_002976516.1 MISRAAKFSIAVASLLASSSLATAAPAVVNCKAAVRGTLEYRLC MPTRAIQSDSRFNPNDNDRGSQNIGGGKKTSSTGSVASNGNNGDDGNGDGDGSGDGNG DGNGDGSGSGDNGGKDDHGGKGHSHHGGKDGKDHGHGKDGPGHGGKDHHGGKDRDHHD HDKGGEDHDHGKGGKDGPGKGGNDGNDGPGKGGNDGHGKGGKDGPGKGGNDGPGKGGN DGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDG PGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPGKGGNDGPG KGGNDGPGKGGNDGPGKGGNDGPGKGGSESKPD YP_002976517.1 MTTPQLTKNQSLVFDVLEKAEGPLSAYTILDKLRDHGFRAPLQV YRALEKLLEYGVVHRLESINSFVACAHPGDDCHSHGIVAFAICESCGQVTEFHDHEVD HRLMEWVRGQKFKPEKTTIEIRGLCEACAA YP_002976518.1 MLDDFFVRAILAGVGLALTTGPLGCFIIWRRMAYFGDTIAHSAL LGVALSLLFELNLTLAVFAVAALVSVLLLFLQKRQALSADALLGILSHATLAIGLVMV AFMSWVRIDLIAFLFGDILAVSTTDIALIWGGGLFVLVAMAWLWRPLLAATVNAELAE AEGLRPERARLFFMLLMAVVIAIAMKIVGIMLITSLLIIPAAAARRFSPTPEIMAVLA SLIGAVAVVGGLFGSLTYDTPSGPSIVVAALILFILSLLPVRRHRAVMQGQGS YP_002976519.1 MLSPAKTPAGIRAEPLVSLENVGVLRNGRWLVRGVDFSVSRGEI VTLIGPNGSGKSTSAKAAIGVLKPDQGRVERKAGLKVGYVPQKLTIDWTLPLSVRRLM TLTGPLPERDMLSALESAGIAHMLDAEVQHLSGGEFQRALMARAIARKPDLLVLDEPV QGVDFSGEIALYDLIKSIRNATGCGILLISHDLHVVMAETDTVICLNGHVCCRGTPES VSRSPEYVQLFGSRAAQTLAVYSHHHDHTHLPDGRVQHADGTVTDHCHPDDGHHAHDH SHEHDDGHDGHRHEHDHPHTHATEDRHA YP_002976520.1 MKRALGPALKILALRMPAFTIPALAIPALLFAGTMRAADAPVVV TSIKPIHSLVSAIMQGVGEPELIVDGAASPHTYNLKPSNARALQEAKVIFWVGPGLEA FLEKPLQALGRNASIAELDNAPGLVKLPFREGGAFEPHDDGDEHDSASGHEHDKAEAT HGAEADHDHDHGAFDTHLWLDPMNAKAMATMITTTLVAADPANALTYQGNAKALDDRL DALDTEIKGMVAPVKGKPFIVFHDAYQYFEHRYGIRVAGSITVSPETIPGAERVSEIH RKVGELGATCVLAEPQFEPRLVNVVIEGTRAKSGVLDPEAATLKAGPDLYFNLMRGIA NSMKDCLSSA YP_002976521.1 MDNRLPVTVLSGFLGAGKTTLLNHVLTNREGLRVAVIVNDMSEV NIDAALVRDGGANLSRTEEQLVEMTNGCICCTLRDDLLKEVRQLADQGRFDYLLIEST GIAEPLPVATTFEFRDENGQSLSDVARLDTMVTVVDAAHLLADYASADFLADRGETAG DGDNRTIVDLLVEQIEFADVVVLNKIGTAAEEERDAARKIVTGLNPDARLIEVDFGKV ALKDVLGTGRFDIDKAETHPLWYKELHGFRDHLPETEEYGIRSFVYREKRPFHPAKLQ DFLDRTWPGVVRAKGFFWLATRPHHVGEISQAGAIVRTGKMGLWWAAVPREQWPEEPA FMRAIGPYLDPVWGDRRQEIVFIGADPMDEAWIRKELDACLVDATVFTPERWRNLSDP FASWNRQAA YP_002976522.1 MTAKAIKRYLCVCQECDPLPPIEGLHPLTYKPDTETEARKPKGL LGIIAGRVALRRQD YP_002976523.1 MKKIFAALLSASFLLSPMVVSQASADDHRRPHVEQKKVVVRSHW KRGYRVNASERRRFSDVNDYRRYRLAPPPRGYRWVRADNDYLLIGVTSGIISSIIAGR YP_002976524.1 MPGISMRYIVDDVDAAVEFYTRHLGFSVALRPAPSFAILTRDGF RLFVSGMTGPGGASQAMPDGRKPEPGGWNRIQIEVGDLEAKVTALRQAGARFRNEIVQ GIGGKQILLDDPAGNPIELFEAPKR YP_002976525.1 MSDSAGGLSDYIGILAVFLLVAANGFFVAAEFALVSVRRSRVAE LAAAGRMNASALQRAVDNLDSNLAATQLGITISSLALGWVGEPALAHLIEPLLSWLPG QWATAGAHTVAVVIAFVIITALHIVLGELAPKSLALQRSEATSLAVVRPLGLFLVLFK PAIFVLNGMGNMVLRGVGLRAGTGESSFHSPQELKLLVAESQEAGLLNQVQQQLVERV FNIGDRPISDIMTPRLDIEWFDADDSEAEILKTIRECSHEQLLVARGSIDEPIGMVLK KDLLDQVLDGGKVRPMEVIKQPLVLHEGTSVVRVLDSFKASPVRLAIVIDEYGSLEGI VTQTDLLEAIAGDLPGSNEEPDIVVREDGSLLIDAMMPAFDAFERLGLRDRPDADFHT LAGFALHQLQHIPEAGETFVFDNWRFEVLDMDGMRIDKMLATRIPADGAEA YP_002976526.1 MVEMTDNAPSGKMAEEDTGEGHHHGVSFGDAFRVWLRVAALSFG GPAGQIAVMHRIIVDEKRWIGEHRFLHALNYCMLLPGPEAQQLAIYIGWLMHRTAGGL VAGLLFVMPGFLSILCLSYIYAAYGNVGIVAGLFFGLKAAVLAVVVQAVIRIGGRALK NRVMVGIAAAAFIAIFFLHVPFPLIVLAAGIAGFLGGRFGLAAFQTGGGHKAGSGPVL SDAESALGEGIPAHARPNLAWSLRISAVLLALWLAPLAALYATFGADNVFTEIGLFFS KMAVVTFGGAYAVLAYVAQEAVQHFGWLKPGEMLDGLGMAETTPGPLIMVVQFVGFMG AYRDSGALNPMLAATLAAILTSWVTFVPCFLWIFLGAPFIEKLRGNIALAGAMSAITA AVVGVILNLAIWFALHTLFAEVATVQLGGLRLDIPVLQSAVPAAMALSAAAAIAIFRF KASVIATLLACAAAGMLWTLAVN YP_002976527.1 MPSFLEISPDKLNRLIGTPGAPCIIDVRTEEDFALDPRLVPSSI RRSHAEVGSWAGSVDADSVVVVCQKGSKLSHGVAAYLRHAGIDAESLEGGFEAWITGG LAVPEEKLPRRDAEGRTVWVTRARPKIDRIACPWLIRRFVDPSAVFLFVPAPEVLAVS ERFAATPFDIDDVFWSHRGELCTFDVMVEEFGLASEPLLRLARIVRAADTARLDLAPE AAGLLAASLGLSRMYSDDREQLEAGMLLYDAFFRWCRDATEETHNWPALKKRA YP_002976528.1 MTYDPNNANDPNRPLNPNLDLRTTPSARSSNTWVVWVAALAVIA VAAFAYSQWSTPGTSPDTTASTTQSEPAPAKPIAPTDNSAAPAPAPAPATPPAAPAQQ YP_002976529.1 MKSVVLAVALLFPSAALAIEAVEVNARDHSCEELAQIIRKDKAV FVRMGFGGRSFRYPPARCNLGDKYDSARVRDANGKLCLLEYECVYDPQSFYNRIPK YP_002976530.1 MKPTFGRRHLALAEPERTRAVPARKVDASPAGSFDWPKIRRYTK NATLVLLIATKLSVATIYVLRLNASHSFQEYAAPIAVMAALSFFIVAALWLAGMKLSG GD YP_002976531.1 MRSVIFVVALSLPFPAFAAAVMNVKASEHSCSELTQMIRQNKKV FVRVGFGGRSFRYPPAQCSMGDKRTTTSLRDAGGKQCILDYACVFDPASPYNFP YP_002976532.1 MARSYSVYDVFTDRKLAGNPLAVIFDGDDLSDEAMQAITREINL SETVFVQPSTNPAYAARLRIFTPGRELPFAGHPTVGTAVALAERAHGAATRDLVSVLE ENVGPVRCAVRLREGEASFAEFDLPRKSQPAIMPLDKLGIADALSLKVTEIGFENHVP SVWSAGVPFLLIPVHDVGATQRVEFDPQLWEKIVPFVDGALASAYVYCRGGINHVAKF HARMFASGMGIVEDPATGSAAAALSGAIHHFDRLTDGHHPIMIEQGVEMGRPSFIHLH IDVDGGAISNARIGGQAVRLASGTLDL YP_002976533.1 MNANLNANFTNINFSDDFAGWPPEATVFPIAGVDLRILPGPHPL VAAEEAAIRENWVRETAANPALFDGRMVFQRLVSLGEDGIAGEGHVIPFSAFMWWRRQ PRRQGGIHIFAYPVLETSDGALVAIRMGAHTANPGQVYFAAGSLEPEDIVDGRCDIEA NMRREVHEETGLDLAESVAGEGLFATHNKRTVTLLRLFRFDMTADEMIERIERHMLVA EDQEIAGAVAIRSADPSAHAYNIAMLPVIEWYFGKAERS YP_002976534.1 MSLRLATFNVENLLTRFDFTGFRNQLRQDRVIKLFQVSSEGVYQ QLEQARVIAATDDTRQMSALAIADADADILCLQEIDNMAALQAFEYGYLFRMVGNGYR QKYLVEGNDSRGIDVAVLMREETRDGQKIELRDIRSHAMTTYRDLDLFDEELALTNRI DDKIFKRDCLELDLLIGGRPFSLYVVHFKSMGNPRDGLDGRQSTMPIRRAEARAVRGI IEDRFGAEQAGTKSFAICGDMNDYQERVDVIGRRGTGYRFEHQNETASALDVFSSDGF AENVVRRREPLDRWTLYHARGPQEQWLCQLDYLWLSPALAAHNAGCLPEIIRSGQPYR TVFPPGQEVERYPRTGWDRPKASDHCPVVMTLDLP YP_002976535.1 MKRVLASFAVLTSLAGAALADTYGAIAYSPSTSAIGWSYAHANR GDAETVARRNCDSSANDCRIAIWFRNGCGAVAVGHRSGWGSGWGYDGREAQRQAIRSC RKQTGSCHVIRWQCSGSE YP_002976536.1 MARLILNQLGKDFGTGRAAVSGFSLDVREGGFLALLGPSGCGKT TVLRMIAGFETPSDGSIHLGERLLADAAQSLPPERRNMAMVFQSYALWPHMSVADNVG YPLKVRGISGEAYRAKVRDALGTVRLADYAERRPADLSGGQRQRVALARCLVTSPDVV LLDEPLANLDRHLKQEMEETFREFHQRSGATMIYVTHDQSEAMALATDVAVMSEGRLL QVAPPAEIYARPEGRIVGGLIGRGAILTLPLMGRERHLEWDELQDALHAANSDDADVL VRPEDVVIGGEGAPATVESVLFEGERYAVKLTLGNSQTLRAFSRVAVVPGETVRVVIR AGWRL YP_002976537.1 MHGYIKTGNSQPAWLFPFVVIVVLILSVLPLARLAMVGIAAFAD GGVMTVLGEASLWSATYYTVVTAILGTIISLVIGCLFAFLLTLTNIRAKGPLSFFFVL PMMIPPQVTALAWVQMSGPSSPLLKALHIAPPLGSPQPLYSVGGIALLYGVQHAPLVY LALRAGLMTLPRDGVEAARLSGASSLWVFRDIILPLSLPGIIAGAAISFVSCTGNFGI PAILGIPASIFTLPTLIFTKFSAFTSRTFGDVAVLSVIIAIISVVGLMIQDRALRGRD YRVIGLSGASAAFELGAWRLVFTPLLWVILFFMLAAPFFALVAGALVPAYGVPLTFKT MSLHAFEEILFRQAVTRTAFINSLSLAGATALCLLVATVLAAYTLTRRKDAASRIVSS LIEIPYSLPGIVMAVCFILVFAAPIPILHVSLYGTIWIILIAYFSSFFAVSLKPVVSA FHQLDPALEEAARLSGAGFFRRLADIIVPLIAPAAGASVILVFLIACNELTISALLWS AGTQTLGVAIYNLDDSGSSDLASALSVLVVLMVVVLMLLLELLAKRLPKGVIPWRG YP_002976538.1 MKTIVSAAALVAASLFAIPASAANLVLYTSQPNEDAQATVDGFM AANPDIKVDWVRDGTPKIMAKLQAEIQAGNPVADILLIADVVTLERLKEDGKLLAYKS PEAAQYDTALYDADGYYYSTKLITTGIMYNTSAAMKPASWKDLTKPEAKGLVTMPSPL TSGAALIHAQTLAVVPGLGWDFYKSLAENGAIAAGGNGAVLKSVASGEKAYGVVVDYL PIREKAKGAPVEFVFPSEGVSAVTEPVGILASSKNADAAKKFVDYVLSEKGQEGFLKL GYIPARNGMKLPEGFPARDTIKVLPIKAAEALKNTDQDLKTFSGIYGSN YP_002976539.1 MFKFAAAVALAGALLSGAAVAQPAKPTVVLVHGAFADSSSWNGV VEILRKDGFSVVAAANPLRSVSNDAAYVSDVVGSIAGPVVLVGHSYGGQVISTAANGH DNVRSLVYVAAFAPDAGESVADLAGKFPGGTLSGALAAPVKLSAGGVDLYIDQAKFHD QFASDVPAEQAALMAAAQRPVTEAALTEKSGEPAWKKLPSWFVYGTGDKNIPAAALGF MAERAGSKRTVVVDGASHVVMVSHPEKVAELIEEAAK YP_002976540.1 MKDTTSNDLIDVPKIDEMLCFSIYSASHAFNQLYRPLLDELELT YPQFLVMTALWARDDRTVKDLGETLFLDSSTLTPLLKRLENVGLVTRNRNPADERQVL LRLTEKGLALKSRASHVFDCIGKAVGLDAQAVSKIRDTIASLRDNIHKKDKHES YP_002976541.1 MEYRLLGRSGLKISTLTMGTMTFGGVGWAKMVGDLGVSEAKKMI DMCIDAGINLIDTANAYSSGECENIIGDVLSGKRPQGVLLATKARFSMGDGPNDQGLS RYHLIRECEASLKRLKTDVIDLYQVHEWDGQTPLEETMEALDTLIRQGKVRYVGCSNY SGWHIMKALGLANEHRYQRFVSQQIHYTLEARDAEYELLPISIDQGLGVLVWSPLAGG LLSGKHRRNQAAPEGTRQFAGWTEPPIRDENRLWNIVETLVAIGDERGVSAAQVALAW LIGRKAVTSVIIGGRTEAQFRDNIAAAELKLTDAERKRLDAVSLPPVIYPYWHQLNTV SDRLGEADLELFGPHLQQ YP_002976542.1 MLNWDTMFASRSSRMRASEIRELLKLLDRPDIISFAGGIPDPAL FPDQEFKQAYADIFAAAVNSALQYSVSEGYKPLREWLVGQMAALGIPCELDNVFIVSG SQQGLDYLGKLFLSPDDTALVTWPTYLGALQAFNAYEPAYDQLTPNGNRTPDSYRSAA STAGGKVKFAYLSADFSNPTGETVDLDGRKKVLALAEDLDIAVIEDAAYQSLRYDGDP IPPILALEIAEKGHINDTRTIYCGSFSKTLAPGLRVGFIVANAPVIRKLVLMKQAADL HSSTINQMAISDVAERGFDAQVAKIKAAYSQRRDCMLTALDKYMPEGTSWTKPEGGMF IWITLPEGMDGAKLLAKSLETAKVAFVPGKAFFADGSGANTIRLSFSCANEQMIEDGI GRLSALISAEIGS YP_002976543.1 MTATTTSPEIKIELHKSPVSDADRIQALETPGFGKVFTDHMVLA RWTADKGWHDAKVTPRRPLELDPASAVLHYAQEIFEGMKAYKADDGRILLFRPEENAR RFAQSATRMAMPPVPEELFLKAVEELVRVDKAWIPSGDASLYLRPFMFANEAFLGVRP AQEYVFCIIASPVGAYFKGGAKAVSLWVETEYTRAAAGGTGAAKCGGNYAASLVAQAE AAKKDCDQVVFLDAAEHRWVEELGGMNVFFVMNDGSVVTPPLGGTILPGITRASVIVL AGEKGLRVEQRPYSFAEWQQDATSGKLVEAFACGTAAVLAGIGLVRHAGGEFLVGDGQ TGKLTSELRQQLVSLQKGVTNDERGWTRLIPA YP_002976544.1 MATATLSTKFQISIPKEVREQQHWSAGQEFVFIPKGKGVLLMPV PTLADLRGLAEGADNKNYRDRNDRF YP_002976545.1 MRVIDSSAWIEWLTKSAAGTRLQAEIPERDQCIVPTIVQLELSK WLAREKDEEAVDSFIAYTATCMVVSLDTTLARRAAEISAAHKLATADAIIYATAERHD ADILTCDAHFKDLERVIYIDKKD YP_002976546.1 MAKMTISLPDNLAEYVENRVASGRYSSAGAFLAELILKDQLHHK LDDEELRNILRQAEESGPSGRRIPDILSETKAKLRDNNL YP_002976547.1 MTTTYRLTRAADSVLSGIDEYACLNFGEAQADAYLLDWDRIFVL LSHAPSMGEECDELGAGLRRLLHMRHVAFYRVASEGIVVIDIIDADRLPERHLQSNRR PRSAEQHAS YP_002976548.1 MPLDCTSAFYEENAETYAKRARSLPKQQVDAFLAGLVPGAAILE LGCGGGQDSTYMLAQGFDVTPTDGSTELARQAEVLIGRPVRVMLFQELDADSAFDGVW AQASLLHVPRPELPDVFARIRRALRTGGIIHATFKAGDVEGHDGFGRYYNYPSAEWLS ELLTKGGWRNIAISESDGGGYDGKPTRWLIVGAER YP_002976549.1 MFEAIMRSAKQDLEDSLGALYPAFLEYPFPSSLDASPLRDAEKI LAALKSAPLREIDATELGRYAASAITTVGSADDFKHFLPRILHCAVLSPSAYGFEPPI IASKLLLCDWQHWPIPEQTAVANFVYSAWAFKRLQDPDFDASAWDWIMAMAKLDLQFE ACLSLWVKQPTPNAFIQLATADLKSLYRGSCFWQDVAPEKRHLVLEWLKGDVIENGFI ELIDAIPPQRHWIVDRLLDEMRELRRLPSRVEAPE YP_002976550.1 MRVYYDRDADLNLIKAKKVAVIGYGSQGRAHALNLKDSGAQNVV IALKAGSPTVKKAEADGFKVMTVAEAAAWADLMMMATPDELQADIYKADIAPNIRDGA AIAFAHGLNIHFGLIEAKASVDVVMIAPKGPGHTVRGEYQKGGGVPCLVAVHQNPSGN ALELALSYACGVGGGRSGIIETNFREECETDLFGEQVVLCGGLVELIRAGFETLTEAG YAPEMAYFECLHEVKLIVDLIYEGGIANMNYSISNTAEWGEYVSGPRIITAETKAEMK RVLKDIQTGKFTSDWMQEYRAGGSRFKGIRRLNDSHPIEEVGAKLRGMMPWIGKNKLV DKSVN YP_002976551.1 MSVDTAEPSEFSPRQNAVLEQALQLLVDGGEKALTTSGVARAAN CSKESLYKWFGDRDGLLSAMIAYQASKVRTFERNGERLTAASLHDHVVIFARDLLEVL AGDVSLALNRLAIGQSNRDGSKLGKLLLERGRRQIDRRAMALIDAGKRAGLLRFSDAD EAYHTLYGLVVSDLHVRMLLGEPGLKDTARQAERAVTAFLRLYGTDKVLAEMPVLG YP_002976552.1 MSRLSAALLLLGAILLGGSSALAQSDSDVYNRIEELHGDAAGFD RPLRQLVRAMRSGDAETIAGLAEYPLTVKANGESYDVESAEDFIDNFDDLVTPETRSA VGRQQYEDLFVNSDGVMLAGGAVWMGAICEDNACEASHWAIIAINN YP_002976553.1 MKYHITAAVITAALTIAANAQAAETRCGWIENPTPANWWLEDAE NTWTIMTQGDDAGEVEGMELISDISEHEYVRTNGNYGYACACMSVETDGKQRITKILS FRQLKLAKCRADKALKFPG YP_002976554.1 MERIAAPAVAAAGPEESIARQDSTVAIVAFVGLAAALVLLFPFP PVLDYANHYARIWLLSGGIGEQPFPEIYAVDWNRTFTNVGIDVLATWLGPLVGADRLA RALLFLAIVLPPLGAIGLHRALFGGRHHWQTAMLSLSWCATMIGGFINFQIGLGMALF FACLDLRLQRRNPALVFVWRLAAALLLTVMHIFALGFYMAIVCGLEFSWRIDIVRSKV GLMRLAGRLVLAFGACVLPPLALYLHTAALPNAGGDGLGLVWNDGVVLIVMNLLSAIT TYVPVVDVVLVLPLILICTRAVRAGDIRIHAGLAVTACGLLLLSCVSPRHMLGTGWIS WRFPIMTALVAMAMMCPFANLTRRHALLLALVINFAVFGRTGWVGWNWWLAQKDVAAV EAVLKKAPAGAAVLPLGHEPETVSGLAHSNRHFAWGLDTFRHLPTLAVPFSHAFVPTL FTAKGKQPLSVLTPWSEIAVPEGNLLSIGVLSCPAMMQQYRDFTPYLSDWRSRFDFVL VANADIPDRYVGTFMPVGLTLVEDAGFAKLYRINKAVPAEPFAIPAGCATAL YP_002976555.1 MAQIPFLSIVAPCHNEEEGLREFCRRAAAAAHSVAGDAFELILV DDGSSDRTWEIISDLAADVPQVLGVRLLRNHGHQLASTAGLSASRGERVLLIDADLQD PPELLLMMMPIMERGADVVYGQRTRRQGETWFKLASASLFYQALSKLASVTIPRDTGD FRLMRRRVVDILLAMPERDRFIRGMVSWIGGRQVALPYERDPRFAGTTKYPLRKMINF ALDAITSFSTTPLRISTWLGMMSAGVAIALLVYTFVRWLEGETVTGWSSIMAAVSAFS AIQLICIGIIGEYLGRLVQESKKRPMFMVETIVRGSEQSELSIAFSEMSASDKRAALD AAFASEEPPRSQKNGRIG YP_002976556.1 MTLPFSPYQEIVDIAMRFAAGDGEFATDIGNLHISRRSKPSDPL HSSYRPCFAFVLQGAKSLRLGTELISYGTGDYLLTSLDLPVAWRVTEASPEVPHLCLG LAIDSEKLLELLSRIDIPSPAAHTDGQRGMAVNVAPPELLDAAVRLLRLLDRPGDIPA MAPLIEQEILYRVLTGPDGARLINIATADSHSNRVARAVAWLKENFARPLRIEDLADR VSMSVSSFHHHFKSVTAMTPVQYQKQLRLHEARRLMLVERLDAGTAGHRVGYQSPSQF SREYSRLYGASPARDIDGAREVMAAE YP_002976557.1 MPIARGYAATDASKPLTPFTFERRNPNPDDVVIDIKFAGICHSD IHTVRNEWKNAVYPIVPGHEIAGIVSAVGSDVTKFKVGDRVGVGCFVDSCIGCAERDL DREQYMPGLAGTYNDFEADRKTRTQGGYSDSIVVREGYVMSIPDNLPLDASAPLLCAG ITLYSPLRHWNAGPGKKVAIVGMGGLGHMGVKLGAAMGADITVLSQSLSKKEDGLKLG AKEYYATNDPETFTKLAGTFDLIICTVSAEIDWNAYLGLLKVDGSFVVVGAPENPIPV HAFSVIPGRKSISGSMIGSIKETQEMLDFCGEHNIVSEIEKINIQQVNEAYERVLKSD VRYRFVIDIASLAA YP_002976558.1 MRTLNLGILAHVDAGKTSLTERLLFDAGVIDKLGSVDTGNTQTD TLELERQRGITIRAAVVSFTIGDRIVNLIDTPGHPDFIAEVERVLGLLDAAVVVVSAV EGVQAQTRVLVRALRRLGVPFILFVNKVDRLGAQLEDVLKAIASQLLVRPIAMSSVID AGSRLARVEALAPGCEPLFTPLCEALAENDEALLDDYVLAPDRLTADRLGRCLSDQVA SGLVHPVFAGAATTGVGVSALTSAIATILPGRRLDADGPIAGTIFKIERGWGGEKLAY MYLTSGTVRLRQHLDLPKGPERVTAIEVFGAGRVHGAAIFCAGQIARVSGLAGARIGD VVGTDLLAGGQAQFAPPSLETRVLARRPSDKAALWLALNQMGEQDPLINLRRNDDADE IFVSLYGEVQKEVVQSTLLTDFGLEAGFEESTVILVERLMGTGEGLQILFKEPNPFLA TVGLRVEPRPEGAGNSFALDVEVGQMPASFYRAVEETVFETLKQGIFGWQVIDCHVAM TAARHSSPTSTAADFRQLTPWVLADALSAAQTVLCEPIDRFHLEAPAESLSGLLTLLA KSAATMTGSVIADGMAWVEGTMASAMIQSVQQQLPGLTSGAGTMETSFDHHAPMAGPP RSRQRSGPDPFKPVEYLLRLQSTRASA YP_002976559.1 MSDHHVVVVGGGFGGLQLVNGLRCAGVKITLVDRRNHHLFQPLL YQVATTILSTSEIAWPIRRLYADRPDVTVLLGEVTGVDSGAKTVSLRNGMTLSYDTLV LATGATHAYFGHDEWEPVAPGLKTLEDATTIRRRLLLAFEKAEMESDPAVRDALLTFT IVGAGPTGVELAGIIAELAHFTLPKEFRNIDTRKTRVVLVEAGPRVLPTFAEELSAYA QKALEKLGVEIHLGKPVTECNADGVKIGETFVASRTIVWAAGVTASPAARWLGVAADR AGRVVVEKDLSAPGLPEVFVVGDTASVMREDGKPVPGIAPAAKQQGGYVAKVIRARIS GKPTPAPFRYWHQGSLATIGKSAAIIDFGRIKLKGWIAWWIWGLAHIYFLIGTRSRFS VAWSWLWIYLSGQHSARLITQRETMREEG YP_002976560.1 MLDDRDRRILDMLQKDAGISVTDLAERVALSISACSRRIQRLEE SGHIARRIVVLDRGKMGVPTTVFALVKTAHHSDEWTETFRRIISDIPEIVEAHRLTGN HDYILKIVLPRVEHYDVIYKQIVRKIELFDVSASISMELLKGGTAIPVGYAD YP_002976561.1 METIGLIGGMSFESSAVYYRVVNEMVRDRKGGLASAELILHSVN FEEIVALQKAGDWDMAARRLADVALRLQIAGAGCILICTNTMHLIADKVAEKISVPLI HIIDETAKSLHAAGRKRPLLLATRYTMEHGFYSDRMKSLGLDIMVPDAGDRTTVHDII FDELCAGKVLDSSRDKLMAVISRAIDNGADSIILGCTEICLILDPNHLPLPGFDTTAI HARAAVDFALGMDEAAEEEAA YP_002976562.1 MPDIALIETARDFNRFYTNFLGLLNKAYLDTPFTLTDARILFEV GSHEGISAVALARDLQLDPAYLSRILKRFRAEGLIETSPDPADLRSQVIIVTDRGRET FEELGRRSNAQIAARFDRLATGEPEAAVSAMHTIRALLDPAAKPAPAIIRAHRAGDIG WIVQSQGRFYAEEYGWDLRFEALVAEVAGKFLANFDPAKEYCWIAERGGVNVGSVLVT NGGDDIAKLRLLYVDKSARGLGLGKLLVDGCIHFSRDKGYRELSLWTNDMLETARAIY VKTGFRLVSEERHRMFGPEANGQNWVLDL YP_002976563.1 MTDATLTSEAKTRSRGRPREFDMDAALDAALRVFSERGYHAAAI SELTEAMGLASGSIYKAFKDKRGIFLAAFAHYRKLGRRRLEAMIASAKTGREKVFQMV MYYTELSYGEAGRKGCLVVGGANDFALLDEEAAAHVVTAFAADEKLMADLIRIGQADG TIPKTVDPDTAALAFLCFTKGLRVIGKTGRSREEMLSAAEAAMKLVT YP_002976564.1 MSISATTPDEAIPRALSPWLTFLFAAACGLVAANLYYGQPLAGP ISADLGFTPAATGLIVTLTQIGYGLGLLLIVPLGDLTENRRLVLMLIAVSAVALIGAA LSSTPTTFLVASLSIGLSSVAVQVLVPFAANMAPDATRGQVVGNVMSGLLCGIMLARP FASFVAEASSWHVVYYVTAGVMLVLAVVLRANLPVRRPTTRLRYGELLASMGHLALTS RVLQRRALYQAGMFGAFSLFWTTTPLLLASPAFGLTQNGIALFALAGAAGAIASPIAG RLADRGMTKIASTLAMLLGMAAFLIGHFAGDGSLGALLLLTAAAILLDFGVTTNLVCG QRAIYALNPEHRSRLNGLFMATFFAGGALGSALGGWAYATGGWTMTAWIGFCFPALAF LLFLTEGRGK YP_002976565.1 MEIDEEKIDDAVLALLWLTLHDGDRAWKGFDWGVMDRLHQKGLI ANPAGKAKSVVLSDEGLRRSEELFRALFMRST YP_002976566.1 MSGAVLSLKNRMPGTRREIDLAGLDFGNCPVRDMMQQIGGKWST LLLDVLADRPYRFGELRRMIPDISQRMLTQTLRDLQRDGYIHREVFPTKPPSVEYSMT ELGRSLYQTLAQLLNWAEANHDAVRAARSQFDSHNS YP_002976567.1 MSETILVTGAAGQLGQRVIHHLIETYKIAPGSIVAATRSPEKLS ELEKKGVVTRKADFDDAAGLEEAFAGVDRLLIISTDALDTPGKRLAQHQGAVAAAVKA GVKHIAYTSMPAPDNSLVTFAPDHLGSENAIKSSGIAHTIIRDAWYHDNYLHGMPHNL QGGKWYSATGDGKISTISRDDCALAIAAALASGTSESATYTLTGAQSLNNRQIAAIVS DVAGKPLAVVDVNDEQLGQGMLGAGLPGFVADMLVSADANTRAGKFDIVTEDFTKLTG KQPQPLKDFFVEHKAALTTSGNAAH YP_002976568.1 MPAKLSVNLNAVAMLRNRRDLPWPSVEALGRIALKSGASGLTVH PRPDQRHIRFSDLPVIRNLIDDEFPKTEFNIEGYPTEEFFELCAGAAPEQVTLVPDDP AQATSDHGWDFRKHQAFLTDAVARLKTMGCRVSLFADGDGDAQAVEIAKAVGADRIEL YTGPYGGCFDAPERAGPILEALGRTADAALEIGLAVNAGHDLTVENLPALVRRIPQLA EVSIGHGLTADALEYGMAETVRRFCRACGQVV YP_002976569.1 MPDGSKRLFATAGIASEARSRYRFLPSAALPPDLPDGPVPIADM ANAFGVTHRTLHFYEEKGLISANRIGLMRVYGQDDVMRMAVITVCRDTGMPIAVIQEL MGELRNADSQETAEAMFREALQVRKRELTAEMSTLHRQLQQVGDLLDYDGSIEEPPLN DNQDSASLTAQERRCLELMAEGYSTQRIARALDLKHDETRDLEAGIILKFRANNRFQA IAKAVLLGIVQA YP_002976570.1 MQFAPQQDEALKAVSKWLKEGRSPLFRLFGYAGTGKTTLAKHFA ENVDGDVLFAAFTGKAAQVLRSRGASNARTIHSLIYRPRGEEAVEDEETGKTSIAPMF SINRQSPVAKAALIIVDECSMVDEALGKDLMSFGTPILVLGDPGQLPPVTGGGYFTNQ DPDYLLTDIHRQARDNPIIKLAMQVREGNEVMYGDYGTAKVISKNEVTQQLVLDADQV LVGTNRTRRRYNQRLRELKGFNADYPQTGDKLVCLRNDPAKGLLNGSLWQVMTSSKET TKPGINLLVRPEDDDMDRGAAKIKLLKQAFEDVEGEIPWNTRKRYDEFDFGYALTVHK AQGSQWNDVVLFDESWAFRDTRERWLYTAITRAAETLTIVR YP_002976571.1 MDIVSALRTFQRVVETGSFSAAAHDLDVTQPAVSRQVAALEGHF NTRLLHRTTSGLSLTAEGERMLPMALRILEAVEELGDAAGSDGTTASGKVRLSVPAPL GLYLSERLGDLLAAHPKLSVELIFREQGSDMIEERLDLEVRLGQVADSSLVCRRIGWT TAFLVASPAYLARRAAPRAPKEIKDHECLCYNRAGEANTWSFSNGSEDISVRISPRLT ACNAVAIHRAALAGAGLAVLSHIIAMPDIAAGRLVPVMKDFQPSRLPVTVVYPSRRNM PLRVKTVLDFLTGAMGQDPSMCASGADRQWN YP_002976572.1 MTQRLNYAQQSPELFKKFMEFSMALKSSVIDEKLQALVEVRASQ INGCGFCLDMHVKQAKILGETELRLYHVAIWRESNLFIPRERAALAWTEALTKLPEGG IPDEIYERVRGQLSEKEISDLTFVVMAINAWNRVNVGFKTVPGTADKAYGLDKAGLN YP_002976573.1 MKIVVIGGTGLIGSKTVERLRKRGHDVIAASPNSGVNTITGEGL AEALSGTEVVLDLANSPSFEDKAVLEFFETSGRNLLAAEKLAGVKHHIALSVVGTERL QESGYFRGKLAQEKLIKASGIPYTIVHSTQFMEFLGGIARSGTVGQTVHLSPAYVQPI ASDDVADAMADVALSAPANATLEIAGPERARLSELVARYLKAMKDPRTTEADVEARYF GARLNDQSLVSDNNPRLGSITFEQWFAKSIQPT YP_002976574.1 MIRTFFLAAALAFPAATGVSARDSSESAKVTLVYEHELPNVPGK SIKGVLVEYGPGGFSEGHTHPDTAFIYATVLEGAIRSQVNDGPVKVYHAGESFSEMPG DRHGVSANGSETKPARLLAVFVVETNQKELTYPLKK YP_002976575.1 MIDDALFGKPLISLITVGFAGIVVWHLLSRHRPTTRLVVQILFF AVMTLILVGSGIEPHRFQGYESEDPRALLVIVAKTLWWIHLAWAVIGFIRLYLVLEGS PREARLLQDLVIGVVYIGMALSVLAFVFGVPIGTLVATSGVVAIILGLALQNTLADVF SGIALTLGRPYIIGDWILLSDGTEGRVVESNWRATHILTSGNNVVVLPNSFLAKLGLT NVSRPDESHLLILTIRIAPTRMPASVRHVMATALASCNSIVREPPPVVALKGLDATAL EVELQFRVTSPSQRVPARNEVLDLVYRHCKSAGLLLAIPPSATVLTADLPTEESAKPP SVTPLALIEAIPVFTTLTSDEKRRLAETTTVREFRKGDVIVQEDEMLPSLMMVRAGII AARNAGEECGRLAPGDFFGETGLLAGMQEVCTLEALTPVTAYEIDQEAFAPLLSERPA LAEEIADHLASRAERFHNGASLPPEHARSAHAILKTIRTIFKA YP_002976576.1 MILKSQVEWALHCCAILAGLPEGRYLSTKALAELHGLPKEYLSK ALQSLSQASLVETTLGPSGGYRLAKTPSDINFLEIVEAVEGKARSFTCTNIRANNPCR PEGYCDSKPCAVARIMWEADEAWRDTLRRVRLSDLVGTLSEEVPADIWRSTFEWVLKR AG YP_002976577.1 MTNGVKEGDSYEDHVDRLRRQWALELPDLDTEPMAILGRAFRLS NLVRPSIEATFADFGLDRGEFDVIATLRRSGPPYLMTPTEMYSALMISSGGLTHRLDR LEKAGLIRREKSPRDGRSVLVALSEAGAALAEKAFRTDMASEASFLQALDGKEREALA ALLRKLIAGIENEQARGEDEQAG YP_002976578.1 MFRILRHQEPRADQSRTVRFEGRDHCGEISLFLVDNEPGQGPDL HIHPYSETWAVRKGEAEFTVGDAKTRAFPGDIVIVAANIPHRFENVGTGRLEIVCIHA SDTILQEFV YP_002976579.1 MPKMIFVNLPVKDLAAATRFYEAIDCSKNEQFSDHQASNMVWSE VIIFHLLTRDFFASFTPKPVAEAQKTSEMLIALTMDSREEVDAIVEAAAAAGGKADPR APTDVGWLYNRAFEDPDGHIFEAVWVDMAAATATGE YP_002976580.1 MKPVKFLSVVAAAAFAATAAFAAPPVKTVESEKGKVLAGENGMT LYTFKKDVKGVSNCNDDCAKNWPPLMAAGDAKADGAYSIVDRKDGTKQWAKDGMPLYY WVKDKKAGDITGDGVGGNWDLAKP YP_002976581.1 MKTPAPETFEGQILALLPSLRRYSRSLTRSDADGEDLLQDCVEK VLTRRGQWRGLNLRGWVLTIMTNLYRNGRRGKTRDGLVELDAADNLAAPEPPADPLER ARLDDALNSLSEEHRAVLMLVVIEGYTYGEVAAALDIPIGTVMSRLSRARRRVAERLK ADNIITLRRPK YP_002976582.1 MNETNPSVAEADLHAYADGQLPETARPRIEAFLADNPDEAAMVA EWQAQNSGIRSLFAGYEKAKDTDPLLVVPPRVVSSGPKRWAIAASALLVFTLGAVSGH YGPALLEKPELQLAGSETLPKQAQTAFTVYAAEVRHPVEVFANEEVHLATWLGKRLAI QNLKIPNLQPLGFKLVGGRLLPVDGRPGAMFMYENQAGERLTVMVGRNAENRTTSFRF ASSGNLETFYWIDGELGYAVTGEISRETLREVAEECYKQFPT YP_002976583.1 MSPLAQSSGLPRSPEGEPVFPEPWAAEAFAMTVHLHAKGLFSWS EWAETLSAQLHKPGRAEDGSDYFDCWVAALSDLIVDNGIADAETILALQQSWQRAAEA TPHGRPIELGNDPSR YP_002976584.1 MNGPHDLGGQMGFGPVAPEKDEPYFHAEWEKRALGITLSCGAFG AWTIDESRHARENIPPADYLAASYYEIWIRGIDKLLERHGFATRAELLSGHAQQPGAV PKRVLKADMVPGVLAKGGPCDRPVKTAPLFAVGETVKTKKFNPPTHTRLPRYARAKTG VVEVVQGSFVFPDDNAHGKGENPQWLYTVVFDGTEIWGGDADPTLTVSIDAWESYLEP A YP_002976585.1 MHDHDDKHAHGDEHAHGHDHDNHYSDMQARVKALETLLTEKGLI DPAAIDTIVETYETKVGPRNGAHVVAKAWSDADFADWLRRDATAAIASLGYTGRQGEH MRAVFNTAETHNLVVCTLCSCYPWSVLGLPPVWYKAPAYRSRAVIDPRGVLAEFGLTL PEDKKVRVWDSTAELRYLVIPERPGGTDGMDEQTLAGLVSRDAMIGTAVARKPESAA YP_002976586.1 MNILILGATGFIGSVVAARLVADGHAVTGIGRNPARARLKQPAI DWRCADLSRMTKPADWDEILKDQHVVVNCAGALQDGLSDDLLATQAEAMLALYSAAKR SSRPLIVQISARTAGAAGALPFFATKRRADEALAASGLRYLILRPALVLGRNAHGGSA LLRALAAFPCVLPLVHAESPVETLSVDDVAEAVSRAVSDGISGDIDLAADEVLTLADL VRLHRQWLGLPPARMFALPRWLAGPVTWLADLSGLLGWRSPLRSTAMTVMSEGVRSSK TGSGLAATSAAAALSANPSGVQDLWFARVYLLKPLAISGLSVFWLLSGLIPLLALEQT SAHFLPFMPEAAATALTLATCLTDIALGAAVLLRPLAKRALLGMLAVSFAYLAGASLL EPALWLDPLGPLVKVLPSILLTLTALATLDER YP_002976587.1 MIEEWLLLAHVIGATVLFGTGAGIAFFMVMAHRTRDPRLIAHVA STVVIADTLFTATAAILQPVTGYLLARSIGWELSEGWIALSLLLYVVIGLFWLPVVWI QIRLRDLARAAAAAGKALPPGYFSLYRIWFACGFPAFFAVIGILWLMLMKPAITLF YP_002976588.1 MPLDTFLALVLFAFTTSITPGPNNMMLFASGVNFGFRRTIPHMF GIGVGFFSLLIGVGLGLGALLHTVPIVYTVLKFAGGAYLIWIAWKIASSRSLSEGRSG VEPMSFSAAAAFQWVNPKAWVMAVTAMATYTNPQLYLVSVLIVGLAFAAVNVPSVSTW AGFGSALREWLSDPVRLKWFNISMAVLLVLSLWPMLK YP_002976589.1 MQDDPIPSITFPDGTEVPALGQGTWAMGEDAGHARAEIESLRAG IDLGMTLIDTAEMYGDGGAEEIVGQAIRGRRDEVFIVSKVYPWNASLKGTIEACERSL ERLGTDRIDLYLLHWRGDHPLTETVAAFEMLKASGKIGAWGVSNFDTDDMEELLGVPD GANVAANQVLYNLSRRGIEFDLLPWCQNRGIPIMAYSPIEQGNILHHPELIRIAKAYQ ATPAQLALAFLLERDGVIVMPKTSNAERAAENRDCVSLEITDDDWDAIDAAFPPPTKK KPLEML YP_002976590.1 MNAHLQPTGSAYFISPETAAVESHTLSVLVDNEPGVLARVIGLF SGRGYNIESLTVSETEHQAHLSRITVVTRGTPQVLEQIKAQLERIVPVHRVVDLTVRA RELGQDRPIEREVALVKVIGEGEMRAETLRLADAFHAKVVDATVGHFILEITGKSSKI DQFVAIMKPLGLIEVCRTGIAAMNRGVQGM YP_002976591.1 MSTDNQAAGNRMTGAEIVLKALKDNGVEHIFGYPGGAVLPIYDE IFQQEDVKHILVRHEQGAGHAAEGYARSTGKVGVMLVTSGPGATNAVTPLQDALMDSI PLVCLTGQVPTPLIGSDAFQECDTVGITRPCTKHNWLVKDVNQLAAVIHEAFRIAQSG RPGPVVVDIPKDVQFATGTYTPPADYAIQKSYQPKIQGDLNQIHAAIELMANARRPII YSGGGVINSGPEASKLLRELVELTDFPITSTLMGLGAYPASGKNWLKMLGMHGSYEAN MAMHDCDVMVCIGARFDDRITGRLNAFSPNSKKIHIDIDPSSINKNVRVDIGIRGDVG HVLEDMVRLWRALPKKPEKGRLDDWWTDIARWRARNSFAYTKSNDVIMPQYALERLFA HTKDRDTYITTEVGQHQMWAAQFFGFEQPNRWMTSGGLGTMGYGLPAALGVQIAHPDS LVIDIAGDASIQMCIQEMSAAIQHDAPIKIFIMNNQYMGMVRQWQQLLHGNRLSNSYT EAMPDFVKLAEAYGAVGLRCEKPDALDDTILEMIEVRKPVIFDCRVANLANCFPMIPS GKAHNEMLLPDEATDEAVANAIDAKGRALV YP_002976592.1 MLNNDLRTSGKAGEHDRRDGHAPGNRFLGRVVACSGSRATIAAV AEQGGTDLTELWSVGRLISISVGRNRVVALVYQMNTGSHAWGEGEDNIFKIETELLGE VRVDEDGREEFSTGISRYPYLGAIAHRIRSADLMRIYDAGEGTTAVIGKLTQDDSIDA AIHIPSMLSKHFAVVGSTGVGKSTAVSLLLHKAIAADPKLRVLILDPHNEFAAAFPQH AVTIDTDTLDLPFWLMRLEEFAEVVFRGRPPVPEELDMLRDILPEAKRAFRGSDNSLV RRTTEKSSITADTPVPYRMADLLALIDERIGRLEGRSEKPFLRSLKMRIIAAINDPRY HFMFSNNTITDTITDTIAQIFRIPGENRPICAFQLAGIPSEVVNSVASVLCRMAFEVA LWSEGAIHMLVVCEEAHRYIPSDPSLGFVPTRQAIARIAKEGRKYGVSLGIITQRPGE LDQTILSQCSTLFAMRLANDRDQEIIRSAIPNSSISTTSFISSIGNGEAIAFGEAISV PMRMRFSRVEENLLPKASSANSKQSEEDPDTVDLRKIVTRMRAVTVGPDISNFQQNYA ASVAGFDETDAADEDLDAKPYTPLASFAAPPASAPLETYRRELLPQTPRLDPATSPAI DPRLDALRREMRRDEPVFPRPAPPADQPTVSRREPGTSLRESILKKPLSSLYNKD YP_002976593.1 MSVTSPFHGLSAFPPTPANREGRVDTEALGRLLERLCDAGVASI GLLGSTGIYAFLTREERRRAVEAAVECVRGRIPLVVGVGALRTDHARSLARDAEAAGA DALLLAPVSYTPLTQDEAYEHFLAVTEAANLPLCIYNNPGTTHFTFGRDLLQRLSDIE TIRAVKMPLPADGDFAGELAALREKTRLAIGYSGDWGAAEALLSGADAWYSVIGGLLP RVALALTKAAIAGKGGEAHRLDGLLEPLWKTFKAFGSLRVVYTLVDLLSLAGAELPRP LLPLGPMDRQRVLDAVEPLIALESELKRQSLL YP_002976594.1 MQDLLVVYIAYAIAAGSPGPSNMAIMNVAMRQGRRPALALAAGV ITMSTSWGLVAVTGISTLLVRYAHALLFLKIAGGLYLLWLAWRAARSAAAPDMPASEV VRPAAQVGVLYRRGVLMHLGNPKAVLAWVAIMSLGLKPGASPEMAVTAFGGCVLLGIS IFAGYAVLFSTAPMVRGYARARRWIEGSLAVFFAGAGSRLLFSH YP_002976595.1 MSKRRSTIEIPSLKAIERTANVSRQLAQALRNAIARGELRSGER LPSTRSLAASLEIARGTVVEVFDQLTAEGYLEARVGAGTRVATDLMDTTPAPPLAPAV PSTADAVDLPSQAARLIAIARALTPHPPVPFAIAVPACGIAPDDNWRRLGNRVRASRQ AAPSGYHDPMGLLELRLAIADHVRRARAVHCEPEQVIITSGTQQGLYMAGRVLLSRDD AVWAEDPAYPGLTAVLDDLGVRMHRLPVDAQGMNVERGLELCPRARAAFVTPSHQYPI GMPLSMARRNSLIAWADQNRAWIVEDDYDSELRYAGHPFPSMQGLRPSRVIYLGTFSK VLFPSLRLGYVIAPPPLAEAFAGARAILDRHSPIAEQHVLAAYMREGYFEAHIRRIRG LYAERRAVLLSALDRALPEGCRVQQSDQGMHILLWLPEEVDDAQLAARALSAGLAVRA ISPMYAARPARPGLMLGFGGFLPDQLQAAVGELVKQLSQQMSQSRRPATN YP_002976596.1 MENLLSTVNAILITGPTASGKSALAVELAKRHDGAVVNADSMQV YDTLRVLTARPSEAEMQGVPHHLYGHVPAGAAYSTGAWLRDVAALLPALRDAGRLPVF VGGTGLYFKALTGGLSDMPEIPEVLREKLRTRLLEEGPDGLYSELAEADPAMAASLNR QDGQRIVRALEVVKATGRSIADFQGRSGPVVIDADEARKIVVLPDRAVLHQRINGRFE KMLQQGAEYEVRALLALDLPAEAPVMKAIGVSQITAMLRGEMTRDEVLEKGAAATRQY AKRQMTWFRNQMDGSWERLTV YP_002976597.1 MALVATLVANPSNPVLTPEIAERAAEAVNASGLYWLADGIACDI ALRDGTDAQEAEANILAVVSSAPIDLVIQEQETRRKKLLIADMDSTMIGQECIDELAA EVGLKEKVATITARAMNGEIAFEPALRERVALLKGLPISVVDEVIAKRITLTPGGPEL IATMKSKGHYTALVSGGFTVFTSRIAATLGFDENRANTLLEDGGILSGFVAEPILGKQ AKVDALNEISARLGISPEEAMAVGDGANDLGMLHLAGAGVALHAKPAVAAEAQMRINH GDLTALLYIQGYRKTDFVTA YP_002976598.1 MAPTIRSPFRRTLALMASAAILAHAGMNGVAYAQTSAEATPPGV AAPAPATPETAAPAPTPPAPAAPETAAPTPTAPAAPQQTAPIQAAVPNNGPASVADLA EGLLDAVVNISTSQNVKDDEGAGPAPRAPDGSPFQEFFNDFFNKQQGNKGGNHNVSSL GSGFVIDPAGYIVTNNHVIEGADDIEINFANGSKLKAKLIGTDTKTDLSVLKVEPKTP LKSVKFGDSSTMRIGDWVMAIGNPFGFGGSVTVGIISGRGRNINAGPYDNFIQTDAAI NKGNSGGPLFNMKGEVIGINTAIISPSGGSIGIGFSVPSELASGVVDQLREYGETRRG WLGVRIQPVTDDIADSLGLDTAKGALVAGVIKGGPVDDGSIKAGDVILKFDGKTVSEM RDLPRVVAESTVGKEVDVVVLRDGKEQTVKVKLGRLEDSDQAAASDAPDGSQNDGGVI TPDPGENNDMDQPDSGDQAKPAPDTPDQHKGQVSPDAATPKNVLGLSLSLLSAETRKA FGIAESVDGVVVTEVTPGSASAEKGLKPGDVIVEVAQEFMKSPDAVAAKVQALKQEGR RNAQLMVASANGDLRFVAVPME YP_002976599.1 MTSNRLPIILLIVAIVLVGLYSSIFVVNAREQAIVVRFGQIQSV KTEPGIYFKLPFGFMDADRVQLVEKQALRLDLDNIRVQVQDGQTFDVDAFVIYNISDV RRFRETVSGDREAAEARLRAQLDSSLRRVYGLRDYNAALSEERVAMMLEIRDDLRTDA ENLGLHIDDVRIRRTDLSPEVAPNTYNAMRSERLAEAERIRAEGNEEGQRRRAVADRQ VVEFTAGAQRDAEILRGRGDAERNRVFAEVFSKDPAFFEFYRSMAAYSSALSSQDTTL VLSPNSEFFRYFDNAAGTLQSANPAAPVPAVPGAAVPAAPAQPTN YP_002976600.1 MPWSNQNGGGGPWGGGGGNNQGPWGQGPNRPRGGGGKGGPPDLE DIIRRGQDQLRNIIPGGFNGGVAVIVVAIVAVFWLIQCIYVVQPDERGVELRFGKPKE EISMPGLHFHLWPMETVETVKVTVQQLNIGATSASSSNGLMLSSDKSVINVQFAVFYT VSDPKAYLFNVENPAETLQQVSDSAMREIVGRRPAQDAFRSNRQPIEVDVLNILQDTM NRYGAGVTVTGVTIQNVAPPREVADAFEEVQRAGRDRDSTIEEANRYTNQKLGQARGD AARIREDAAAYTDRVVKEAEGEAQRFTAINDEYSKAPDVTRKRLYLETMEQVLKNSRK VIIDEKQGVLPYLPLNELGKPAQQGG YP_002976601.1 MADIRRTIIAAVARNGIIGRDGDMPWRLSSDLKRFKALTLGKPV VMGRKTYESIGKPLPGRPNVVISRQAAIEHPDVSMTHSLSEAITAAERLALETGVDEI CILGGGQVYAQAIGLADRMCITHVEADLDGDASFPVIDPDIWQAGEAIAVPAGEKDSY PTRFVVYERRRA YP_002976602.1 MKQYLDLLNHVMEKGSDRGDRTGTGTRSVFGYQMRFDLEEGFPV LTTKKLHLRSIIHELLWFLKGETNIRYLKENGVSIWDEWADENGDLGPVYGAQWRSWP APDGGHIDQIANLVKGIVNNPNSRRHIVSAWNPAEVDEMALPPCHCLFQFYVADGKLS CQLYQRSADIFLGVPFNIASYALLTMMVAQVTGLKPGDFVHTLGDAHLYHNHFDQAKL QLARRPKPLPFMRIKPDVKDIFGFTFDDFELIGYEADASIKAPIAV YP_002976603.1 MGQDHIRYDILAQDALRGVIRKVLAEVAATGRLPGDHHFFITFL TGATGVRISQHLKSKYPEQMTIVIQHQFWDMKITETHFEIGLSFSDVPEKLVIPFNAI RGFYDPSVNFELEFDVPLADGEELPSGEITAYPVDAASKPDDAAGAKTADGEEKKPGS VVSLDSFRKKQ YP_002976604.1 MSAEIINLRQFRKKQARSEQEKQAEQNRISFGRTKGEKQLTRSL NDKADKAHRDGRIETDDDGA YP_002976605.1 MIRKHSATLHGHRTSFSLEDEFWTELKTIAAARSILLAALISEI DDHRPPDSNLSSALRLHVLSWLKAGASHQP YP_002976606.1 MVGRFLVFLGGVIVVVLFVALLAPLFIDWTDFRKNFEDQASRII GKKVTVYGTVDARLLPFPSVTLHDVRVGQEADGTPIVKVEQFSMDAELAPFLSGEALI FDMRIVNPKVRLRLLKDGTLDWMRGSRAEIPARTVVLENVHVSGGEVEFIDDQSGRSR RITGLNAEMSAKSLAGPWRIEGDAALDGEHGGFSISSSQPDEKGVLRMRTRLSPDKHP VSVDLDGELKLVDSKPNYQGQLSAAIEIRNSAKPANKKEQPPRVKGRFELTNERIRIP EYRMEIGPTDDPYVITGEATLDTGNAPEFLLTADGQQIDVNRIGNQGSAGKTTRDPAV SARQRLNSLIDIVAQVPIPQVPGKASVKLPAIVAGDTTLRDVQLELEPAGTGWLIDSV TGTLPGRTQVEGKGKLLLQGEPSFNGQILVASSQPTGLASWLAGSVDPAIRQLRQAGF SANVSLTHELQRFENLEIAIGPATLKGRLERQAISGQTPTLSVALNGDTLDLDALQAL SGLMTGQDAGDNVLDHKIAAQLKADKFTAFGVDAENVETTFTIADGALAVDRLSIKNI AGAELTATGRAEGSLLDYKGAGEITFKSADPGGFFTMLREHLPHHPVLDRLVRNAGWY GNTALRGALTLGGDEGNALTVTLAGVANGSRVNLDYRMSDLLALTGNGTTSLEATLEN AVPSVLFGQAGLDPLPVDVGANGRLTLKVKASGNDPADAALTFATDRTSFMANGKIDV RPETFMNGEIALSLDSADIDPYLIMNGIALPQTGTGLPFGLQVNAAVNSDKIVFSDLK GHAADNEFSGALTFDRKAAKTTASGGLTLSKADAGWLGEAVFGQIVDPANGQLTTAPL GLPVFKDLDVNVKLTAKQFWPGLPETAVSDFTSNVAYKGDELQLNDMAGNWDDGKLSG NLLFTNANGTGFLQTKLALADSDLSGVVWLRDGAPIANGKFGLSLSMEASGKTIGEIA SSLNGSGELRLGDTSIRGLNLAVLPPLLAATDTMQEQINAGKVHPIIETLLSNGEAKL PPLGIPFNIADGTLRVQNVTVANDLARITADAQIALPEERISATLGVGFNPGTEALSG AEPALRLNFSGMLPSPGKTMDVTDITSFLSLRAFERERRRVERLQAVVLEKQRLRREV ALYRFNDAERIKAAEIEQQRQAEEERLRALAQKAAAEKAAAEAEAARAAEAKAKADAD AQARAAAEAEAARRSGQAQPGPLNFDQLPGVQAQ YP_002976607.1 MALKDAKAGTRNEAGIAAVLGILKQSFGERFQTGQSFREQHAHT TTYIPPQLPDGVLFAESAEDVKAAVRACATHKVPVIGFGVGTSLEGQVNAANGGISID FSRMDRVLEVNPEDLDCTVEPGVTREALNIHLRDTGLFFPIDPGANASIGGMASTRAS GTNAVRYGTMKDNVLAVTAVTANGEEIRTARRARKSSAGYDLTRLFVGAEGTLGILTS VTLRLQAIPQKIAGGACAFPSIKAACDAVIMTIQMGIPVARIELLDTVQMRACNAYSK LSYAESPTLFLEFHGTDETVPLQSAAFAEIAAECGGGEFLWTANAEERTKLWKARHDA YWAARALAPGLAALSTDVCVPISRLADCVSETQADIEEHGLLGPIVGHAGDGNFHVLL LFDDKSAEDIAMAESFVQRLNRRALDLDGTCTGEHGIGQGKMAFLEQELGGAVDLMRQ VKQALDPDDIFNPGKIFHHG YP_002976608.1 MDYRNLGASGLRVPVLSFGAGTFGGSGPLFGAWGNTDAEEARRL VDICLEAGVNLFDTADVYSAGASEEVLGQAIRGRRDAVLISTKTALPMGEGPQDWGTS RARLIRATEDALRRLGTDYIDLLQLHAFDASTPVEEVLSTLDGLVAAGKIRYTGVSNF AGWELMKSLAAAERHGYPRYVAHQVYYSLAGRDYEWELMPLGADQGVGALVWSPLAWG RLTGKIRRGQALPKASRLHETAQYGPPVDDEKLFDIVEVLDAIAGETGRTMPQIAINW LLNRPTVSSVIIGARNEEQLRQNLGAVGWSLSKEQVERLDAVSTVTAPYPYFPYRRQE GFARLNPPIV YP_002976609.1 MSRQDINRSGEMEVFVSVVERCGFSAAATARRMTPSAVSKLVAR LETRLGVRLVNRSTRKLQLTPEGCAFYERSITILADIAEAERQASTGEQASGRIRINT SGSFGNHVLAPLVPAFMALHPAVTLDISHTDRIVDLMEERADVAIRAGPLKNSSLIAR KLGATGKIIVAAPDYLSRHGKPLTIADLRRHCRIGFSYARAIEGWSLREGGETVMIPI TPGLQVGDGEAMRHLALSGAGLARLAAFTVRADIDAGRLVPVLEEANPDDLEEFYAVY MGQGGPLPARVRALLDFLASHVRF YP_002976610.1 MSFCDVSRLLRDSGLAKWALLLALSTALVVFLELFALPASLLIG PMVAAIALALTVGKGKLRVPFWPLQFGQVLVGLMMARTITPDILGTMAKDAPLFLLFI FSVIAIATGLGWLLTRWQVLPGTTAVWGSSPGGASAMVIMSEAYGADARLVAFMQYLR VVFVAVGASVISRLWVAADGAEPPPLVLFPEIDWPAFAATMAFAAFCAYGVWRLRLQG GTIIVPLFLGALLQGMGLVKIELPMWLLAIAYALVGWSIGLRFTRSILKHVARALPRV SACIVLLMALCGCMAVALHVFAGIDPLTAYLATSPGGADSVAIIAASSDVDVPFVMAM QTGRFLVILMIGPMLARFIARRSGLAENPV YP_002976611.1 MNQDLHPQVFEGLERLCAGPSGNAILAAPAFPGIERIEAQFSGN AFEPHRHDTYALGVTLKGVQTFRYRGVRRFSLPGQVIVLHPDEEHDGGAGTEDGLHYR MLYLEPSLLLECLEADGVGLPFVDEPVIGDPALAGVLLAALGELDRELDELFVDDFVS RVTGGLSRHARMPRRPLGLVAWRQARAARDYLEAHATRAVCSGELEAVTGLDRFALSR HFRAAFATSPHRYLLMRRLQRARAMIGAGEGISDVAAATGFADQSHLNRHFKKAYGMT PGQWVALTHNPKQGIRRDFPQGRNGVR YP_002976612.1 MFDTKIAVVLRNNLAGWQKLNVTAFLMTGIAGGHPEIIGEAYKD RAGNLYNPLSIQPIIVLSADEATISAVHRRALERDITSSLFIEEMFATGHDAANRAVF AEFAPEDAKVVGIALRAEKKIVDKITKGATMQH YP_002976613.1 MIKKFVLLAIAASYLSACTTTDPYTGEQKVSNTAGGAALGALGG ALVGVAVGGGGHGKRNAALIGAGVGALAGGAIGNYMDQQESELRAQLEGTGISVTRNG DNIILNMPSNITFDVDQDAVKPGFYPTLNSVAIVLRKFNRTLIDVNGHTDSTGSLQHN QDLSQRRALSVADYLGGQGIDQRRVSAVGFGPSQPVASNASEAGRAQNRRVEIQIAPI TQG YP_002976614.1 MSIYQRVSVDAALHVLRDINRNMAVTQNHITTGMRVAKASDNAV YWSVATTARTDNKAVSAIQDALGMAAATMGTAYTGVQNVIDVVSEIKAKLVAATEDGV DKDKVNEEIKQLQEQLRSVSEAATFNSDNWVVLNNDATPTQPRQIPASFIRNADGTIS VGMLSYHIDTTPSGSTTSKDARYLIDDRATGSGEYGVLTSAYFATELGASQDYVLMQS KNGTTTGQVVISLSASTTKGQVGEMISVVDAALSQLTTVGSAFGALEKRINLQNDFAT KLHDNNATGIGRLVDADMEEESSRLRALQTQQQLGLQSLNIANATYDTVRQLFQNF YP_002976615.1 MSVTEIHQDRASGKQSFTALFVRRPILALVFNTLMVVAGLAAYV GVEVRELPDVDRPVVTVRTTFDGASPQTIDQELTKVIEGAVARVSGLKSISSTSSFGQ SRVTLEFSDAIDLSVAANDVRDAIGRITQNLPDEADAPQIVKADSDSSAIMRLAVTST NLNMDDLTQLVENEVIDRLASVDGVADVEEYGDQEKVFRVDVDQGALASRGLTIGDLT KALDNAALDVPAGSLKSNTQDIVVRATANLQTPADFSNVILQDRVRLGDVATVMLGPR DGETALRSNGKPGIGLGIIRQAQSNTLNISTGIKAAVDQLSKTLPEGTTIAITSDDAV FIQGAIHEVVLALILAAVIVTAVIYLFLRDWRATLIPAVSMPVALIGTLAAIYMVGFS INILTLLAIVLATGLVVDDAIVVLENIVRRRSEGMGPRAAAVLGTREVFFAVIATTAT LAAVFIPLSFLPGQVGGLFREFGFVLAFSVGLSSIVALTLCPMLASRMLTKPMLEDHG VLGRFGGALADLYKWALHGCLNAPFVVILFSVIFAGAALIAFSTVKSELTPEEDRSMV MMRLTTPQGSSLEYTRDKMQLVEEYLQPLVDRGDIRNVFSISGQGGSLNSGFMVLTLA PWGERDRTQTEIVGDINQAASRVPALRGNAISSNSLRIRGAGSGLQMALIGNDHEALT AAAAKLVQALEATGQYDTPRLTNEPSQAQVSVAIDRERASDLGIDITGLSTAIQSLLE GRSVVDVFVDGESYPVLLTSTTRPIDDPTDLENVFLKTGDGKIVPMSVIATMKEGSVA PQLNREQQLASVAITAGLRNGKSLGDAVKQVTDLAEPLLPPGSRLLPLAEAATLEENS SGMALTFGFAIVIIFLVLAAQFESVLSSLIIMSTVPLGLACAVFALVITGSSLNIYSQ IGLVLLVGVMAKNGILIVEFANQLRDRGEDVRSSLEKACALRLRPVMMTMIATILGGV PLVFAHGAGAEARVALGWVIVGGLGFATLVTLFITPVAYLLLARFAKPHAHEEARLHE EMSVATRPRAAPDDEQLQAAE YP_002976616.1 MAFWKQFILSLIVIIAGFAAWVFFVPGAGDTMRDAGIPDSIVSK IAPKAEETVDADAPARAQGQQRGDGQGQGQNRRNGGGRNSAILVATQAVVQGIVNDRL NAIGTGDAIRSVAVTPQASGTIREILIKSGDRVKAGQVLAKLDSEEQVIARGQADVAV KAAVEKSNLYHNIKSSVSRMDVFDSEIAEQGARLQLQAAELNLARRNITAPIDGIVGI VPVNIGDNVTTSIPIVTLDDRSEILVDYWVPERFANTVSVGQPVEAMSVARPGKVFSG VVEAVDNRIDAASRTLRLRAKIDNSSDELRAGMSFSVSMKFAGDKYPAVDPVSVQWDS QGSFVWQVNDYKSHKVRVSIVQRNPDFVLVKADIKDGDVIVTQGLQRVREGGAVRVSA DVAAAAEVATQ YP_002976617.1 MSETRRKLTTIFCADVQDYTRLMGTDEEGTLASLKRCREAMGRL IESHGGRVINTWGDGLIADFPSVVEAVRAAVDTQNELAGFNARRPADGRMLFRIGINL GDVIVEGEDIYGDGVNIAARLQASAAAGGIVISSTVYDQVRNKVAVGFEFLGPLMVKN VDEGVPSYAVKIGDAREETPHAERSGAARPQPAAVMAAAKVETTPAPGGRRLYGVLGV IAAVLIGINLLSWQGVFWARFPVLALAVVAALAWNRDQILFNRKITSLAILALGLAGL NLFTWTGQFWAVWPILGIAAVMGVRWSMRR YP_002976618.1 MTTTMRPRERLLFEGADWDFATLQRIHDACEEIALNELGLDVYP NQIEVITSEQMLDAYSSTGMPLFYRHWSFGKHFAHHEAFYRRGMRDLAYEIVINSSPC ISYLMEENTATMQTLVTAHAAFGHNHFFKNNYLFKLWTDAEGILDYLDFAKGYITRCE ERYGETAVERTLDAAHALMSHGVHRYAGKTTIDLRQEEKRQQERRAHEEQMFNDLWRT VPVGKARKAGDVGLEKRRAALGLPQDNILYFLEKSAPRLQPWQREILRIVRHVAQYFH PQRQTKVMNEGTATFVHYQIMNRLHERGQISDGNFLEFLKSHANVVFQPSYDDRRFSG FNPYALGFAMMQDIERIVTKPTDEDRAWFPDIAGRGDAMAVLRDIWANYRDESFISQF LSPNLIRQLRLFHLYDDPDQTEGVLVSAIHNERGYLRIRRQLSREYDIGWTDPAIDIV DVDLAGDRRLLLQHIMMNGCYLQENDTKLVLQHLADLWGYDVLLQEIDSSSAVAKEHT ASPRKIVQ YP_002976619.1 MHIVDRRLNPRGKSLENRQRFLRRMKGAVQQAVKRSLQNRNIRD VLDGGEISLPIDGMAEPNLRRGDGGIRDHILPGNRAFVEGDILPRPPGSRGGKPKDAG EGDGEDGFRFVLTREEFLDVFLDDLELPDLAKRRLAETEEETPSRAGYSVSGSPSNIA VGRTTRLAMMRRVALHRPRREEIEALQRQIEECEDDESRLALEAKLKSLTEKSRRIPY IDPLDVRYRRFENEPKPVAKAVMFCLMDVSGSMSEHMKDLAKRFYLLLYLFLSKRYKK VEIVFIRHTDKAEEVDEETFFYGPATGGTLVSSALAAMRGIIAARFDPAEWNIYGAQA SDGDNAHSDGNLTGQLLHEILPLCQYFAYIEVGEEGGDSSVSRSPLWMLYDGIRSELP LSMRKVCRRSEIFPVFHDLFQKRDAQSRVTP YP_002976620.1 MLSESVFDAFTRSYDARRETDMSISDYLDLCKKEPLAYANATER LLAAIGEPQMVDTARDTRLGRIFMNRTIRIYPAFVGFHGMEETIERIVSFFRHAAQGL EERKQILYLLGPVGGGKSSLAERLKLLMELHPIYVLKAGDEISPVFESPLNLFDPDTM GSLLQEQYGIPLRRLSGLMSPWCLKRLDDFGGDISRFRVVRVQPSRLRQIAIAKTEPG DENNQDISSLVGKVDIRKLETYSQNDPDAYSYSGGLNRANQGVLEFVEMFKAPIKMLH PLLTATQEGNYIGSENIGAIPFTGIVLAHSNEAEWQTFKANKNNEAFIDRICVIKVPY CLRVTEEQKIYEKLIEGSELADTPCAPATLEMLARFSVLSRLRKHENSTYFSKMRTYD GESLKETDPRARSVQEYRDAAGIDEGMDGISTRFAFKVLASTFNHDTTDIGADPVHLM YVLEQSIRREQFSDDVEKRYLEFIKADLAPRYAEFIGNEIQKAYLESYADYGQNLFDR YVDYADAWIEDVDFKDPDTGQLLDRELLNQELTKIEKPAGIANPKDFRNEIVKFCLRS RAANGGKNPSWTSYEKIREVIEKRMFSQVEDLLPVISFGSKKDSETEKKHSEFVSRMA ARGYTERQVRRLVEWYMRVKQAS YP_002976621.1 MPKIVSNLWFAEEAREAVEFYVSVIPDSRMGRTTILPAETPSGP PGSVELIEFTLADQAFLAMKAGPLDSFNHSFSIAILVESQAEIDRIWDAFLGNGGTPE ACGWLKDRWGLSWQIVPRVLSEMIADSDRERARRVTEVMLGMVKIDVAALEDAFNG YP_002976622.1 MSNSFDDMPFFDEEPGEMARKPQPPAAPAERAPAAGGGIAARAM AARDGGKRPDYLAGLNPEQTEAVETLEGSVLVLAGAGTGKTRVLTTRIAHILNTGRAF PSQILAVTFTNKAAREMKERIALLVGGAVEGMPWLGTFHSIGVKLLRRHGELVGLRSD FTILDTDDVVRLIKQLIQAEGLDDKRWPAKQFAGMVDTWKNKGLGPADIPEGDARAFA NGRGRDLYFAYQARLTTLNACDFGDLLMHPIAIFRKNPDLLKEYHGRFRYILVDEYQD TNTAQYMWLRLLAQRPKGELQNVCCVGDDDQSIYGWRGAEVDNILRFEKDFPGAKVIK LERNYRSTEHILGAAAHLIAHNEGRLGKTLFTDRSDPDDAKVQVHASWDSEEEARAIG EEIEQLQRGKHLLNDMAILVRASFQMREFEDRFVTLGLNYRVIGGPRFYERLEIRDAM AYFRLVAQSADDLAFERIVNTPKRGLGDTTVRALHDYARARDIPMLAAAADIIETDEL KPKARKALFDVIQSFRRWQELLENTPHTELAEQILEESGYTDMWKNDKSAEAPGRLEN LKELIRSMESFESMRGFLEHVSLVMDAETNENLDAVSIMTLHSAKGLEFDTVFLPGWE EGLFPHQRSLDESGRAGLEEERRLAYVGITRAKHRCHIWFVSNRRIHGLWQSTLPSRF LDELPETHVEVAEMEQSYGGYGRGGYGQSRFDKAEPFTNSYSTPGWKRAQANKTDATR DNWGTRSGHAVERIGYGESGPKGRTIEGELVAKSTSSEPSRFTPGDRVFHLKFGNGNI TGIEGNKLTIEFDRAGQKRVLDGFVERV YP_002976623.1 MPEPDLDEKIDATFRNGSLTAAGIILGFSLNFISVWVSNPNDWT SIDILPMLFLTVGIAVQVKVFADLLARDSLFAAKYDRSRRLFLIGLGIVAAGMGIALI NDILGLGRMRMLG YP_002976624.1 MTSVSSLGSTLTQYQSPLSSLDKNGDGVISADELAAASQSSTSA TASTDSDDSSTDIVKKITADILSLMLSMQKTDGSDDQGDGGDQSEGASKGVFAAMDTN GDGKLTESEFLAADPNSIKTSGDSDPLLTKVLSDMQTALQAYRNTYGASAAAGDSAAD NVAAA YP_002976625.1 MKALLLVDIQNGFCPGGNLPVPEGDKVVPVANRLIDSGKYDLIV ASQDWHPPGHGSFASAHPGAAPFEMGELSGKPQMMWPDHCMQGTLDAELHPELKSEEI DLIQQKGEDPDIDSYSAFRDNDRDASTGLSDFLEDQGVTDLDVCGLATDYCVKFSALD ALEMMPGVRVRFIEDASRGITPEGVAAAIEEMRAHGIAIVDSTKILAG YP_002976626.1 MRKLVAAAFVSLDGVMQAPGGPREDPTGGFTQGGWTVNYWDEPM GQFMGGIFTDPFELVLGRKTYEIFAAHWPFVGKDDPIGKVFNAVTKYVATTSTQPLTW ANSVALRGDAAAEIARLKQQDGPALLTQGSSGLLQTLLAHDLIDELRLLTFPLVLGPG KRLFGQGAKPEALKLTANTISTTGVIMSVYERAGAIKTGTFELAQPSEAEIERRERMK REG YP_002976627.1 MVWLALASALCWFETVWRDEVRALSLALQGDNFIDMLRQMHGEG HPALWYILLRAAYVLVGSPVVLKIVALTIAATAAYLLVFRLKLPLSIMLLSLFSSFSV YDYAAMSRNYGISMLVIFLIVLSWEKGTRNGLLLGLLFALQANTNVHSVVLVGGFLAY WFFDLILARPRPAVTEYRAFATAAAIAAVGIVLCFVTVYPTFNDTGQNDLSGKNFALL ALGALAAPSSHFMEFYPNSVLELVVAYPLASRIFAALMSVLIYGSLLALAHRRPAMIA AGLILVGLSLLFTLVYPGGYRHQALWLVFMIAITALTTHTQRERTRAAGIEAAGGFVK FGRLCFLILLALQVVSGIEKINQAFIAEIPLSRSKDLGAFIQSRPDLKDAVIMADPDY LVEALPYYVPNRTYLLREERFGAIVRYTRNARLSLSLADILQTAHRLQQSEHVPVVIL LSQRLDQITAPVSLRESYVWRLSLTPEDISAFQSATNLIKRFGTVAGSDETFDVYVLK YP_002976628.1 MKKIEFSKEEKAEIVSRIRAYFDRELDPIGALPAEFLLDFFAEE IGPYFYNRGLRDAQAALLQRMEDLAEDIHLLEREEKF YP_002976629.1 MNRADFVEGLRGGSAVALASAPFGALFGALAVENGMSLSEAAFM SATVYAGASQMVGIELFGHNVHAWLIVLSILAVNFRHVLYSAALARYIGHFTPVQKFF TFFLLVDPQFAEAVKRAESGRQLTFAWYFGFAIIIYIPWVLISVAGGMLGGFIGDPKA IGLDILLPAYFLGIVLGFRKRDNFLPVALVSAVASVFAYRYVGSPWHVSLGAAAGIVL AAVLPLPPQQPDLEADALQSEVHEV YP_002976630.1 MEFDLHMALVILAAAAATFATRIGGYILITRMKSIPPRMEAALN AVPAAVLTTLVAPAFFIGGWESKLALIVALFIGLRFSHTWMLVAAWIVVMTWRHTIGV YP_002976631.1 MAGIPLTGFVSIIRVSSMFQSFEVTSTPQFGRDRVSALRAGFDS LGIDAFLVPRADEFNGEYVPACSERLAWLTGFTGSAGIALILRTQAIVFVDGRYVTQL AEQVDGSVFSGGDLVNEPPHLWLAGNGAKGLRLGIDPWLHAGAEVRRLEKALSQIGGT LIFLPHNPLDRLWADRPAEPLGAVNIQNVAQAGVLAREKIATIAADLSKKNLAAVLIA DPSSVAWTFNIRGADVPHTPHPLARAIIHADGRAEIFLDKRKTGIEPEAYLAQICTQL PPSVLEEKLAAVSRDGGRVLIDPDIAAYALAEIIRKAGGEVVEGADPAKLPRAVKNDV EINGSAAAHLQDGAAMVEFLYWLSQTKPGTVSEITAAEHLEAARARVGQSVQNPLKDI SFDTISGAGEHAAIMHYRVTTETNRMIEAGELFLIDSGAQYINGTTDITRTVGIGRVS EEHRRFFTLVLKGMIEISTARFPKGTRGCDLDPLARIALWRAGADFAHGTGHGVGSYL SVHEGPQRISRLSTQELLPGMILSNEPGYYRPGSFGIRIENLIYVRGAEEIEGGDAPM LGFETLTFCPIDRSLVIPELLTHDELHWFNDYHRRTCEALMPLIHDHDVRAWLENATL PLEY YP_002976632.1 MTASLSRFAYSSPVQAGERQTVRHVIGARRPQNEDSLKLLGAGQ RATRHKGALSYAF YP_002976633.1 MSEIRLYVTTTESKAEEILDLLSTVFGEEDFAIGTTEIDEKKDI WEASIYMMAEDETEVQSRVEDALKASFPAARLEREVIPEIDWVVKSLEGLKPVRAGRF LVHGSHDRNKIRPGDIAIEIDAGQAFGTGHHGTTAGCLEVIDAVVRSRLVRNALDLGT GSGVLAIAVRKLRNIPVLATDIDPIATKVAAENVRRNGIASGIVTRTAPGFHSTAFSE QGPFDLIIANILARPLIRMAPKLATHLAPGGSVILSGILAGQRWKVIAAYSGARLRHV RTIWRNGWVTIHLDRP YP_002976634.1 MKTFRIAVWVGVLILAGFLGAFTLDLTKSKDVVAEPPFGVPFTL VSQNGQPITEQALRGKPTALFFGFTHCPEVCPTTLFELNGWMEKVDPKGDKLQAYFVT VDPERDTPEIMNEYVSNVSKRITGISGAPDKIAEVIKGFRVYAKKVPVDEKDPNGDYT MDHTASVFLLDSAGRFSGTIAYGENPDTAVKKLENLVNKG YP_002976635.1 MNNNNAIKQSGAYLEIVSFHLGDQEFCIDIMAIREIRGWAPVTP MPHTPPYVLGLINLRGAVIPVIDMACRLGMKMTEPSERSAIIVTDIAGKLVGLLVEQV SDMMTIKSEDLQPPPEIIPEAQRAFCRGIVALEKTMVCFLNLDTVIADELTQAA YP_002976636.1 MSRLRNLLLAAFSFAALSTGAASAEVVGKVGVDWIGNDIIVEAV SDPEVKGVTCHVTYFDRSLIDRLKNGNWFEDPSNNSIACRQTGPIEIGNIDLSKDGSE VFRQGMSLIWKTLVVNRIYDKTNDTLIYLAHSRELTDGSAKMSISTIPLYGQSVTWKN GKPQ YP_002976637.1 MLILKTIAIDFETANEQRGSACSVGLAWIEDGRVTRVEERLIRP REMRFSGMNIAIHGIRPEHVEDAPEFPEVMDEFHEDISGATMIAHNAAFDFSVWRASL DLYRQSYPELTYLCSLKMAQRIWPHFLSHRLNLIAEHLGLRFVHHNAAEDAAVCAAAA IEMARAVKAHTVHAIPSLIGMKPGRLTAQAYEPCTCRKG YP_002976638.1 MSENEENEPRGRARLPDGVLVRAVRLSDAEEITDLINLPGYRAG TLRPPYQRVEEVRKHMENPSPGALNLVVTQDGKIVGNSGLNRLSGRRQHVASIGMGVH DDFTGRGFGRILLGAMVDAADDWLDIKRLELTVYTDNDVAIGLYQKFGFEQEGLLKAF GYRAGQYVDAYTMARLRL YP_002976639.1 MSTESSAVDTLTIEEAAAELERLAKEIAHHDALYHGKDRPEISD ADYDALKRRNDALEVRFPELIREDSPSRHVGAAPSVTFSPVVHARPMLSLDNTFSQED VQDFVAGVYRFLGRLPDQSIAFTAEPKIDGLSMSIRYENGRLVTAATRGDGTTGENVT ANIRTIAEIPNELPKGVPAVVEIRGEVYMAKSDFLALNRQMEAEGKQTYVNPRNTAAG SLRQLDAKVTASRKLKFFAYAWGEMSEMPADTQFGMVQTFKDWGFPVNPLVKRLNSVA DILTHYDEIGLERPDLDYDIDGVVYKVDSLELQARLGFRSRSPRWATAHKFPAEQAFT IVENIDIQVGRTGALTPVARLTPITVGGVVVTNATLHNADYIRGIGNSGERIRADEHD IRIGDTVIVQRAGDVIPQVLDVVMEKRSAEARPYEFPKTCPVCGSHAVRERHEKTGKL DSVTRCTGGFICRAQATEHLKHFVSRNAFDIEGLGSKQIDFFFENEDASLQIRTAPDI FTLEKRQQQSLTKLENIDGFGKVSVGKLYAAITERRSIALHRFIYALGIRHVGETTAK LLARSYGTYAAFETAMREAEALSGDAWNDLNAIEGIGEVVARAIVEFYKEPRNVEVIT RLIEEVTPEEAEQPKTAGSPVAGKTVVFTGSLEKFTRDEAKARAESLGAKVAGSVSKK TDIVVAGPGAGSKLDKAREFGVQTMDEDEWLALISG YP_002976640.1 MRLFHFSDDSGIAAFEPRPVRVPSARAPGREWLNGPLVWAIDAH HDFLYLFPRDCPRILLWAVADTPEAERRHWLGDWRAVAYVERRWMERLEAETIHRYEM PAEGFEDLGDAGMWVARKRVVPIEHAAMSQLDREFAPRKVDLRVVDTLTPLKGLWGTS LHVSGIRLRNANDWE YP_002976641.1 MLIQLSIRDIVLIERLDLAFETGLSVLTGETGAGKSILLDSLSL ALGGRGDGDLVRHGEDKGQVTAVFDVGMEHGARTLLRENGIDDEGDLIFRRQQSADGR TKAYVNDQPVSVQLMRQAGQMLVEIHGQHDDRALVDTNAHRTLLDAFAGLTDEVSEVS RLYRLWRDSERTLKNHREKVESAAREADYLRSSVEELEKLSPQDGEEEELADRRQKMM KAERIAGDIAEASEFLNGNASPVPHIASLVRRLERKSHEAPGLLEDTVTLLDAALDQL SNAQMEVEAALRKTEYDPRELERVEERLFALRAASRKYSVPVTELPALAVRMIADLAD LDAGEERLARLDAEVGLARENYDAAARSLSDKRHHAGTALAGAVMAELPALKLERARF MVEITTDAGEALAEGIDVVEFHVQTNPGTRPGSIMKVASGGELSRFLLALKVALADRG SAPTLVFDEIDTGVGGAVADAIGQRLKRLSERVQVLSVTHAPQVAARAATHLLISKGP SADGSEKIATRVATMAQKDRTEEIARMLAGASVTEEARAAAKRLLAGNG YP_002976642.1 MMKTARALFASLLVLSAGASISGCQSDPDIDITKLGLETDPPDV LYTQGLANMKAGNMAEAARKFDAIDRENPFSEWARKALVMSTFVKYRQGRLDDALASG NRYMSQYPKSQDAAYVQYLIGLTYSKQIVDVTQDQRASAKTIEAMQAVIDNYPNSEYV DDAQAKIRFSRDQLAGKEMQIGRYYLERKEYLAAISRFRIVVEKYPNTNQIEEALARL VEAYYAMGIVDEAQTAAAVLGHNYPDSQWYADSYKLLQSGGAEPRENKGSWIAAAGKK LLLGS YP_002976643.1 MAIGLLGFQTTVSRPVTLSGTGVHSGAEVSITLNPAESDTGVVF QRLHDNGDITELKAVSSQVGNTDLCTVLGFSPAHSVATIEHVMAAVYALGLDNVVIEV QGSEMPIMDGSSLPFVEAIEQVGLVSLGVKRRYIRITKPVRIEHGGSWSEFRPYDGTR FEVEIDFECPLIGRQKWAGDMTPAVFKAELSRARTFGFMRDVERLWASGHALGSSLEN SVVISDDNTVINVEGLRYAKDEFVRHKTLDAVGDLSLAGVQFIGCYRSYRGGHKMNAN ALKALLADSSAYEVVETSTPRQRVAARELIAVSASEFAPWSA YP_002976644.1 MTIKLQKPDITELKPRITVFGVGGGGGNAVNNMITAGLQGVDFV VANTDAQALTMTKAERIIQLGVNVTEGLGAGSQPEVGRAAAEECIDEIVDHLNGTHMC FVTAGMGGGTGTGAAPVVAQAARNKGILTVGVVTKPFHFEGGRRMRLAEMGIQELQKS VDTLIVIPNQNLFRIANDKTTFADAFAMADQVLYSGVACITDLMVKEGLINLDFADVR SVMREMGRAMMGTGEASGSGRALQAAEAAIANPLLDETSMKGAQGLLISITGGRDLTL FEVDEAATRIREEVDPDANIILGATFDESLEGIIRVSVVATGIDRAMNEAAERNLQPA ARPVIRPSAAVAPAAAAVQPAPVMQAPKAMDPIAQTIREAEMERELEIPAPRAAASLQ QPAPQQEAFRPQSKIFAPAPEAPAMRPAPVQQQAPAPAMSQPVISQPVQQQPIRQEPV IRQAPEPMRMPKVEDFPPVVQAELDHRTQPASAHAAEERGPMGLLKRITNSLGRRDDD AVAADMTAAPPAASQQRRPLSPEASLYAPRRGNLDDQGRAVPQARMMQEDDQLEIPAF LRRQSN YP_002976645.1 MSLFGSSHFGLPRLKPLSSKRSHVVSVLDIGSTKVVCMIGRLTP REESQILPGRTHNIEIIGIGHQRSRGIKTGVIADLDALEGVIRLAVDAAERMAGLTVE SLIVNLTAGRLGSDIYTATIDLGGQEVELNDLKKVLSAACQQSLRQDRSVLHSLATGF SLDGERGIRDPLAMYGDALGVDMHVVTAERSALKNLELSVNRAHLSVEGIVATPYASG LAALVDDEVELGCAAIDLGGGTTTISVFAEGKLVHTDAVGLGGHHVTTDLARGLSTRI EDAERLKVVHASALSNSSDERELISIPPIGEDDRDQPSQVPRALVSRIVSARIEETME LIRDRIQRSGFSPIVGKRVVLTGGASQLTGLADVARRILARNVRIGRPMGVSGLPTAA KGPAFSTAVGLMIYPQVADMETHASQSGLLMSLGGNNSRIARMGQWLKESF YP_002976646.1 MFALTVKRIGRPSHHAVLPIMEAEERFVLPRPLRRVTRFLISLC SGRIYIPVHTGTVSALAFLGATGLYGMSLGGHTEAVAQATTTAAGFAIEDVKVSGNSE TSEIEILQLIGLDGTTSLVALDVDAARRKIAHLPWVENVEVRKIYPKTIEVKLKERQA YAIWQHGQELSLIEKNGSVIAPLRDNKFSALPLVVGRDAETAAASLDDAFSKWPDVKA RVKAYVWISGRRWDLHMDNGVVVKLPEDGIDQALATLSKFDKEHQLLERDIAAVDLRL ADRTAIQLTPEAAVRRQTAVTERTKELKKAGQNI YP_002976647.1 MSRKHVAVLMGGFSSERPVSLSSGKACAEALEAEGFDVTRIDVA RDVSEKLAALKPDVVFNALHGPFGEDGTIQGILEYLEIPYTHSGVLASALAMDKDKAK LVAGAAGIPVAESQVVNRFAFPATHPMKPPYVVKPVREGSSFGVVIVTEDQAHPPQIV SSPEWRYGEEVIVERYVYGRELTCGVMGETPLGVTEVVPQGHNFYDYDSKYAAGGSKH VIPAKISPNIYQKIQTLSLRAHQAIGCRGVSRSDFRYDDRFSEDGEIIWLEVNTQPGM TPTSLVPEMAGHAGYSFGQFLRWMVEDASCLR YP_002976648.1 MQKSLVAEFLGTFWLVFGGCGSAVLAAAYPELGIGFVGVAFAFG LTVLTMAYAVGGISGGHFNPAVSLGLTVAGRFPAARLVPYIVVQVVGAIAAAALLYLI ASGKAGFELGGFAANGYGEHSPGGYSLLSALLIEVLLTMFFLIIILGSTSSRVPSGFA PLAIGLGLTLIHLVAIPVTNTSVNPARSTGQALFVGGWALQQLWLFWIAPLAGGALGG LVWKFLDDAD YP_002976649.1 MPDAISASPTPASNSTQVNSPARVLIASLVGTTIEFFDFYVYAT AAVLVFPHLFFPASDSNAATLQSLVTFSIAFFARPIGAVVFGHFGDRIGRKATLVAAL MTMGLSTVLIGMLPGYDAIGIAAPLLLALCRFGQGLGLGGEWGGAVLLATENAPPGKR SWYAMFPQLGAPVGFILSSGFFLILAETMSNEDFLDYGWRIPFIASLALVAVGLYVRL KIAETPEFRKAVEKHERVAVPIEVVFRDHLRSLILGTFIAVATFVLFYLMTVFTLSWG TTPLEKGGLGYSREQFLVVQLVGVLFFGLTIPLSGWLSDLYSRRTILTLTTIAIAIFG FFYATLLTSGLTGAFLCSIIGLALMGFTYGPIGAALAAPFPTTVRYTGASMTFNLGGI FGASLAPYIATWLATHYSLDYVGYYLAASAVISLVCIRLSGREEV YP_002976650.1 MRCLITGAAGFVGGPLVERLHRGRISELAVTTRSAAASFPTSVR HFPIEITSETDWTAVLEGIDVIVHLAARVHIMNDRAADPLAEFRRINTAATLNLAEQA ARAGVKRFVFISSIKVNGEENDRPFRHDDTPMPIDPYGISKLETEIGLHEIAARTGME VVVIRPPLVYGPGAKGNFALLVGLVRKKIPLPFASLKNLRTLVALPNLVDLIITGIKH PGAAGQTFLAGDGEDLSTPGLIEGIAAGLGVKPMLLPFPPALLQMGARVTGKDAVYQR LCGSLQVDISHARDVLGWSPVVTPREGLKLAVT YP_002976651.1 MKQVNGEKLLASLGDGVKDIRGRITPDAPMDRVTWFRAGGLAEL MFQPHDIDDLIAFLKILPEEVPLTVVGVGSNILVRDGGIPGVVLRLSAKGFGFVELAG ENRILAGAICPDKHVAAMAMDNGIGGFHFFYGIPGGIGGAARMNAGANGVETRERLIE VHAVDRKGDKHVLSNAEMGYSYRHSTASTDLIFTSVLFEGYPEERAQIRTEMDAVRNH RETVQPVREKTGGSTFKNPAGHSAWKLVDEAGCRGLVIGGAQMSSLHCNFMINMGQAT GYDLEYLGEQVRREVFEKDGIKLEWEIKRLGVFMPGREVRPFHGVTSE YP_002976652.1 MKLPKTIGLVHFIGIGGIGMSGIAEVLHNLGHKVQGSDQADSAN VQRLRDKGIEVFVGHRPENLGEAEVVVVSTAIKKSNPELIAAREKLLPVVRRAEMLAE LMRFRNAIAIGGTHGKTTTTSLVATLLEAGGLDPTVINGGIINAYGTNARMGEGEWMV VEADESDGTFLKLPADVAVITNIDPEHLDHYGNFDAVRAAFRQFVENVPFYGFGVMCL DHPEVQSLVGRIEDRKIITYGENPQADVRFKNVRIDGTRSIFDVEIRRRRTGQVIELK GLVMPMPGRHNISNATAAIAVANRLGISSADIAKGLASFGGVKRRFTLTGEWNGVQIF DDYGHHPVEIKAVLRAARESCKGRVIAVHQPHRFSRLASLFEEFAACFNDADSIFLAP VYAAGEDPIEGIDSVSLVSRIKSGGHRDARFINSAELLPQMVAEVAKPGDFVVLLGAG SITSWAAALPKQLEGLSGKSV YP_002976653.1 MSKGIVLLAAGGTGGHVFPAEALAFKLKERGYSVHLVTDSRAER YAGKFPAEEIHVVPSATIGSKNPVAVARSLWTLWSGMRAAKKLIQRLRPIIVVGFGGY PTVPPLLAATRLGVPSMIHEQNAVMGRANKALATRVQAIAGGFLPEGGAAFPDKTVTT GNPVRPAIIAAAEVPYMPSHPGEAFNLVVFGGSQGAQYFSKALPTAISLLDEALRVRL RITQQVRPEDMEMVSGCVAKLEMGADIAPFFTDMAERLARAHLVICRSGASTVSEISV IGRPAVLVPYPHALDHDQAANAAALAATGGAKVIAQSELSPEKIAAILTAGMNDPEKL SHMAAAAKRAGKTDAANLLADMVEAIAARRTIAEFKRTRA YP_002976654.1 MVSRAERGPLADWFWTIDRFFLAMFIFLMGIGFMLSFAASPAVA ERIGLEPFHFVKRHAAFMIPSIGVMLGLSFLTPRQVRRTAILILIISVAMMVLVLFVG QEVKGGRRWIWIAGLSIQPSEFMKPAFVVVCAWLFAEHARQPEIPGNLFAIILFGIVA ALLIAQPDLGQTILTTAVWGGMFFMAGMPWIWIMLLGIGGAGGLLSAYYVFPHVALRI DKFMTGEGDTFQIDTAREAIIRGSWFGQGPGEGIVKRIIPDAHTDFIFSVAAEEFGIV FCMALVALFTVLVLRGLSHAYRERNDFNRFAVAGLVLQMGIQSIINIGVNLELLPAKG MTLPLISYGGSSMVAICVTAGFILALTRHRPEKRAQDRSLFRVPHGMPAE YP_002976655.1 MIPVTTLKDRKVALFGLGGSGFATARALVSGGVEVTAWDDNPDS VAKAAAEGIRTEDLHSIDWSQQALFVLSPGVPLTHPKPHWTVDLARAAGVDIVGDVEL FVRERRAHAPDCPFIAITGTNGKSTTTALIAHILKSAGYDTQLGGNIGTAVLTLDPPK AERYYVVECSSYQIDLAPTLDPSAGILLNLTPDHLDRHGTMQHYADVKERLVAGSDVA IVGIDDSHSALIADRVERAGVKVVRISRRNVVADGIYAEGTRLIKAAGGAMLPFVDLD GIQTLRGSHNAQNAAAAVAACLAVGVSADDIRAGFASFPGLKHRMQPVGQRGRVVFVN DSKATNADAAAPALSSYDRIYWIAGGLPKAGGITTLAPYFPRIAKAYLIGEAAAEFAA TLGEAVPYEISDTLERAVAHAAADAERDESAASAVMLSPACASFDQYKNFEVRGEAFV GHVAALDGIAMLIGPATGEK YP_002976656.1 MLIWLVELSEYFKFLNLFRYITFRTGAALFTSALIVFLFGPTII NSLRIRQGKGQPIRADGPQTHFKKAGTPTMGGLMILAGIVGASLLWADLSNVYVVATL LVTLGFGAIGFYDDYLKVTKQSHMGFSGKARLGIEFVIAGIAVYFMMRTALASGIAGS TFGSSIAFPFFKDFMINIGIMFVVFGGFVIVGAGNAVNLTDGLDGLAIVPVMIAAASF GVIAYLAGNVVFANYLQINFVPGTGELAVVLGAVIGAGLGFLWFNAPPAAIFMGDTGS LALGGTIGTVAVATKHEIVMAIIGGLFVIETLSVIIQVGFFKMTGRRVFLMAPIHHHF EKKGWTESQVVIRFWIVAVGLAMLGLSTLKLR YP_002976657.1 MSWLWTTEDMIAAMAGRPFGTLPEGITGISIDSRSTTPGAAFFA IKGDRVDGHDYASMAMANGASLLVVSEARLPAMGRLTVPMIVVEDVLAALGRLGLASR ERSKARIIAVTGSVGKTTTKEMLRHVLAPSGKVHASVASFNNHWGVPLTLARMPEDTD YGVFEVGMNHPGEVRPLVAMIRPDVAIITTIAPAHLGNFKNIKEIAAAKAEIFEGLEP GGHVVLNRDNDQFNFLDRTAQSLGIEHIHSFGQHAKAEFRLAEFNGSDENSTLWLTIG GETLEVALGAPGRHIAENALAALGVVRIVGADMQKAIEALATLKPEKGRGKRHRLSIG GGSFTLIDESYNANPASMRAAIALLAASEPTGRGRRIAVLGDMLEMGDYAQKVHTDLA VPLLAAGIEHVWLAGAEMAALKESLPESVHVEYRENTSELTDYVLNSVAPGDVLMVKS SLGIGFGKIVAALLDKFPPFADTQREF YP_002976658.1 MKLRDLAGDQFPELEAQLEGPAGLLDISGLSSDSRKVAPGNVFV AVAGTKADGAGFIVDAAGRGAAVAIASQAIDASIPVFAVKEPRRFLSIAAARFHGKQP DTMVAVTGTAGKTSVASFTRQIWAYAGHTAAMIGTTGVVSPTRNEYGSLTTPDPVSLH QLLAELAGEGVTHAAMEASSHGLDQCRLDGVRLAAAAFTNLGRDHMDYHPTVESYMAA KMRLFDTLLPKGSPAVIFADDPWSAQAIKAATDAGHDIRTVGRKGDYLSLKRVEHFRH KQMAEIHIGGEIFEVDIPLAGDFQVANALVAAGLAMSTGVEAKVAMAALEKLVGASGR LELVGHTKDGALAYVDYAHKPDALENVLGSVRPFTTGRVIVVFGCGGDRDRGKRPIMG EIACRLADVVIVTDDNPRSEEPASIRAEIMAAAACAAEIADRASAIREAVSMLRSGDT LIVAGKGHEEGQTIDGVTLPFSDHAEVRRALEELKS YP_002976659.1 MSFLSRIMVLKSQAHFSAGVYNRFGGPSPAGLAIEGSRKKKSGQ AKSRVGLLILGFMGVYAVIGGRLVEYAMKDQEVVSSILPPDRLMASRPDILDRNGEVL ATDIRTVSLFAEPNKIVDADEAVEKLATVLPELDVRDTYKKLSVKTSHFAWLRRQLTP KQQSQILALGIPGIGFRPEKRRFYPGGATAAHILGYVNIDNRGVAGMEKFIDDQGLAD LASVGMTSDQPLEPVRLSIDLRVQNIVRDAVVNAVTNFQSKGAGAAVIDVHTGEVLAM ASAPDFDPNDPQEGAKEGWLNRMTNGTFEMGSTFKTFSLAMALDSGKVKMTDSFDASK SIYIGGFTIHDFHGQRRWLTVPEIFQYSSNIGTARVIDIVGIDAQKEYLTKFGLLTKM QTELPEVKMPSQPRVWKKINSITISFGHGVSTTALQTGVAAAALVNGGKLIEPTFLPR TREQADEIATQVIKKTTSDEIRYLLDFNGYKGSGRVARVPGFGVGSKTGTADKVVNGR YSATLNFNSFIAAFPINDPRYAVITFCDEPKTGEKAYGGTISAGTAGPIAREIISRAA PILGIEPKFGEGGSALLVSY YP_002976660.1 MLKTFDLVLIGVMTATAAVTYTIKHRAELKLEEVHRLEAEIKLE KDTIDLLKADWALQSQPNRLERLVKAYNEELKLQPTESTALVHAKELPMLKSEVPVPD VTEAKASAKGATVASAKGATVASAKGAPDTKGAQATAKAQPIPMPAPRGEAEDADQIE TGSVEE YP_002976661.1 MVANPGGGSTDAGGGPVRHIPVLLAEVLAALAPAPGKLILDGTF GAGGYSSAILAAGAEVIALDRDPTAIAAGQAMVAAHGGRFKLVHSQFSHLADHAPQGG LDGVVLDIGVSSMQIDEAERGFSFQKNGPLDMRMSAEGVSAADVVNRAKVADLIRIFH FLGEESQAPRIAHAIEKRRAEKPFETTRDLAGLIELVTPRKMKDKIHPATRVFQALRI FVNDELGELAQALFAAEAALKPGGRLVAVTFHSLEDRIVKKFFSDRAGKASGSRHLPV AHERAATFAAIGKPMVSASEAEAEINPRARSAKLRAGLRTEAAAEAADMSLFGFPNLA SLGKLGG YP_002976662.1 MLIRLAKLCLISGVSGVFWSGSLRERMFRVMSRFLSNATNRIDA KGRVSVPSAFRSVLAQRNVQELYCFQDFVFPAISVGGPDLLERFERQIAAEDPFSPDA NEMSLLIHGGGVFMRLDAEGRLMVTDFIRGFTGISDEVTFVGRADHFQLWQPQAFVAA QAQARGERKLAGKRS YP_002976663.1 MAVDFKYIVVGRGLMGAAAARHLAGQADGVAVIGPDEPTDRKAH QGVFASHYDEGRITRTIDPDRNWALLANRSISRYGEIARDSGIDFYREVGCVVVAPTG GDYIEKTRRAAVSLGVEASLLDEQGLKAAFPFFAFPDGGTGVFEARGAGHISPRKLVK AQSLLAEKAGATVIRDHVVSIRSEGGSAVVETAGGLTYRVEKVLLAAGGFSIAENLLP QPVAMTVYARTVTLFEVSDADAARLATMPSLILRAPGIEIYLLPPIRYPDGKFYLKIG GDPDDLALNGDTDMRAWFKTEGRDTVRAHLKRIVEGLLPDIVPLSISTAACVVSFTES GYPMIGYSSSPEIAVLTGCAGTSAKSSDEIGRLGAELLLSGGIGEQGYSTDFTPQFR YP_002976664.1 MAVDFRFIIIGRGMMGAAAARHLSSMADGVALIGPREPEERKAH HGVFASHYDEARITRTFDGNLAWGAFAARALDRYREIEAKSGISFYSEVGCLFAGPAP ESEQDYIERALTVGRTLGSDIEIIAPSELGQRFPYLAVDPDFTGYFERKRAGHINPRA LVRAQAKLAEQGGVSLIEATATSVRDAGSHVEVTAGDRSYSAERVLVAAGGFSNFHAL LPRPVDIRVMARTVAFYEIGEREMAIFGDMPSTIVLGDREEDHIYILPPVRYPDGKTY LKLGGDTEALFFGRLEDAGAWFRSDGSAAEREHLSGVALQLMPELAGCPVTSAPCVAN FTPTGYPYAGFTQSPRIAVLTGGNFVAAKSSDELGRLGAVLLAEGRLGDEDFGGELMP VFAAA YP_002976665.1 MRKLIVVAATCVGMVLAGNSFAFANDWGVRADASVKKVERPSKK TERPLKKAERSVKSAKRPLKTAERPLKTVERQSGYAVAIVSGNSYSALISKYANQYDV PVALATAVIRIESNFNPNARGSHGEIGLMQIKPATARMMGYSGSAKGLFDPETNIKYG MKYLAAAHDLGGGETCNTILKYNAGHGATRMNPVSKSYCGKVLAML YP_002976666.1 MTSFEADCRSARVQPSPNHGERADGRRPDMILLHYTGMPTADGA LDWLSRAESQVSSHYFVHENGEVVQLVPEMRRAWHAGKSNWHGETDINSLSIGIEIAN AGHPGGLPDYPKEQIAAVIELCRDCVKRWSIVPERVLGHSDVAPIRKVDPGEKFPWAE LHRAGIGHWVEPATITGGRFFQRGDTGQPVEALQSMLSLYGYGTEITGEFSEKTAGDV EAFQRHFRPERIDGIADFSTIDTLHRLLSALPRYS YP_002976667.1 MSFWEKLLNAIGNTAGNALSAVVEAIRTVFEGDPETRRKVAFSV AIIALSAKMAKADGIVSEKEVEAFREIFEFPQDQAKNVARLYNLARQDVAGYEAYAER LSTLCVTCAANCPVLEDVLDGLFHIAKADGLIHEKELNFLRHIGEIFQMSETRFEQIA ARHVSSGGDPYKVLGVSPSDDFPTIRRRYHGLVSEHHPDRLISRGVPKEFHVIANERM AALNAAYEAIEKERRAA YP_002976668.1 MAKQKVAMLTAGGLAPCLSSAVGGLIERYSDVAPELEIVAYKSG YQGVLLGDSIEITPAIREKAPLLHRYGGSPIGNSRVKLTNAADCVKRGLVKEGENPLR IAAERLANDGITILHTIGGDDTNTTAADLAAYLAANGYDLTVVGLPKTVDNDVVPIRQ SLGAWTAAEVGAHFFDNVGNEQTAAPRTLVIHEVMGRHCGWLTAATARAYLQRTSRNQ YVDGLMMDAHLKSIDAVYLPEMAFDLDAEAARLKESMDRNGHATVFVSEGACLDAIVA EREAAGETVKRDAFGHVKIDTINVGAWFQKQFANLLDAERSLVQKSGYFARSAPANAD DLRLIQSMVDLAVESALNKVSGVTGHDEGQNGKLRTIEFPRIKGGKAFDLSTAWFAEV MDNIGQKYKEA YP_002976669.1 MSLEAWLAFAAASAIMLAIPGPTILLVVSYALGHGRRTAFATVG GGALGDFTAMTASLFGLGAVLAASATLFTVLKWIGGAYLIWLGIKLWRAPIIGEPVAD NDNLPEEKSVKIFLHAYVVTALNPKSIIFFVAFVPQFLNPALPFVGQMAIMEATFLVL AILNASTYAFLAHSARGLIRKASIQRAVNRTGGTLLIAAGAVTAGYRRIAA YP_002976670.1 MVAIGLSGLKRSLVVSTILCGVMTGCTSVEYTSQAELTAAQTVV PMPKPGEDATLAAIPTAGQAVAGATIPQASSSLTATAMPAVTASQPGDLTMQPTAYAQ IPLTPEMTAIQSVVPTPRPGTPTQPTTQLAFAATPQNSALAALAAVDTTPRASMDYGF DETGPIDPPTAPPMFSDDDKDDAPTVEKSFVTKLIQKYSKIYEIPETLLHRVVHRESR YNAKAYNKRGYFGLMQIKYNTAKSMGYDGAPGGLFDAETNIKYAAKYLRGAWLVSDSR EDDAVRLYARGYYYDAKRKGMTDIAQGNY YP_002976671.1 MRFVLRILKALALLLVVIIVAAAGWLFIRPPQLLRVGDGYAAKI VCSNVFIAGREAEDVLHDDVQAPGNPLLRLVRVSVDPDNDRVTARFMGLFAPSYALYR GAFGCTSVPDGNFEAAAKAAPFDPPAKMQANDALWPEGEGTGNPADGKIAALLADAGI AGPAMRAIVVVRNGRIVAEAYGPGFSAKTPLIGWSMTKTVNAAVLGRLMLGGKVSFDD DSLLAQWKNDARARIKVSDLLGMESGLAFNEDYGDVADVTRMLYLDPDMVSLPANAPM EAAPGQRFRYSSGTAVLLSRIWMDRVGNAQAAFSYPHDALFAPLGMTSAVFELDARGT FAGSSYLYATAHDWARFGQFLLQDGVWNGQRLLPEGFVGAMRTPTAASNGRYTQGQAW LAPGRGSSAEAGLPEDTFWLTGHDGQSMAIVPSANLVVVRLGLTPSRLDYQPQTLLKA ILAALPQPGEQQQQAGSQQQTQPQP YP_002976672.1 MSEFAPDAGFRKNRKLKDALLRHKAFSKDGFSERLFGLLFSGLV YPQIWEDPDLDMQAMELKPNHRIVTIGSGGCNMLAYLSKAPASIDVVDLNPHHIALNR LKLAAFKHLPGHADLVRFLAMPNEKSNSRAYDQHLAARLDEATRSYWNGRKFGRRRVT VFDRNIYETGLLGRFIGAAHLLARLHGVKLREMTKTRSIREQRQFFDEQIAPLFEKPV VRWITGRKSSLFGLGIPPQQYDELASLAADHSIAPVLKHRLEKLACHFPMSDNYFAWQ AFGRRYGTEAEGPLPTYLKSEHYQVIRANVDRVNVHHASFTELLAREPAASRDRYILL DAQDWMTDEQLNDVWTEITRTAREGARVIFRTAAEKSIIEGRLAPAIRGQWDYFEEKS RELTALDRSAIYGGFHIYGKKA YP_002976673.1 MSKVGTETAGQSDQHASLMDGMYRYQRHIYDLTRKYYLLGRDST IRNLDVAEGGSLLEVGCGTGRNMAFAHRHFPTAKLFGLDISQEMLISARKTFATKATI PEFRVADATAFTPREFGVSGFDRILISYALSMIPDWERAVDASIAALNPGGQLHIVDF GQQEGLPGWFRRMLQSWLAKFHVTPRPDLREVLEAQAHENNATLVFDAVGGGYAWRAA IISKRS YP_002976674.1 MRRLLFCVLPLAILLAGCSSSGYDYLETASIKPKTRFKDTDPQD FGPKHPQQNQVHGIDISKWQGDIDWRTVKNSGVAFAFIKATEGKDRVDPRFDEYWREA RAAGIPHAPYHFYYFCSSADQQADWFIRNVPKEAMRLPPVLDVEWNAESKTCRYRPDA ETVRAEMQRFMDRLEAYYGRRPIIYTSVDFHRENLAGYFQDYHFWVRSVAKHPEVTYS DRRWAFWQYTSTGVIPGISGPTDINVFAGSAKNWNNWVAAVSKDRNS YP_002976675.1 MHRSLASCSALALLFALALAGVAAAQQAPDAAPAAPCGGDLSAF LEGVKKDAVAAGASAAAADEAFAGAEIDPKVLSRDRAQGVFKQTFLEFSQRTVSQARL DIGRQKMKQYADVFARAEQDFGVPPGVITAFWAMETDFGAVQGDFNTRNALVTLSHDC RRPELFRPQLIALIEMVQHGDLDPATNTGAWAGEIGQVQMLPRDIIAYGMDGDGDGHI RLKQSGPDAILTAAKFIQHLGFERGQPWLQEVTVPDNLPFEKSGLGGTMKAGEWFALG VKPRDGNTAFGNLEGDLVLPQGRMGPAFIAYPNFKIYLEWNKSFIYTTSAAYFGTRLS GAEPYLKGVPEQGLASDQMKALQTKLQSLGHDVGEIDGILGSGTRVAIQKEQQRLGMP ADGWATPALLNAL YP_002976676.1 MDSRMNAWTWGLLVLLGLIWGGSFFFARIAVQHVPPLTLVFFRL LLAALALHIYIAGRFDFYAILKARWREFLILGLINNALPHALIFFGQTRIGAGLAAIL NATTPIWTVLIANYFTSDEKLSPAKIAGCLVGLAGTIVLIGPGMSAGGEAPLWALLLP VLAAISYGFAATYGKRFKNVPAPVTAAGQLTASSLIALPLSLLADRPWALAAPPLDAL LAILALALLSTAFGYILYFRIMATAGATNASLVTLLVPPSAILLGVLFLGERLALSEF AGMALIGFGLVILDGRAYRLLAKAA YP_002976677.1 MALKNDARGRNIRVSFEFFPPKSEEMEGQLWHTVSQLQDWDPDF VSVTYGAGGTTRAPTLTTVTRFLSQTPLATASHLTCVGATKEETHQVVDTFRKAGVTH FVALRGDAPGGAGVPYQPHPGGYANAAELVAGLKEIGDFEISVSAYPEKHPESRDTAA DIDMLKRKADNGADRALTQFFFDNDNFERYLERVRGAGISIPVVPGIMPIQNLTQLKR FAGACGTVIPAFLDERFAGFDDKPEERAKVAAEVAAEQIEDLVRRGIHDFHLYTMNRA PLVSAVLDNLGLSRPAAKQKVLALPPDLCASEGHAAL YP_002976678.1 MSEPLKLGLDALVDVLKAAGEPTRLRLLALLDGGDLTVTDLTEI LGQSQPRISRHLKLLGEAELIERYQEGAWAYFRLKQDGKAAMLVRALLKHVSENDPTI LRDGERLSLVKRQRAERAQAYFSRNAAEWDELRRLHAADEEVDAAVIRLLGSQPIDSL LDLGTGTGRILELLSGLYRRATGVDASRDMLSVARANLDKSRITKATVRHADILNLPF EGQDFDLVTIHQVLHFFDQPEIAIAEAARMLRPGGRLVVIDLAPHTLEYLRGEHAHVR LGFSHQAMSDWLRKAGLDVEQVVDLHPGQQSGQGLTVTVWLARDPRRLMASQTSEGAE PTFAGRV YP_002976679.1 MARQFIYHMSGLNKAYGNKKILENIHLSFYPDAKIGILGPNGAG KSTVLRIIAGQDKEYTGEAWLAEGATVGYLEQEPHLDANKTVFENVMEGVASKTAVLD RYNELMMNYSDETAEEGAKLQDVIDSQNLWDLESQVEMAMEALRCPPRDADVTSLSGG ERRRVALCRLLLSQPDLLLLDEPTNHLDAETIAWLEKHLRDYPGAVMMITHDRYFLDN VTGWILELDRGRGIPYEGNYSAYLQAKAKRMLQESREDASRQKAISREQEWIASSPKA RQAKSKARIKSYEQLVDAAEKQRPGDAQIIIPVSERLGQVVIEMDGITKGFEGRTLIN DLSIKLPPGGIVGIIGPNGAGKTTLFRMITGQETPDGGSIRIGETVHLGYVDQSRDTL AADKTVWEEISGGAEIIKLGKFDMNSRAYCGAFNFKGGDQQQKVGNLSGGQRNRVHLA KMLKAGGNVLLLDEPTNDLDTETLGALENALENFAGCAIIISHDRMFLDRLATHILAF EGDGHVEWFEGNFEDYEQDKVRRLGPDALNPGSQAHKRLTR YP_002976680.1 MSSMQLRTLSFAVSMVIAGAAMAQEAGGRTRFILAASWQPAFCQ TNQKKPECATQATERHDATNFSLHGLWPMRQDYCGVSADQKTADKDGDWNKLPEVTLA AETQAALAKAMPGTQSGLERHEWVKHGTCTKMSADDYFGVGVHLVGALNASAVRDLFA ANIGKPVKAEAIKAAFDKSFGPGAGDRVKMSCRRAGNIRMISELTIGLSEAAGAASAK SAGLADLIQGAGKTSFGCDEGVVDAAGF YP_002976681.1 MFAETQRLVVPGASLAYHHAEAEAAARGILLISHGLAEHSKRYR SFAEAMAERGYHVYAHDHRGHGETTASDAPIGRFARRGGVERVIGDVIAMRSHAASRR PGLPVILFGHSMGGLIALNAAVTAPADFDAVAVWNSNFAVGLAGRAAQAILLAERMLK GSDVPSGLLPKLTFGTWGKSIAGRRTEFDWLSRLPDEVDKYVSDPLCGFDASVSLWLD LFELTFRAPHKIHLDRLARDMPIHLVGGGEDPATERGKAVLWLSNHLKGKGFSRISTE IYQDMRHETLNEIGAHAAIAAFADWCDGAVAKS YP_002976682.1 MSSTSARPVSSASDVTTGLVLMVLSVMVSPLIDIFAKLAITTVP SGEITAGRFVVQALCMLPIVVWRRSFAEFSWRQSLFHAIRGAIITVSMISFVTTLKYM AVADAIAIFFVEPIMVTILGGIFLKETIGWRRYTACCVGFFGAMLIIQPSFEEVGYIA LLPVVSALCIAIFVLMTRALSHREDPWSMQFQMSIWGLLFCAILLLLGQGTGSDLFDP VMPEGRAWFYVAGVGAMAAITGILGVYAYRAAPASTLAPLQYFEIVSATIFAWLVFGD FPDAVKWLGIAIIVASGFYILWRERRFASKPVSDTSEVTLAP YP_002976683.1 MTETVTKKPPLAGIRVIELARVLAGPWAGQMLADLGADVIKVEN PDAGDDTRQWGPPFVEGADGENLSAAYYHAANRGKRSVTADLKSAEGQDLVRRLVSTA DVVIENFKVGGLVKYGLDYDSLRKENPKLVYCSITGFGQNGPYASLAGYDYIVQGMSG FMSITGEPGGQPMKAGVAIADIFTGIYAVSAIEAALIHTLKTGEGQLVDMALLDVQSA VLANQNMNYLVSGEAPTRLGNAHPNISPYEVVPTADGYLILAVGNDGQFRRLCTILGL ETIASDERFATNKARVGNRGEVRRLVSTETLKWQKADLLKACEENAVPSGAINTIEEM FAHPQVQARGLRIDLADSAGTVIPGVRTPVVLSETPLRYITPSPRLGEHQAEILAELA ERERKASS YP_002976684.1 MKKTGGELIVEALKANGVKRLSCVPGESFLAVLDALRDSDIDVL VCRQEGGAAMMADCWGRLTGEPGICMVTRGPGATNASAGLHIAKQDSIPMILFIGQVQ REAREREAFQEVEFRRAFTEFAKWVGEIDDAARIPEFVTRAFAVATSGRPGPVVLTLP EDMLRDEVEAPRAKHYASVEAHPGRRQIDDFYLRLLKAERPMVILGGTRWDADAVADF QSFAERFQLPVGCSFRRQMLFDHLHPCYAGDVGIGINPALAKEIKESDLLILLGSRMS EMPSSSYTLINIPYPQQSLVHIYPDASELGRIYRPDLAICAAPADFVAALADLEAPAE PLWAERTARLHQAYLAWSKPPLSGPGAVHMGPIMEWLEANTGPQTIFTNGAGNYATWV HRFHRFRRFNTQAAPTSGSMGYGLPAAVAAKRLFPEREVICFAGDGCFLMHGQEFATA IRYGLPIIAVVVNNGIYGTIRMHQEREYPGRVSSTDLTNPDFAALARAYGGHGETVER TEEFAPAFERARASGKPAIIEVKLDPEAITPTRTLSEIAQTKSR YP_002976685.1 MSIKRIDVGSRMSGAVIHGNTVYLAGQVGEGESVTDQCKSSLAE VDRLLAASGSSKSKILQTIIYLADIADFAEMNAVWEGWIDPANPPARATSEAKLAAPK YKVEFIITAALD YP_002976686.1 MDIRQIDDEYSVSGQITLEELDEIKALGFKSIVCHRPDHESPDQ TSFSVIEARAKELGLNIAHVPVGPMGVTEEAVQGMVDALDEFPRPMLGYCRSGARSTA IYQKTHHIRN YP_002976687.1 MIEFAAASGPRLMLDETSVLDIGGCIVDGVDIAPKRAIPDDGDP RIDHSLEGFLFTCGPDHIRHRQPIPGRDDGKVYPLHGSASGHAAKVLWTKFENGNAEC RADIDITTVEGLPQRIERLWRIDGATGEVRLEDRVINTSDRTVPTFLMYHMNTGGKWL DEGTRLEGRMLENGGFPWTFGEQPGGIFCVAAPAMEKGFAEVRLGPIAAIGDRTLRVR FRADTLPHLQVWRNQKAPAHIIGIEPVSHRWISRDELEAAGEFNMLAPGESCSYALTF AFL YP_002976688.1 MNRFLKSAFCLAAVLAALAATAPEAGAQQFRDRRQSDIVLVTPS GEILDYVPAGYIYARDRSGNRVLIDDYGNVVATEMRARGYYPPRPGPREVYNDQYGND PYYSDNNPDGDTRYSERGAVTGGIPRDAAIERRPLGDQPYPDDNSIGNPQPGDDYASI DPGQQIPPADQPKAAPDEPVITLKNKSKPEIVALQVFLDRAGISPGVIDGHMGSNVTK GIYAYDQMTGSKLDPNDTDAILEELRMTGGLPVVSYTITPADAAGPFVAEIPEDYSHK ALLPSLAYTSTTEMLAERFHMDEAFLKEMNPGADFTVAGTVIKVVNPGEPKSGAVARI IADKGRKQVFAYDGAGNLLAAYPASIGSTDTPSPSGTVTVERVALNPGYTYNPKINFQ QGANDKILNIPPGPNGPVGTVWMALSKPTYGIHGTPEPSKIGRTQSHGCIRLTNWDAT ELAKMVKPGVTVEFVD YP_002976689.1 MPVITFANTKGGAGKTTAVLLLATELARKGYRVTILDADPQHWI SRWHEISGHVPNLSVIDFVTTASLPLHISENKHNTDYFIVDLPGARNPLLATAVGLSD HVLIPIQGCAMDARGGAQVLELLQYLDEKAGIKICHSVVLTRVNSMVTTRALQVVKSL LSERHVPVLDTAIIERSAFRDIFDCGGTLHTIDPTRVSNLDKARENATCFAEEMMRKL PVRLTASARVTSPMVRSAA YP_002976690.1 MSSNITANWSYPTSVKLGRGRIAELADACKSLGIKKPLLVTDRG LASMAITKTALDILEDAGLGRAIFADVDPNPNEKNLEAGVRAFKDGGHDGVVAFGGGS GLDLGKCVAFMAGQTRPVWDFEDIGDWWTRASLDGIAPIVAVPTTAGTGSEVGRASVI TNSETHVKKIIFHPKFLPGVVISDPELTVGMPKIITAGTGMDAFAHCLEAYSSPFYHP MSAGIALEGMRLVKEFLPRAYREGTDLEARANMMAAAAMGAVAFQKGLGAIHALSHPI GAVYNTHHGMTNAVVMPAVLRFNRKPIEEKIGRAAAYLGISGGFDGFYDYVLKLRSEL GVPETLSAMGIAADRIDELSAMAIEDPSAGGNPVALTLENTKALFKDCF YP_002976691.1 MAMIQCISPVDGSVYAERAALSLDAAKDVVARARKAQKGWAKRP LEERVQLVLKGAARLNEMSDVVVPELAWQMGRPIKYGGEYKGFNERSNYVASIAADAM APVVVEESDRFERRIEREAHGVVFVVAPWNYPYMTAINTIAPALMAGNTVVLKHASQT LLVGERLVQAFIEAGVPEDVFQNVFLDHETTSALIAAGSFNFVNFTGSVEGGRSMERA AAGTFTGLGLELGGKDPGYVMEDADLEAAVDTLMDGATYNSGQCCCGIERIYVHESLY DAFVEKSVAWVSNYKLGNPLDPETSLGPMAHKRFAKVVREQIADAVSKGAKALVDPKL FPQDDGGAYLAPQILVDVDHSMAFMREETFGPAVGIMKVKSDEEALALMNDSQYGLTA SLWTRDAERAGRLGREIETGTVFMNRADYLDPALCWTGVKETGRGGSLSIIGFHNLTR PKSFHLKKVTA YP_002976692.1 MSSSYTIDDLRKDVAEGRIDTVLACQVDMQGRLMGKRFQAEYFV ESAWKETHSCNYLIATDMEMETVSGYKATSWEKGYGDYTMKPDLATLRRIPWLEGTAL VLCDMLDHHTHEEVAHSPRAILKKQVKRLEDMGMKAYMASELEFFLFDQTYEAAQASG YRNLKLVSAYNEDYHIFQTTKEEEVMRAIRTGLQGAGIPVENSKGEASAGQEEINVRY ADALAMADRHAIIKNGCKEIAWSKGKAITFLAKWNYNAAGSSSHIHQSLWSLEEKPLF FDHTGKYGMSPLMHNYVAGLLAHASEITYFLAPYINSYKRFMAGTFAPTKAIWSKDNR TAGYRLCGEETKGIRIECRVGGSDLNPYLAFAALLAAGIDGIENKLELEAPFVGDAYG GKGVREIPRTLRAATAAMTESAMLRKAFGDDVIDHYTRAGEWEQEEYDRRITDWEVAR GFERA YP_002976693.1 MAQMPRTIGTESARNSAADREQGEVMSDYTELDKKQDVHILHSM GYAQELERRMSSFSNFAVSFSIICILSGGINSLAQATSGAGGAAIGIGWPVGCFISLV FAIGMAQISSAYPTAGGLYHWSSILGNRFTGWVTAWFNLLGLVTVLGAINVGTYYFFM GSFGTSYLGLTDTTTVRIIFLVVITGAQALVNHMGIGLTAKLTDFSGYLIFATAIALS AVCLIAAPSYEIGRLFTFANYSGEVGGNVWPSTSGAWVFLLGLLLPIYTITGYDASAH TSEETVKAAESVPRGMVASVLWSALFGYIMLCAFVLMLPNMDDAAKQGWNVFFWAMDS QVNPIVKDILYLAILVSQWLCGLATVTSVSRMIFAFSRDGGLPASKVLSKVSPKYRTP VAAIWTGSILSVLFVWGSSLATIGDTPVYTIVVSCTVIFLFFSFAIPITLGLFAWGTS KWDKMGPWNLGEGVFKLFAVLTVIAMILIFILGIQPPNEWALYITVGFLVLTAIVWFG FESRRFKGPPIGAEVAKRQAEIAAAEAAVGESGEN YP_002976694.1 MVLARPKILSEADGDCVGIERIHGRSPVLLICEHASNALPVVFG DLGLPSEALSSHIAWDPGALAVARSISEALDATLVYQRFSRLIYDCNRPPSSPGAMPE TSEIYAIPGNKDLSPEERLARTDSLYVPFHDAIRGLIRDRRARAQDSIIVTMHSFTPV YHGRERAVELGILHDEDSRLADLMLAAAAEAPLYRVERNEPYGPEDGVTHTLILHGLS NGLRNVMIEVRNDLIADDIGQGVMADYLKGLLQQSLDA YP_002976695.1 MTVASKTVSDVIHSHLGVLTRAEKQLAESLLDNYPVSGLGSITT IAENAGVSTPTVVRMVQKLGFKGYPDFQAHLHQEVEATISNPIAKHDRWAQNAPGTHI LNRFADAIMGNLRQTLTDLDTATFDSVAALLSDRKRGLYFVGGRITGALAEYFFTHMQ VIRPATTLLSSNSSSWPQYVLNMNAGDILIIFDIRRYEQEMVSLVTAARKRGAEIIVF TDQWGSPAAKLARHAFRVRIEAPSAWDSSVVTLYIVEALIEAVQNSTWDETKERMKTL EGLFEQTRLFRKPG YP_002976696.1 MISNISRLLSLSTAMIVASTAIAAAEPSAELIAAAKKEGTLTTI ALPHDWCGYGEVIAGFKAKYGLEVNELNPDAGSGDEVEAIKANKGNTGPQAPDVIDVG LSFGPSAKKDGLIQPYKVSTWDSIPDTAKDAEGYWYGDYYGVLSFLVNKDLVKESPAD WADLKKSDYANSVALAGDPRAANQAVQGVYAAGLSASGGDAAKAGEEGLKFFAELNKS GNFVPVVGKAAPFAQGSTPIIVAWDYNALSWGESLKGNPPFEVVVPKTGVVAGVYVQA ISAFAPHPNAAKLWMEYLYSDEGQLGWLKGYCHPIRFNDLAKNNKIPKELLDKLPPAA SYEKAVFPTLEEQSAGKEAITKNWDSVVGAAVK YP_002976697.1 MSTVSTPMVRSAPLINRDRVIDWLGIAPFIIFSLLFLIIPTLYL VAGAFLTPEGDLTLKNIGDLFTPSIMSAYSISIRVSVASALGGALIGFFLAWAVVLGG LPASVRSTLLTFSGVASNFAGVPLAFAFLATLGRTGLVTIFLREWFGFNLYGTGFNLL SFFGLTITYMYFQIPLMVLILTPALDGMKKEWREASQILGATNRQYWTMVALPILWPS LLGTTLLLFANAFGAIATAFALTGSSLNIVPILLYAQIRGDVLHNANLGYAIALGMIV ITGVSNVLYLMLRMRAERWQK YP_002976698.1 MKAQRLGAWIAVILGASYFVIPLIGTIEFSLRMRRGEYSLDAYE SVFSDIQFRETFGYSMLMALLTIVFGMLLVVPTAYWVRLRLPQMRPVVEFITLLPLVI PAIVIVFGYLRMYNSSSYLPLTGSTTGTNILLVFSYITLSLPYMYRAVDTAMRAIDVR TLTEAAESLGARWTTIMFKCIFPNVMSGVLSGAFITLAIVMGEFTFAALLNRPAFGPY LQLVGANKAYEPSALAVIAFSITWLSMGLLNIVSRVGKARPAKA YP_002976699.1 MSFLTLNNIQKSFGPVQVVKNFNMNIEKGEFVSFLGPSGCGKTT VLRMIAGFETPTGGTLTINGKDQSALKPNQRNIGMVFQAYALFPNMTVHDNVAFGLKV AGAQKPEIDARVKEMLGLIKLDHLADRFPYQLSGGQQQRVALARALAVKPQVLLLDEP LSALDAKIRVSLREEIRQIQQQLGITTVFVTHDQEEALSISDRIVVMNAGKADQIGSP FEIYNTPATRFVASFVGTLNLIEAKVVDPDTNRIQIGDQGITLKQSVAAHKAGETISL ALRPEAGSLSDSVKSDTALTGQVVSAHFLGSVIRTRMNVGGNVISFDMFNSPGTTPPQ AGETVTLRFMAADLLIIRD YP_002976700.1 MITCHLRYVIDPYKLAEFEEYARLWIPIVNRMGGTHHGYFLPSE GANNIAVALFSFPSLAAYEDYRTRMASDPECQAAFELDKRNRSIVSYERSFMRPVLG YP_002976701.1 MGSLQLKSIRKTYGTHEVLKGIDLEVKDGEFVIFVGPSGCGKST LLRSIAGLEDVTSGAVVINGRDETLTPPAKRGIAMVFQSYALYPHLTVKDNMGLGLKQ AGTAKDEIERRVGKASGMLSLEPYLARRPAELSGGQRQRVAIGRAIVREPELFLFDEP LSNLDAALRVQTRLEIARLHRSLKATMIYVTHDQVEAMTLADKIVVLNAGAIEQIGSP MELYKRPANVFVAGFIGSPQMNFIAAEKVGDHSAKTIGVRPEHLTLSREQGTWAAKVV HVEHLGADTIIYLESEQCGLLTARLFGEHQYEPDEIVYATPDQAHVHRFDVDDQAIR YP_002976702.1 MTKKPIRVLVAGLGNMGRSHALAYHNNPGFEIVGLVNRSRPQLE PELQGYTIYPDFTTALAELKPDLCSINTYSDSHADYAVAAFEAGCDVFVEKPLATTVA DAERVVAAARKAGRKLVIGYILRHHPSWMKLIEEARKLGPPYVFRMNLNQQSSGPTWA THKSLMRTTSPIVDCGVHYVDVMCQITDARAVEVRGMGLRMSEEIAADMYNYGHLQVL FEDGSVGWYEAGWGPMISETAFFVKDVMSPKGAVSIVMDPNAKSDDIDTHTKTSVIRL HTAETGPDGKFIRPDQDMTMAGEPGHQELCDLEQAFMLRAIREDLDLDRHMADAVASL RICLAADESVRTGQPVTL YP_002976703.1 MSKARTSPIRTGLVHLALSAYTLVALFPVFLTVINSFKDRASIF REPLMIPTPSTFSLVGYQTVLGQGDFATYFQNSFIVTIVSILLVLLFGAMAAFALSEY RFRGNMLLGLYMAIGIMIPIRLGTVAILQGMVAAGLVNTLTALILVYTAQGIPLAIFI LSEFMRTVSDDLKNAGRIDGLSEYAIFFRLVLPLVRPAMATVAVFTMIPIWNDLWFPL ILAPSEATKTVTLGSQIFIGQFVTNWNAVLAALSLAILPILVLYVIFSRQLIRGITSG AVK YP_002976704.1 MSETDNTADIVPIRRAVRWHIFVFMLPALIVYSAVMVLPLIETL RLSLYNTVDGQPAFVGLANFKVLFGDARWARDFWNALVNNLIFFAVHMCVQNPIGVAL AALLSVPKLRGVAFYRTAMFLPTLLSFVIVGFIWKLILSPIWGVAPWMLDLIGLKFLF APWLGKSGSALIAVSLISNWQYIGIPMMLIYAALLSIPEEVIEAAECDGITGWAQFWK IKLPLILPAIGIISILTFVGNFNAFDLIYTVQGALAGPDMSTDILGTLLYRTFFGFQL QLGDRSMGATIATVMFLIILAGVSLYLFVIQRRMRRYQF YP_002976705.1 MKNTALKSLLLASSLLSSAGLVHAADVTLTVESWRNDDLQIWQE KIIPAFEAKNPGIKIVFSPTAPTEYNASLNAKLDAGSAGDIITCRPFDASLELFNKKQ LTDITSLPGMENFSPVAKAAWSTDDGKSTFCVPMASVIHGFIYNKDAFDKLGISVPKT QDEFYAALDKIKADGTYIPLAMGTKDLWEAATMGYQNIGPNYWKGEDGRAALIAGKQK LTDADWVKPYEELAKWKPYLGDGFEAQTYSDSQNLFTLGRAAIYPAGSWEISLFNSQA RFKMGAFPPPVPKAGDTGYISDHPDIGVALNTKSTHAEEAKKFLSWVASPEFADIYAN ALPGFFSLNSNPVKMSDPLAQEFVSWRGPYKSTVRSTYQILSRGTPNLENETWVESAN VINGTDTPAVAAEKLQKGLDSWYKPAK YP_002976706.1 MTELAIGIDGGGTSCRAAVADRNGNVIGRGKAGPANILSDLENS LLNIVESARQALGDAGLAAETISSVAAVVGVAGANVGDYGRRIEKALPFTEGRVVTDA LIALQGALGDADGIVGAFGTGSVYNARRDGRLNGIGGWGFVVGDQASGARLGRDLLEQ SLLAHDGVRPVSPITEAVMTQYGNDPERIVEFAHSARPKDFARYAPIVFEHAAKDDAV AVGIVTDAATAIGESLEALLWPECPSICLLGGLSEAYEPWLSERYRSLLAKPKGDALQ GAVELAVKLLNDRQRGAA YP_002976707.1 MTDDLATLLSLERLQAAGTGPLYVKLRRTLEGAVRTGTLGHGDA LPPERDIAELAAVSRVTVRKAIDELVADGLLVRRHGSGTFVAKPVSKVEQRLSQLTSF TEDMARRGMSSRSEWLHKGIHTPSPDEMMILGLGADVKVSRLSRLRIADDQPLAIENA SVSGEFLPDPSAVTNSLYAELERLQVRPVRAVQRISATNMKEADAQLLGVSAGAAGLS IERISYLGSGRAVEFTRSLYRGDAYDFVAELTIAVT YP_002976708.1 MNSLLLDTHAWAWSLTGDERLSAKAIALIEKAETIFVSPISLFE IGQKVRLGKWPEMEPFIGRLPELLHEQGGIAAALTPEICLTAAMMDWTHRDPFDRFLA ATAMQNGIPLISADAIFDNLVGNQLWIARFW YP_002976709.1 MQVTIHAAKTNLSKLIDAALSGEEVVIAKGRKPVVKIIPIVQSS FKIGLLKGQVVGNGPDFFEPIDQGELAAWEGGE YP_002976710.1 MFDNLFGPETGKRDGSEVFAALKQAASERILVLDGAMGTQIQGL GYDEDQFRGTRFIGCACHQKGNNDLLILTQPDAIEEIHYRYAKAGADILETNTFSSTR IAQADYEMEGAVYDLNKEGAEIVRRAAQRAEREDGRRRFVAGAIGPTNRTASISPDVN NPGFRAVTFDDLRSAYGEQIDGLIDGGADIILIETIFDTLNAKAAIFACEERFEAKGV RLPVMISGTITDLSGRTLSGQTPSAFWNSVRHANPFTIGLNCALGANAMRPHLQELSG VADTFICAYPNAGLPNEFGQYDETPELMAAQIDGFAREGLVNIVGGCCGSTPEHIKAI AETVAKYKPRPIPEHRPFMSLSGLEPFELTKDIPFVNVGERTNVTGSARFRKLITNAD FTAALDVARDQVENGAQVIDINMDEGLIDSEKAMVEFLNLIAAEPDIARVPVMIDSSK FSIIESGLKRVQGKPIVNSISLKEGEENFLAQARLLHNYGAAVVVMAFDETGQADSYE RKVDICTRAYKLLTEKIGYPPEDIIFDPNIFAVATGIEEHNNYGVDFIEATRTIRERM PLVHISGGVSNLSFSFRGNEPVREAMHAVFLYHAIQAGMDMGIVNAGQLAVYDNIDPE LREACEDVVLNRRPDGTERLLEVAEKFRGGAAREGRVQDLSWREWSVEKRLEHALVNG ITEYIEADTEEARQQAVRPLHVIEGPLMAGMNVVGDLFGSGKMFLPQVVKSARVMKQA VAVLLPYMEEEKRLNGGEERRSAGKILMATVKGDVHDIGKNIVGVVLACNNYEIVDLG VMVPATKILETAIAEKVDVIGLSGLITPSLDEMVHVAAEMERQGFEIPLLIGGATTSR VHTAVKIHPGYNKGQTIYVTDASRAVGVVSALLSPENRQAYVDDIRAEYAKVAAAHAR SEAEKVRLPLPRARENAHKVDWSAYRPVKPQFFGTRVFEDYDLAELAKYIDWTPFFQT WELRGRYPTILEDEKQGEAARALWADAQAMLKKIIDEKWFRPRAVIGFWPAGAVGDDI RLFTDESRKHELETFYTLRQQLSKRDGRANVALSDFVAPVTSGVQDYVGGFVVTAGIE EIAISERFERANDDYSSILVKALADRFAEAFAERMHEQVRREYWGYAKDETLSKEDLI TEAYAGIRPAPGYPAQPDHTEKATLFKLLDAEKAAGVKLTESYAMWPGSSVSGLYIGH PDSYYFGVAKVERDQVEDYAARKQMAVSEVERWLGPVLNYVPRKTDEQIEDAA YP_002976711.1 MAPATGNAVLGNWTTRAYHRGWLLTQANGLFDFFQHNSVNPKGG FYDLDDAGRPLDAEGQVRGIHIAARAVHCFSIGALLGRPGAADVVDHGMDYIWNHHRD RKNGGYFWSLNNDGPVDSNKQGYGHAFVLLAASAAKTIGHPLADGMLDDITKILNTKF WEEKHGAIAEEFTADWQPLDGGAYRGQNSNMHLTEALMAAFEATGDGDYLSKAESIAD LVIRRVAGSVDWRVAEHFDAEWNLDKTYYHPNEMFRPAGTTPGHWLEWARLILQLWAL GGKRIEWMPDAAKALFAQSMALGWDNDKGGFFYTLDWEDKPAKRNKLWWPACEAAAAA HFLNEHLPSDFHEESYRQIWNVIERAFIDHKNGGWHEELTEDLVPSHSLFPGKGDIYH ALQACLIPLFPATGSLTKGITEAGGRI YP_002976712.1 MIRSFLALAATIGFGLFLVSCNTLSKEECVAADWRVIGESDGAA GHEPQQRFAAHAKSCERVKIVPDQTIWFQGYQTGLVRYCTPLSGLARGQAGSGYANVC PPQTAPGFLRGFGLGSKQHGLQARLSSMQNDYSSKETSIDELSDKLRDAKDGDRSELR RRIEDLEDDMHDIRRDQRDVQDELDRVNEDVEWFQRNPNAALPPPGY YP_002976713.1 MTIEDDLSRIAEQEKVLSFDAFDLTTAWQLGKLLQELATERGLG IAIDVTLHSMPVFYAALPGVTPDNVNWVRRKRNMVLRYFRSSYASGLKLQKDGKTVED NGLSGADYAPHGGSFPINVKGTGCIGAVTVSGLPQRDDHNLAVEALALMLAKDLDTLR LAPLL YP_002976714.1 MSVSFDFQQLTERQRYKLMIGTIIPRPIALVTTVDENGRINAAP FSFFNCLSADPPILAIGVENNVDMSFKDTGHNIRMTEVFTVNIVSFAIAEAMHVCGAK YPRGVDELKEAGLTAMPGEKVASPFIAEAPAAFECKRHVTLELGRSRQIVMGEIVYAH YRDGVVDPERLHVDPAAVDAIARLGGDTCATTRDRFEMLTPKL YP_002976715.1 MRILIVNPNTTASMTEKAATAARAVAASGTEIIAATSRMGPVSI EGHYDGALAIPGLLAELRERQGVGYDAAVIACFDDTGLEAARTFADVPILGLCESAVV TAGFLAQRFTVVTTLERSRVLIDNLVRRYGMGDRAKVRASDIPVLELEDPASGAIGKL RAEIERALLEDGAEAIVLGCAGMTDLARELQQIYGVPVVDGVAAAVKQAEALVSLGLS TSKRGSYASPLPKPFTGAMSGFSPVLKVG YP_002976716.1 MSHEKRGLEFYLLALFFIIFVLFLYGPLSAILILSFQGPDGGLT FPMNGISTHWFFNLFEKQAVGDFGASFRRSFTLGLMVMAVTVVVSLLAGLAFRRRFRG STALFYATVASLVVPSIIISLGIGVVFQEGGLKPAWYSSAFGAHLTWTLPFGVLIMFA VFNRFSPAYEEAARDLGASSWQTFRHVVLPMIAPSLIGVGLFGFTLSYDEFARTLMTS GTYNTLPLEIYGMTTNVTTPVLYALGTVTTLFSFTIILIALGIMTMLSRRQAKIN YP_002976717.1 MATIAAETEEDGQGARGGRGLRLSPSAISYLQATPLFVILGLFF LLPIAMIAVVSFWDYDFAGLYPDFLTMNYTDTLGSWVTWKIYLNTLKFTAIVWALTLV IGFWVAYFLAFHVRKTSTQMILFLVCTVPFMTSNIIRMISWIPVLGRNGLVNSTLIKM GIIPQPIEWLLYSDFAVVLAMVHLYTLFMVTPIFNTLMRIDRSLFEAARDAGASGWQV LWNVVFPLAKPGMAIGTIFVVTLVMADFSTVQVMSGGQSASVALMMKNQMSLLQYPAA AANAVVLLAVVLLMVAAILRVVDIRKEL YP_002976718.1 MTTETTSTKAEKGLSRRTLLKTGAAAVGAIAGSGAITGFPTIWA QTNITLRQFGTGVSNINAIAEKCKADLGITLEMTATDSDAAAQRAVTQPDSYDIADVE YWIAKKVFPTGVMQPMDVKKLKYYDKIVPLFINGKLKADSVIAQGTAPHTVGFVEAQD SKKFAKEPTQWMTMVPTIYNADTLGIRPDLTGRPITSWADILDPAFKGKTAILNIPSI GIMDAAMIMEASGKIKYADKGNMTKEEIDKTIEFLIKAKGDGQFRAFWKSFDESVNLM ASGEVVIQSMWSPAVAAVRSKGIACTFQPLKEGYRAWGGGLGLASHLKGAQLDAAYEY INWYTSGWVGGYLNRQGYYSACMDTAKGFMSADEWGYWIEGKPAAGDILSPEGKVMEK AGAVRDGGSFEARMGAVACWNSVMDEDRYMVRRWNEFIAA YP_002976719.1 MMSKAAEIDIVSVSKVYGATTAVHAISLKIPAGSYCCFLGPSGC GKTSTLRMIAGHESISSGDIRLGNVVVTDFPPARRGTAMMFQSYALFPHLDLIDNVAF SLKMKGIDRQERQAKALEMLKLMQMEAYANRRPAQLSGGQQQRVALARALITDPEALL LDEPLSALDPFLKIRMRAELKKLQKSLGITFVHVTHSQEEAMALADVIVIMNDGRIEQ AAAPREVFERPATAFVARFMGDHNVLSGRVTSNENGVLVMTVPEGQSFSVRGTGREVG EPIDIGIRTDRVRLQVATEWTLGFDGIVSNIEYRGSSVKITVLGAGSDDFTVIADDGD YFARPVAVGDTVSLSWALDDAVLLGRSSA YP_002976720.1 MKSAAKAQQAEDSAETGAQQIRDAIREAIVERRLSPGTKLSESD VGNLFNVSRTLARAALQALSYEGLVSVEKNRGAFVAYPSPEEARQIFSARRLVEPGIL REAAARITPDDISHLRQLLLEEGRLMSERGQTARRAEIKASGDFHLMLAAISGNSIMQ RFMEELVARSSLVIALYGQSTASSCGHSEHGDIISAIEADELDRACQLMLHHITHIEA DLDLRERKSLGLKEAFEL YP_002976721.1 MAAILFRFWRNLAYCQPFNPAGTATSSDGRGMSTMLKSFVHEII GTPRREPELPQSLEQFRDRKRVLIIFADAQDDRALIQDEWLRKAHMRLIEEDVEVFSI AGGGAFALFDDDWDLDADDIRNRLQGPPSGEFGLILLGRDGIVKLRSSEPMTAEDIFA AFELLPKKTPW YP_002976722.1 MMNALPPLHDGHAPFNLQQLFREALYAFEEWDSELTEPIVTYEG RVMPISFVFEAMRECTDIVPMNIVGAVTERLTKPWEGEGPLDQMTFSTAARVMRVLVR KRLLVTGAADLVAVSAHASEDQGRD YP_002976723.1 MTQIREPERRSRILRGRPYSLDEFASKYGLRNEQAESLFTRFGP SSIELDLLMAAKRRPPVLQDGIAE YP_002976724.1 MSDRRHEWISKRAYAIWEEQGRPDGRGDEHWRQAVAERDALERT QASSDGREVLVKFRPKPQRPEAPCAGWFSPTANVG YP_002976725.1 MTEKRVHLVFKTHLDIGFTDHAEKVRRQYHERFIPQAIETAKHF HAENPDEPKFIWTTGAWLIWDHLNSRSAGEVAALEQAIERGLIRWHGLPFTTHTELMS PDLFRAGLSYSQELDRRFGKTTIAAKMTDVPGHTLGMVPLLAEAGIRFLHLGVNTASP PPDVPDIFRWRAPGGEEVVVMYQRSYGETCFPDGFEDGLSFAHTNDNMGPQSVPQTAE AYRELRAREPDAIIRAATLEDYGAILWDERERFPVVELELGDSWIHGSGSDPVKTARF LALQRLYDRFAADGLDARRLAFGRGLAMVAEHTCGVDIKSYLRDDKAWSRADFEAARR SDYRFAYTEASWDEQRAYLDQAVSQLDETDRQAAQAVLAEFATPAVIEGGGRELRLQA GGWSIALDGASGDVAEITSPSGRTISGRNGSLIAYRYESYDAGDVNRHMETYLTHRQE WAVLDHDKPGLARSGAALSKVSLPALEATDGAGILLSMPAVAVQKYGAPPHVMLHFIA EGDRLNLSLTLHDKPANRMPEASFLSFTPEGDGDWNFRKMGLWHRSGNIATSGGGQLQ AVTAARGDLVDGLLTIETFDAPLVAPQGSDFMTFYRTRPDFGSGIRFNLHNNKWGTNF PMWWQGDFQARFRLRFD YP_002976726.1 MDSKRPFEIAECQQAAKGLKSSWQDMAGSEALIRALVAERNGDT PLALFWTEVHRALCQESNAF YP_002976727.1 MIENTYSEKFESAFEQIKAAANVDAAIRILQTEYGLDFVTYHLA QTIAAKIDSPFVRTTYPDAWVSRYLLNSYVKVDPIVKQGFERQLPFDWSEVEPTPEAY AMLVDAQKHGIGGNGYSIPVADKAQRRALLSLNARIPAEEWTELVRRCRNEWIEIAHL IHRKAVYELHGENDPVPSLSPREIECLHWTALGKDYKDISVILGISEHTTRDYLKTAR FKLGCATISAAASRAVQLRIINP YP_002976728.1 MFVIIQAHEYQKYAAVLDQMFRLRKKVFADTLGWDVPVIGPYER DSYDSLAPAYLVWCNDSRTRLYGGMRLMPTTGPTLLYDVFRETFPDAANLIAPGIWEG TRMCIDEEAIAKDFSNVDAGRAFSMMLLALCECALDHGIHTMISNYEPYLKRVYKRAG AEVEELGRADGYGKYPVCCGAFEVSDRVLRKMRAALGLTLPLYVRHVPARSVVTQFLE MAA YP_002976729.1 MNRLAETATQTAIQKDIGALERHVLASRDIATQIGDPFLSYLLS MALFTIYEKKAHHENEALRSSFS YP_002976730.1 MVALNSAVVLIVEDEPLIRFNILDVLEEVGHVALEAANADEALV VLKGRQDVDILFTDVNMAGSMDGLQLAKRVRAMRPNIGIIITSGMVRLDPMALPANTA FLPKPYMHDALISTINSLMT YP_002976731.1 MRVGALLASVILFVVFLYLVLTGAEQVRYISVAFVLVFAVLATR LDDIQDLTFGPTGLAAKLAKQLEEARATVKQLQDFAEVFAARSVQQIAGDNRMSGLSP KQKREAIAEIVGGLKSLQLPDDRINRVLSLSDPYDDYDYWSWTMSPIYSANDTKVKDL ANQFFNLGASKGIGHNPDAETTEKFLKDHDLYQGEIAECMTDWQYWKANHKHRRLDEW EARHERRNQARSFEDVLNIESKPGTKG YP_002976732.1 MLQTRKLSAAMAAVFVIAMSASSCTTSSSDSVQTGSVGYTAQSA DFALRPACRDGFGNDRPCSY YP_002976733.1 MNRFFAFIATGTLISLAAITSGCTLSGSLDQTSSIGGGYYERQP LNPACGGGFRPSDGRSCSY YP_002976734.1 MSAIHKLFNRNLLTRFVAGLGAVPQRIRDAREERKPAIHPSFMD DFGA YP_002976735.1 MAPIYTRTVLMTALLALPLAAAAPAFAQEAKPREPVISVTGDGE SSVAPDMAIVNLAVVKQAKTAREALDENNKAMNDVLAALKSGGIAERDLQTSGFSIQP QYNYPQPVDGQQQQPQLIGYQTINSVTVRLRDLAKLGAVIDQSVTLGINQGGEIQFTN DKPDAAIEEARKAAVADAVKRAKTLSEAAGVKLGRILEINENVPRAMPQPVYRATMMK EASDAAVPVQGGENNYNVSVTVTFAIEQ YP_002976736.1 MRCFRSVFALTLTLSAVSSLTCMSDSQMTLRRDADQWGGRLDRT DFQTKGFSMMQFLAKAGLAVMLTAGTLAGTVVPAAAQLNIIIGEPDRGPPQNYRRPPP GYDRPPQGYGRPSRGCNPQLAENLARDYGFRRARVVDITPRRVIVQGWTRRGQDEMSF GNVRGCPALRR YP_002976737.1 MTHFLTKASLAALLALCTIPATVSTAAAAGPQTNFVVEAQYHRP IRGCSPMHAVRKARDFGLRDARITRMSPRVVVVAGRERRGWDRITFANVRGCPLIRR YP_002976738.1 MPQTILTLSTRGQGLYEFTHQAEAFVSASGREEGLLTVFVRHTS CSLLIQENADPDVRTDLLSFFHRLVPPASDPEMDWVVHRAEGPDDMPAHIKAALTQVS IGIPVARGRLMLGTWQGLYLFEHRDRPHRREIVLHFSD YP_002976739.1 MSYVDGFIVAVPKGNIEAYKEFSTFAGSIWKEYGALEYVECIGD DVPYGELTSFPRAVQAKEDEVVVFSWIVYSSRQERDDINAKVMDDPRLKGDQWQMPFD GKRMIYGGFEVLLRL YP_002976740.1 MHDALKAATAPVRFGPKHHSAEPRVTTNFLSCVLAAVFTSVPAV ALAEWQAVEEVRPYSISGTSGAGLYESIGERGPKAGGFGRAIAHTTFKLTWTRKYEPQ GNACVIVTNLPKLIITYTLPKPSAALPAAVKSSWEAFISGVQAHERVHGETIKEMVKE IEATSIGLTVADDPDCKKIRIELTRRLGEISQRQRQRGRDFDKIEMGDGGNIQQLILK LVNGP YP_002976741.1 MDISQGPGSVLRHPGYLNFAASRVFSSLSFQSIGIAMGWMIYDQ THSAFALGLVGLCQFLPMAVLTFVVGHVADRFDRRRIGLVCQLIEAVTALVLAVATWQ QWLTPAGILAAVTVLGAVVAFERPTMAALLPNIVPASMLQKAVATSTSLMQTAMIIGP SLGGLLYGLHPVAPFAISALLFAVASFNVISIRMQWSPAKREPVTLASVFAGVSFIRS RPVMLGTISLDLFAVLLGGATALLPMFASDILHAGPWGLGFLRAAPAVGALAMSIMLA RRPLSSNVGRKMLAAVAVFGVATIVFSLSTNIALSVVALLVIGASDTVSVVVRSSLVQ LLTPDEMRGRVSAVNSLFIGTSNQLGEFESGMMAAALGPVATGIVGGFGTIVVVLLWM RLFPDLTKVKTLQG YP_002976742.1 MISAGRLVIESLLLNLGSRDVLSAEEESHLRAIIVKDRYFAAGE DLVSEGSRPAYSTLLLDGFAARYKVMADGSRQITALHVAGDFVDLHAFPIKKMDHGIV ALSSCHVAFADHADLKAITERMPHLTRLLWLDTLVDGAIHREWIVAMGRRSKRAHIAH LVCELFVRLQVVKRTRGASFQFPLTQIEMADVLGVSVVHLNKTLQALRREGVFTWENR TITIVDWERLQEIAEFDPGYLSIFREPR YP_002976743.1 MARYYFDLHNGEGPTRDEHGTELKSREDIPKELTRILLDVARDE LPAGDRMTIAITVRDESGDPVTVASLVFNNEWLDVLR YP_002976744.1 MPKFYFQLFDRDGAGTTETAYDFESIEAAKAEARRVLAEMATEG LPTAPLNMMSVELFDESRRPLAEIRLILEEIAK YP_002976745.1 MSDKSFKIPGPDHPITVEHNPSRVVVTLGGKTIADTHDALTLCE ASYPPVQYIPRKDVDMSLLQRTDHSSHCPYKGDASYYSIIPGGERSKNAVWTYEAPNA AVSNIKDHLAFYPDRVDGIEEMASPEAGAF YP_002976746.1 MQFSHSRAMWQAFPELRAGALHSEGIHADADVEAAIASFSAIAE ARLAKAQEGEFPEIQAWRRGFSRMGLKPTQYRCASEALLRRFRQEHALPRLHPLIDLC NSISLAFAIPIAVFDTEKIAGDLEVRRAKGDETYLTFAGESEHPETNEVIFADAEDRA HARRWTNRQSGLSAVRETTHSVLIVVEALHVSAGDDIARLVETVADALARHWPAAPKT AMLSFVSPRFEF YP_002976747.1 MSRQVQGYVYLALAMLTVGSTVIASKLIASGLPPFSATALRFAI AFPVLLLLMRATGARLPRFSRHDRLILIIQAGAGSVGYTTLLISGLSLTSAADAGVII GTLPVVSAAISILLLRERPQRALLLAVALATSGVLSIAFTPDAAGGSLAGNALIFCAV VCEGLFILLNKKLKTEVAPLTLSTLMAGIGFIVAAIPAIFEAPFADGISASAVAAVVY YALVPTVGGFLLWYAGAERVSGTEAALFTALAPVSAVMLAVIILGEPVGLSQIAGIAC VLAAVLGLAFAGSRSLKPAGGR YP_002976748.1 MAKNQFRMLRSALAGVEAVEAETHHSFARHTHEQFGIGLISAGA QSSLSGRGMVEAEAGDIITVNPNEVHDGAPIGEGRSWRILYFDPAIVSGLSREITESG VGRSEIPHPVIRNAAIAARFEMLFGAVTGGGTAEGLLCDELLLQLVADVMRERSGTEE RPLVPASIRAARNLIDDDPLAAVSLDDLSRESGLSRFQVLRGFAKATGLTPHAYLVQA RIHIARRMIAQGMPLAEAAFASGFADQSHMTRVFVRKYGLSPRLYAGAFL YP_002976749.1 MSIFQEDQPPSAGALDARLAGYRFERDALGRSAASVFRLEALGL PTLYLKVEQAGPFGELADEAARLRWLQTSGLPCPDVIAEDSDGVHNRLLISALPGSDL TSASALTPPARVELLAIALLDLHRLPIASCPFDHRLERRVAAAKARMQAGIVDETDFD ETRVGKSAEALFAELESGKPSREDLVVIHGDACLPNFVASEEGFSGYIDCSRLGVADR YQDIALACRSIAHNFGEALVQPFLDRYGMPATDPARLAYYQLLDEFF YP_002976750.1 MSNEIERFFERQDEAVSALFLENKGDELTDILVAALEEAFEILL EAAPAETVH YP_002976751.1 MTEEDVEPAEAQHETGKQRPEIRWGVVASVVAHIPIIALLIFGL PKIEPKPVEDETVKVELVPPPEEKKPEPKEKPPEPKPPEEVKKEPPPPPPPPPPPPPP PPPPASKTPEQAKPRPMPTLSPVIEFGDRNSGPEKSLAGNSPQGETKPATTPPQHDAE PAQMPTKTAAEKPKTEEPPSKPVPDDVMLPEVATTDVSPERNGPPTDVSGEANTSIEE AKRPEQQTAAKPKVIAKDDLPKAKTLFSRTEDGSLFAQTAVSGLPRKERVATLCTTEL QGQLVHGSPPYFPSALPSFGLRTGTILDVRDAAFGTVKGWYQVRFRCEVDEEATKVVS FAHEVGGLIPRSQYAKYEIRD YP_002976752.1 MELAAGKWDDGIQRGAAASFALHAIAILLLLLLLPKAEPPLPLP DDGLSVEIVPQAAESLKMPEPAKTPSPEQAKPEAAKPEAEPAAPVANNPPAAAMPSVA PITLPVPKPMEFVEARQLFSDKVLADPRSRRAREALRGLAGSERNLQLCDLEALEQVR RAQPAMAPDTLAPYAMAAEKVSGNSVEVKGGAFRSKRKWYNIQFKCELDAGSGKVVSF AFLVGDAIPQDEWQEHNLVADDGAADQ YP_002976753.1 MTTYILLINWTDQGIRNVRDSAKRLDAAKKLLASVGGSFNQFFL TMGGHDMVAVCEAPDDAVMAHFTLSLAMGGNVRTETLKAFPEAAYRDLIGSLG YP_002976754.1 MATKVKENEDAEVERDGASDGPLLDLSDDAVKKMIKAAKKRGYV TMDELNAVLPSEEVTSEQIEDTMSMLSDMGINVIEDEEAEEAGASGSSDDDDAGSDEE SEGGELAPSSGTALAAAKKKEPTDRTDDPVRMYLREMGSVELLSREGEIAIAKRIEAG RETMIAGLCESPLTFQALIIWRDELNEGTTLLREIIDLETTYSGPEAKAAPQFQSPEK IEADRKLAEEKEKTRRARSGDDDITDVGGEGLPAEEEEEDEDESNLSLAAMEAELRPQ VMETLDTIAETYKKLRKLQDQQVEQRLSASGTLSTAQERRYKELKDELIKAVKSLSLN QNRIDALVEQLYDINKRLVSNEGRLLRLAESYGVKRDSFLEQYQGAELDPNWMKSIGN LAARGWKEFARGENTTIRDIRQEIQNLATETGISISEFRRIVHMVQKGEREARIAKKE MVEANLRLVISIAKKYTNRGLQFLDLIQEGNIGLMKAVDKFEYRRGYKFSTYATWWIR QAITRSIADQARTIRIPVHMIETINKIVRTSRQMLHEIGREPTPEELAEKLAMPLEKV RKVLKIAKEPISLETPVGDEEDSHLGDFIEDKNALLPIDAAIQANLRETTTRVLASLT PREERVLRMRFGIGMNTDHTLEEVGQQFSVTRERIRQIEAKALRKLKHPSRSRKLRSF LDS YP_002976755.1 MLYEGSCHCGNVAFEVEGEFTEALDCNCSLCRRRGGLLAFVPRE KLVLKTPEDNVSTYTFNRHVIRHHFCANCGIAPFGEAVGPNGAAMASINLRCIPAVDI GALTVKAYDGAAR YP_002976756.1 MSNMMKALVKAKPEVGLWMENVPMPEVGPNDVLIRVKKSAICGT DVHIWNWDQWAQKTIPVPMVVGHEFSGEIAEIGSAVTRYHIGERVSGEGHIVCGKCRN CRAGRGHLCRNTLGVGVNRPGSFGEFVCIPESNVVPIPDDISDEIAAIFDPFGNAVHT ALSFDLVGEDVLVTGAGPIGIMGALVAKRSGARKVVITDINPHRLELARKLGIDHVVD ASKENLADVMKAIGMTEGFDVGLEMSGAAPAFRDMIDKMNNGGKIAILGIAPAGFEID WNKVIFKMLNLKGIYGREMFETWYKMIAFVQGGLDLAPIITHRIGIDDFRDGFEAMRS GNSGKVVMDWM YP_002976757.1 MTSQFLSHLSNEISALKDAGLYKSERVISSKQAGEIAISTGERV LNFCANNYLGLADNEELAEAGKQALDRYGYGMASVRFICGTQEEHKQLETRISSFLGM EDTILYSSCFDANGGLFETLLSEEDAIISDALNHASIIDGVRLSKAKRFRYANNDMAA LEEELKKAEGSRFKLVATDGVFSMDGIIANLGGVCDLAEKYGAMVMVDDSHAVGFVGK NGRGSPEYCGVEGRIDIITGTLGKALGGASGGYTSAKAEVVEWLRQRSRPYLFSNTLA PVIAAASLKVFDLIEDGDTLRKRLSDNADLFRSEMTKLGFTLAGEGHPIIPVMLGDAK LAQDMASLMLKKGIYVIGFSFPVVPKGQARIRTQMSAAHSRVDVERAIAAFAEAGREL GVI YP_002976758.1 MAQNIYDRPEFFAGYSGMRRSVHGLDGASEWPAVRALLPDLSGK RVVDLGCGFGWFSRFAVSQGAASVLALDISEKMIARARADMTEAAITYEIADLEHLRL AQASFDFAYSSLALHYIEGFAGLAATVYRALLPGSQFVFTIEHPIFMAPTNPAWATDA EGRRIWPLDRYSVEGPRTTDWLAKGVVKQHRKLGTTLNTLIAAGFAIRHVEEWSPNED ELQDNPDWAEEMDRPMFLLISVQR YP_002976759.1 MSLTERNEPGFSASGALFSSGRSPLDILKQVYGYSSFRGKQQQV VEHMVSGGDAVVLFPTGAGKSLCFQIPALCRDGVGIVVSPLIALMRDQVEAMKQLGIR AAALNSSLSREEFVEVRRALSAGQLDLLYVTPERILTDGFRELIANEKIALFAIDEAH CVSQWGHDFRPEYRELGRLGEQYPGVPRVALTATADPHTRDDMIERLGLDTARIFTTS FDRPNIAYEIVERDQPRQQLLRFLSGHKGDSGIVYCLSRAKVEDTAEWLNGQGIRARA YHAGMDRAVRDANQDAFLKEENLCLVATVAFGMGIDKPNVRYVAHLDLPGSVEAYYQE TGRAGRDGLPSEVWMAYGMADVIQRGRMIDEGSAAAEIKRVERAKLNALLAICETASC RRQAILAHFGEAHAGQCGNCDTCLKPVETWEGTEAAIKALAAVYRTGERFGAGHVVDV LLGNVNEKTERFGHAEMPVFGAGKDIPARVWQSVFRQLLAMGLIRVDHEAFGALKLEP EARSVFKHERQVFFRKDRPASERRTKKAERSERKSGLSGADGSLFEALRAERMAIAKS LGVPPYVVFPDTTLIAFATEKPRSRKELLAISGVGQAKLERYGDAFLEIILAQDNG YP_002976760.1 MRFSNTFLDDIRDRVPISNVIARRVSWDKRKTNVSRGDYWACCP FHGEKSPSFHCEDRKGRYHCFGCGVTGDHFRFLTELEGLSFPEAVQQIADMAGVPMPL ADPVMEKREKERGSLIDVMEMATQFFQDQLQTSNGARARAYLRDRGLTGRTIETFRLG YAPDSRNALKEFLAGKGVSKDQIEACGLVVHENVPVSYDRFRDRIMFPILSSREKVIA FGGRAMSADAPAKYLNSNETELFHKGNVLYNFARARRAIQGPGRGDPQDDNATGTIIA VEGYMDVIALHQAGIENAVAPLGTALTENQLELLWKMVPQPMLCFDGDGAGIRAANRA AELALPHLKPGRSVRFALLPDGKDPDDLVRDDGRAPFDKVMSQAKPLSEMLWSREVNT GKFDTPEARAELEARLKQLVAVIADENVRRHYQQDIRDRLNAFFQPQFQNRNNGDRRG GFNGNGNYRTGRDNAGKAGPKSPSVISERLARSGPVRGHQNNTALRECVLALTVVNHP SLMIDDYDEIAAIEYDSRELQRLWSAMLGAAAAVAGPHLTREYLTERLEFEGFGPLIK SLDQQVRNARLWIATEEAAMEDAREGYRQALASHKRAKALRRQKIELEREIALATEAG DGEAIVQLMRAQQEVHFEGVRLENQEAIIDGFGVLSGRVKGAANH YP_002976761.1 MAFTADNLAADDRFLAAILHCADQMLAIYRESPRIASIFAAQQR WLMAHAGFALHYGYSDDGKSGGLYSGRFIDFAVRNNIASRNTAAAFMQEMLAYRFLRP VPGPDKRTRYLEPTEIAEQHFTRWLVAHMMILDSLDGGERADKITANPSAMMAAIQPP IARAIIGSEAVRNPGATFNLFNWANSGGLVMDYLISRLPEFPRTADRVVLGPLSLREI REQFMISNTHLKRLLMQAATMESIGWTEPSRKGDFWLSAHFIREYWNYQAAKFAIIDA AAEAVLGPAVRDEPQARRVI YP_002976762.1 MLRDQLATQLKEAMKAKNAERLSTVRLIQAAVKDRDIANRGTGK EQASDDEILQILAKMVKQRDESAKIYEENSRPELAAKERAEIIVIQDFMPKQLSDGEV RANVSAIIAETGAAGAKDMGKVMAALKERYAGQMDFAKASATVKELLNG YP_002976763.1 MKMTATAPWTIEKPTALLVLADGTVIEGKGIGATGKVPAEVVFN TALTGYEEILTDPSYLGQIVTFTFPHIGNIGTNDEDIEDLTPAARHGAVGVIFKADIT EPSNYRAAKHLDQWLKARGVIGLCGIDTRALTAWIRENGAPNAVIAHDPNGVFDIETL KAEAKAWSGLEGLDLAKIASSGQSSQWTETPWVWNEGYGELKATDAKYHVVCLDYGVK RNILRLFAGLDCKVTVVPAATSAEDVLAMQPDGIFLSNGPGDPAATGDYAVPVIKTLI KTDIPVFGICLGHQMLGLALGAKTEKMHQGHHGANHPVKDHTTGKVEIVSMNHGFAVD SKSLPDGVEETHISLFDGTNCGLRVLGKQVFSVQHHPEASPGPQDSHYLFRRFINMVR EKKGEPALAER YP_002976764.1 MSGAFFRVDKFIVPAAAREEFLVKVMMTHKVLEAQDGFIDHRVL EQVAGPGEFNFVTIAEWENTEVVERARAAVAAAHRAANFDPQEMFARLGIHADIAGYK PVAA YP_002976765.1 MDTPIDARRLAPTNDNRWGAHFRATLALGIPLIGAQLAQLGINT TDVMIVGRLGAEHLAAMVLAAQFLFTILIFGSGFAIAVIPMVAQAYGRGDLVSVRRSL RMGLWVVIAYWVIMQPAFFNSEQVLLFAQQKPEVAKLAHGYIMIGQFGVLPALLFNVM RSLVSAIGKAGIILNVTIATLVMNAIFAYILVLGHFGFPAMGLEGAAIVSVAVQTAGF LFILAFVQRREETRRYEIFVRFWKPDWHALLEVIRLGFPISVTILAEVSLFTVASLLM GYIGTIELAAHGIALQWASIAFMIPLGLSQAATVRVGVAHGQGDYQGLVRASITVLIL ACAISAVGSVLFASMPQFLGSWFLDVNSPEAPEVLAYAGPLIVVAGLFQLVDGLQVIA NGLLRGLKDARVPMIMALIAYWPIGFFLAWAFAFPLGFGGIGIWFGFLVGLAAAAVML CARFYLLLRREAELAHA YP_002976766.1 MLDPKFVRRGLFLVFIILFLDIIGIAIIMPVLPAYLEQLTGGSV SDAAIDGGWLMLVYAGMQFLFAPLLGNLSDRFGRRPILLLSVLTFAIDNFICGIATSF WMLFVGRVLAGISGGSFATCSAYIADISTEENRAKNFGLIGIAFGVGFTIGPVVGGVL GEFGPRVPFLGAAALSLVNFIAACFLLPETLEAKNRRRFEWKRANPLGALRQMRHYPG IGWVSLVMFLFFLAHAVYPSVWAFVSTYRYGWSEGQIGLSLGIYGIGAALVMGLVLPR IVPVLGEWKTALLGLCFSAAGLTGYAFAWEGWVVYVVIVATVIENVADPPLRSIAAGM VPPSAQGELQGALTSLSSITTIVGPLIFTQMFSYFTRPEAPVTFAGAPYLAAALFILV AAGVFLLRVRAREPAEALEAAG YP_002976767.1 MQTYRLGKTGPDVSAIGLGCMGMSGMYGPSDRAESIATIHAALD AGINLLDTGDFYGMGHNEMLIGEALKGRRRENAVISVKFGGLRDPVGGWSGIDARPVA VKNFLSYTLQRLGVDYIDIYRPARLDPNVPIEDTVGAIADMVKAGYVRHIGLSEVGAD TIRRAATVAPIVDLQIEYSLISRGIEKKILPTTRELGISITAYGVLSRGLISGHWQKG QGGTAGDFRAYSPRFQEGNIEQNLALVEKLREIAQAKSVSVAQIAIAWVAAKGKDIVP IIGARRRDRLTEALGSRAIDLSPEDFAIIEHAVPKDAAVGGRYPEHMLQHMDSEK YP_002976768.1 MEWKGRRQSDNIEDRRSDPTGGGFGRGGGFNFPSGGGVRRAGGG LSIGTIVFLIVIYLIFKMMGIDLLQMLDTGGTTSGPGYEQSQSGGTRTPANDEMTAFM RTVLAETEDTWKGIFQAQGQNYEEPRLVLFSGSTASACGSASSATGPFYCPSDHKVYL DTEFFQELSDRFGASGDFAEAYVVAHEVGHHVQNLLGILPKFNQARQRMSEADANKMS VRVELQADCFAGIWGKYTQQKGLLESGDLEEALNAAQQIGDDSLQKRSQGYVVPESFN HGTSEQRVRWFKRGFDSGQLSACDTFSGPI YP_002976769.1 MTLEPLLDAPFAVQIHVAAVTPAALLGAYILLRPKGTPLHRLLG RIWMALMVMTAISSFFIHELDLFYGFSTIHLLSIATLVGSWNAIAAARRGDIRLHKRI VAGLYFGGIVLAGLFTFVPGRIMHAVIFTGAEWPAVLAAAVIGSILIAIALRRRRGRL IAR YP_002976770.1 MIHLFLQSTLRELQVFLHSRRFWGTFAAIVLLFAVTGPYGTMEN MAFGERLAYWLSLHALAWVIAILCAVAAERLLRGLIVSMFARMMAGSLIAALPIGFAI GLVDYVFTGEATTLESGLQRALFAVPLCALFCLLTYMAMSQKIAEAAAPQETSPGASI LDRLKPENRGSLLRLSVQDHYTEVVTSRGRELVLLRFADALRETAATPGLRVHRSHWV ADAHVESLKRDNGRLLILTRDGKAIPVSRSYAGEVRRRFT YP_002976771.1 MPKRQDIKSILIIGAGPIVIGQACEFDYSGTQACKALKEEGYRV ILVNSNPATIMTDPGLADATYVEPITPEVVAKIIAKERPDALLPTMGGQTALNTALSL KRMGVLDRYNVEMIGAKPAAIDMAEDRALFREAMARIGLETPRSMLANATDIKDLDRK THEAERIKLRESLSGSDLDKALDELENQWNLGESDRKQRYMSHAMAIAAQAIDHVGLP AIIRPSFTLGGTGGGIAYNRSEFFEIVGGGLDASPTTEVLVEESVLGWKEYEMEVVRD KADNCIIICSIENIDPMGVHTGDSITVAPALTLTDKEYQIMRNASIAVLREIGVETGG SNVQFAVNPKDGRLVVIEMNPRVSRSSALASKATGFPIAKIAAKLAIGYTLDELDNDI TGGATPASFEPSIDYVVTKIPRFAFEKFPGASPVLTTAMKSVGEVMAIGRTFAESLQK ALRGLETGLTGLDEIEIPGFEEGESSQNAIRAAIGTPTPDRLRMVAQALRQGMSEAEV HEGCKIDPWFIAELKAIVEMEARIREHGLPQDATNLRMLKAMGFSDARLATLTGKRPK EVAEFRNKLNVRPVFKRIDTCAAEFASPTAYMYSTYETPFVGAARSEAEVSDRKKVVI LGGGPNRIGQGIEFDYCCCHAAFALKDAGYEAIMINCNPETVSTDYDTSDRLYFEPLT AEDVIEILRAEQEKGEVVGVIVQFGGQTPLKLAEALEKNGIPILGTAPDMIDLAEDRD RFQKLLMKLDLNQPNNGIAYSVEQARMVATEIGFPLVVRPSYVLGGRAMQILHSEGQL QSYLLDTVPELVPEDIKQRYPNDKTGQINTLLGKNPLLFDSYLSHAIEVDVDCLSDGT DVYVAGIMEHIEEAGIHSGDSACSLPPRTLSNEMLDELERQAKAMAKALNVGGLMNVQ FAIKDDVVYVLEVNPRASRTVPFVAKTIGAPIAKIAARVMAGEKLDATFAAYGEKPDP RKLKHIAVKEAVFPFARFPGVDTLLGPEMRSTGEVIGLDTDFALAFAKSQLGAGVELP RDGTVFVSVRDADKPRVLPAIHILVEQGFKVLATGGTARFLGENGITATKINKVLEGR PHIEDAIRNRQVQLVINTTDGNKAISDSKSLRRATLMQKVPYYTTMAGAEAAAQAIKA LKAGNLEVRPLQSYFA YP_002976772.1 MRRVVLSGRGELAEWRDAARAFAAAGILPEEIEWREKRAEPGFA FQHDAMPPPSAAARKPMTVPPAFLELAETVLCHSDPARFSLLYRLLWRLQLDRQLLEV ASDEDVARARLMAKNVRRDAHKMTAFVRFKEVGAVSAGRRKFLAWFEPDHHIVGRTAP FFQRRFTDMDWLIATPKGSAAWDGERLTMSDEPCEKPNLTDATDDLWRTYYASIFNPA RLKLKAMQAEMPKKYWKNLPEADLIPGLIASAESKVRAMAAREATQSLPFHDRLQEAA RSIPAEPEAPAGTLEALGAEAAACTRCPLHAKATQTVFGEGPRDAEVMFVGEQPGDQE DISGRPFVGPAGRLLDQVISEAGIDRSTLYVTNAVKHFKYEPRGKRRIHQKPNMGEVK HCRWWLNLEMALIKPKLVVAMGATALSALTDTKQRLQDVRGKAIAIDERRTLFVTVHP SYLLRIPDERLKAEELARFREDMLTVQRLMLAAA YP_002976773.1 MNILMKKSLTERLAILSDAAKYDASCASSGTVKRDSRASGGLGS TEGSGICHAYAPDGRCISLLKILLTNFCIYDCAYCVNRSSSNVERARFTPEEVIWLTL EFYRRNYIEGLFLSSGIIRSSDYTMEEMVRIVRELRVTHNFRGYIHLKSIPEASPRLI EEAGLHADRLSLNIELPTDHGITRFAPEKKPANIRRSMGDLRLKIEAAGEPTLQTKKR QRFVPAGQSTQMIVGADGANDATILATSGRLYSSYGLRRVYYSAFSPIPDSSKNLPLI KPPLMREHRLYQADWLYRFYGFGIDEITANQADGMLDLTLDPKLAWALANRAEFPVDI NKAERERLLRVPGLGTKTVKAIVSARRFRRLRLDDLSRLGISIKKVQSFISADGWSPR RLIERPDLRAMFEPKPEQLSLL YP_002976774.1 MVEKVPMTPGGFVKLQEELRWRQQEERPRIIEAIAEARAHGDLS ENAEYHAAKEAQSHNEGRISELEDLTARAEVIDLTKMSGDKIKFGAKVKLIDEDTDEE KTYQIVGDQEADVKAGRISISSPIARALIGKEVGDSIEVNAPGGSKAYEILQVSWG YP_002976775.1 MPDIRDVEIIAPNFKRRLSGVTSTIVQLIPCQIRLGIKIATLGP GLPDGLPKLKGRQLLGLWRPPARRRQRVWHARRNNEMAVGILLRHLLRMPLKLLFTSA AQRRHTAYTKWLIRRMDAVIATSDRSGSFLEVPHTVIQHGVDLALFHPPETAEDGIAA TGLPGRHLVGCFGRVRHQKGTDLFVRAMVDLLPQHTEWTAIVSGRVTAEHTAFGDKLK ADVAAAGLSDRILFLGEVPDIKVWYRRLTLYVAPSRNEGFGLTPLEAMASRTAVVASD AGAYAELLVTGETGSVVAAGDGEALTRAIAPYIADPALALAHGENALRHVRANFALEK EASAIGAIYNSLLGDNRS YP_002976776.1 MIAAPLDAVADSKGKKPFYSHLYVQVLAAIAAGILLGHFYPEFG TQLKPLGDAFIKLVKMIIAPVIFLTVATGIAGMSDLQKVGRVAGKAMLYFLTFSTLAL IIGLIVANVVQPGAGMNIDPASLDPAAVAGYAAKAHEQSIVGFLTNIIPTTIVGAFAD GDILQVLFFSVLFGIALAMVGEKSEPVVNFLNALTAPVFKLVAILMKAAPIGAFGAMA FTIGKYGVGSIANLAMLIGTFYLTSLLFVLVVLGAVARYNGFSIVALLRYIKEELLLV LGTSSSEAALPGLMNKMEKAGCKRSVVGLVIPTGYSFNLDGTNIYMTLAALFIAQATG IHLSWGDQILLLLVAMLSSKGAAGITGAGFITLAATLSVVPSVPVAGMALILGIDRFM SECRALTNLVGNAVATIVVARWENELDTAQLAAALGGQTGESAPAAGLQPAE YP_002976777.1 MHRSAMSVSQKLWPSLPVQHRIRRMWWAYAALALVAVVASLWTS GEIGRHRAEAALEEQARMDARLNAALLRTVLEKYRALPFVLSQDTAIAAALAGSDVGT FDRLSQKLEMLATGTKAAVIYVIDKDGMAVSASNWREPTSFVGNDYRFREYFQGAVER GQAEHFALGTVSKKPGLYISQRISGSNGLLGVVVVKVEFDDVEADWNASGTPSYVVDE RGIVLITSLPSWRFMTIGRIAEDRLTAIRESLQFGAAPLQPLPLDPIRNLGDSLDVVE IVMPGDAGKTRFLDVGMPVPATGWQLQHLVALGPSVDAGIRESRMLALLILLPLLAGA AFLLRRRHAITLRISREQQAREELERRVSERTLDLSQARDRLQAEIIGHKSTEQKLQA VQQDLVQANRLAILGQVAAGVAHEINQPVATIRAYADNARTFLDRGQTAPAGENLESI AALTERIGSITEELKTFARKGRGSAEPTGLKDVIEGAVMLLRSRFAGRMDTLDIDLPP DELQVMGNRIRLEQVLINLLQNALEAVAPKAGEGRVEIRTSTDAGMVTVTVADNGPGI PPEIRKGLFTPFNTSKESGLGLGLVISKDIVGDYGGRMEVASDSGGTRFIVQLRKA YP_002976778.1 MDTLMPVALIDDDKDLRRATAQTLELAGFSVSAYDGAKAALADL PADFAGPVVTDIRMPEIDGLQLFATLQGMDVDLPVILMTGHGDIPMAVQAIQDGAYDF IAKPFAADRLVQSVRRASEKRRLVLENRMLRKAAEDAQENLPLIGQTPVMENLRNILR HIADTDVDVLVAGETGSGKEVVAQLLHQWSHRRKGNFVALNCGALPETVIESELFGHE AGAFTGAQKRRTGRIEHASGGTLFLDEIESMPAATQVKMLRVLEMREITPLGTNEVRP VDLRVVAAAKIDLGDPAVRGDFREDLYYRLNVVTISIPPLRERRDDIPLLFSHFAARA AERFRRDVPPRSPEVRRHLASHTWPGNVRELSHYAERVVLGVEGGGAAAVPPHPTGET LPERLERYEAEIIRDALSANDGDVRRTIEALGIPRKTFYDKLQRHGINRAGYISRK YP_002976779.1 MRIPRLYIEAPRGELKKGNDVGRAELDVIDIKILRELQADGRMT NVELADRVGISAPPCLRRVRKLEEAGIIEGYHAMLNSPKLGFDLVAFCMVGLKHQSEG NLKAFAAATTEWPLVRQAWMVSGDSDFLLHCVAENLTRFQDFVIEVLTANEHVDTVRT MLTIRQVKKLGLVEV YP_002976780.1 MPARHTKVLIIGSGPAGYTAAVYAARAMLKPVLIAGLEQGGQLM ITTDVENYPGFADPIQGPWLMEQMLQQAKHVGAEIVNDLVTEVDMNQRPFVARTDSGQ VWTTDTLIIATGAKAKWLGIESEQHFQGFGVSACATCDGFFYRNKDVIVVGGGNSAVE EALYLSNIAKSVTLVHRRDFFRAEKILQERLFSKDNVKVLWNTEVAEITGTPAKPPMP QSVSGARLRDVRTGTITDVVIDGVFVAIGHAPATELFKDKLKLKDNGYLWTAPDSTAT SLDGVYAAGDVTDDTFRQAITAAGLGCMAALEAERYLTGHMPVAVAAE YP_002976781.1 MRGGGMPLDWDKLRIFHAAAEAGSFTHAADKLHLSQSAISRQVS ALEHDVGTKLFHRHARGLILTEQGELLYRTAHDVLLKLETVKMQLTETTETPSGKLRV TTTVGLGQGWLTDKIQEFLQLYPDVQIQLILDNEEVDVNMRHADCAIRLRQPQQSDLI QRKLFTVHMHVYAAPSYINRHGEPQKVEDLDNHRIITFGEPAPSYLLDVNWLEVAGRS SDNKRIPHLQINSQTSIKRAALLGIGVACLPDYIVGRDPGLIQLAINADVPSFDTYFC YPDEIKNAAKLKAFRDFIVSKARNWNF YP_002976782.1 MDKDEIIKALAHPARMDILNWLKNPEEHFPSQEHPFEMGVCASQ FERCGLSQSTVSAHLGTLHRAGLVTTKRVGQWIFYKRNEETIAVFLKQLTQDL YP_002976783.1 MPLALLVLALSSFAIGTTEFVIMGLLPEVAADLSVSIPQAGWLV TGYALAVAIGAPVMAISTAKLKRRTALIALMAFFIAGNLLCALASDYWVLMIARVVTA LCHGAFFGIGSVVAAGLVAEDRKARAVALMFTGLTLANVLGVPLGTAIGQAYGWRATF GVVTVIGIFTISGLIAILPRDKQQENGSILREIAALRNGGLWLALSTTVFFAASMFTL FTYIAPLLRDVTGVSPEGVTWTLFLIGLGLTIGNLVGGKLADWRLGATLAGVFAAIAI TSIAFSYTSRFFIPAEITLFLWAMASFAAVPALQVGVVGFGKDAPNLVSTINIGAFNT GNALGAWVGGLVIDAGFDLTRVPLAAALMALIGLGATALTYLSARGRAALAPAE YP_002976784.1 MAKLFEPTKVGDISVKNRIVMAPLTRNRSPGAIPNDLNVEYYRQ RATSGLIITEATAITHQGQGYANVPGLYSKEALDGWKRVTDAVHAAGGKIVVQMWHVG RISHTTLQPNDGKPVSSTNRIAKAKTYLVNADGTGSFADTSEPRALETAEIPGIIEDY RKAARAAIDAGFDGVEIHGANGYLLDQFIRDGINDRTDQYGGSIENRTRLTFEVVDAV VKEIGAGRTAIRISPVTPSGESYDSNPQATFANVVEGLAKYDLAYIHVIEGQTGGDRD YKQGDNPAFDYKALRQTYEKAGGKADWMVNNGYDRNLAIDAVESGRADLVAFGKPFIA NPDLVERLVHNLPLNTPDQSTFYGGTGKGYIDYPILEKVA YP_002976785.1 MFAPYLDRWSLISDGEPIITHSSRLLPVLWQDRPAMLKVAADID EUYGALLMQWWDGDGAAYVYAHEGDAVLLERATGKRSLLAMAMNGADDEASRILCRTA ARLHAPREKPLPDPISLTRWFRDLEPAAGKHGGTLADCSAIANVLLADQRDLTILHGD IHHDNILDFEARGWLAIDPKRLHGERGFDFANIFANEELPTITDPARFRRQLAVVSAE AKLEPKRLLQWIAAYSGLSAAWFLGDPNIQQAETALTVARIALAELQT YP_002976786.1 MSSEMKTTALVLGATGGIGSAVARKLLARGWSIRALNRDVAKAS RSEPAFEWVQGDAMNAGDVLRAAEGVSLIVHAVNPPGYRDWETLVLPMLDNTIAAARA VGVRIVLPGNVYNFGPDALPAPTEESPQHPVTKKGAIRVEMEKRLKAASQSGAGVIIV RAGDFFGPGTTANSWFSSGLVTPGKPVGTIRNPGRRGVGHQWTYLPDMAETIAQLIER ADLLPDFAVYHMEGFWDADGMQMAEAIKRIAGGKAKIGGFPWWIVPFAAPFVPVMREI KEMRYLWKVPLRMRNARLTAELGREPQTPIDEAVRASLVALGCLPELHRETTAALIRQ LPESAG YP_002976787.1 MSVEPSWDFYRSFLTVLQQGSLSAAARELGLTQPTIGRHVDALE LAIGAELFTRSPNGLLPTDAALALKPYAETLAATAAALLRTASGQREHVAGTVRVSAS EVIAVEVLPAILGPLQETYPELQIELSASDMIEDLMNREADIAVRMAEPQQAALVVRR IGDIPLGFHAHRRYLERHGIPQTLADLTNHRLIGFDRQTAYVRMAMKRYAVPDIKFSY RTDSNLAQLSAIRAGVGIGLCQIGLARGNPDLVHVLPDAFAIPLGTWVAMHESLKTSP RCRATFDALVKGLQDYHRYSTGA YP_002976788.1 MRIHNPVELVPNDPQWPQSFRRIRNRLLALLPQALFIDHIGSTS IPGMIAKPLIDIDIVLPGLEHIEDATGVLLAEGYEPRGNRYDDEVWAFLSKGSVPAER VYLCPSGNGTHRNRLAFRDYLIAHPLAAADYAALKRRLAAEFRMDGDGYTAHKREFVD AIVARALAGDG YP_002976789.1 MIKVVYEVVPHDGGWAYRLGGVYSEAFPTHADALEAARIVAAEQ QVGGDSAEISWQDENGKWHEEYAEGGDRPETEVVDGQWKDRPAEASQGIGS YP_002976790.1 MRIASRFKEEKFLVAALVVAAIAYLLEHAVIGMGRGVALIAAAG LVGTIVLASIRVAHHAELIAVKVGDPYGTMILTLSAVAVEVIILAIMMSGESSPTLVR DTIYSALMLDINGILGLAALLGGLKHGEQPYNDNSGKTYGVMILTAMGISMIVPEFVP SDKWHYYSAFTIVAMIALYGLFLRMQVGQHSYFFSYSYPRSERKKESPDEHGPDESAA ISIATILVGVVIIGLLAEFMAAFMTEGLRDSGAPIPVTAVVVAAISAAPEILTALRAA LRNRMQATVNIAMGASLSTVILTVPVMEVIALYTGQPFIMAMTPVQTVMVAITLIAAA INLNDGETNAIEGMTHFILFATFVMLTALGL YP_002976791.1 MEAIPSDTQTMAASDSGFNFLTPDAWKALLSHYSHIVLVANSEA VDFKRLRSELPETALYVFFNNVYKVLDEPFAGHAVLFARSGVMGANIVHRREAAEVLR FFAGDDFLGVVNIRVSPEENFSEEGRFEGAETRHLDLTKMLVDLYPVGKIATSGFAVA LWLAELQLPGKVLLAGFSAKRSEKWKVFDVHDWTFEQIFLRLFARMGTISMMGGVDAS PYAAIARRFPQVPPIEIAMTAAEVLSERLHNANSQIDRLMSVTKSIRAIEGFFRRFKP KTRKQRFLEKSKE YP_002976792.1 MQQSAVIVCSDVNMLPAACCTLLSVKRNLSGPAVEFLLLGIDLK PNEIAEVGNFARLHGMTIKVLPYNTPDTLQARGRWSGATLARLYMDLYIPDHVERLLY LDADVLAVAPVDDLFAMDLQGKALAAIDDYVMAFPEKAGARQRKIGMREGGRYFNAGV LLFDWSACRARGLFARTREIFEERSYLFENNDQDALNVTFDGDWLVLDPRWNTQTGLL PFVGQPAIIHFTGRKKPWQATVPWVHRRMAKRYVEDLANTPWASFCRQPSMTGRIAGF LSHLGKRIGGLARLARTRAYFSNS YP_002976793.1 MRVLLDTHMIIAIVQRKLTDRFPDVQRVLLHATTKGFVSVASLW EIAIKTRLGKLQPGLTLDVIPAYLQGTGLTILPIDIAHVITAADPDPETRDPFDRLLL AQCKVEGLQLATVDRLLVDHPLALRL YP_002976794.1 MWLHVATFSVMKTVSIRDAKNRLTELAREVEEGETIVVTRNGRP VFDLVPHQKRGGLNLEAGEAYLRSKGITRTEMYIADDFDDPLPEDFLLKPLP YP_002976795.1 MAFLADALSRVKPSATIAVSQKARELKAKGRDVIGLGAGEPDFD TPDNIKTAAIDAINRGETKYTPVSGIPELRKAIAAKFKRENGLDYSWEQTIVGTGGKQ ILFNAFMATLNPGDEVVIPAPYWVSYPEMVALCGGTPVFVSATQEHNFKLQAADLEKA ITPKTKWFIFNSPSNPTGAAYTQAELKALTDVLMKHPQVWVLTDDMYEHLTYGDFKFV TPVEVEPKLYDRTLTMNGVSKAYAMTGWRIGYAAGPIQLIKAMDMIQGQQTSGATSIA QWAAVEALNGTQDFIPANKKIFEGRRDLVVSMLNQAKGIVCPVPEGAFYVYPSCAGLI GKTAPSGKVIETDEDFVSELLETEGVAVVHGSAFGLGPNFRISYATSEEQLEEACRRI QRFCGACK YP_002976796.1 MFLEDEFIIGRIRARRISVSVLVAVTAFAACIAAMLALASAARA AEPQASAQLAALVRPNDVNSGSLLFPSKEPGFYVEAPRLKTDVAIDVSGPIARVKVTQ RFQNPSQGWVEGTYVFPLPDNSAVDALKMQIGERFIEGQIKPRQEAREIYEQAKAEGK KTALLEQQRPNIFTNQVANIGPGETIVVQIEYQQTIHQSGGEFSLRFPMVVAPRYNPA PIVQTVEFNNGAGFATPRDPVENRDKIAAPVLDPRENARINPVSLTVDLRAGFPLGDV KSSFHAVDINQDGDQARTISLKADTVPADKDFELTWKAAAGKMPSAGLFREVIDGKTY LLAFVTPPAAPDTAAPPAKREVVFVIDNSGSMSGPSIEQARQSLALAISKLNPDDRFN VIRFDDTMTDYFKGLVTATPDNREKAIGYVRGLTADGGTEMLPALQAALRNQGPVASG ALRQVVFLTDGAIGNEQQLFQEITANRGDARVFTVGIGSAPNTYFMTKAAEMGRGTFT AIGSTDQVASRMGELFAKLQNPAMTDIAATFEGIKAEDITPNPMPDLYSGEPVVLTAQ LPENNAGKLQIIGKTGDQPWRVEMDIANAADGSGISKLWARRKIDDFEARAYERQDPA ALDKDIETVALAHHLVSRVTSLVAVDVTPSRPADQPLGSAKLPLNLPDGWDFDKVSGE NAAPLGGAERHGSATPAGNAGPEQAETQALVASPEIANMMAAAPTAKAATMIAQKSST VNLPQTATRADEQIIRGLTMLLLALTAASGLAVWRRRLKRIITVGAERDGL YP_002976797.1 MVSRLSASQNEEAAEFEPLPTYLELAMAAATAYDRPKPRRRKGF FLWRLSSIEKTIAFAIAGLAFYGLALIGDGFLLKAKAELSQILLKRAFAAELQGKETK PWPWADFTTEAKVRAPRLGKEAIVLSGASGEALAFGPAWLVNTPQPGEEGTSVIAAHR DTHFRWLQYIKPGDTIEVTRRDGKLLTFKAGEGRIAPWDANGIDPSSDGHRLVLTTCW PFNATERGPLRYILEAELVDDQATGSVQPVNTKPLLQVE YP_002976798.1 MKRISAFHFASALVLFGAMSADAADIVNTQDLAVRVDKLADGLQ HPWAVEVLPDGAYLVTERPGRMRIVRDGKVSEPIGGVPKVSARGQGGLMDVALAPDFA KSRKLYFTAAIANSQGSGTEAFSAALSTDEKTLDAVRPIFSMRRFTSGNIQYGSRIAI ASDGTLFISVGDRGNRDRSQDWQDDAGSIIHINADGSIPADNPFKEGGKALPEIWSKG HRNPQGITFDAKDGKLYTVEHGARGGDEINQPEAGKNYGWPIITYGRDYSGAEIGEGT AKDGLEQPLHYWDPSIAPGALVVYRGAMFPEWDGNFLVAALKFQLLSRMQRDDGGAFV TEERLFEGEYGRIRDVVVAPDGALLMVTDEDNGALLRISRAQARNG YP_002976799.1 MRGEERKPAGGAVAPGTVGYSGTPLARKLGLAEGQAAALLGIPE TIGDINGFDGFASVVHTLPEMPHRAFDYVHLFTTERAALEAIAPALFLVLRPDGMVWI SWPKKSSRVSTDITEDVLREVLLPTGLVDVKVCAVDEIWSGLKFVIRKELRGSL YP_002976800.1 MTRVQANLLLLLAAAIWGGGFVAQSTAMKAIGPFWFIGLRFAVA TLAVLPFVLFEARKAKEKTSARHAKLYILIGLALFGGAATQQVGLQTTTVTNSSFITG LYVVFVPVIAVFFLRRAPHWIIWPGALMAVSGIYLLSGGHLSALTPGDLLTVVCAVFW AIQITLAGTTVSETGRPLALSATQFAVTAVCALAVAAAVEPVSLSAIRAAAPEILYVG IFSSGVAFVLQVIGQRYTTPSQAAIFLSSEALFGASLAALLLGETMPVTGYAGCALMF ISMLVVELVPD YP_002976801.1 MAETGTVKFFNTDKGFGFIKPDRGGADIFVHISAVQASGLAGLT ENQKVSFDTEPDRRGKGPKAVNLQIAG YP_002976802.1 MMNRLAKTLLLTATAAALTLSAIGEASARDRHWRHGNHGNNDAW VGGAVGLATGLIVGSAIANANNGPVYEERRYIDPAYEPDYYEPAPVYRAPRRVYVDQP EYYAPVRTAVEPWSPQWQRYCSYRYRSFDPRSGTYIGNDGRSHFCTAG YP_002976803.1 MQRPSIKVAMVGIFFLLGCLVAAFGYAAISGMRILNEQTEEFSE SYLPSVHQAHQIAQARFELGILAANHLLQATQDQKKFAETLVSSQKTKLNEEIKAYEP LITTPHEREIFEKMQAVAKDYDKPLREMMDYSYEGNGQAATGVFATDVKNIADKMTAL ANDLVKSAMERGQQAHQTSLQVYGSTLNFTFIILLVSLILVAAAAAFAVYAIADLATK ITAAMRKLASGDTSVKIPFAGRADEIGAMAAAVEVFRQAAITNARLEEEAETGRNLQE ANRIAVQQSAEAAANERLRAATSGIGGGLKRLAAGDLAFQLEEAFAPDFEPLRHDFNQ SVQQLGTALSAIVDSISTMDSGTSEIASGAHDLAKRTEQQAASLEETAAALDEITVNV GSSAKLTEEARRVATQANHSAAKSAEVVSDAEDAMRRIEESSQQISNIIGVIDEIAFQ TNLLALNAGVEAARAGDAGKGFAVVAQEVRELAQRAARAAKEIKGLIQNSSTEVQSGV KLVRDTRQSLDSIGGLIGQINTHMDAITVAAKEQSVGLSEVNTAVNTMDQVTQQNAAM VEESTAAAATLAQESAKLKQLVAPFKVAAVIRQGSTGPRVYVAEARSPQVDSPARVLG SRVAKAFGEPSVKRGIERLARVLTFASFRDGCGASIAKVFL YP_002976804.1 MGVFRSAIIGAAVALGLGLSSAEAADLSEIRIDWATYNPVSVLL KKEGLLEKEFAKDNISIRWVQSAGSNKALEFLNAGSIDFGSTAGAAALIARVNGNPIT SIYVYSRPEWTALVTRADSPISTVQDLKGKTIAVTRGTDPHVFLVRALADAGLKQSDV KLVLLQHADGKLALLRGDVDAWAGLDPLMAAAEVDDKAKLFYRKPENNSWGVLNTTET FAANHPDIIKRVIAVYEQARAEALADPAALKAALVEAAKLPDDVIAKQLERTDISQST IGDLQRDTISKAGIALQSAGVLPADVDIPKVTNELIDDRFAVGKTQ YP_002976805.1 MTDLTETGIKTETRTLRLVAASIFYGVSNKVAVAVLLPALGLLF WEIAIAAGWFSGRLMPPPSRVAATLWVLLKSGELLNHIGITTVRVFFGFAIGAVTATI AGAITGYFGLARRLIDPSLQALRSIPSIAWVPLFILWFGIFETSKVALIAVGVFFPVY LGISGAILSVDRKIVEVGRIFRLSGFTLVRRVMLPAVFPAYVTALRSGLGLGWMFVVA AEFMGASEGLGYLLVDGQQMGKPDQIMAAIIAFAVVGKLTDSLLLAATTPFLTWQDSY RREG YP_002976806.1 MLTLRNLEKTYANGVRALEGFSLGLAPGEIVAVIGGSGCGKSTL LRLISGLDIPTQGTVELNGEKVTKPHPLINLIFQEPRLFPWLSVANNVGFGLAELEGD NRRQQIEAALEKVGLGKLGGRWPKELSGGQAQRVAIARALITRPEVLLLDEPFSALDA FTRADLQDHLLDIWAETRPTLVLVTHDIDEALVLADRIIVMRPWPGRILEEIGIDLPR KRDRASASFEAAKRQLLMSLNVSLRST YP_002976807.1 MVTIDSLRREDRTSAPGWRSIVRWLRRRLPTGLYARSLLIIIIP MVLLQSVVAAVFMERHWQMVTERLSLAVTRDIAAIIEIIETYPQNSDYSEIIRIARDQ LSLQISIEPDGDLPPPRVKPFFSILDGILSDEITDEIHRPFWIDTVGNSNLVEIRIKL DNKILRVLTKRSQTYASNTHIFIVWMVGTSLVLIGISILFLRGQIRPILTLARAAESF GKGQKPDNFYPRGADEVRRAGLAFILMRERIERQIEQRTAMLSGVSHDLRTILTRFKL QLALAGDNPDLHGLNDDVNDMQTMLEAYMAFARGEVEEGVGELKLSEIFAKLESDFAL YGKKFSYSIEGDDDISVRPNAFMRLVTNLASNARRYADSLDIEAKHNAKWLTVIFDDD GPGIPEKNREDVFKPFFRLDSARNLDASGTGLGLAIARDIARSHGGNVTLSDSPLGGL RATIRIPA YP_002976808.1 MAVKTGISDDAAHLLVVDDDSRIRALLNRYLAENGFRVTVAADG AEAQRKLAGLDFDLIIMDVMMPGESGIDVTRGLRAIKNVPIIMLTALAESGNRIEGLE AGADDYLSKPFDPRELVLRINNILRRNAGGEGPKIEQVMFGPYTFSLTRKELKKASEV IRLTDREQEIMLLFARRAGDTIPRHELIGNDTEVGERTIDVQINRLRRKIEDDPANPV WLQTVRGIGYRLSID YP_002976809.1 MSRQTGPKAGKPEPLATPMEDTDIIDFEIIELFFFAYRDFVSDP DAILEKSGFGRAHHRVVHFVNRNPGMTVADLLDTLKITKQSLARVLKQLIDSGYIRQV AGPEDRRQRKLYPTKSGRELALALAEPQSRRIERAFEGASAEVREGVKAFLSGMRDRQ RAD YP_002976810.1 MTGLIADGIGGLIGGGILSTLAGLVMARAHR YP_002976811.1 MTLKRNILLAGAVLLASGGLAQAEMMATTVNDLNVRAGPGPQYP SVGLATRGSTAMLDGCIEGSRWCRVDVNGMRGWVYADYLQVDHGGSSVIVEQHRAEIG VPSVTYESTASVVPVDPQPAPGDELLGPVGSVETITPPETVRTYIDTNPGETVQLGGD VVVGAEVPADVTFQTIPDYEYRYTRINDRPVLVDPGTRRIVYVYQ YP_002976812.1 MGMLQAGIIPVTPFQQNCTIFFDPDTKEGVVVDPGGDVPLILQA IAQNGLTIKEIWLTHGHLDHAGGASELKEALGIDVIGPHQDDLPLLQRIETQAEKYGM SGLRNVVPDRWLKDGDKISFGAHEFEVHHTPGHAPGHVIYFNRAQNFAHLGDVLFNGS IGRTDLPGGDHQQLLDSIRDKVLPLGDDVGFICGHGPGSRIGDERRSNPFLQEIKPRS I YP_002976813.1 MAEEITYLDFERVDIRVGTIIEAGPFPEARKPAFKLLIDFGPEI GTKKSSAQITVHYTPESLIGRQVLGVVNFPPRQIGPFRSEVLTLGFEDENGAIVLAAV EQPVPNGRKMM YP_002976814.1 MPTKAFSSTHPVVLIGAGNMGGAMLSGWLKSGVPGSAVIVVDPG PSPAMLSTISEAGATHLTALPTDLKASVLFLAVKPQVMETVLPAVKSAVGPETVVVSV AAGKTLGFLERHLGKAAMVRAMPNTPAMVGRGVTGAFANAGVNDQQRERVHSLLRVSG PVEWVPAEADIDAVTALSGSGPAYVFYLVECMAEAGRKLGLQADLAMRLARETVAGAG ELMHQSPDDASRLRENVTSPGGTTAAALAVLMAEDGMQPLFDAALAAARKRAEELAG YP_002976815.1 MNLMELEVERQSNPVDMIEYVASNNDWSFERSGEDEIAMTVEGR WTDYHVSFSWMEEFEALHLGCAFDIKVPDIRVNEVVKLLSAINGQVLMGHFDLWRQED VVIFRQSLLLAGGAEPTNRQVEVLLSSALDTCEAYFQAFQFVVWSGMEATKAMEAVLF ETVGEA YP_002976816.1 MTTGTNRIMDEFAKLMTDAAGAAQGVRKEIETAFNAQAERWLNS MDIVKREEFEAVREMAIKARDENEALAARIAALEAKLAGEGT YP_002976817.1 MPTAADLLAIMPFPDIDPIAFSIGPLAIHWYGLAYVAGILLGWA YARRLAANESLWPGNVSPITKVQLDDFIVWAALGVVLGGRLGYIFFYDLPAVLRSPVR ALEIWNGGMSFHGGLTGTTIAMILFARRNAIPIWSLFDIVAAVVPFGLFFGRIANFIN GELWGRLTDVPWAVVFPTGGPFARHPSQLYEAGLEGIVLLLGLAAFIFGMRALKSPGF ITGVFVCGYALSRIFVEFFREPDAQLGYLLGTNWLTMGMVLSSPMILLGLWAMLRARR QAALQL YP_002976818.1 MTTALGEKIKAIIQANGPISVTDYFSLCLADPEHGYYRTREPFG RSGDFVTAPEVSQIFGEMIGVFIVHAWQRHGTPTDVRLVEIGPGRGTMISDMLRVISR IAPPLFDVMTVHLVETSERLRDVQSQTLEPHGEKITWHNGFDEVPPGFTLIAANELFD AIPIRQFVRMATGFRERMVGIDADGELTFAPGVAGIDPTLLPEPVQNVPVGTLFEISP ARQAVMMAICERLRAFGGTALAIDYGHLVTGFGDTLQAVRMHEFDPPLAHPGEADLTS HVDFQQLAETALAAGLYLNGALHQGDFLTGLGILERATALGRDREPHTQQVIQAAVER LAGAGEGRMGELFKVMAVSYPAIDLMPFRPVD YP_002976819.1 MQDAASPAPIESALLNEAAGATIRHGYFTRTGGVSEGLYRGLNV GLGSGDERDKVLENRRRVAAWFGLPVERLATVHQVHSPDVVTIGADYDGARPDADAMV TRTPGIALGVLAADCGPILFADPDNRVIGAAHAGWKGALTGVLENTVAAMEALGADRA SIVACLGPSISQTSYEVGPEFVERFVAENPDDERFFVPSTTPGRAMFDLPALTVDRLT KAGVRAESLGLCTYPDNERFFSYRRTTHRKEPDYGRQISAISIRET YP_002976820.1 MALHFEKAEFASRLARLTEKMKEEKLDALLLFAQESMYWLTGYD TFGYCFFQTLVVKSDGTMALITRSADLRQARHTSILEDIHIWVDRVNADPTLDLKNLL VELDLLGARIGIEYDTHGMTGRVARLLDAQLTTFGQIVDASYLVSRLRLIKSPTEVAY VERAAALADDALDAAIRLTKPGADEADILAAMQGAIFSGGGDYPANEFIIGSGADALL CRYKAGRRKLDANDQLTLEWAGAYAHYHAAMMRTIVIGEPTHRHRELYNACRETIEAI ETVLKPGQTFGDVFDMHARIIDERGLARHRLNACGYSLGARFSPSWMEHQMFHVGNPQ PIEPNMSLFVHMIIADSDTGTAMTLGQTYLTTADAPRALSCHPLDFIGL YP_002976821.1 MTRAAIVPTLLFVMALLTACNTTDALTPQVDIGNSSGDAPSSPV TQSEAESLAGTRQPRFSGSSQQGGYHPAYDQSQRAYRPGTGAAPTTMQEQADALSRSG SSPAASAPIDGQSLPPSAASGELAAQPAPPAEAQQPQQTAALPPNSSTARGNTVRFLP IIGAPVQAVTPLSRQLGAEARSHGLSIKSSTDGSSDYILKGYLSAFSDAGKVTVVYVW DVLDSGGARLHRIQGQESVPTAAADPWAGVPASVMQQIGSKTIAEFSSWRQTKGG YP_002976822.1 MKVFAGNSNRQLAEAICNYLNVPLGKASVRRFADQEIFVEIQEN VRGEDVFLVQPTAFPANDHLMELLIMIDAMRRSSARRITAVLPYFGYARQDRRASGRT PISAKLVANLITEAGADRVMTLDLHAGQIQGFFDIPTDNLFAMPVLTRDIKSHYDLSN VVVVSPDVGGVVRARALAKRLDCLLAIVDKRRERPGESEVMNIIGDITGKDCLLIDDI VDSGGTLCNAADAMLAKGASSVTAYITHGVLSGGAVTRVTSSKLRELVITDSIQPTTA VLSAHNIRIVTTAPLIGEAISRTAQEESVSSLFD YP_002976823.1 MRLKLVTATSLLALCLVTTAQGVEINQDGANAVKDTLTKLLPED LAKSGLITVNPAGARYEIIYDLAKLLAKADPATFAINGLTPFSMFATPLDSGLWNIEG DNNLNVSGHFKGPDQKPTDFSYSIASLVYTGVFDPAISYLRSGTFTGKDIKVTSKSDT EEVHASIAAIDQKLSSTDSAGGNGRIDFAGSGSMSTFVEQVSGLQMPPVEIRADSIDF DAKVNGLPAKQIREIVLFVLDHLEEKELGPENSGKIKGMLKEAFPILASFSETIGVNN LTISSQMGNGGVKAFGYNLAIDGPSDAMRFGFGINAQDISLDSPLIPPSYSPFMPTSF ELQLAMPNLDFATFGEALMAMDFNDKAPETSGEEMSKKLFRDGRLAVEFPKVSAKSDV YDLDMTGKIEGRVDTEKDYSMEATILARDLDKTIAAVQELAKTDPDLNQVSFGIMMVK GFAKTDADGRSRWDISVGRDGAIAVNGQVVKGPDGPDQNQDQGLEPDQEQEPDQGQDT VPAPPQQP YP_002976824.1 MLRVLSCITVEHSLPHLIFATVICVLGSMLSMRLFTRVRRSQGL QKTNWLFLSGFVGGSTIWTTHFIAMLGYQTPVANGYEPTLTLLSLFAAVATTMTGFTI ASIAQKSPLIEAGGVIVGLGIAVMHYVGIAGYEIEGRLEWQTSYVVASLVFAGLFGAV ATNRVCRPITRFCRHGAALSLILAIVLTHFTGMAGLTILPDATVAAPTEIISDGIMTG LVSAVMIIILVLGASTYIIDMQSTQAAVERYRHLSLHDPLTNLANRAAFNEHLSALVN KPKDMTANIAVLSFDLDRFKEINDVHGHAAGDAVLRAVAERLSKIMLNGQFVARVGGD EFVAVMCDYFVRSDAKGLAQRMLDEIARPIEWNGHALTVGSSIGISTYPGQADTLDDL LSQADIAMYRAKSTATNSICFYEPSMDQAARERNALASEMREGLARGEFELFYQQQND TVTRDIVGLEVLLRWRHPVRGYISPVEFIPIAEKTGFIIELGEWVLRAACAEAATWKN PLRIAVNVAPQQLGDNRLPQIVHQILLETGLPAARLEIEITESGIIADHQHALQAIRR LKALGVKVAMDDYGTGYSSLSTLQSFPFDKIKIDRAFVDGVVTNKQSAAIVRSTLILA ASLDIPVLAEGVENEDHIDFLRREGCLQVQGFLFGKPGPRSGIEAIVNGEVPAAQQET VLAPAVKKSAAA YP_002976825.1 MSQETYELKAEARERVGKGSARELRRNGLIPAVIYGDKQAPIAI ALNTNEVTKRIHAGGFMTTVATIDVDGKKHKVLPKDYQLDPVRDFTMHVDFLRVSGNT QVTVEIPVHFINEEKSPGLKVGGVLNIVRHEVEVHCPADAIPEFFNIDLSGKKIGDSI HIAEVTLPKGVTPVIDRDFTIATIIAPAGGIDESAAEGGAEA YP_002976826.1 MNNSVENRFFAIVCGALLVFVAPLFVLFLFLSSERADKEIRDHI SVLLVANAQALAKPLWDLDEESVTQISATVVSQGAIVKVEVRDQSGQLDVSQSTIPRS FDGKLVQVSRAIIYNTVDGPKNLGSISVYYPALGLFSGLKQEEVVFISIFIFAVLTVF GTALIGNRFFVIQPLMRLTAAIEATRQLGSRHHVDWQSNDEMGRLAHSFNEMQTKLES EEKELKLAHRRATDIYNLTPAMLFSLDEEDRITAVSDYWLLATGYNRAAIIGRNFADL VTPPTRDKFVKRRQGESGMTVTVKFICLDGRTMDVLIMESEAGAGAQDRLSLSVMADV TELKASEDRNHRQAITDHLTGLLNRQGFEAVLDNKIAAADAAGHELACLFVDLDRFKW INDNMGHAAGDMALIELVERLKTHLAPSDEAARLGGDEFAILLPAKDAEKRAKVMCEQ IASIFETPFAPDMHLSASVGIAIYPHHAATAAELLQKSDMAMYAKKRDGKNGAQLFDN AMLDRARSRAEIEANIEAGLVDNWFEAFLQPIVTLNGRGIAGFEALMRLNHPQKGLMP PAEIISVAEETAKIVRVGNVIMEKAIANLAEISRISGMQDTYLAINFSPLQFEPALPA RLAAIVGRHGIRPERIVVEITEAVLMHDNPQIRMIVTELRRFGCRIALDDFGTGYSSL SYLNRFPVDIIKIDQSFTRAINDGDDDVRQKSRMLIEGITTLSHKMNCTVIAEGIETE EECRTLHQMGLDYGQGYLFHRPQHAATLIEQLMALQSAVARAS YP_002976827.1 MKKLLLLACLALPCTAHAQTVTFTTEDYAPFNYREGKEIKGATV EQVEKVMAAIGVDYTIEIMPWARAFSLARTAPMTCVFATAHNGARDPLFKWVEPLLID RNILITRKGSGVTADNLDKAKKYTVGTQREDYTETILKEKGFTKLDVASDFNATLRKL LGGRIDMMPISELYFEKLRVDQPVEIVTVLSSQPMGIACEKNFPDDLLTRMQAALDKL IADGDQKQIFLKYGMNLSE YP_002976828.1 MLIIAGLGNPGGKYAGNRHNIGFMAVDAIHRRHGFSPWSKKFRA EIAEGEVAGEKVLLIKPQTFMNLSGESVGEAMRFYKLQPTDLVAIYDELDLPQGKARL KTGGGHNGHNGIKSLDAHCGREYRRLRLGIGHPGIKEMVQNHVLGDFAKADKAWLEPL LDTLADNADMLVRNEDSQLMNKIALALGGKAEEEKPAKEKKDAEKKPAGQSHIHQARN HNQPKLPASGPMADMLKKMFGNKGE YP_002976829.1 MRPVPHEDFAIRAAAAGDLPGLTILYQHLNQTDPVLDRALAEER FSTILAQPGMTVFIGFAGDLAVATATLIVVPNMTRNGASYALIENVVTNADHRQRGYA GAVIGHAVTQAWKAGCYKVMLLTGSKSPATLRFYENCGFVQDKTGYQIRRP YP_002976830.1 MSDNDIALKPKTKVKPKLDKPKLYKVILVNDDYTPRELVIMILK AVFRMSEETGYRVMMTAHKLGSCVVVVCAKDIAETKAKEGIDLAKGMGYPLMFTAEPE E YP_002976831.1 MGFKCGIVGLPNVGKSTLFNALTKTAAAQAANYPFCTIEPNTGE VAVPDPRMRKLADIAKSKELIPTRISFVDIAGLVRGASKGEGLGNQFLANIREVDAIV HVLRCFEDSDITHVEGRINPVADAETIETELMLADLESLERRTEQTRKRATGKDKESV AMLPIMDASLKLLNEGKPVRTLLSTLDAEEIVILKSLNLLTSHPVLYVCNVAEGDAST GNEFTEAVAVMAKEQGAETVVISAAIESEVAQLPEEEAKEFLSALDLDEAGLDRLIRA GYKLLHLITYFTVGPKETRAWTIERGTKAPQAAGVIHSDFERGFIRANTIAYDDYIKY NGEVGAKDAGKARDEGKEYVVQDGDVIHFRFNT YP_002976832.1 MTELRSILDEAVRQELISPEAGGRLLPFLMERGVAVVGGGVAEA QPAMAAEGQAWSDTETPSFVRGFHDVLITIGVVVALGGLWGLAALYAVLPTIIVLSEI LVRRQRLALPAVSLTIALFCWTFLLMSFFFKPGTSAFNNIGAEATQFVAGFPIVLGLY YARYRVPLSLALCITSALALVFTLLLRLMQWASGDPQFFSNHPLLFAVVFLVCALGLF ATALYFDLGDRLRRTIRSDIAFWLHLGAAPALLFSVRLLMSFDGNLLDVARAVSIKTP IIVISVAVLMLIGLVIDRRAFVTSGLLSLGFAIYGIFRQGSATVDTYIFTTLIVVGAI VLIIGTGWMPLRRIVLRALPLVISQRLPPAVAGAVS YP_002976833.1 MSAEKLSFEDFQLDRRFALGPKLVTTEEIIEFASEFDPQPMHID EAAGRASILGGLAASGWHTSSMFMRMMADSYVLHSLCEGAPGVDLMEWRKPVLAGDTL SGHSTVLEARPMRSRPGIGIVKFRHEVENQRGELVCVSENSVMFGMRARPADIGISPE TSA YP_002976834.1 MRMSELYAVGEKAEIGKYTFTEENIIRFATRYDPQRFHVDKEAA KDTLFGDLCASGWHTAAAWMRTFLAFWERQSAALAQKGQTSPNLGPSPGFQKLQWLRP VFAGDVVTYSVAFLSSRALASRPGWHLNTILCEGVNQNGDTVIRFESGVLEFD YP_002976835.1 MNNTTSELAASIRSIPDYPKPGIIFRDITTLLGNPRAFRRAVDE LVQPYAGTKIDKIAGMEARGFILGGAVAHQLSSGFVPIRKKGKLPHETVRIAYSLEYG VDEMEMHRDAVQPGEKVILVDDLIATGGTAVGATKLLRQIGAEVVGACFVIDLPDLGG RKKLEELGVVVHTLVEFSGH YP_002976836.1 MKTLVTSILSLAFAAGLGSAVLAQEATPANGAAPAHHAESETPH YPLKEPKEEEWTFAGPFGHYDKGQLQRGLKVYTEVCSACHSMNLVPFRMLDELGYSEA QVKAFAANYEVQDGPNATGEMFTRKAVPSDHFPAPFANAEAAAASNNGAAPPDFSLIA KAREVERGFPQFVFDIFTQYQEGGPDYIHALLTGYEEPPAGFQVAQGSHYNPYFHAAA VLAMPKPLSDGQVTYDDGAPATVDQYSRDVSAFLMWAAEPHLEERKRTGFMVMIFLAI FTVLIYLTKRSIYANKEH YP_002976837.1 MSGHSSYEPSTGLEKWVDARLPLPRMVYDSFVAYPVPRNLNYAY TFGAMLAVMLVVQILTGVTLAMHYAAESSVAFNSVEKIMRDVNHGWLLRYMHANGASF FFVAVYLHIARGLYYGSYKAPREILWILGVVIYLLMMATGFMGYVLPWGQMSFWGATV ITGFFSAFPLVGEWVQQFLLGGFAVENPTLNRFFSLHYLLPFMIAGVVILHIWALHVV GQTNPTGVEVKTKTDTVRFTPYATMKDALGVSIFLMVYAYFVFYLPNFLGHADNYIPA DPLKTPAHIVPEWYFLPFYAMLRSITFNVGPIDSKLGGVLVMFGAIIVLFFLPWLDTS KVRSAVYRPWYKLFYWLFVINAIILGWLGSQPAEGLFTTISQICTLLYFAFFLVAMPV LGLVETPRRIPNSITEAVLEKRNKTVAVKA YP_002976838.1 MSEHETTSEGMGEPTRRDFLYLTTGMAGAVGAVAVAWPFIDQMR PDASTLALASIEVDVASLEPGMSLTVKWRGKPIFIRNRTPEEVEAANAVQLADLKDPV ARNANLPVDAQATGVDRSGGKGKENWIVMIGTCTHLGCVPLGQAGEYNGWFCPCHGSV YDTAGRIRKGPAPQNLAIPTFSFLSDTKIKIG YP_002976839.1 MRDTIFCVFSVLTTLVLAIISLRYVTDFYLLSFFYSFQVHLAVA GTVASVAALLVKRHWYGLLTLAISVVLAVHGVVMMREFVEPSVEESRPALFRLMSFNI ENDNFANGPDIADMVIGSGADAVNILEAEPLLSELPRLLKTYPYYVGCGVGMEQCDTL VLSKRPLIEPRIRNLGLLWRNRLTISTIDFDGQKVNFLAAHLTKPYYDEFHGLEIWDL AEIIPTLSGPLVLAGDFNTSILAPDVQYLMRTQALGTVSLEPATWPIRAGAFGIPIDH IFTRAPLRLKSVRRIENGFGSNHFGLMAEFVIDP YP_002976840.1 MLLHPILRRFETWIDPFRPRANLQPPRSTLGFIWFYIGQARMPF IAMLVLGGTSAAIEAALFWFVGRLVDILGSITPGAGWSGLLAAHGGELFGMLALIGLV RFVIAFLIALVDQQVITPGFYNLARWQSYLHVSRQSLSFFQSDFSGRIVTKVWSAGQA TGDLVTSLMESVWFVGIYAVTTLVLVARLDFSLAAVVLFWLGAFSLLARHFVPRIRQH SRETAEAGSMLNGRMVDSYSNMQTLKLFARDEESDRYMRQGFDIYQDTVLRFTRFITG VRASMALLSGLMIVTMAGLSVDLWLRGLVSSGAVAFSLALVLRLNFLLGRLMTQFNGI MRNLGTIQNAAELISQPLGLVDRPDAKSLVIRQPGIRFDDVSFRYGKGHQPVVENFSL TIRPGEKVGIVGRSGAGKSTLMNLLLRLYDIQDGRILIDGQDIAAVTQESLRMQIGVV SQDTSLLHRSVRDNILFGRPDAGEEGLVEAARRAEAIDFIERLQDQQGRRGFDAHVGE RGVKLSGGQRQRIAIARVMLKDAPILVLDEATSALDSEVEEAIQSNLHRIMEGKTVLA IAHRLSTIAALDRLIVIDLGRIIEEGSHDQLLRHGGLYAELWARQSGGFLATDEDAGS RVDDEFRHEAKMI YP_002976841.1 METKMLDVHVPLPLAERLDALAFALGLPRDEIVAEAITTWIDQE EHRRLVALRTIAAAHTMVVVETHRVIDWADSL YP_002976842.1 MFGWFEQRLNPFPSEDPVVPPKGLFAFCWHYSKPAAPWLGLMAV LTALIAIGEVALFQFLGDIVDWLTNADRATFLEREGHKLFWMAALVLIGLPLVAGLDS LIMHQMMLGNYPMIARWQMHRFLLRHSMSFFANEFAGRVATKVMQTSLAVRETVMKIL DVFVYVVTYFLTMIIVIAAADWRLMIPILVWLAVYISIVAYFVPRLRKIAAAQADARS MMTGRVVDSYTNIATVKLFSHAGREEVYAKEGMDEFLQTVHKQMRKVTLFHIFVYVNN CVALFVISGMSIWFWLNGAISVGAIAIAIGLAMRVNGMSQWIMWEVSALFENIGTVYD GMEMMSKQHDIVDKPGAPTLTAKKGAIHYDRIRFHYGKSKGVIDNLSLDIKAGEKVGL VGRSGAGKTTLMNLLLRFYDLEAGRITIDGQDIAGVSQESLRSLIGVVTQDTSLLHRS IRDNIAYGRPEATDDEVIEAAKRANAWEFIEGLVDMQGRAGLDAQVGERGVKLSGGQR QRVAIARVFLKDAPILVLDEATSALDSEVEAAIQENLFALMEGKTVIAIAHRLSTLTE MDQLIVLDKGRIIEAGSHSELIAGGGIYADLWNRQSGGFLSDHADEAEVAAE YP_002976843.1 MTSLRLALYQPDIPGNTGTILRLAACLGFAVDLIEPAGFDISDR NLKRAGMDYIAAAALTRHVNWDRFEAWRLTTGRRLILASTKAAERYTDLAFRPDDVLL FGRESAGVPDQVHERADARVLIPMVEGQRSINVAVSAAMIVGEAMRQTVWA YP_002976844.1 MDSTIIMINLFGAVALLLFGLAQVKDGVSRAFGARLRTGLATGT RGGLRSFLSGLVATIALQSSTATALMTASFVERDLIKPRMAQIVLLGANVGTAITAWI VATGIEWLSPLLILAGIVLYRGRSSASQGGGTALIGIGLMLLSLHLLGLATEPMRASP ALAAFIGLLDNALPVAMIISAALAFASSSSLAVVVLILSLASAGVVSAELVIVLVLGA NLGGAIPPVVATLSGPVSARRVTLGNLAVRTLGCLIALPLAGYGAEFIQMLPFGPAKL PVDAHLAFNLLLAALAWPFSRPLAALMARLVPDQAEPDSAPKYLDAQELATPVVALAS ATREVLGVGDLIERMLIRVSEAFERNDASKLAEISTLEERVDRLQQAVKVYLSKLGRE GLSDENARRSIVVIDYAINLEHMGDIIEKGLLEQVAKKISLGLKFSDDGHQELRKLFD LTIDNLRVAQTIFVTRDFNLARQMMEVKVEVRRMEKQSAERHLERLRDGRADSLQTSS LHLDMLRDLKRLNAHIVSVAHPIMDENGLLIESRVRATAK YP_002976845.1 MKKVPGPPSFDGLKRRIARHRAENPPSKKDHFVRETYCLGLTDA RAKAREWFDEYPKAAYWTEVESWRQLDGDQIEFTMRRLPSAD YP_002976846.1 MERPELPIGLPDDIEEKKAAARNWFEGLRDTICASFEALEDELE GPLSDQEPGRFVAKDWSRENGAGGGGRMSMMEGRVFEKVGVHTSTVYGEFAPDFRAQI PGAKDDPRFWASGISLIAHPVNPHVPAVHMNTRMVVTTSRWFGGGADLTPVLSRRRTQ EDEDSQLFHKAMEIACRNHAVADYDAYKAWCDEYFFLKHRNEARGIGGIFYDWLHSSE EAGGWDADFAFTRDVGRAFAMVYPKIVRSNFNKLWTEADRDEQLIRRGRYVEFNLLYD RGTIFGLKTGGNVESILSSLPPVVRWP YP_002976847.1 MTIQSSSPASADVQETSRTIDTSEFLTRIAEKLRTKSGSDLPLS CFIEQVKLQLAGGKSPEQLQNEAAAANSNMPGHLLDTYKAWAMPD YP_002976848.1 MRLFECGTLVPGCAWHTRADSDAEVVRRAVEHMKDAHGETTIRE NMVDNIKARIRDEVNAA YP_002976849.1 MTGIADQAWFRDPALGRILALLNADGGEGRVVGGAVRNSLMGLP VNDVDIATTLRPEPVMERAAAAGIKAVPTGLEHGTVTLVIDGKPFEVTTLRTDVETDG RHAKVAFSTDWKGDAERRDLTINALYADAKGEVVDLVGGLADIETHNIRFIGDAARRI AEDHLRILRFFRFFAYYGSGRPDAEGLKACSLARSKLTTLSAERVWSELRKLLGADDP GRALLWMRQVAVLTEILPESERWGIDAIPSLIATEKALGWTPDPLLRLAAIVPPDAAR MEALAARLKLSNAEAATLKAWAMAAPVNDDMSSAAFERLLYRNSTDGITTRLKLALAV ARGKAESDFDEMARSARLNKLLDKATHWKKPQFPLNGGDVISAGIASGPRVGELLAGL ENQWVEENFVTDRAALLARLQERVQ YP_002976850.1 MNDAITQRYPLFSAAEFRRRALNQNGGPVDHAWRDHGDHILNPD IVAEVETFKLRDAAVLVPVIDDGEEAHVIFTKRTATLRKHSGQIAFPGGSIDPADISP EMAAIRETEEEIGLAGSFVETVGRLPNYLASTGFRITPVLGVVSPGFALTLNPTEVDD VFEVPLSFLMDPANHTRDRRVIDGIDRHFYRVPYETRMIWGITAGIVRTLYERLYA YP_002976851.1 MAAEEISGQADAAGLAALISRASQENAGQKRGLPPVERWNPPFC GDIDMEIRADGTWFYMGTPIGRPALVRLFSTVLRKDDDQKTYLVTPVEKVGIRVVDAP FIAVEMSVTEGQGRQVLTFRTNVGDVVEAGGEHRLRFAIAGENAELKPYLHVRGRLEA LVSRAVMYELVALGETIEVEGQAMFAVRSAGEVFAMMPADELDALSR YP_002976852.1 MGMMKTEASLDEKAIVAAAEKALSDIAAIRGEVSKVIFGQESVV ENTILAVLSGGHALLVGVPGLAKTRLVTTLGEVLGLAANRIQFTPDLMPSDILGSEVM DQDDSGRRSFRFVKGPVFAQLLMADEINRASPRTQSALLQSMQEYHITIAGQRYDLPA PFHVLATQNPLEQEGTYPLPEAQLDRFLLQVDVNYPELAAERQILLETTGLGETRPEA VIDAQRLIEIQTLVRQMPVSDTVVDAILALVRSARPGQGNTSTDKNVAWGPGPRAGQA MMLCARARALYEGRLAPSLDDIFALAEPILQHRMALTFAARAEGMSVRDVVAGLVKQA KG YP_002976853.1 MASIGQIVNPTSGSDALSRARQRAALVPDCLVEAKRIANTVIAG WHGRRKRGIGENFWQFRPYAEGESLSRIDWRRSARDDHTYVREREWEAAHTIWLWCDM SPSMMYKSSYGNVSKESRALVIMLALAEILARSGERIGCPGIMEPASARNAAERLAAA LMHTPLTGGLPETAMIRGWSDLVLIGDFLDDAPAIMERLGPLARRGLRGHVVEISDPA EEIFPYSGRTEFTDPESGAKLISGRAEHIREAYRNAYLARRDSLGQSLRHLGWTFASH RTDHLASEALVAVHMYLSGMPAKATHGGQL YP_002976854.1 MNALPFAFAYPAILGALVALPVIWWLLRLTPPRPKTEVFPPLKI LASVLKREETPAQSPWWLTLLRMLLAATIILAIADPVFNPRTSSLASGGPLVLFVDNS WAAAPDWERRIQTADALIDDAESAGTPVSIAFTADPSNDAVPGTAAAARDKLRAAEPR PLVPDRERAFQALRAALNGIKPGTLAFLTDGAAAKADDATVRRLAELQPADLRLIEGE AARTVAITAANNAADAMTVKVTRLNTSQAASVALNAVDTQGRSIANGRVDFRPGQSVA TSAITAPFEMRNDFARISVDNGATAGAVHLLDDAFKRRRVVLLSGEGGDEFQPLLSPL YYIQRALQPYADLIQPGDSDLSVAIPKLLANNPSIIIMADIGRLPEETYEPLTRWISN GGMLLRFAGPRMAAAPADDPLIPVILRQGERALGGTLSWSEPQSLAEFPSFGPFAGIA RPADVVVKRQVLAEPTPDLAERTWASLADGTPLVTMKQIASGQIVLFHVTAEATWSDL PISGTFVDMLRHLLQISRSGGVTSEARGNARVSETLPPFRMLTAKGTLVSETGSARPL IPQAGVEPTTNFDNPPGLYGSEDGFASLNVLPENAELTPLDTTGTNAVREGLIGGESW SAKPALFLAAFLLLLADSLIVLFMNGAFSRLRPAVRTAAMIAVAVGAGFLVQPGTLHA DDSQPGDDLILQRLDNTHLAYVVTGEQDVDNISERGLEGLTQFLTFRTTLEPASPVGI DLTKDELSFYPIIYWPVSATAPMPSTAAISRIDAYMRNGGTVLFDTRDQISALDNGGN VSANGERLQAILTNLDIPPLEPVPSDHVLTKSFYLLSSFPGRYTGSPLWIESRQGGQG PSEKSAATADGVSPILITGNDFAGAWAIDDNGVPILPTVPSDETQREYAYRSGVNIMM YMLTGNYKTDQVHVPDLLERLGQ YP_002976855.1 MTFDFSPFLPWSVLAALAVVSAVIAAFAIWRGIRGAWIRTLAAL AMLTALANPVLLQEDRDQLSTIVPVLVDRSQSQQTPDRVKMTDDALAALKGQLARFPQ IEPRFVDVEGDVNSDVPSTRLFDALSANIADVPPARIGGAIMLTDGEVHDAPAANQAL GFDAPIHGLITGKANEFDRRIEVIKGPRFGIVNEEQQVILRVFDDGPGPGGTADVTVK LNGDEIATLQATPGQDTPFSFKVPGGGSNVLEFSVAALPGEVTTANNRAVHVIDGIRQ NLRVLLVSGEPHAGERAWRNLLKSDASVDLVHFTILRPPEKQDGTPINELSLIAFPTR ELFVDKIKDFDLIIFDRYQHRGVLPLLYYDYIAQYVDNGGALLIAAGPEHAGPDSIAL TPLSTVLPATPTGEMIEKAFYPRLSEEGRKHPVTRGLDGSGEDPPHWGRWFRSVDVER PQGETIMLGADNHPLLVLNRAGQGRVAMLLSDQGWLWARGFEGGGPNVSLYRRIAHWL MKEPALEEEALTARASGRTLEVTRQTIGDNPGNATVRYPSGKTETLPLTQSEPGLYKA EKRMDEIGLFEVRNGKLSTLVHIGAVDAPEFKAMISTTDVLQPVADRSKGLVTRVSNA NGAISVPPILPVRGQVRVSDNDRMMIRMTSETVLKGINTLPLFAGFAGVGILLLAFGA MWWREGR YP_002976856.1 MPEFELTASPSLEELAVITDALSAFNNSDVGPSDRQPLAVLIRD TDGKVTGGLSGFTAWGWLFTQMLYIPDTLRGTGISGNILAKAEEEAKARGCRGAWIDT FNPQALRAYLRQGYEVFGELKDFPEGRTRSFLRKNL YP_002976857.1 MFETHQSVHPVSVIMYKHDLVYLTEDASHDAAIEHINEEAFGPG RFTRAAARIREQGPHDLSLSFICTDNGETIASVRMTPVLAGTVKGHLLGPLAVRPSHK NKGIGRELVRIAVEAARRKGSEAVILVGDPPYYGPLGFEKVAYNALSFPGPVDPGRVL VVPIAEGVHALLKGTIAWRE YP_002976858.1 MGMLVDGVWHDAWYDTKESKGHFKRQPSQFRNWVTLEGEAGPSG SGGFKAEAGRYHLYVSLACPWAHRTLIFRKLKKLEELISVSVVDPLMLENGWEFKVGD GATGDHLFGASALWQIYVKADPHYSGRVTVPVLWDKKTGTIVSNESAEIIRMFNSAFD GLTGSKADYYPEDLRSDIDALNATVYDTVNNGVYKAGFATTQQAYEENVGKLFETLDM LNEHLGKGRYLFGSRQTEADWRLFTTLVRFDAVYVGHFKCNIRRIADYPNLPDYLRDL YQTAGVSETVNLKHIKEHYYRSHKTINPTGIVPVGPALDLDRPHGRATAPRVLLDAQG TL YP_002976859.1 MDKENRPLHMRLALRLLHVYFSFVRSMTVGVRAACFDADGRIFL VRHSYIGGWHMPGGGLERNETVEEALAKELREEGNLRIIGKPQLIQVYFNTTTTRRDH VVFYRAIVEQTAPRPPDWEISDSGFFSLDSLPEGTTKATHRRLAELRGEQEPAHRW YP_002976860.1 MFKLAHISDVHLGPLPSLSIQELFSKRITGFVNWHRNRRKHLFG STLDLLLDDIRAHQADHLAVTGDLVNLASGIEIRAAAAWLRAFGDPANTSVVPGNHDA YVPGAYEKSMRAWYDYVRGDLAPPQWQDDRHIFPYLRVRGKVAIVGCSTAVATPPFAA SGFFGARQARDTVNMLRAAGEAGLFRVVMIHHPPIRGATAFYKRMIGIRRFAAVISTG GAELVLHGHTHLNTLHWLRGQVQPVPVVGIASASQGPGSVKPPAAYNLFSIDGSPGAW ELSGERFSLNRAGDAVMPESTDIFAP YP_002976861.1 MTSSFRHLSRLSLAAGFAFGIATAAFAVGDNNDDTNPPPKTQTT KTCTGGKVWDKAKKECVNPKKSSFNDDDLYKFAREFAYAGQYDNAITVLNLARNQNDP RILNYLGYANRKAGRMELGMSYYRKALQADENYILARSYMGMALVEQGDIQGARVQLV EIRDRGGEGTWAYRALLQSLNGYKTY YP_002976862.1 MRQPATTIDLRRDLVGLLPRLRRFAITLAGEVAVADELVQAVCQ RAIAKGHQWSGEGRLESWIYTLARQQWTDDNRKRKPKASVRGNVTDIREAARERSAAV DPDTIHHMISDMPDGVSSMFLLVDVEGHSYQQAADIMGIPVANVVSQLATARLHFAEL AGTHPIHRF YP_002976863.1 MLDLRKLPLEAQLTALLDGEVSPEQRHELEQRLASDENARRLHE KLRHGADFGRRRLDDILKEPVPLALVRSIKSTQPPKTPIAQRATRPQVKLAPSGPQAL AAALILFVVGCGIGYFVGTAPDADEIATTATTNTAPVNTSDWLGDVTAYQRLLIRQPR HLVEVPASQAEEISSWLTTAIGVPFRVPDLSAEAWTFQGARVILGDSRPVGQLVYSNA DGDIISICFRKDAQPPETDDFKETIKDEIGLVTWHNAGTSYVLAGPSAEATLGQLAME IATAI YP_002976864.1 MKAVQFNRFGPPDVLELVELPVPEPGPDEVLVRVHAAGVNFFEV LMRADRYAVTPDLPMFPGVEVAGTIERAGPGADRSLIGTRVAVPLFAMGRGSGGYAEF VAVDGGAVVQLPGAVSFEAAAALMVQGLTALHLLRRSPVKGKNVLVNAAAGGVGSLLL QLARRDGTKMVIAAASSDEKRALSLSLGADHAVDYTAPGWQEDVKRVTGGPGADVIYE TVGGAFSRAALDALAPCGELVLAAMGRFGLGAADVEGMLDHNQSIKGFSLLALLTPQG VREDLAALFELAATGALTVIDGGRFPLHQAAEAHRAIEDRRAVGKVVLVP YP_002976865.1 MAEPMKRLPELPVERALKVISGRWKPVILYYVFSGPKRLSELKR MMPAITQKVLIQQLREMEEHGLVARQIFAEVPVRVEYSATELGLGLEPVLLALCQWGQ RHAEARNEAADIADCIVRPRRATAQRVFSDAHKAL YP_002976866.1 MPDAAAKYRAYPQVNIPDRTWPTKTITKAPVWCSVDLRDGNQAL VDPMGHDRKARMFQLLIEMGFKEIEIGFPSASQTDFDFARWCVEEGNVPDDVSLQVLV QCRPELITRTFEALEGANRPIVHFYNSTSELQRRVVFAKDVQGIKQIAVDAAKMITDM ATKAGGGYRFEYSPESFTGTELDVALEICNAVIEVVKPAPDNKLIINLPSTVEMATPN VYADQIEWMCRNLDNRENLIVSLHPHNDRGTGIAAAELALLAGADRVEGTLFGNGERT GNVDMVTMALNMFTQGVDPEIDCSNIERIKEVFEYSNQMAIGERHPYVGELVYTAFSG SHQDAINKGMKAAQVANHPMWEVPYLPIDPRDVGRSYEAIIRINSQSGKGGIAYILQQ DYGLNLPRNLQVEFREDIQRITDVEGKELPSRRIYDRFIERYVTQPEGRLRFVDHHTY PDTEHKGQRIVAAEITDNGEIKRIEGRGNGPIDGFINALSHYLGVDMSVEDYSEHSLQ HGSNAAAISYVEISYPGGKLFGAGINTNIVAASLEAIVSAANRVLDVKAGKA YP_002976867.1 MLKDFSVQALFMGLLTAFVGSASSFAVVLHGLQAVGATDVQAAS GLMALSISMGVCAIVLSAVTRLPVSIAWSTPGAALLASTGAIEGGFNAAVGAFLICAA LIILAGLFKPLGRAVAAIPAPLGNAMLAGVLIGLCFAPVKAIGFNPLFGLPIVVAWIV VGAFKRLWAVPAALAAFVLVLAFGVDIPDGALGSLEQSLVPTAEIVRPVFNLAGLISI ALPLFIVTMASQNIPGIAVLKVNHYDPKPGPLFAVTGFFSLLSAPFGGHAVNLAAITA AMCAGQDAHADPKRRYWASLIAGVGYIILGLLAGAVTAFVALAPSILIEAVAGLALVG AFSSSAMSAFQAPDSREAAAITFLVTASGVSFGGISGAFWGLLAGGLMLALSRLVRLW KDRAQSR YP_002976868.1 MNDEDQDDKTKELPLGKETEANLFKSRSIFIYGPINQELAQKVC SQLVALAAASDEDIRIYVNSPGGHVESGDSIHDMIKFIKPKVWMIGTGWVASAGALIY VATPKERRLCLPNTRFLLHQPSGGTRGMASDIEIQAREIIKMNERLNRIMAAATGQPL DKIDKDTDRDYWLSAEEAKEYGLVSRIVTSQADI YP_002976869.1 MSPEERQLLTALFDRVRTAAATPRDRDAEALIDQATREQPSATY YLAQAVIVQEKGLEAAANHIKELEERVRQLEAGESEHRQAEQGGGFLSSIFGNTQTQQ PAPVPSNPGPSNPGPWGQQSRGYDDSRGYERDVRQPPQQPTGPWTQQASAPSAGGSFL HGALGTAAGVAGGMLLANSLSGIFGNHMSSLGWGSPFGANPFGNASAPTEETVINNYY GNDDTRQAADNTADDKDNRADLQQADYDDGDDSIDDSSGDNVTDV YP_002976870.1 MPNTDVSSLSMLGQQTETAQSPEEAVLEKVPSNHAGTDYVVRFT APEFTSLCPMTGQPDFAHIVIDYIPGEWLVESKSLKLFLHSFRNHGAFHEDCSVYIAK RIVELLDPRWLRIGAYWYPRGGIPIDVFWQTGKPPEGVWLPEQGVATYRGRG YP_002976871.1 MSDNGDLTVRKLAMWGIPLSLGVMGLKMVAWWFTGSVALLSDGL ESSVNVVAAFIAFFVIRYAQKPADHDHPFGHHKAEYLSAVTEGALIVVAALLIVNEAV GYLAEPRMLDAPVLGLAINFAAGVINAIWARLLIRTGRRHRSPALTADGQHIMSDVVT SVGVLIGLLLALATGYAIFDPVLAILVAVNILYQGWKVISQSIGGLMDQAVEPQEEEA IKQAIAAHAEGSIGVHDLKTRRAGTVTFIDFHMVVPGGMSVRQAHDICDRLEDAIRAV HQGATIAIHVEPEGEKAHGIRVKVVKEA YP_002976872.1 METSLYLPVKAFLEAAGYVVKGEVGGCDLVGLSDAEPPVVVVCE LKLSFNLELVLQAVDRAAMSDEVWIAARVSAKGRGRETDKRYRDLCRRLGIGMLGVSD SGEVSVIVSSVSPMPRTNPKRRTRLVKEHQRRRGDPAIGGSTRAPIMTAYRQQVLLCA AAIERGVGRPRDMKAQVPNAGRILLDNVYGWFERKEKGVYALTPAGQAALLRWPQIVL ADPAP YP_002976873.1 MANAEKFIVLPYRKNRGNLVPGEMRQASNSVSAEKIASAMSERF VGVAAYAVIVDEETGDMSSPRLLARYGEIADLNAA YP_002976874.1 MVTILRDDGAEIYETKGGITVTRQRRAIPYGDAVSSYVDKLDER RGAVFSSNYEYPGRYTRWDTAVVDPPLGISSFGRDVWIEAYNERGEVILGFVIERLKT VSDIVLGASSARRLDLTVKTPDRVFTEEERSKMPTVFTVLRAVTDLFYSQADASLGFY GAFGYDIAFQFDAINLKLTRPSDQRDMVLYLPDEILVVDNYSAKAWVDRYDFEKGGVS TEGKAQDIAAEPFKHTDAIPPKSDHRPGEYAELVTKAKESFRKGDLFEVVPGQKFMER CDSKPSDISKRLKAINPSPYSFFINLGHQEYLVGASPEMFVRVSGRRIETCPISGTIK RGDDPIADSEQILKLLNSKKDESELTMCSDVDRNDKSRVCEPGSVKVIGRRQIEMYSR LIHTVDHIEGRLRDDMDAFDGFLSHAWAVTVTGAPKLWAMRFIESHEKSPRAWYGGAI GMVGFNGDMNTGLTLRTVRIKDGIAEVRAGATLLNDSIPDEEEAETELKASAMLSAIR DAKTGNSGRVQRDVAGVGKGVSILLVDHEDSFVHTLANYFRQTGATVSTVRTPVPEEI FDRLNPDLVVLSPGPGTPKDFDCKATIKKARARNLPIFGVCLGLQALAEAYGGELRHL AVPMHGKPSRIRVLEPGIVFSGLAKEVTVGRYHSIFADPSTLPREFIITAESDDGTIM GIEHAKEPIAAVQFHPESIMTLGGDAGMRMIENVVAHLARKVKTKAA YP_002976875.1 MIVRHWRSVLLVCTAATVVLPVSQSFAQSAPATAPQAATEESTV LQKIVVKGKRVAPGSVADTPLATEITAKQLEEKQVTNFDDIGRSVDAGVNYSRGDAGF NLRGLSGARILTTVDGIPIPYISNSSRQGAFALSNANGGGDTFDFDSLSSLDIVRGAD SSKGGSGMLGGAIVLNTLEPEDLIPEGRDWGAIVKSTYDSEDRSISGSAAAAKKIGGT SILFQGGYRKGHERDNMGDNDSYGRFRTEADPADFDQHNLLFKLRQELEGGHRIGLTA ERFRRDLQTDLREQQGTGRTYMIDNYDGRELRDRDRVSLDYDYEAQSSDAFFSSARAT LYWQDLKKESGSKGRTAANVAYGRNNEIENETWGFSGTATKDFEYSGLSHSVRIGLDV GVSSWSQYSWALCPTPTTCPSLNNQAEVPNVDSQNLGLVVEDKIEIGNTGFALTPGFR FDWFNYNPSTGGSFASNTGLARFGDLSDRTEAGLSPKILATYDLTPDVQLYLQLAVGF RAPTVDELYSRFYNPTGRYAQLGNPDLQPEIGRGVEIGANFDTGDFTGRVAAFHTRYQ NFIETVTSVDATGFTAFNYTNVSAATISGIEASAAKTFNNGINLHTSLAYAYGRNEET AQRLRSVAPFKAIVGGGWSNETFGFDLSSTLSAGMLTDHLDTPVTNTTDTTFDAPGYA IVDLTGWWTPDQVPGLRVQAGVYNIFDQEYFNALAVRDVNLISTASQPRDWYSEPGRT FKISLTKTF YP_002976876.1 MRKLSTLAILFAATAFLAGARLPPQGPMPEPRPDAGQPTSPTSP SSEKTEPPAPGEVPAPQPKPDVKDPEAPASSPPAPQAAPSEPGPTEPMQGPPRPPGSP ESSQPPTEEGKPPGEQTLEEQHLTIEPESDAEHAECTAALQSLGVVFKDTPRIDDGNG CGIDKPIIVSEALPGIKLKPEATIRCPAALALARWMKDSVIPAASAALPEQGRITTVN QATSYMCRLRNSAGTGKISEHARGNAIDIASFHFEKGEDVAVRSRREDSTLTGAFQRT VSAAGCLYFTTVLDPESDAAHETHFHLDVIERKGGYRYCH YP_002976877.1 MTLLSFQMKDSTVSRLDRLAERRKLSSAEIAAVAIEEFIEREEW QLSEIEAAVREADQSDFASEEDVATVLSKYIGSPSGK YP_002976878.1 MSLRIKWTRRALARLDHIGAYIARHHQGAAARVIVRIQSIVETM PDYPLVGKVSRVAGTREVVLSDIPYIVACRARENEIEILTILHTSQRWPKSF YP_002976879.1 MPSIKSDIEIARAAAKKPIFEIGAKLGIPVEQLVPYGHDKAKVS AEFIAAQAGKKDGKLILVTAINPTPAGEGKTTTTVGLGDGLNRIGKKAVVCIREASLG PCFGVKGGAAGGGYAQVVPMEDINLHFTGDFHAITSAHNLLAAMIDNHIYWGNEENID IRRITWRRVMDMNDRALRSMVSSLGGVANGFPRQGGFDITVASEVMAILCLATDLKDL ERRLGDIIIGYRFDRTAVQARDLKADGAMAVLLKDAMQPNLVQTLENNPAFVHGGPFA NIAHGCNSVTATKTALKLGDYVVTEAGFGADLGAEKFFDIKCRKAGLKPDAAVIVATV RALKMNGGVKKEDLGTEDVEALKKGCANLGRHVANVRRFRVPVVVAINHFVSDTDAEI AAVKEFVSRLGAEAILCRHWALGSAGIEELAHKVVELAESGQAKFQPLYGDDISLFEK IEIVASKIYHAGEVTADKAVRDQLQTWEEQGYGKLPICMAKTQYSFSTDPNLRGAPEG HIVTVREVRLSAGAGFVVAITGEIMTMPGLPKSPSAERIFLNDQGYIEGLF YP_002976880.1 MKSLELIIERIILSSRWILVVFYIGLVAALAVYAVSFAYKFLKI ASGVFELDEAEMILAMLGLIDAALVASLIVMVMISGYENFVSRFDEASEADNEVSFLG KLDSGSLKIKVASSIVAISSIHLLQVFLNADKYADGKIMWLTLMHLAFVASAVMLGFL EKLMSVTSKNDLKDKD YP_002976881.1 MRFAVSFLVKCLFSRLLLVFLLLTLPIGAATSQAAEEKKPAPHP PMNFILVRSLLCQENCPEWISAEGRITSDTPARLRKILKKIGGRKLPVVFRSEGGDVD AAYAMGRMIRKAGLETAVGGTRLKDCPVGDTRCQAAVAKDGSAVGYTYSSGAYCFSAC PLAFVGGTSRVSSQWALIGVHQITTVYNKVRVSYRIEYKIVNGKKKEISRKEVGRKVA GQSSSTKLGKKATAALTAYLKEMGVSEDVVGLMMSATPDNINIVPAAEALRIGLITDM LSYNEWPGMPLCAPDAAAEAVCHSHPAAEAPVAAEAPVSKTPVSEAPVQEAAAETSEK LPKTRPMDFLLMSNGNCQEECTQWISADGDITPDTPARLKAMLTTLGERKLPIVLQSN GGDTDAAFVMGRMIRAAGQETSIGRTQLPNCPKLDPRCKASIAKSGPTEGEVFAGRAY CLSSCALVLMSGTPRMVGYSAIGIVRPTTAEYTRFLAYFDEMGVSAETYETMMPATST ERGSIILPSEALKLGIINGIIPYDEEPGLHVCGPEAKESLRCPRKAEAEIVPVAASVN YP_002976882.1 MSYMTTSERQSLLSAELATARTRSLFAQALGRVSTAFGLSHATL MHAPSPEDLLLKPLLIESSLPVAYVRDFDRAHMMRGCPFGVRLRQSAVPPAWHLNDAS NGQAFPAELRALMLRHAIPAGVAMPTIAADGQRLVFWFCGERAALGQSETNELAMIIL HALDAYNAVKRNEECAHNALSTRELEVVRWTAQGKTSIEIGQILTLSDHTVNAYMTNA IKKLDCVNRTQLVAKAIRLKLIN YP_002976883.1 MPLHFEQPVIDKTEQSRAHIGITDAEVVRMLAAYRLFGFWRIDI ETGHFFASEDVHAIFGLPYSDGPVNLTELMSRIHEEDRSLIAQTFEEASLHGVGFHFV YRVDNRLGGHKLVRSVGRFRNDESGGGIVGITYEFVEKLRVVGFEDNTIPR YP_002976884.1 MLDRIAGFFRLIGQTIGRWARLFSAWAFWPFLAAHGWYQRRSWM IRLPVIAFVALLVVLYGYFFWQTQVWSNFNTAFVDQYRLSERKVAAGQEVPVAEGANA TAPKTCQRSAIVDVAADLTDFNVNQNAWISSMLLYKMGFFGIDWDHTPFLDNKASFQR GVNQAVRRTSAELVDTLGRVRGTSGINNDLQSARGNLQFDENSWYFGLNPFGPKTPTP SYYRSAVGSLRKFNTDLALCNVVFDGRADNLMQFIDRIANDLGGTSDMLAERSENHNR GWFDTRADDRFWFAYGQLYAYYAILTAAQADFSQVVQERNLGAIWGSTTRQFQAALRI QPAIISNGREDGWIMPSHLATMGFYILRVRSNLVEIRSVLDR YP_002976885.1 MRKPPSFDSQYEAAGSLAERIAAEGAYCVAAISAFSGDETRSAD EVFLEQNARFQAHIADAAALDAQLAELVFCLDRLTAEVSADLDSFRGLTLREKMAGWV SRQRMWRMYTERVREAPVIERLLDLLTKSDALARLIASQRAALTERHKAAELSLVDIV EQRRRLVVSIDIARLKMKELNAKALTTQGRIGVYGNRAHWEQMEAERRALKAEAERIS AGEHEMRDDSQRRERFIGLFQTYVDSLNGRIAACNALLRKLLIDVEERLIIYQAQVDT DRPGMKVRIKPELFPDIAAPIRLFEKGMLVAQDLERRKSRADLEFARRFPTYADPPPE TSGAPLIDTARRSFRFSRPFLRS YP_002976886.1 MAKLYFNYSTMNAGKSTMLLQASYNYQERGMRTVQLIAAFDERA GRGVIGSRIGLEASAIPFEPHEDLFRLVATLSGDGAPISCIFVDEAHFMTPVHVWQLA RVVDRLGIPVMVYGLRTDFQGKLFPASQELLAIADEMREVRTICHCGRKATMVVRLDA KGKVLHEGAQIDVGGNEKYVSLCRRHWDDAMNGAWIAEPV YP_002976887.1 MKTTSTFKLVTATAVAALSVATAAFAADPDSCSTVHFSDVGWTD ITATTATASIVLKSIGYQTDVKVLSVPVTYTSLKNKDIDVFLGNWMETQEKDVRPYLD DKSVESFGPNLVGAKYTLATNAKGAELGIKDFKDIAAHKDDLDGKIYGIEPGNDGNRL VMDMIEKNEFDLKDMEVVESSEQGMLAQVARADKSGKPVVFLGWEPHPMNTNFKLTYL TGGDKVFGPDFGGAKVFTNVRAGYLDECPNVGMMLKNLKFSLDMENQIMGKILNDGKE PEAAASEWLKANPAALEPWLAGVKTRDGSGEALAAAKTGLGL YP_002976888.1 MGAPLNWITEFKIPVGPWAKSFVDWLTSNGEWFFNQIAFLLSSA IDGLLFVLQKPHPLIVIAAITAIAFWLRRSIAVAVFTCLGLLLIVNQDYWKETTETLA LVLAATFVCMVIGIPLGIAAARRPWVYAAMRPILDLMQTIPTFVYLIPALILFGLGMV PGLIATVIFAIPAPIRLTRLGIISTPPSLVEAAIAFGATPIQVLRKIELPFATPQIMA GLTQTIMLSLSMVVIAALVGAPGLGVPVVRALNTVNIAKGFEAGFCIVILAIILDRMF RTAGEGGAA YP_002976889.1 MTAVSFNNVSIIFGDRPETALAMVDQGKSRDEIGAATGLVLGVA DASLTIEEGEILVLMGLSGSGKSTLLRAVNGLAPVVRGDVAVSTTTGPVNPYRCNAKA LRDLRTHTVSMVFQQFALLPWRTVADNVGFGLELAGMPEAERKLRVGEQLELVNLTKW AGRKVNELSGGMQQRVGLARAFATGAPILLMDEPFSALDPLIRTRLQDELLEFQRRLK KTILFVSHDLDEAFRIGNRIAIMESGRIIQCGTPHDIVKNPADQYVADFVQNLNPINM LTAADVMQPGLGQTAAGMSVSATARAATPLIDILDALARQPGSIGIVENGAIVGTISA QDIVAGLTRHRRKEEA YP_002976890.1 MKDQPSPLRETDDDARKLARVLLRSARYAAIAVLDPETGFPFAS RVLVATDIDGTPVILVSQLSAHTRALASDPRASLLTGEPGKGDPLAYPRLTTQCRAES VERSNPCYARIHMRFLARHTKAKLYIDFPDFLFFRLKPEQASLNGGFGRAYQLDGNDL VIQSAANEAIAVGAAEAVRDLVERHPDVEESLAIRLKAPESGSWRICGIDSSGFDMIS GDLLLRYEFETLAVDTDHICSNMSKIAYSIP YP_002976891.1 METSDLADHTSVAAALLSAMANPKRLLILCSLVKGEVAVGVLAT QVGLSQSALSQHLSKLRAQKLVKTRRDAQTIYYSSTSEPVMKILATLEDIYLVQNRNR SAA YP_002976892.1 MSNRLNAPNDLRAFWMPFTANRQFKKEPRLFVGAKDMYYTTHDG RQVLDGTAGLWCVNAGHCRPKITEAIREQAGELDYAPAFQLGHPKAFELANRLVDIAP EGLNHVLYTNSGSESVETALKVALAYHRVKGNGSRFRLIGRERGYHGVNFGGISVGGI VTNRKMFGTLLTGVDHMPHTHQPGKNNFTRGEPEHGGDIATELERIVTLHDASTVAAV IVEPVAGSTGVLIPPKGYLQKLREICTKHGILLIFDEVITGFGRLGAPFAAQYYDVKP DMITAAKGLTNGVIPMGAVFVTSEIHDAFMNGPEHMIEFFHGYTYSGNPIASAAALAT LDTYKEEGLLTRAAELSDYWADALHSLKDCPNVIDIRNTGLIGAIELDPIAGEPTKRA FTAFLKAYESGLLIRTTGDIIALSPPLIIEKHHIDELFGKLRAILQNNI YP_002976893.1 MLKRIEARRVRNGMFVEAIEGAWQDPFLSKRRFLLRREADALKL RKSGIEGVIINTSRGLDIDGLPGGNIEIDTQAARETIQKSVQVLESVFGRLQHGDGIS VDQVAPVISSVSKSMDDNPTVFLSVTRLKSKDEVTFLHSLSVSALMILFGRHLGLDEA TVQTLGTAGLLHDVGKLEIPLEILNKEGRLDEDEIKMIRDHPEKGHAILSRQEGMSEI VLDVCLNHHERIDGKGYPRRLSETQVSFHARLAAICDVYDAVTSVRPYKAPWSASQAL KWMLGSEGQFDRRLVKKFALCLSVAAVS YP_002976894.1 MTLKTLTATLVASLAFAPLAYADITIGLIAPLTGPVAAYGDQVK NGAQTAVDEINKKGGILGEKVVLELADDAGEPKQGVSAANKVVGDGIRFVVGPVTSGV AIPVSDVLAENGVLMVTPTATAPDLTKRGLTNVLRTCGRDDQQAEVAAKYVLKNFKDK RVAIVNDKGAYGKGLADAFKATLNAGGITEVVNDAITPGDKDFSALTTRIKSEKVDVV YFGGYHPEGGLLARQLHDLAANATIIGGDGLSNTEFWAIGTDAAGGTIFTNASDATKS PDSKAAAGALAAKNIPAEAFTLNAYAAVEVLKAGIEKAGSAEDAEAVAAALKGGEEIP TAIGKVTYGETGDLTSQSFSLYKWEAGKIVAAE YP_002976895.1 MKAIISAACAAVFLLSGCVNNSAVLTTDKHGKVSQIILKKSTGS VASDENLKRVVVASFYARISNPTPNRTYVQPMVLIEHPTVESYLREDKRTRD YP_002976896.1 MTTKLERLIDQGVGRVPADIVLKGGCFFDLVTGELVQSDIAIGA DRIVGTSGNYEGETEIDISGRIVVPGFIDTHLHIESSLVTPHEFDRCVLPYGVTTAIC DPHEIANVLGTAGIEFFVESALETIMDIRVQLSSCVPATHLETSGADLPIESLLPYRD HPQVIGLAEFMNFPGVIHKDPICMAKLEAFQSGHIDGHAPLLSGNDLNGYLSAGIRTE HECTTAAEALEKIRKGMHILVREGSVSKDLAALIPIITERLSPFLALCTDDRNPLDIA EQGHLDHMIRTAIASGVEPLAIYRAASISAARAFGLRDRGLVAPGWRADLVVLDSLEN CRADMVFSAGRRVTDALFSSRRPVAPIGLDSVKARPVNAAHFGVPVAEGETPVIGVIP GKIITEHRRYRLPVRGNEATVDLANDIIKVAVIERHGKNGNHANGFVQGFGLKKGAIA STVGHDSHNICVVGVNEDDMARAANRLGEIKGGFVVVEDGKVTGEIALPIAGLMSLEP YETVRDTLHQLRKAALALGATLEEPFLQLAFLPLPVIPHLKISDRGMVDVDKFALIG YP_002976897.1 MALQAGGSADWWRGAVIYQVYPRSFQDTNSDGLGDLRGITRRLP HIASLGVDAIWLSPFFKSPMADMGYDVSDYCDVDPIFGTLADFDEMMAEAHKLGIKVV IDQVISHTSDRHPWFVESRSSRTNPKADWYVWADPKPDGTAPNNWLSIFGGPGWEWDG VRRQYYQHNFLTSQPDLNFHSEAVQDAVLETVKFWLDRGVDGFRLDTVNYYFCDKLLR SNPPHEPDEDDAGLDAPDSNPYGMQNHLYDKTQPENVDFLKRFRALLNQYEDRTTVGE VGDGARSLKTVGAYTSGGDKLHMCYTFDLLGPEFTAEHIRGCVEAFQKAVTDGWVCWA FSNHDVMRHVSRFARTEEERPVIAKLAISVLAALRGSICLYQGEELGLPEAELAFEDL RDPYGIRFWPAFKGRDGCRTPMPWEAGKAHAGFTSAEKSWLPVPYEQAALSVDTQETS DSSVLHHYRRTLAFRRSHLALIDGEMTFIGTNQDLLAFTREKGGEKLLFIFNLTRKPA EFRLPDGIVLGEPLAMPGFEAVADAGLVKLAALDGFCARV YP_002976898.1 MNHFAKKFLASAMLGTLLAFSAHAATLNIHNGGDPQSLDPQKLS GDWENRIAGDIFEGLVTEDAKDNPIPGQAESWTISPDGKVYTFKLRDGIKWSDGQPVT AGDFVFAFQRLVDPKNAADYAYLQFTIKNAEKINKGEITDLNQLGVKAIDDKTLEITL ENSTPYFLNALMHYTAYPLPKHVVEAKGQDWVKIGNIVTNGPYKPVEWVPGSHVTTVK NDQWYDTKDLKIDGAKFFVLEDQEAALKRYRAGEFDILTDFPTDQYEWMKKNLPGQAH VAPFSGLYYYVVNSQKPPFSDKRVRQALSMAINREVIGPQILGTGELPAYSWVPPGTA NYGEPAYVSWKDLPYSEKVAEAKKLLTEAGFGPDKPLHAVLSYNTNDNHKRIAVAIAS MWKPLGVDVELVNAETKVHYDQMQRGQVEIGRAGWLADYNDPDNFLNLLVTGVQMNYG RWSNPEYDKMIKEGNAETDLTKRAAIFKKAEQLALDESAALPIYYYVSKNVVSPKIEG FVDNIQDIHRTRWLSMKE YP_002976899.1 MIKYALRRLLSTIPVMWIAVTASFFVLRLAPGGPFDGERPLPPV ILKNLAIHYNLDKPLIQQYLIYVGDLLRGDLGPSFASEDFTVAQQIMIGLPYTFTIGT AAFLIAIIVGVAVGCLGALYQNKAPDYILGALILVGVVLPNFLIAPILQLVFGIHLAW FPVGGWGDGSIKYLILPIVVLALPHAGRISRITRGSMIEVMNQNFIRTAKAKGIGPRL TVMRHALKPALMPVVSYLGPAASYLLTGSLVVESIFGLPGIGRYFVNAALNRDYGMVL GTVIFYMVLIVFLNLLVDIAYAWLDPKVRNR YP_002976900.1 MILNPAKRELLAQELLEAEGLAPESRSLTKDALRRLGRNKAAVL SIVVLTLLILVAFIGPWFIPFNYEDPDWAAFRIPPSIETGHYFGTDPNGRDLLARVLY GTRVSLAVALTATVVSVFIGVLYGAVSGYIGGRLDAIMMRFVDIMYALPYILFVILLM VIFGRNVYLLFAAIGALEWLTMARIVRGQTLSIKHREFIEAARASGQRPFKIIIKHII PNLVGPVVIFAALTVPEIIATESFLSYLGFGVQEPLTSLGTLIAEGTDAMESMPWLLV FPASFLVALLLSLLFIGDGLRDAFDPKDR YP_002976901.1 MTDTLLELKDYSITFKTPDGEVKAVSNMNLTVKRGERIAIVGES GSGKSQTFLGIMGLLAKNGKTTGQALLEGKDVLALKPRELDQIRGKDMAMVFQDPMTA LNPTLKISRQLTEQLEVHGGLTARAASAAALDMLKRVGIPDPTRRFHLYPHELSGGMR QRIVIAMALLTRPKLLIADEPTTALDVTIQAQILDLFNDLTAEMNTALIMITHDLGVV AGLADRVAVMYAGRIVEEAPVDELFDNPAHPYTAALHASIPRPDQDVDDLVVIPGRPP NLQHLPKGCNFSPRCSQVQDDCIDRPPPLETLAPHHCAACYHPFPRREELLNHG YP_002976902.1 MAERSLLRVENLTTQFELPAKGLFKPPIFLTAVNNVSFDLSEGR TLGIVGESGCGKSTLGRSILRLLKSQKGRILWQGRNLLDLSDEEMRAARRDMQIIFQD PIASLDPRMTVGDIIAEPLTVFEPKLSRAERTERVREIMTAVGLVPEMINRYPHEFSG GQAQRIGIARAVVTKPKLIICDEPVSALDVSIQGQVITLLRKLRKEFGLTLIFISHDL SVVRLISDDVLVLYLGRVVEAGDCATVFDHPAHPYTQALFSAAPIPDPKLARLRTRIR LQGDPPSPLNPPKGCVFSPRCWKATDICRTEMPPTEEVRPGQKAACYHMDRP YP_002976903.1 MKSKISGVIALTAAMIAVSAVSSHAEDLTFKLINGTNSVLTHFY SSPTGVDDWEEDVFGENVLDPDETMDITIADGRTVCKYDMRFEFEEGSNLETTEDTQD LCAMGSYTIHE YP_002976904.1 MSRRFLSIGECMVELSQAGDGLLRKGFAGDTFNTAWYARACLAA DWSVDYFTALGDDAMSDEMLAFIDTSGIGTSLIRRIKGRTPGLYMINLKNGERSFSYW RDSSAARSLAADPDRLREAVESAAVIYFSGITLAILPQEDAETLLAEVRRAKAAGKLV VFDPNIRPRLWSSYDVMHTTISEGARSSVLVMPSFDDEAAHFGDDSIEATIHRYRALG AVDIVVKNGADGVTMNFAGEQTFVPAEKVEKVVDTTSAGDSFNGAFLARYLEAGDAPA ATRFAAKVAARVVSEHGALVVREKLGLDRG YP_002976905.1 MPTGFHRESATIYQFPAKAIRNADRFERAKLMEREAAAVCDAAL DSCWYHDEAVRESDRPTKS YP_002976906.1 MTKYKLEYIWLDGYTPVPNLRGKTQIKEFDVFPTLEQLPLWGFD GSSTQQAEGRSSDCVLKPVAIYPDPARTNGALVMCEVMMPDGVTPHASNARATILDDE DAWFGFEQEYFFYQNGRPLGFPEQGYPAPQGPYYTGVGYSNVGDVAREIVEEHLDLCL AAGINHEGINAEVAKGQWEFQIFGKGSKKAADQIWMARYLLQRLTEKYGIDIEYHCKP LGDTDWNGSGMHCNFSTKYMREVGGKAYFEALMAQFEKNLMDHISVYGPDNDKRLTGK HETAPWNKFSYGVADRGASVRVPHSFVKNDYKGYLEDRRPNSQGCPYQIASQVLKTIS EVPTASSASAAA YP_002976907.1 MLQRPANTYSCESWANAAAAGNLPERLVIVTDAWHPQVNGVVRS IENTNRELAKMGVEVSMVTPERFNSIPCPTYPEIRLSIANYHRIAREIEKHNPSYVHI ATEGPLGLTARRWCLRNRMPFSTSYHTRFPEYVSARLPIPKSWLYSFVRWFHNGGAGC MVATPSLARELSEKGIRNLMPWSRGIDATQFRPMALEENPFGLARPIFMTVGRVALEK NLPAFLDLDLPGSKVVVGDGPARAELEKQYSDVFFAGVQFGEDLAKTYAQADVFVFPS LTDTFGNTILEALASGVPVAAYPVTGPLDIIGDDSEVGALDQNLQAACLAALSASRGK ARELAMQYSWEAATLQFINNIRAANGVITPKWKKAWQFAKSLPRSRKPGETARPLPSA D YP_002976908.1 MSTIKTTVSAAALLAASAVGALADPVLEPTTQKFIDGLAGGKPI YTLSPADARNVLAGAQKGDVKRLAARGEDKVIKAGPTGSIKLRIVRPEHATGTLPVIL YFHGGGWVLGDADTHDRLVREIANGADVAVVFVDYERSPEARYPVAIEQAYAATKYVA EHAKEFKVDASRLAVAGDSVGGNMAAVVTLLAKERGGPAIDQQVLFYPVTDANFDNGS YNQFADGPWLTKEAMKWFWNAYLPDETKRKEPTASPLQASLEQLNGLPPALVIVDEND VLRDEGEAYARKLSQAGVKVTSMRYNGTIHDFVLLNAIAETPAARSAIAVANDTLRSA LHK YP_002976909.1 MQDQLKLNNFICFAVYTASHALNRVYKPLLDTLGLTYPQYLAMV SLWEQDGQTVGGLGEKLFLESSTLTPLLKRLETAGYVRRERSREDERVVVIRLSEEGI RLKEKAIGIPGCIAAASGRDAVDLTRLQAEIVALREALNRSVV YP_002976910.1 MSFTVAIVGRPNVGKSTLFNRLVGKKLALVDDTPGVTRDRRPGD ARLMGLTFTIIDTAGLEEADAESLQGRMRAQTEAAIDEADLSLFVVDAKSGLTPVDTD LAEMLRRRGKPVVLVANKSEARGSDSGFYDAYTLGLGEPTPISAEHGQGMLDLRDAIV AAIGEDRAYPTKEDVAVTDVDIPQTEGEDSDADEEPAYDETKPLRVAIVGRPNAGKST LINRFLGEDRLLTGPEAGITRDSISVEWDWRGRTIKMFDTAGMRRKARVIEKLEKLSV ADALRAIRFAETVVIVFDATIPFEKQDLQIVDLVLREGRAAVLAFNKWDMIEDRQAVL ADLREKTDRLLPQARGIRAVPISGQTGWGLDKLMQAIIDTDKVWNKRISTARLNRWLE TQQIQHPPPAVSGRRIKLKYMTQVKARPPAFMISCTRSDALPESYTRYLINGLRADFD MPSVPIRIHFRSPENPFEGKKRRT YP_002976911.1 MAFNDDSFIREVNEELRSDQMKGVWRRFGRYIIVVAILIVVGTA GKVLYDYWDDNRSSGTGDQFLAAMKLADENKNDEALAALNKLEKEGHGAYPVLARMRA ATVQVQKGDTAAAITAFNEIGKDNAVPAAVRDAAKMRAGWLLIENGTYEQVSAAIEEM AVPGNAFRHSAREALGLAAYKAGNMAQARQWFQSIVDDTDSPRNVANRAHMMLDLITA SGKAPAAQG YP_002976912.1 MTLLIVGIILFLGVHLVRVLLPDFRRSMIASLGEKGWRAAYSIA SIATLILLIYGFGQARQVTGMLYNPPVWMAHITITLMLIAMVCLVASLLPAGHIAAKT KHPMVLSVKIWALAHLLANGETSSVLLFAAFLAWGVILRISLKRRQRAGEITLRPFVS AKYDLYAIIIGIVVWALIIWKLHEWLIGVSPLVI YP_002976913.1 MSRFLLSTYLSVSFLAPAIAEAADRPKQLVIISFDGAHDNALWL KSREMAAKNGAHFTYFLSCTFLMNEAAKKAYQAPHQKRGKSNVGFAQSDDEIRERLGN IWHAHLEGHDISSHACGHFDGRLWSEADWSAEYATFHATLENAWKSVGLQAPAGWQDL VDHGIKGFRAPYLSATAGADMVAAEKKAGFTYDASLVTKGPAMPVEEDGITRFGLPLI PEGPSEKPIIGMDYNLFVRHSKGEEDTADSVEFEDRAYAAFKEAFDKQYAGNRIPLQL GFHFVEMNGGAYWRALDRLVSDVCHRTDVACVSYSEAIPMIEARGKLQTSGL YP_002976914.1 MFHSFFPQPKTFFTSLVVWTLISIAGWYLFAANLGASLGYAPVP EEQQPIDLSFFLLPENVWFYSYFLLSAVIFCGAWHLKALNHPWKIWSIWGSALIIFVT YFGVQISVVINNWRRPFGDLLQNALSKQPGISVDNFYSLMWVFCQIAFLSMFVSIMTD FFTSHYIFRWRTAMNNFYMSKWEKLRHIEGASQRVQEDTMRFSSTLEGLGISLINSVM TLVVFLPILLALSHYVTELPFIGPVANSLFWLALFWSAFGTLLLAVAGVKLPGLNFRN QRVEAAYRKELVYGEDHAERAEPLTVRELFGNVRRNYYRMYFHYMYFNVARYFYIQAD ALFVVFMLVPTIVAGTITYGIFQQISTAFGQVSNSFQYLVNSWTTIIELLSIHKRLKA FEAAIDDEPLPEIDQRYLERETGVVHADG YP_002976915.1 MLARTRNSPPNLVSAAAAGAVAMAAAMGFGRFSYTPILPGMISG VPLSAADAGFIASANFVGYLVGAVLAAYGWAAGRERLVALLSLLATAILLAAMAATDS VAVFAIIRFLAGVASAFAMVFTSSIVLSHGAAAGNDHVQAAHFGGPGAGIALSSVMVL LIGLGFHDGPGGWRADWIGGALYCAASLVVVFLLLPSAPAQSAQAGREPPLIWSRPMV LLTLSYGLFGFGYVITATFLVTIARLSATGPFVEFLCWFIAGLTAAVALFAWKPLVKP LGLGGVYVAALLVEAAGVLATVALPPSAAPLIGGALFGATFLAITAFGLQIGRKLSPD SPRRILAMMTAAFGVGQIVGPVVAGWIAERTGSFTVPTMIAAVALVACAALVMPVIKK IA YP_002976916.1 MRGFKGGMFGGDFRMGRKFAAGDLQLVILALLAEQPRHGYELIK LLEERSGGFYVPSPGVIYPALTYLEETGLAEVEVEGAKKLYRITEAGRGRIEENRAMI LHTFAKLERIGEKMAHVKRVFETDRHGADEGDDGDFMQDGGDIRAARMLLRSAMRMRY PWSKPEAARIAGILERAATEILQGGRPGTRG YP_002976917.1 MVIANDDELVKLKEIGRICANAIQVMAAAMEPGMTTLELDQIGR KVLEDSGARSAPEFCYQFPGATCISINEEIAHGIPGPRVIRAGDLINIDVSAEKDGFF ADTGASFTMPPVKPKIERLCRDGKRALWIGLNQVKSGEPLAKIGTAVGAFAQKNRYTL VANLASHGVGRSLHEEPAELSTWPDPSEKRMMTEGLVFTVEPFLSLGATWAEGGDDAW TLYADPKAPTVQYEHTVVATRNGPVILTLPDERA YP_002976918.1 MMELGLYTFADVNPNPSRSKGAEAAERLKHLIEEVELADQVGLD VFGLGEHHRPDYAASAPAVALAAAAVKTKNIRLTSAVTVLSSDDPVRVFQQFSTLDLI SNGRAEIMAGRGSFIESFPLFGYNLEDYDQLFEEKLDLLLALRDSEVVEWKGELRAPI NGRGVYPRPLQDPLPLWVAVGGTPQSVARAGALGLPVALAIIGGEPRRFAPLFDLYRE AARRAGQDPAKLKTSINVHGFIADTTEAAANQFYGPQAEVMNRIGRERGWGPTNRAHF DMSRGPNGALFVGDPEAVAEKIIAHHALFKNDRFLLQMAIGLMPHAEIMRGIELYGTK VAPIVRKALTDSSEEAKATA YP_002976919.1 MMGYVYILASKRNGTLYVGVTRDLAGRLYEHQNELTPGFTSRYG VKILVWFEEYDLLTTAITREKTIKKWPRQWKLNLIERENPEWEDISFHLLGL YP_002976920.1 MTASTQHELVLVREFDAPREKIYKAWTDPDLMKQWFVPRPWGVT EATLDVRPGGSSVIVMHDPEGNQYPNRGVYLEIIENEKIVFTDAYTSAWVPSEKPFFT GVILLEDLGNGRTKYTAKALHWRAEDKEAHEKMGFHEGWGKAANQLAELLAKM YP_002976921.1 MTDIAWIDLALASARPKALGALLRYFRNLDTAEEAFQEACLRAI RTWPEKGPPRDPTAWLIFVGRNSGIDAVRKRAKIQALPDEDVISDTEDAESDIVERLD DSNYRDDILRLLFICCHPDLPATQQIALALRIVSGLSVPQIARAFLVSESAMEQRITR AKARVAKAGVPFETPSPQERAERLSIVSTMIYLIFNEGYSQADPRHEAAAFSDEAIRL ARLMLHIFPSEPELMGLLALMLLQISRRPARFNAGDEIVLLEDQDRSLWNRPFINEAL ALLDKALRHRQPAPYQIQAAIAAVHSRAKRAEDTDWVEIDLLYRALERLQPSPVITLN RAVVVSKLQGAQDALDLIDPLGEKLGGYFYYHGLRGGLLKQLGLTSQAREAFDRAIAL AHSAAEAAHIRRQIDKMQEDATQPIAK YP_002976922.1 MLYAILCYAHEETVFAWSKEEEAAVMEKLYAVQEPLAASGKLGP VGRLMPTTAATTVRKGKDEPLVIDGPFAETKEALLGFYVVDFETLDEAIAFSKQLSSV NPGSTSYEIRPFYVFRPGDASS YP_002976923.1 MTLTIDGISIPNSKLAREVTEVVRDTASPLLFHHSSRVYYFGAL AGKQRGLRFDPELLYTGAMFHDMGLTHQHSSADERFEVDGANAARDFLKSRGIAQADI DTVWTAIALHTTPGIPQHMHPVVALVTVGVEMDVLGLTYKDYSDQQREAVVHAHPRGD QFKEQIIQTFYEGIKHKPETTFGNVKADVLADKDPHFHRGNFCSVIRCSHWAS YP_002976924.1 MTRSKTIAILAVPGVQLLDVSGPLDVFAEANVQTGREVYRPVVI AVGHGHIRSSSGVRLVADYVIGDNIGAVDTLLVAGCPNAADIQPDAAMVAWLRSAAPA ARRYGSVCSGAFLLAATGLLDGRRVTTHWAVADRLAEAYPDITVEADAIHVRDGQLWT AAGVTAGLDLALALVEEDLGHEIAMKIAGQLVMFFKRPGGQMQFSRKGEAAPAGRSAL QEVQRWIAANPAVNHSVATLAQRMELSPRHFARLFRDEVGMTPAAWVEAARISAARRL LEEGHTPKQVAVECGFADADTLRRAFARQIGVTPAEYRKRHAKVHLGALENV YP_002976925.1 MSGYVLAIDQGTTSTRAIVFDGDMHVAGKGQKEFTQIYPRSGWV EHDPEEIWDSAVSTVHMALRDAGIEAKDVAALGITNQRETVVVWERETGRPIQNAIVW QDRRTASYCDNLKRQDLERLFTKKTGLILDPYFSGTKLSWMLANVKGARARAARGDLC FGTIDTFLIWRLTGGKSFVTDATNASRTLMYNIAENAWDEDLLDILRVPAAMLPEVKD CAADFGTVDPDIFGAAIPILGVAGDQQAATIGQACFAPGMMKSTYGTGCFALLNTGAD MVRSKNRLLTTIAYRLNGETTYALEGSIFIAGAAVQWLRDGLGIIGKAGDTNELAEKA DPTQEVYLVPAFTGLGAPHWDAKARGAIFGLTRNSGPAELSRAALEAVCYQTRDLLDA MQKDWKNGTDDTVLRVDGGMVASDWTMQRLADLLDAPVDRPVILETTALGAAWLAGSR AGVWPDRDGFSATWKRDRRFEPEMDEKVRATKLKGWKNAVRRTLSEG YP_002976926.1 MSRTVVVTGSTSGIGLAIATAFAETGDNIVINGFGKADEIKAIV ERLESMSKGRALYHPADMTKPAEIADLIETAAKTFGGVDVLVNNAGIQHVEKIEDFPI EKWDQIIAINLSSSFHTMRAAIPLMKAKKYGRIINIASAHGLVASPFKSAYVAAKHGI LGLTKTAALELAEFGVTVNAICPGYVLTPLVEKQIPDTAKARGMTEEQVKSEVILKAQ PTHEFVKAEEIGALSLYLASDAARQVTGTHISIDGGWTAA YP_002976927.1 MNDSIRFILNGEDIALTDVGPTETLLDFLRLKRRLTGTKEGCAE GDCGACTVLVGRLADGKLAYESVNACIRFMGSLHATHVVTVEHLAGRDGALHPVQQAL VDCHGSQCGFCTPGFVMSLYGLWLAKEKPSRQEIEKALQGNLCRCTGYEPIVKAAEQV SLMRPSTLFDPLERTRSEIVSRLWAMLASGTIRIANGEDRLIVPASMQALAEVLSQES DAIIVAGATDVGLWVTKQMRRLSPVVFINHLSELQSVREDEDGVTIGAGVSYTRAFEA ISKKIPALGRLIDRVGGEQVRNMGTIGGNIANGSPIGDSPPPLIALGATLTLRSLQGQ RRMPLEDFFIAYGKQDRKPGEFVESVFVPYPAATNRFAAYKITKRRDEDITAVLGAFL LTLDAAEMITDIRIAFGGMAATPKRARIVEAALIGKPWTEATIEAARSAFDADYQPLT DWRATAEYRQLTAKNLLTRFYLETVGAPAELKRFEEVA YP_002976928.1 MKIIHVSASHPPHPAAATFSPLGRSDCRAVSFPPSLLGEKVPEG RMRGPRGTTHPREGGHR YP_002976929.1 MDKSTFEDRKAIIAGPMHGSLQHDSAHKHVTGTADYIDDIPEPA GLLHGALGLSDRAHAEILSIDLSAVAAYPGVVWVFIGKDVPGVNDVSSNGSHDEPLLA ETLVQFHGQPIFAVIAETRDAARRAARLAKIDYRDLPHWSDIDGALANGSPLVITPMT LRRGEPDTEMAKAAMRLKGQMRIGGQEHFYLEGHIAVAIPGEDDEVTVWSSTQHPSEI QHIVGHVLDIPSNAVTVNVRRMGGGFGGKETQGNQFAALAAIAAKKLGRAIKFRPDRD EDMSATGKRHDFLVDYELGFDTEGRIHAVHATYAARCGFSSDLSGPVTDRALFHADSS YFYPHVHLNSKPLKTHTVSNTAFRGFGGPQGMLGAERFIEEIAYAVGKDPLDVRKLNF YGQPGSERTLTPYHQEVEDNIIARIVEELEETTEYRARRNAIIAFNRDSRYIRKGIAL TPVKFGISFTMTAFNQAGALVHIYQDGSIHLNHGGTEMGQGLYTKVAQVLADSFQVDI DRVKITATTTAKVPNTSATAASSGSDLNGMAAYDAARQIKERLVAFAAEKWDVEAVDV VFLPNRVRVGEIEIPFPDFIKQAYFARVQLSAAGFYKTPKIHWDRKAGRGTPFYYFAY GASCTEVSIDTLTGEYLIDRTDILHDVGRSLNPAIDMGQVEGAFVQGLGWLTTEELWW DDKGRLRTHAPSTYKIPLASDRPKIFNVRLAEWSENTEATIGRSKAVGEPPLMLAISV LEALSMAVASVANYKVCPRLDTPATPERVLMAVERMKRV YP_002976930.1 MTDIHPFLATHPDCILVDITGTQGSTPREAGTFMLVSQTALWGT IGGGQFEFMAIANARDILEGTGGVAEMDIPLGPDIGQCCGGRTQLRFRRVTQVVADEI EARLTSEIERLPEVYLFGAGHVGRALAIALAPLPLSVTVVETRQEELANLPPETKTRL VPMPEALVKAIPAGGAVVILTHDHALDFLVAREALERTDLAYAGMIGSATKRATFASW LSREGGGERGWMERLTLPIGGAAVRDKRPEVIAAMTAAEILTALGAYRMRSSS YP_002976931.1 MDCYAIEQSPPQPTIGIFRRIGGRLARIWRMPSLDLDTAPDRVK RDLGFLDGRDPYYEDERMR YP_002976932.1 MKLSKQFPLNALRVFEAAARLGSFTKAGNELGMTQTAVSYQIKL LEENVGEPLFLRRPRQIALTDTGERLAPKVTEAFAMLHDAMATARDSVEGTLAISSTH TFASKWLAPRLGSFHLKHPAIAVRFQASSDIIDFGREQIDVGIRWGDGNWPGLALHRL MGLEFTPMLSPKLAETAGGIKEPRDLLKFDLFDAGDIWWKQWFEAAGITETDLDRRPR NQLGSQAVEADAAIAGHGVAILHPAFYTAEIALGRLYQPFELTRSDGKAYWLVYPENR RNVPKIKAFRNWILEEMKAAGN YP_002976933.1 MRSILTCVMIAYASLATAHDAPSGWSYDPYCCNGDSHNGDCQMI PSKSVAVTPGGYRVTLLPGDHRLVTHAHVFLLPMTKAMQSGDNDYHLCLFPNEDTPRC FYAPEMGY YP_002976934.1 MITALYSDQVIAENAPMDRRLAALILSRGNRVGYIASGPDPQRA FFDEKRRCYMTYGLLLDPFVDLDTLSGDEEINRLLSCDAIHLSGGHTGDFLRRLRQSG MLDKLRSWALDDGILIGTSAGAILMTPTIAVDALFSGGSPDAVQDGAALDLLPFEFFP HLNDDPGYLSALLRYSETTATPILACRDGEGLILGNGLVEIFGAPLMISGGFAEAADR SRIADLLSRA YP_002976935.1 MYEYAIAWEWLAFAARWFHVITAIAWIGSSFYFIALDLGLVKRP HLPPGAYGEEWQVHGGGFYHIQKYLVAPAQMPEHLTWFKYESYFTWISGFLMLCIVYY GGADLFLIDRHVLDISPPVAILISLGSLALGWVVYDLLCKSPLGRNTWGLMAVLYVVL VFMAWGYTQLFTGRAAFLHLGAFTATIMSANVFMIIIPNQKIVVADLIAGRVPDPKYG QVAKQRSLHNNYLTLPVIFFMLSNHYPLSFGTQFNWVIAALVFLMGVTIRHWFNTTHA RKGRPTWTWIVTVILFILIIWLSTVPKLLTGETDAAAVAPAFQQFAGDPHFPAVKQLV STRCSMCHAAEPVYEGIARPPKGVMLENDAEIAAHAREIYIQAGRSHAMPPGNITDIT PDERKLLVAWFESAVEGKQQ YP_002976936.1 MTTTLLRGRLLSFHRAPLSLADSQSYLYEEDGGLLVEDGLIAAV GPYANVKAKASADTAEIDHRPHLIMPGFIDMHLHFPQMQVIASYAANLLEWLNTYTFP EECRFVESAHAERIATHFYDELIRHGTTTAVAYCSVHKTSADAFFAEAMRRNMRMVGG KVMMDRNAPQGLLDTPEMGYDETRQVISDWHGKGRNHVAITPRFAITSTPAQMEATSA LAREFPDLHIQTHLSENPDEIKFTCELYPDALDYTDIYARYGLLGPKSLFGHCIHLSE READAMSEAGAVAVHCPTSNLFLGSGLFPLKALARREKPVRIGVATDIGGGSSYSMLR TMDEAYKIQQLLGERLNPLESYYLMTRGNAEALSLADRIGTLEPGTEADLVVLDATAT PAMALKMEVVKTLPEELFLLQTMGDDRAIAETYVAGIALKKELQ YP_002976937.1 MAAPLTIADLKKLARRRVPKMFFDYADSGAWTESTYAANESDFS QIKLRQRVMVDMTNRTLATTMIGQKVSMPVALAPTGLTGMQHADGEMLAARAAEEFGV PFTLSTMSICSIEDVASATTRPFWFQLYVMRDKDFVVNLINRAKAAGCSALVLTADLQ ILGQRHKDLRNGLSAPPKFTPKHVWQMATRPFWCLDMLQTKRRNFGNIVGHAKNVTNI ASLSAWTHEQFDPRLSWADVAWIKEQWGGPLIIKGILDPEDAKAAADTGADAIVVSNH GGRQLDGAPSSISMLPKIVDAVGDRIEIHLDGGIRSGQDVLKAVALGAKGTYIGRPFL YGLGAMGKEGVSLALGIIRKEMDITMALCGKRDINDVNSSIIDGRQ YP_002976938.1 MATTGKTPHPSLLRYTLTPDDSARQALDDTIAAYLRMIEILTGL VSDRTGANLVVLHDLAYETVRDQTGLPARLVTLGLRDFAANRGVTADPLHLPLDDKLF AIKGPSDLTIATVHGRVAVPFDVAGYSRGWESIFPAYLVAGQDRYEIHIGVTPNSARM EENMTNEGILSRMGRLIAGIANAAIDKAEGVNKIAVIEQAIREIDAAADEARTDLGKA RAEEYRIQSRKDEIVEDLNALDQKIRIAVSSQRDDLAKAGVARQIDLESQIAALDKAL ADAREQVDEGQKALQAVLATRREADARLADFKRSLARHPEQAAAGHSQPAPGADAARA AAAVSRLTGVPAGEHGHSSELDELDRLHREQAIEARLARFKADNQ YP_002976939.1 MALLFAPECAPFAIAAAILVGLTAIEMLAMVMGFSMTEFLGKPE VHGHDGILAYLSWLNLGGVPLLILMMLTLGFFAMTGFALQAVANAFWAPLPSLIAVIP AALATIPMVRASSRTVARIVPHDETYAVDLDALLGRTAEVSIGPLDQGLPGRVRVKDQ HGNWHVLRARAAKGEGPLAIGALVLLVDHKANVFIAIPAPVDPTDADNQSLREQQ YP_002976940.1 MMYDVILPAGIGIVLIFGIGFVLASLYTRSSRDEAYVRTGLGGQ KVVLDGGSVVLPIFHSTARVNLKTLRLEVRRGEGDALITKDRMRVDIGAEFYVRVKPD ASSIALAAQTLGNRTNDAEALRILIEAKFVDGLRSVAATMNLDALQEQRMDFVKAVQE AVGADLQSNGLELESVSLTRLDQTDIKHFNANNFFDAQGLAALTRITEGRKKERNEIV RDTEVAIAQKDLEARQQSLTIERTKREAELSQERDIANKSAATRAETAQQEQAAKRAE EEARIASEQAIAEREASAKQARESANIDAARAVQQRETEAKRDLQIVAQESAIAVANK SREESEAKAAAETARALAIAAEEKVGTAKAIEIAEREKQIAVIDARKKAETEATAVTV GAEAEKQAASDQAEAIKTLATAEADAAIIKAKGILETGKAAAESEALLNDARNKLSSA IIEFEITRERIRIIPQALAEAVKPIEKISDIRIFDTGGMLGRGNGATGGNGIGLGEGL ASQLLSYQANKPILDKLLKEAGFEGDDAISSLLGNLDGAKPARPATPAAAPARPTTPT ATPTQTIIPPAPKPGPKKD YP_002976941.1 MRFAAIADIHGNHLALEAVLADIHAQGIDEIVNLGDFFSGPLEA GRTADLLMPLSLTSVRGNHDRYLIEQDPAAMHISDAAAYSQLSPSHLSWIRSLPFDTV YRGEVYLCHATPKDDNLYWLESVSPEGVVFLKSIEAIEALAEGTDLPLILCGHSHIPR AVRLSDGRLIVNPGSVGCPAYDDELPYYHRVEAGHPLASYAILEKAAGGWTWQFRTVA YDQMAMSALAAERGRADWAGALAAGWVR YP_002976942.1 MTGLTTHVLDTALGKPAEGLRIDLFQLDGEIRRHLKTVETNADG RVDGGPILIGENFRAGTYELVFHAGDYLRASGTPLPHPAFLDLVPIRFGIADVTAHYH VPLLISPYGYSTYRGS YP_002976943.1 MPKVSERLPPHLDIRPLTRSAFAPFGEVIEADPASMRLINGGTT ERFHALAATEATGEGARVIINLFRGQPRNFPYEVTMMERHPFGSQSFSPVSGRPFLVV VSEDENGRPGRPQVFLARGDQGVNYRRNVWHHPLMALGQASDFLVVDRDGPGNNLEEY FFETPYIIKEPAP YP_002976944.1 MNERRAIDYLNEMYEAASEALSFVGGMDGAAFAGDKLTFKAVTF CHFTIGAAASRLLVTHPEFATEHPDLPWTKICGTGNRILDGVFDLDPSDIWEATYRTL PELLSAIDAIRHWHAEGE YP_002976945.1 MMSREDFVSRFGGVFEHSPFIAERAYDAGHVTEPLTATGVHASL TAVFRAASPQERLGVLSAHPDLAGRLAIAGELTEDSRKEQSGAGLDRLSPAEHARFTE LNSAYVEKFGFPFIIAVKGLGKDDILSAFETRIGNGKGEEFATATAQVERIALLRLMT MLPEAE YP_002976946.1 MVSETYPRNLVGYGRLTPDPKWPDEARVAVQFVINYEEGGESSI LDGDPASENLLSEIVGAAAWPGQRNLNMESIYEYGSRAGFWRLWRMFTDLKVQATVYG VTLAMARNPEAVAAMKEAGWEIASHGYRWLEYKDFPEELERKHILEAVRLHTELTGER PYGMYQGKPSDNTLRLVQEEGGFLYSSDSYADDLPYWVKGVDGKPFLIIPYTLETNDM RFATPQGFNAGDQFFTYLKDAFDTLYEEGKAGSPKMMSVGLHCRLVGRPGRAAALKRF IEYVLKHDKVWIPRRIEIAEHWHKHHQPEAL YP_002976947.1 MRYAICFTPPASDPLSLVAANWLGRNVFSGDMMEPPAVRGLGIH EIAFHTAVPRRYGFHGVLKAPFHLSHDMPESQLLRDLMRFSGTFVPFRIPRIEVAPLG NFYSLLPSTPCEQIQYLASAIVQEFDRFRAPLSEAEIERSDPDGLSATQFSNLHRWGN PYVMEEFRFHMPVTGPVNAIDMPRIEPVLRRIFEPVLCEPVMVSNVALMIEEGTGGPF RVHSLHPMGKVSARKIA YP_002976948.1 MEKADNPQPVSGQSSSELLIVGGGIMGLWAAVHAERRGIRAVIA DAGRLGEGASGGVLGALMPHMPDQWSEKKQFQFDALVSLEAEIAALEAETGLSAGYRR SGRLIPLPKPHLNKIARGHFEDAEHHWRSGDRRFQWYVLDRPPVDGWIEASAGESGFV HDTLAARVAPRLLIAMHTAFLRRARHVRLMEHAGVTGLDPERGIAEVGGETIAFGRCI IAAGHRSFPLLEGLTPGLKQPLGQAVKGQAALLKADIDPALPTIFLDGLYVVAHEGGH AAIGSTSENRFDDPTSTDAQLDALIDAARAIVPALRSAPVVERWAGLRPKAIDRDPMV GCHPDHPRLIALTGGFKVSFGLAHLLAEAAICIAGDEPHEFSLPQSFAISSHIAVASR YP_002976949.1 MTDVNPDQIGAGAPQPLEWRDGDMPYSTAFGDHFYCQTDGRLEC GHVFLAGNGLPERWNGRQRFRIGELGFGTGLNFAETWRQWKLHRADGQQLHFTSFELH PMRGEEIGRALSHWPEIDAERQVLTAAWPEIPAGIVRLDLDAQTTLSVVCGYALDGVA AAEDDFDAWYLDGFAPSRNSDMWSQELMRLVCEKSAAGGTFATYAAAGFVRRNLIAAG FAVERRRGFAGKREMLCGVK YP_002976950.1 MASSANLGQQLENYVNELVKSGRYNSRSEVLREGVRLVEEREKR LMALDLAIGQGIADAESGRFKPISDVAARLSGKYDDRS YP_002976951.1 MIVLITAQAEADLERIGDYIAEVDPQRAASFIHELLARCERLAQ MPNGFSLVPRYEHTAVRRRPYGSYLIFYRVGEDRIEILHILNGAQDFESILFPEGSDQ YP_002976952.1 MKSAGELLELACRRIADLDTPAYVKNSELRYVAVNEAYARFLGR EISDFIGGRSRELLDRPEEEDREDKERRALVFGTEENAICFDAAGLSHERIQIESFSP SLDRAYVLGIFEVREPTRRAIGDPGIASDPGMTGDAGVAADFARVREALEQLDYPIGI FAGDGRPLVVNAAYRNDAKPAAVSDAAWHESVNELDLLRTILEDLPVAAFVRDEKHRL VYANQYYETFSGHSRSRVLGLTEHEMFGLDGGEAIYQENLLALEGGTSKEIESLLPSK DGHIYSVLSRVNRVVTADGRPYVVGSFSDISPLKDREKALIEAQKHKEVLHRDIENIL RSLPVGVLILDNDHRILYVNDEFYGIWELPLDDPFDGRPFIDVIRRNYELGRYDGTQT PEEIYDFRKHLFETEEPEPIELGWAGGKSVIFDSRRISNDRILLTYADITAVREREKE IHEARAALERLGEMMRDATHAMPQGLAVVQDGIIKMSNEALSDILQIPATYLEPGEGW IGMFEFCAARGDFHDAAGEILQGWRDNIAARLPISTVFHVGGERWVNMDATVSKGQHW VALFTDVTELKSREEELRQLLSRAEAADRAKSEFLANMSHEIRTPMNGVLGMAELLAK TNLDTRQKTFVDIIVKSGNALLTIINDILDFSKIDAGQMKLRKAAFDITEAVEDVATL LSSHAAEKNIELLVRAAPDLPAAVIGDAGRFRQIVTNLVGNAVKFTERGHVFVDVGFQ PAAGGEIMASIRIEDTGIGIPPEKLESVFDKFSQVDASSTRRHEGTGLGLAITAGLVD LFGGYLNVESEWGKGSVFTINLPFAVAAARLEPKPLPINVQGARILVVDDNEVNRRIL TEQLSLWGFDGVAAEGGGTGLAILEAAADLGVTVDAVVLDYHMPDMNGADVARQLRAD PRFVELPIIFLTSMDISGTEKEFAALNGHAHLMKPARANVLRNTVVEVVRASRVKQVS EAEIARLQTEAIVPAPAPVPQKRAAEFVDVLVAEDNEVNQIVFTQILQGTGLSFLVVE NGEEAVAAWERYTPRIIMMDVSMPVMNGHQATQTIREREKGHGHRVPIIGVTAHALES DRELCLDAGMDDYMSKPISPELLEEKIRQWLGTSEQQPERTSY YP_002976953.1 MSVLHKTMATGLIALTFAGASLATATTADAHPRDAFWGGVAAGV VGGALLSEAARPAYPVYPAYPAYRPYPVYRTYYRPDYCHFEWLHDDWGNPHRVKVCQR YP_002976954.1 MNVMQPMPAETANAADRQAEISARLERLPITREVFWARNIVGAA TFFDGYTVIAIAYAMPVLVREWGLTPSQTGMILSMGYLGQLIGAILFGWLAEKVGRLK VLLFTILLFVSMDVACLFAAGAGMMMAFRFAQGIGTGGEVPVASAYINELIGSKGRGK FFLLYEVMFLLGLVGAGLIGYFMVPVYGWKAMFVVGLVPALIMIPLRWFLKESPRWLA ANGRYGEANAIVTRMEESARAAGKELPEPKLIQAPVRRQSDWRELFQGIYLKRTLSIW VMWFTAYTVANGTITWLPTLYRQVFNLPLQTSIFYGFLTSVGGVIAAVICALLIDKVG RKRWYTGALLLAPLPLLALAWLGATSPIQVLVLAGLAYAIVQTVTFSLYLYSAEIYPT RLRALGTGTGSAWLRLGSSAGPILVGTVMSSMGIQYVFASFAAILIVGAIVTMLFAVE TKDRVLEELSP YP_002976955.1 MQPMDMRGLSPAPVTAFTRDGEVDHKANAKLAKWLVSMEGVKSL VILGHAGEGTFLTEEERLALIRTYVEAVDGAVPIIAGITGEGTKVAAEEARKCKAAGA TGALVYPNHGWLRFGFQKGAPQDRYKAIWQESGLQCILFQYPDATKASYDLETQLAIA TQEGVVATKNGVRNMKRWYVEIPELKKANPKLQVLSCHDEWLLPTMFDVDGLLVGYGN IAPELLIDLIKAGKAQDYPEARKIFERLLPVTRAVYHRGSHMEGTVALKLGLVHRGIL DHATIREPLKNLGEKAEAEIFAAFDAAGIGRVDQLLAAE YP_002976956.1 MSSKTDEVPGQTRVTIHGVAAAAGVSKSTVSRILDERLPRSDSE TARRVRKVAEELGYVRDVSAASLRRGNTMTIGVIVPRLTDTVMAMLYEALAKACSRSG RFAIVATTDDKPKADRLAAESLLKRGVDGLILSTAREDDDFPDELAKRGIPYVLALRT DGHSLSSVGDDRLGGYLAARHLLDLGHRRIGVIAGPSYASSSRGRVEGFRHALEEAGL NADPSYIIPSTFGIESGAVAVETLMHLNPRPTAIFAVNDNTAIGALSGLTKLGLSVPQ DISIVGYNDIPIVGHLPTPLTTLRVPFEQIAANALDLLAGDNSPADERIRISAPTLIP RKSTAPLR YP_002976957.1 MPEPLKNLLHEALVGDMADRIAGNAPTFDKKRFVMLATDGLGAL ELMERSALIRDALFATLPGDFREAAAIFKASLPTAGSPGLSGWMLLPINQFIAARGLD HFDLGLELLKALTPHFTAEFGIRPFIHRDQQRALAIISGWVDDPDQHVRRLASEGTRP RLPWAMRLPQLVKDPAPILPILTALMDDPEDYVRRSVANSLNDVAKDHPDLVAAFIAS HIEGASPERRWLLKHASRTLMKNGHAQALANFGFAASDSLECELRLVNGEVMFGEGLD FEIRVTNAGERAQSLMIDYAVHHVKSDGSLSPKVFKCKAILLAPGQSHTIERRHAMRP ITTRRYYPGEHRIAILVNGAETASQSFVLVMPSPDQG YP_002976958.1 MKPDRMVRSGDSHCGAPKGYTLTNFESLGVSKPIIATLFQLGIE TPTPIQEHSIPLLLEGRDLIGLAQTGTGKTAAFGLPLIEKLLADERRPDNRTTRTLIL APTRELVNQIAESLKKFIRKSSLRINVVVGGVSINKQQLQLEKGTDILVATPGRLLDL INRRAITLTAVRYLVLDEADQMLDLGFVHDLRKIAKMVPKKRQTMLFSATMPKAIADL AGEYLVDPVKVEVTPPGKAADKVEQYVHFVAGKNDKTELLRKSLTENPDGRAMVFLRT KHGAEKLMKHLENIGYSVASIHGNKSQGQRERALKAFRDGSIKTLIATDVAARGIDIP AVSHVYNYDLPEVPDAYVHRIGRTARAGRDGIAIAFCAPDEAKLLRDIERLMGIDITV ASGEAPANISGGPRRANGNGNNRNRNGGQGREGQGRGEGRGDQNRSEHRGNRQERRPR REGEGSEVRAGGEERRPRPERQTSRNEDFRGQRRGETTPDLGPDNDLASTSDFRASAK PQRPAHHGAHANGEPSGHHRGNSRHAHGRPARKHGEDRGPQQAQAGEPRRDGNGGNHR GGSRNGGGQRRERA YP_002976959.1 MSLDRLAPAVFVLLWSTGWVVAKYASLHSEPFTFLSIRYALSAA AFLALCLVVRAQWPSRARALRAVYSGFFLHGFYLAGLWWAIANGVPAGISGIIAALQP LLTAMAAPFLIGERLQQAQKLGLALGFIGIAIAISPKLLDPATADLTHAALPLAINLV AMASVTYGTLYQKKHLQSGDLRTIATLQYIGALILTLPLSLIFEHQHFDGAAQAYGAL AWSVFGLSMGGVGLLLYLIRRGQVSRAASLIYLMPPAVALEAFIAFGEPLTLPLIVGT VVVVTGVYLTNRRASSEHRPAEV YP_002976960.1 MAFDEMITGDESPRPPYDKYFEWYNSQDRAHLIAKSRDAENIFR KTGITFAVYGHADSSEKLIPFDIIPRIISAREWRKLAQGIEQRVIALNAFLDDIYHKQ EIIRAGRVPRELIENNVTFIPEMIGFRPPGGVYTHIVGTDIVRTGEDQFYVLEDNART PSGVSYMLENRETMMQMFPELFHENKVQRVEDYPYLLRQSLASLAPPGCKGKPRVAVL TPGIYNSAYYEHSFLADMMGVELVEGADLRVIDGKVKMRTTRGYEAIDVLYRRVDDDF LDPLTFRSDSALGIPGIMDVYRSGNITIANAPGTGICDDKAIYSYMPEIVEFYTGRKA LLENVPTWRCSEADSLKYVLEHLEELVVKEVHGSGGYGMLVGPTASKKERADFAEKLK AKPNNYIAQPTLSLSTVPILVNKGIAPRHVDLRPYVLVSDKVQIIPGGLTRVALKQGS LVVNSSQGGGTKDTWVLED YP_002976961.1 MLGRTANGLYWMFRYIERAENIARLIDAGLRMSLTRSSTGDDNW DGVLQSAGVREAYDEGHNKLTNADAIDYLLRDRTNPSSVMSCIDSGRNNARMVRTALT RETWEATNECWIDLKSLLEKRVKAAEMPEVIDVIKRRAGLIRGAFHGSTLRNELYNFA RIGTFIERADNTSRILDVKYYVLLPSVSAVGSSLDNVQWESILRSVSAHRAYSWAYDG EYRAMNIADFLTLNVQMPRSLAYCYEKIVSNLGYLAQDYEARLPAHDTADSIRTTLQT RAIRDIMDQGLHEFLEDFVSRNNKLGAEISDGYRFYV YP_002976962.1 MRLKISHLTEYRYDEPAQFSLQRLRLTPPTNSTQKVLGWALKVE GATPEVEYDDQYGNHVNLVSLEGEQEVTRILAEGEVETGDNNGVTGPHTGFCPLWLFL RDTPLTKGGKLVKELIKGVGGDNELARMHALMAAIHETVDYRPGTSNTETTAEQALER KSGVCQDHAHIFIAAARALQVPARYISGYLMMEEKIEQAATHAWAEAHIPGLGWVGFD PANEICPDARYIRVASGLCYRDAAPISGMRIGTPGETLTVTVKVEDGGQMQSQSQS YP_002976963.1 MPLLIVDDSESSLLALETAVCGFAGCVVESFTNPLEALARCREV DFDVVLVDYMMPEMNGIEMIRRLRRQPGYEDVPVVMITSQAKRSVRLEALEAGATDFL AKPFDPLELQARVLNLMALHKAQLALADRAKSLDMAFRHATEQADMREQEIIWCLAQA MASRDGNTGDHIERVANIAELIAEGLGLDRIQRRNIYLAAPLHDIGKIAIPDAILQKP GKLERHEIERMREHVPIGVAILANSSAELSRVATAIIAGHHEKWDGTGYPKGLSGDAI PIEARIVAVADVFEALCSDRPYKQAWPIERAYEEIIACSGSHFDPACVAAFRRKWPAI RALFEHGADEDHSMVVSNA YP_002976964.1 MKKIAEWPRRLRSQEWYGGTSRDVIYHRGWLKNQGYPHDLFDGR PVIGILNTWSDMTPCNGHLRELAEKVKAGVWEAGGFPLEVPVFSASENTFRPTAMMYR NLAALAVEEAIRGQPMDGCVLLVGCDKTTPSLIMGAASCDLPSIVVTGGPMLNGYFRG ERVGSGTHLWKFSEMVKAGEMTQAEFLEAEASMSRSSGTCNTMGTASTMASMAEALGM ALSGNAAIPGVDSRRKVMAQLTGRRIVQMVKDDLKPSEIMTKQAFENAIRTNAAIGGS TNAVIHLLAIAGRVGIDLSLDDWDRCGRDVPTIVNLMPSGKYLMEEFFYAGGLPVVLK RLGEAGLLHKDALTVSGETVWDEVKDVVNWNEDVILPAEKALTASGGIVVLRGNLAPK GAVLKPSAASPHLLVHRGRAVVFEDIDDYKAKINDENLDIDETCIMVMKNCGPKGYPG MAEVGNMGLPPKVLKKGILDMVRISDARMSGTAYGTVVLHTSPEAAVGGPLAVVKNGD MIELDVPNRRLHLDISDEELARRLAEWQPNHDLPTSGYAFLHQQHVEGADTGADLDFL KGCRGNAVGKDSH YP_002976965.1 MTIYQNLIAGEWVGSNATKNINPSDTNEVVGLYADGSADDTRNA IAAAKAAFPAWSRSGIWERHVILKKTGDEIMARKDELGALLAREEGKTLPEATGEVIR ASQIFEFFAGEALRLAGEVLPSVRPNIGVEITREALGVIGIITPWNFPIAIPAWKIAP ALCYGNTIVFKPAELVPACSWAIVDILHRAGLPKGVLNLVMGKGSVVGQAMLESPDVH GITFTGSTGTGRRVAAASIEHNRKFQLEMGGKNPMVVLDDADLNVAVEAAANSGFFST GQRCTASSRLIVTEGIHDKFVAALTDKLKTLVVDNALKAGTHIGPVVDERQLKTDTDY IEIGKSEGAKLAFGGEVISRETPGFYLQPTLFTEATNQMRISREEIFGPVVSVIRAKD YDEALATANDTPFGLSAGIATTSLKHATHFKRNSEAGMVMVNLPTAGVDFHVPFGGRK GSSYGPREQGKYAAEFYTTVKTAYTLA YP_002976966.1 MLISQIKGANGEIIVAVREQGGAARSIKNAVSVYALAMEAADGG KSLASVIEAHGYGDAVDLEKAYAEGRFLPPITHPDAAHLHLTGTGLTHLGSAATRDSM HKKTTEAAEETLTDSMKMFKMGLENGKPKAGEKGVQPEWFYKGNGYGAAAPGAPLISP SFALDGGEEPEMAGIYVIGKDGSPFRIGFALSNEFSDHVTERINYLYLAHSKLRPASF GPEIRVGAAPEDIRGTSRIKRGDKVIFEKPFLSGEANMSHTFANLEYHHFKYGLFRAP GDVHVHMFGTATLSFADGVKTEEGDVFEIEVAEFGLALRNPLKVAAEEEIAVRQL YP_002976967.1 MTPINQPIAEQGRVVSIGDYVRGNIREYGMFIALIAIMVFFQFS TGGVLFRPLNLTNIILQNSFIVIMALGMLLVIVAGHIDLSVGSVVGFIGAIAGVMTVQ WHTNYVLAGIVCLAMGALVGGIQGYFVAYHKIPSFIVTLAGMLVFRGLTLFVMTASGT GTSIGPFPPAFQLISIGFLPNAFDMGGINSTSIILTVVGAVTLFYLAWRKRLSNEKHG NDVEPLGFFLAQNALVTLAILALGYQLSIYRGFPNVLMVMLVLVAAYAFITRRTTIGR RIYAMGGNEKATKLSGINTERLTFLTFANMGLLAGLAGLIVALRLNSATAKGGFGLEL DVIAACFIGGASAQGGVGKVTGAVIGALIMGIMNNGMSIHGLGTDSQQMVKGAVLLAA VFFDVYNKNKG YP_002976968.1 MDNTILEMRSITKTFPGVKALENVNLKVRQGEIHALVGENGAGK STLMKVLSGVYPTGSYEGDIIYEGETRNFKVLKDSEEIGIVIIHQELALVPLLSIGEN IFLGNENAKSGVISWDETFNRTKQLLKKVGLSESPNTLVTDIGVGKQQLVEIAKALSK SVKLLILDEPTASLNERDSDALLTLLMEFRKQGITSIIISHKLNEIRKVADQITVLRD GMTVKTLDCHADEISEDIIIRNMVGRDLEDRYPPRSVPIGETILEVKNWNAYHQQHRD RQVLHNINVTVRKGEVVGIAGLMGAGRTEFAMSLFGKAYGHKVSGEALMHGKPVDVST VRKAIDAGLAYVTEDRKQLGLVLNDTILHNTTLVNLAAVSNASVIDSFKEARVATDYR SKLRIRSSSIFQETVNLSGGNQQKVVLSKWLFSNPDILILDEPTRGIDVGAKYEIYTI INQLAADGKGVLMISSEMPELLGTCDRIYVMNEGRIVAELPKGEASQETIMRAIMRSG EKKQ YP_002976969.1 MKSIISLMAAAAFGVASFVAPALAADKGTVGIAMPTKASARWID DGNNIVKQLQAAGYGTDLQYGDDDIPNQLSQIENMVTKGAKVLVIASIDGTTLSDVLQ KAHDAGIKVIAYDRLIRDSGNVDYYATFDNFQVGVLQAGSIVDGLGLKDGKGPFNIEL FGGSPDDNNAFFFYDGAMSVLQPYIDSGKLVVKSGQTGMDKVGTLRWDPATAQARMDN LLSANYTDAKVDAVLSPYDGLSIGIISSLKGVGYGTAAQPLPIVTGQDAEIPSVKSII AGEQHSTIFKDTRELAKVTVAMVDAVMSGKDPEVNDTKTYDNGVKVVPSYLLKPVAVD KTNYKQILVDSGYYSEDKLK YP_002976970.1 MTVISEPFLMDHVDIHSDNFGDDSLLRAGLKLNHLRMIVAIEDS GQISAAAEVLNISQPAASRMLSEMESITKTSLYERVARGVVLTTFGAALARRARKILL ELREASREIGELKSGKGGSVFIGAVTAPAMSLVVPAINKVRKAYPGIEINIQVETSNV LARELLAARHDFIIGRIPDDLNPRLFEVAEIGIERACLIVRSRHPLMKHKMSSLADVR DYDWVFQPPGTLLRRTIEDVFLSRGVALPENIVNTSSLLLTCAIICETDAIAPVAVDV AQFLASQGSNASDVRMLPIDFDINVKPYSMITARERALPPSARLLYDIILEESRKQAG YP_002976971.1 MLFGQSVFQSVLERLKAEDETAEDAEVPAVHRVSGLGTGLAFDV MEGVSAVSQRVGQAYFENLELDPAAAIAEEPAPAPPPEPVMPDHLARIAPEEIAAELA IAAADTQQTLNEKRRAFAKANHPDGIAEPFRDNANRRMMIANLLIDEAMRRLPHS YP_002976972.1 MSSTEDPKLPRNTARRHFLGVAAAAGARIAGVAALAAAITTSPA NALGRLWGRGGSGGGSGGSGGSGGSGGSSGGGGSGSGAQCFLRGTAILTDCGEKPVED LRIGDRVALPDGSTRSVKWVGRQSFKKSGARWQKDVVPIRVSRHALGGHAPHSDLYLS PGHALHLNGILIQVKDLVNGTTIASVTPAADVSIEYYAVMLDTHEAILAEGAEAESFH LKNSNHENFCNFPEYERLYGKERIVMTSFAPLLGGGWSHLKALLLLGVSPLVPMHDPF GNACEKIDAQAKELSL YP_002976973.1 MRRGSGWLHAKPCVGFARVSSQTSSVARIGKIAARFDYSAFFSS SPAGSFPSASSGVTTAVSGGAGSKARSAYSQIGI YP_002976974.1 MGRRQCDKCDRGAGMQLIDPNHPAYRRLWVRIAIVAVCFGWAVV ELVTGDPFWAVLAGGAGAYSFYILFWTFNPQPPAIELPVQPDPEDEDEPAAAAEKPPK DGQAE YP_002976975.1 MTVRFGLLGAGRIGKVHAKAVSGDANAKLVAVADAFPQAADAIA SAYGCEVRTIEAIEAAKDIDAVVICTPTDTHADLIERFARAGKAIFCEKPIDLDVARV KACIKVVEETGAKLMVGFNRRFDPHFMAVRKVIDEGKIGDVEMVTITSRDPGAPPVDY IKRSGGIFRDMTIHDFDMARFLLGEEPVSVFATAAVLVDKAIGEAGDYDSVSVILQTK SGKQAIISNSRRATYGYDQRIEAHGSKGLVSAENQRPVSIEVANGEGYTRPPLHDFFM TRYTEAYANEIASFIAAIEKGSKISPSGADGLAALALADAAVQSVKEGKLIKIG YP_002976976.1 MLTKSSTELVRQRNSVLVLSVLRRHGALAHTEISDFTGLSSATI SAITTELEKAQIIEKSEHQPASGRGRPRVLLRQRRDCGYLIVVIISSDAVQYSLVDYA GKLIDRFSEERSHDPAGAARFVAAVRAGLLRILDRSKISQEKVLLISISSKGLVNSTE PVLVWSPIFGSDQIDFELALRPEWQAKVILDNETLLVAAALGAREEMVKGADFRSLAA LSLGHSVGLGIVRRGNQTGQEISAPNFGHMLHMANGGLCRCGTRGCIEAYAGFYAILR SAFEVPLDTIPAKFVPVAELDKIAAKARQGHRVPAFAFRQAGLALGNGLSRMLSLTER MPIAITGPGTRYYDLLRQGIEEGLGQSHIVRMEGMPEIRVVADEQILVFEGHLNRALS VIDEDIVLSGVQGIQASAIIQESG YP_002976977.1 MKSILKLMAGAAILVSVHTAAMAADLVVGVSWSNFQEERWKTDE AAIKKALEAKGAKYISADAQSSAAKQLTDVESLISQGANALIILAQDSDAIGPAIEKA AAEGIPVVGYDRLIENPAAFYITFDNKEVGRLQAEGVFKAKPEGNYVFIKGSSSDPNA DFLFSGQQEVLKAAIDAGKIKNVGEAYTDGWLPENAQRNMEQFLTANNNKVDAVVASN DGTAGGAIAALDAQGLAGSVPVSGQDGDKAALNRIALGTQTVSVWKDSRELGQRAAEI ALDLAGGKDMSKIDGAQAFKGGPKGVEMQSVFLKPLAITKDNLNVVIDAGWISKAEAC QGVKADSVAACK YP_002976978.1 MAEMVKSTTSSGPRAAEASPVTRFFRATEIDTRLLGMIGALIII WIGFHIITGGLFLTPRNLWNLSVQTTDIAVMTTGMVLIIVTRNIDLSVGSVLGLCGMI MGVTQAKILPEYIGFDSPFTWAITLLVGLAAGCLIGAFQGIIIAFLNVPSFIVTLGGL LVWRGATWLVTSGQTVAPMDQTFRIMGGGAEGSIGATWSWIVGICACLFVIASIVGSR RQRRRFGFPRRPMWAEYFLAILSCVLILGAVWIANSYYWPINIAKKYAETNNIPWPET GLDIPYGIAVPVLIAIVVGIIMTFIATRLRFGRYVFAIGGNPEAAELAGIKTRWVTVR IFALMGILAAIAAAISTARLNAATNSQGTLDELYTIAAAVIGGTSLAGGTGTIAGAML GALVMQSLQSGMVLAHVDTPLQSVVVGTVLVVAVWLDTVYRARAK YP_002976979.1 MADQRTPLVELKNISISFGGIHAVDNASVDLYPGEVVALLGHNG AGKSTLIKILSGAYKRDGGEILINGEPADIRNPRDAKKYGIETIYQTLAVADNVDAAA NLYLGRELKTRWGTLDDVAMEASAREVMGRLNPNFKRFKEPVKALSGGQRQSVAIARA ILFNARILIMDEPTAALGPQETAQVGELIKQLKKEGIGIFLISHDIHDVFDLADRVSV MKNGQVVGHARTEDVTKDEVLGMIILGKVPSKAIPGPGAMQI YP_002976980.1 MTTSPAAAYGGYMKLVLGSAILASGILLSSLSAFAASGGWHSDG QGTRYWHVTPPPGQCNISQAKNRALRAGIYRSEIIQQDDNVIVLSGLDESGDRRTIGF GNIRGCPELEGHEDSPNF YP_002976981.1 MFVLKYPVQGLSGGALGGIATLLSRAERFVAKTILPALFALPAL VGSATFASAEDRALKLFFTHTGERATITYKRDGKFDPKGLTQINRFLRDWRRNEPTRM DPRLLDLVWEVYKRSGGKDYIHIVSAYRSPTTNNMLRNRSRITGVAKKSQHMLGKAMD FYVPGVKLSTLRALAMQMQVGGVGYYPTSGSPFVHLDVGNVRAWPRMSRQELARIFPN GQTIHLPADGRPLPGYNQAVANYKKRGGPTSIQIASTAGEDEDVSASARPNGDTIDNK LVTALLPAPRSRALNALALQTGAVERDDKRSAGDVSSLPIPIPAMRPPALKHDAGADD KLETASIGPIDVLPDRPAPALPAYARFEPLRVAHQASKQGADMIASLPMTASWEEASF FESTSDAALMKWALHSPGEVMGLNAPRVSPRTVHREVNVATSGEDIIPVAATDLFDAS RFASPPEG YP_002976982.1 MKSVLISGGAGFIGSHLCDRLLLRKDVQKLVVVDNLWTGLFENI AHIRDPRFHFVKSDVETLQTSDKFDEIYHLASPASPPWYMKEPKRTISANLLGAFRLL DLLKKGGRFGFTSSSEVYGDPLVSPQPESYKGQVDCTGPRSSYDESKRCTESLLFEMQ RTQGLDVKIVRPFNIYGPRTRSDDGRAVSNFITQALSGRPITVFGDGLQSRSWGYVDD VVDGFARYFWINETDYKGPLNVGNDREISVLEVAQYVSRLVGGVPIVFEPSPPQDPTN RRPDLTNAHYVMPEWSCNITYEQGVAMTLDWFRDQMRHAAE YP_002976983.1 MAYVHDVIERVMMREPLPSDLKDISFLISIHYLDLATSHRDAVS LLVSDGLVVFLSDGLPVGQA YP_002976984.1 MVDISVIIPTHNRAAMLRSLLGKLDAQRDGTPAFEVLVVADGCE DDTSTMLASLRTRVPLRTLSLPGVGPALARNAGSQVASGRLLIFLDDDIEPGENFVGA HVVEHQRHPGGVVMGPYPPLPHIARDRFRLSARAWWTRHFERVSLPGHQFAFTDVLTG NLSLDAELFHAMGGLDPQFARAREDFEFGLRLIKKGVPIRFAPDALGYHLEHQTATLT GKMVRRHQEGRSDALMARKHPDIQRRLEIHRFAGKKGRKKRLQRIIATRAGSVLDPLV KAGPRVLQMLEKAGLRRLYEKVERQLNGYHYLRGAAEVLGEDWRLPTDPTASADEIEV LEINLDQGLEAAEAMLAERRPLAARIVNGTVPVGDMGHQIGAEPWDARHFRPWLNGQA IRGYLPVALAEWQIQPNNVPPHLSDFTLNTLNNPGFRAHIIEQHVQWMQAKLSSALYD NTTKS YP_002976985.1 MRLAVRDIDILDLPPDFGPTGDYQGALVLIRVAGRPCGQAVIAF DTDGGKTPIKDRILSAASSSVFEAWLRHRLALPDPSPTPNQLPKASVVICTRDRTEDL ERCLTGLLAMPDKADILVVDNAPSNEATRDLVGRFDTVRYLREPRPGLDVARNTALRN TEADVVAFIDDDAVPDPLWLRTLLRNFEDPLVLAVTGLTMASELETDSQIAFQHFGGF CRGFRRQVYDAYNLDPFTGWHAGAGVNMALRRTIVDVVGWFDEALDAGTLSLAGGDTD MFRRVLEAGYRIIYDPEALNWHRHRRSSKELQQQMYGYEAASLAILTKALVFEGNPRA LPRMIRSYIRLFRRLFQPRRTHQFSLPYNDALTQFRGAASGPVRYLRARARAVKAGHK RG YP_002976986.1 MRQGAITVHDVGKRYRAQGGARSTTLKGYLLSGRSVVPSKSFWG LRHISFAVAPGQAVGVVGLNGAGKSTLLRLIGGVGRPDEGQIKVMGRIGALLDIGAGL TDDLTGRENIFLLGVIAGMRRTEVAERFDEIVAFAELEAAVENPVRSYSTGMRMRLAF AVAVHVRPDILLIDEALAVGDQAFQQKCIARVKEILNDGATIFFVSHDVSQVREICDY VLFLRGGRVVGYGPIDEMLPLYTSAVEAKVASVQHEPFGVTKLPVELVPQVSRFGNGE LQITAVALLNEQGMPTSTIVAGDRLSISLTYVGATRDRNAVIVIGIYAADDTCCFETD SKLAEFAPLVDTDTVRIETTLNRIDLAPGDYRVTVGLFSADWQQVYDYHAEVYPLAVT GPGPRKGMLNPPTQWQQVQSRPTATSPDHGSLGQHSLDPNS YP_002976987.1 MPEQLKDDALDRAKRRDQYWRRDQWKSLIHICLQWNDLLLELLA RDLKMRYQRSAIGLGWSLMRPLSQLLVFSLIFRHVLPLDIPHYTTFVFSGVLAWGWLS TAVPAATTSITGSSELVRRPGFPVGILPVVAVITQAVHLLLALPLLFIISFIETGGLT LSVVALPLVFLAQALFTMGLSYLVAIAHVHFRDTQHLVGVVLMLGFYLTPVFYSPLKA SEPMALIHTLNPMAWILEGYRAIFVEHAWPPVDIYWKTALISLPMLFAGIWLVERGSA SLVDEL YP_002976988.1 MPMVSIITPAHGAETTISSTLESLIAQSHPDWECFIIDDGSTDQ TAEIADRFAARDTRFRVLRQEQSGVSAARNAGLAQAKGDWVVFLDSDDALAPFHLETM LNHTRTLPQADILHCGWRRLKNGAPWWQSHPAVKMDNPFAVAARYCPFAIHAALLRRS RLAEVGGFNPEMKMCEDWDLWQRLARAGAEFAPVEGLMADVSVEAGSLSSNRVKHLDF GLKVIRRGHHSDPRIAYPVPALAQGITKAALGMACWYLAIWLVGASIGQGDNPVELLD RVAEPIPPDADVYAFCAIMIDGIVVGAFPDEPIWPGLWSKIQDKLPDLEAWLDRHAPQ EAFGSLLVRSLERKVADQLPTNVPTTIGRTRIQPIDLDQPIVDLILPGIERLRCCIWR GSTLLGQLEFVVFGAIEADAIRNRLRREFGPEFDDLNHPAAALLAEDGFVNLSDQDDG RAAASMAAPSYSGVAQQVLKLMAKVSYWAEAKTVTGWWSKKEPTPTRVDSISGMAHAG RAEFDRIVEEESQRAVAASTQMSMEMPSKKTGPVGDEVPRYDTEAYWEELFSQVDPWD YRNNYETVKYLQTLSLLDDRRFSNGLELACAEGTFTRMLAPRVDNLLATDISASAVAR AASLQDHGSAVAYRQLDLLSDALEGPYDLIVCSEVLYYFENREKLQQIVDKIAGSLRT GGWFVTAHANLLIDMPHETGFGWPHEFGAVGIGEMFDQHPDLTLSVEAKSPLYRIQRF EKVELGGFLEPTRVEVNTAQPLPLQVASQVRWRGGQVVEAAADWNDVPILMYHQVSDD GAEQLARYRQSPEAFETQLAFLRDAGWRGMTLDRLLACFDEGAKPPEKTLVLTFDDAT RDFMTHALPLLHRYGFPSSLFVPTDRVGGSAIWDSAYGSPAPLLTWEELAAVANSDVT LGAHGVRHVRLSALAPESLLRELAGSKAMLEKRLGREVLAVAYPYGDFDPAIRDIAEQ CGYRIGLSCVGGTVRADADKLALKRQEVFRGISQSEFANLLFG YP_002976989.1 MKKSARSEVTVAVVIPAYNAERTLAETISSVRNQTHHALDIVVV DDGSKDDTLAVAQEIVKIDPRVRVLKQRNSGVAAARNAGWRSTPADLVAFLDADDLWS PDKIERQLVALETGGPQVGLVYTWYAMIDHKNYVIYRSNEISVEGDVLDEVLAKNFIG NGSSPLVRRNILDATGGFDSSLQQREAQGCEDYLFYCLAAELSHFVVVPDHLVGYRQT PDNMSANLTRMLRSWILVADEMLKRHPERGFAIRAGLRGCGRWLAQRAVEQGRYRALI GLVATMSRHDKPIAAKMLVVDARSKAMKSLKKKARSWLKQEPYFSPNPNHRFALGTKY DAGWTVAPPILVSAK YP_002976990.1 MSDIASQIPEFGYDERVMICRKQIEKAVYQFIANTKVEGCDPAE VAMAIADIADDYILLLAQKRNLTH YP_002976991.1 MGVTSVFKMDADQGAEDGLEELVALARMIAYARQVAQDVKLPFA TNCLDLALEAVKQEVGEGLTRELAELSSPVPQVSVRSH YP_002976992.1 MKIIFAGPSLPDAASLAGEGIRILPPATQGDVLAAVEQGANVIG LIDGAFEYAAPVWHKEILHALSLGVAVFGAASMGALRAAECHPFGMIGIGRIFEDYRT GRLVDDAAVALTHAPSALGSKALTVPLVNVSATLDVMVDRGLLASGVRQELEDAASAI FFKKRSWRSIVEQCGGLAERDRTDLLAVLLSNAIDQKRIDALALLKAVQDSRDIRSSA DLPWKLHETSFLTRPAL YP_002976993.1 MSPRETLSRVEPLLAGFGITRVARHTGLDDIGIPVWCAYAPNSR SIVIAQGKGLTDLDAKVSTVMEALERAVAGEPSVDLVRGTSSRLQEMGHKTDTLNCLT ALHKPDLGPDEETEWVAGVDILTGGEVYIPFEAVVLDRTRDARYWMSSDGLASGNNVE EAIFHGVLERIERDAHVLWQVGAEPDRYAGCVDPRGFKDGALDGLIDKIETSGLALRL FDITSDIAIPCFTAMLGPGDPILGHRDIRLVEVTGGTGAHPSPVRAAIRAVTEAVQSR LTYISGARDDISPATFSRSLPPLMRRAFDAVAAPPAAAIGNAGAAGLRQQDLAHLLQH VLDALRNRRIASVIAVRLSEDTLPFSVVKIVIPELENPEGARARRFGTRALARAIGF YP_002976994.1 MAKAVIIGIPGEAGLWLADLDAGTVKPLNPTGELATANGLRKAG GTFVKGVDLAVAVSSAQVALSGHVDG YP_002976995.1 MLHLQTFGDLRLIETNGDQVRYPIKGLLMMAHLYAGASHELSRY ELAQFLWNDVEPELARLNLRKLLSRIRETDGGRAELPFDFTATTVRLNTQAVSSDLDI FRAGGSPLERLNAIAELTQRGFIGNIKPATKLIDAWIRAQRDAQALQLRQALLDALPD AQKPGATRSISGAALQILERDPNDEQVRALLHRLSGGSSLSERLPNDDGHARVQVKRS EAGGEATADIERMSPAPLILPRLVLLPPTSKHADAGLALANALIEDVTIELCALRNIS IVAPHTAGQIRRDSEKAAVVARHSIAYLLDTRLSEEGLFAQLVYFPTDEIIWANRFTM TPDILPRQRRLIAQQLTMSVARELAENEEERLRFEANPEAYHAYLVGSSLMSKLTLPH IRRARKAFKQSLSHKADFSPSFTGLARTFTSEWLVTAQGNNELLHLAEQNALRAIERD PASAAGHRELGVTKLYLGDVDASVAALDLAEQLSPHFADVIYSHADTLVHASRPGDAL AKIRRAISLNPIAPDAYLWCAAGASFFLEQYEEAIAYVEAMKDKAPAHRIAAASCAMI GDRKRALFHRQRAESINPVFDVEKWLTIVPFKEDWQKELYREGLLKAGF YP_002976996.1 MKAKSPNSIDVYVGNRVRVRRKTLGMTQNGLAELLGITFQQIQK YEKGTNRIGASRLQRISEILRVPIGFFFENGGAGPIDGATNELNSFLSSKEGLALNKA FIAIEDPNIRQKLVALAKSLAVTGLSDSDNELQDSVVNS YP_002976997.1 MSVELDATTYVHAKPTTAHAYILPAVVDVLESHFDGSLENDVFD LGCGTGGAAAALAGKGYYVVGVDPSSDGIAKANVNYPELPLNVGSAYDDLSREYGTFN AVISLEVVEHVYDPKAFTSTMYDLVKPGGIAVMSTPYHGYLKNLALAAMGKMDDHFMP LKDHGHIKFWSKDTLSTLLLDAGFDNVHFEYVGRIPVFAKSMIAVARKPLRDGVGATL ISEGIRALRRVR YP_002976998.1 MKWCYGGGAEICSPCLMARHKGAQLPTRSQRYLTDKCILLDLDS PIETLLAPEKTSLPDNSSSAYAKQPVGKPRIPVVFWLLLTISLSLIMGTVLLSNNMKH LKTVSHYFGFDLFPQEVTPPPPKALPRPTPPATFTLPLHVIEPPVVETASTFLRTWRI SGAAMCAALRNAGIETSDWAAASFNADTFECFFERSGKREKDQLSSSIFVIVRGDAAG TINNMRVKIINPETDENGQLDPGILRIFEIMLRQPQWLDFHETLNAITNLKDIKEDGF GAGISFSREVLNPGNYNFTLSLDATSGPQKRTRNYFSGRRWLPLPDPAVETDSPQPES VSKPPHTQAPAESQEHRH YP_002976999.1 MEDWSSYLMSYFHMAFQNAVFFMAAVRNGLSVLDDWQFAVLVLG IIGIIFFPFAALVRMSWRLRRSEVVIRSLNEDLAQVTQDLNVERVWRLAGGDCTERPS ADSLKELYKIMARHHDDASHMA YP_002977000.1 MNRRRFLASVPLALLYVRAGQALAQVPASAGLRVLADRKSFRFG SAIDLQNINNPTAAEIYIDNVNSITPRNELKWNSTEKRPGVFSFGSADRMVAFARKNN MRVYGHTLIWYRVPGWVSDINDAKTIQAAMNRHIKQVVTRYKNSIDAWDVVNEPLEYD APDLRDCVFRRLLGDDYIRMSFDMAHQANPGATLVLNETHLEKKSDVFEQKRARILKI VEDLVAKKTPINAVGLQAHFRPGLDRIDPEGMGRFCAALKDMGVGVFITELDASCHFL NRDKAFTPASYADIFSDVITVAAEHGDLKGVTVWGMSEKYGERDEKAADPAAACTKRV NLYDENNAPRSAIDGIRRAIEAM YP_002977001.1 MKKAVIYVEKFLPASQAFVLNQAVAFRSFEAEILAGSRISSAHT KKSTVPVHDIRRSPIARAGELLLKIPQIGLPFLFPAIGKADLVHAHFGKNGYVIGPLA RAAGKPLITTFHGFDATYRGDPKKPGGFNQVRFFAKGRNEMAGWNSWNIAVSDFIRDR LLALGFPAERVYRHHIGIDLDLFKMEPRPRKKGRVVSIARFVDYKGHRFMIDALSRVA AAGTPVEFVMVGQGPLKEEIEALARRSLPSVTIHENLSQTEIRDLLASAELYLHGSVT LDNGHAEAFGLANLEAEAVGTPVVAFRSGGVGEAIEEGKTGYLVEERDVAGMAEAVGR LLNDQALWTAFSARAPLLVAERFDLRRQTGTLEDYYSSVLDEFSSRGRT YP_002977002.1 MVQTGKKPKWGLNVFRPLRNGFVKAKWLYYTKFWGMDIDPTASF SLSVRFDKTNPKGLHIGAETYVAFEAAILTHDLTRGLYLHTRIGKRCFIGARSIILPG VEIGDECVIGSGSVVTKSVPPRSLVAGNPAKIIRSDIKIISRYGRMAREDETVSQIVT HLPTGEAR YP_002977003.1 MKMFAYRGKHENFGDELNHWLWERLLPGFFDDDEGQLFLGIGSI LYDNFDPNMQKIVFGSGYGGYTNPPKVDRNWTFYFVRGKKTAEILGIDPSYAIGDSGI LTRSCWDAKSIEKRYPVSFMPHYESAMYGSWDKACELAGIHYIDPRWPVEKVLTEISA SHKVVSEAMHGCIISDALRVPWRAIRPIAPGNRAKWYDWASALDLEIDFDAIGPSNLV EAGASLVRKNTYLLKNITFRHRRIRQLTGNYVFGSTVKTLQRVAEKPGQLSSDESMIN AHNRMLLELDRLKQDFSKKTASVL YP_002977004.1 MSSVTDRLIQGSMWLSLSRAIVNGLSALSTFVLAWYLAPADFGL VAIATTIQVILSSVTELSLNQALIRHEAPSEVHFSAVWTLSVTRSAILALLFAASAYP IAEFYNEPRLTSVMLALSFSLLLSGLANPRRVMLQRDLIFWQEFVLNVSQKLVGFVVT VAIAAIYQSYWALVLGTLAYQITNIIVSYTVLPFWPRVTFRHARELFSFSLWLTAGQI VNTLNWRVEYLLIGKMLGATQLGHYTVGNTLSTLPTREATAPLNQTIYPGFSKVRNDP VRLVAAYQRAQALLAAVALPAGIGMAVVADPMMRLALGEKWVPAIFIVQALASVFALQ TLGSLVQPLGMAKGHTKMLFIRDTQMLVVRVPIIIAGLMMAGLPGVVYARVLTGLIST VVNMLLVKRLINLPFFQQLAANFRALASVALMAAGVWGLSHLLNTPTDKLALALHLAI LVVTGGILYLGSSFVLWLAMKKPNGPETEVQRIFVKFLSKAKRMAVPKSA YP_002977005.1 MTSQSRTELIAKLNGMIHDCLKDYVSRDEPLAILDFPDIRNCGD SAIWMGEMAYLKDRFGKRPDYVSRTTDFSADELKKRVPTGPIFIHGGGNFGDIWVSHQ DFREAIMERFPDRQIVQFPQSIHYSSPERIEQSARAIARHKNFVLLVRDEESKEFSEK HFDCTVRLCPDMAFAIGPLPDRATQISVLAMLREDAERVGGTDRKIPSDIPVEDWITE SKRKVDIAKKLGAASAFLALKPSEVALRKLDAAAHNRFERGISQISRARAIVTDRLHV HICSLLLGRPHAVLDNSYGKIRRFMNAFSGGTDLSYKATSLEDGIEWARHQAGQGARG YP_002977006.1 MTLVTFIIPVRHQDNARDWSRLKANLTQTVASISNQTNGDWRGI IVANEGADLPDLPEKFSAVRVTFPPNDLHELGKGSKEDFLDAFRADKGRRVLSGMLTA TDSRFFMIVDDDDFVSSRIVQHVSENRNANGWTIDHGYIWDDGGSFLFGHDDFSHLCG TSLIIRADLYQLPTRFEDASLDWIKSMLGSHVRIADILAQRGAPLTQLPFRGAVYRVA HGGSHSQAPSLLRQYFLNRGVLTKPRRWLRNLRKLRVVGEGHRREFFGKTRSNPA YP_002977007.1 MSDLFVSVLFASYNGASRRLRHTLDSLVRQELPHDRWELIAVDN NSNDDTFDLLKSYSEKLPITILQQRKPGKSGALNFALDRVKGDLVVFTDDDIRAEPNW LQAIVDCAIAHPGYGVFGGRIVPDWEKEPKGRFIDWIPMGSTFAIVDETQSGPCDPTK VWGPNTIIRRELLGTSVRYREDIGPLPGKIFAMGEDAEIIIRLAANGAKSYRCAEAVV HHWIPASSVTEDWVQKRGERLGYGMPALFPDEVPAGVRLSGVPLPIWIESAQWAFRAA MLYLLPQSKKRFWAIWKYYYMRGYRAGIRRYAPQTLAR YP_002977008.1 MKLSVLVNNFNYGRFLRPCIDSVLSQAYPDFEVVVVDDGSTDDS REILASYGEQILTVLKENGGQASSFNAGFAAASGDILFLLDADDAFLPGKLARIAEIY DRNEIDWCFDRVTTEESDQPPAELQVTLFDKRDTLRRGGFPSLPVPTSGLSFRRNLLS QILPMSVATDVVLSDNYIKFAAAFLGRGAIVETPLTFQRIHESNRYTGTSRAKMLRPR IMIATGLELARRYDGLQALGKSLVAGGIAETTSLLKLRGEARNTVAGGPFGDAAATEV ALMAARKRLGNMLRRGQS YP_002977009.1 MNQQETFPHSSVSTEAGAAPLKIAVGVLTYRRLDGIAKLLDVMT RQVRHPGRPYHLTMVIVDNDAAGSARATVESFGQTGAYDLIYVIEQNQGIPFARNRAL DSAPPGTDLFCFLDDDEWPVDGWLDAMLETREKNRADCVYGPVQPVYPENPPEYFIKA RVFERKKNKDGQRISYAASNNVMFDYPLIRSWNLRFEEKMRFTGGTDYLFFNQAIRRG MQVFWADKALVHDIVPASRMTWKWVLQRQYRLGNTFAVSEVLHGNLKRRIYRGAYGAS RVMLGLFMLPSILISPYWGMRALTHVLRGAGMVNGILGHAYQEYKPDAAL YP_002977010.1 MTEKKLKVLAASSGGGHWEQLMAMRGAFEGCDIVFATTIPGLLA KYDIRGGLVLPDCSRDSIAMSIRCFFTAFSIVIKQRPDVIISTGAAPGLFCLLAGKLT GKRTIWIDSVANVEKLSLSGKLAGHIATLWLTQWQHLSRPDGPHYAGAVL YP_002977011.1 MILVTVGTQLPFDRLVKAVDTFANELSKPVLAQIGKGTYTPQNM KWIKNIEPKDFDNVFRDASVIVSHAGIGTVLTAKRFGKPIILVPRQAALGEHRNDHQL ATVSQLVGRPGIYVANTDDDLRNYLLEELDSPSHEDSSEAGRASLVTYLKNYITTV YP_002977012.1 MMCLLPWRAGPTARRPVYGLVLFLACLVMFSAVKSGHADSPQPL KIVAFGTSLTARGGWQSALETGLSACLQRPVKVESVAKSGETSLWGLAQVDRVIATQP DIILIELYANDATLHRFVSLAQSRKNIGDILDQLRQRLPQARIIVMAMNPFSGLRGLI RPFVDSYVSAHQAEAEKRGLEFVDHRPNWERLTPDDLATAIPDGGHPQPDMASKIIAP ELIKRIAGKNCGE YP_002977013.1 MNKVISESKRSLNRHVAVVGVLSIALVCGIGGWAATTELSSAVI GEGVIVVDGDVKKVQHLTGGIVSELLVSENDHVTAGQVLIRLDGTTTRANLSIVESTL AQLYARRARLKAERIGADSFEVEENISDLTSSTSAAKLLDGEQKLFDSRRTALIGMKS QLASRKDQLGEQVKGLVVQINATNDALGLIEQELEGIDTLYKKGLVTLQRLNTLKRAR ADLQGNSGQEIAAKAEAEGKAIEIDRQSIQLDEDRRSEIAKDLTDVEAQIAEYEERRG TALDQLHRLDITAPLTGRVHELSVHTVNGVIDPGQTLMLVVPENNELMVEAKVATRDI DQVHVGQSVDVRFSAFDQRTTPDVSGEITSIAPDIVKDERTGISYYPLRVKPKAESIA RMKTIKLYPGMPAEVFIKIGDRTVISYLTKPLTDQMQHVFRQE YP_002977014.1 MFQSSAADVSEPSKALRKCKGGLVFIGIASALINILYLTSSFFM LEVYDRVIPSKSIPSLAVLAILALMLYVFQGAFEVLRSRMLVRVAGALDEMVNGRVFR ALIKAPLKVKIGGDGLQPLRDFDQIRTFLSGMGPTAMFDLPWLPFYIVICFLFHPAIG YIAIGGSLVLAILTFLTNQGTRTLSKKQSDSANMRNAFAQTSIRNSEVIHAMGMAGTM AEIWDRKNSEYRTITRQASDVGNGYATLSKIFRIALQSGTLATGAILVIQGQASSGII IAGSILTSRALAPVEAAIGNWRGFVSAQQSWARLSSLLKTIPEIPAPLALAAPTKQVT VEGLASGPPAGQRLVISDVSFGLRAGSALGVIGYSASGKSSLARAMMGIWPTVRGSIR LDGAALDQWDGDALGRHIGYLPQDVELFSGTVAQNICRFAKEMSPETVVAAARAARVH DLILRLPNGYETEIGEGGAALSAGQRQRIALARALYGEPFLVVLDEPNSNLDEEGERA LSAAIMSVRARGGIVVVIAHRSGVLAVCDFVLMMQDGRMIAFGPKEEVLARVSRPEAA RTPIAERVAQLKVVVDGMNAAE YP_002977015.1 MTVYYVNSATGSNQNSGVSEQSAFATLSAVESLRLKPGDSVLLA AGSVFNEQFDLKYSGTVSSPITIGSYGVGDAPVIHSSNDGIHGSKASNIIVENIKIAD TGGAAIYAGNVSNWTVRNVEVENTGLAGKPGSVNFQSSQNITIENSKISGVNGDGIWM DKVIGVTIVNNLVINSQGAAADAVQLNDSSNILIKGNHLEQTETNSAKGVLVLVRAVD AAVEDNTVIGGGFGIGANAGTNIAIHDNDISGYGGYSWSYGIGLGDQGNATNYDISGN YIHDGVWGVSISAAGYPSYTRTDIDIYGNVFDDLSSSALKVDRPASGSFYDNIIDSAV STLTMPVAIVLQSTFSINDNKTLEQAQAEVDAATGNTQSNNEQTPTTPVVEPYVEPST PTQTSTPAPAAEAQVPTAPTVAVPRIVAAHDSLKISTDTGSAYHGNLLENDSAINGTV LLRRFGDSAVDKHGLTLTGKYGVIHVESDGDYTYTVDAVKIAGLSGKVSESFQYKISD GASHIDTDSLGVYINVDAFHSSQASHLLV YP_002977016.1 MAVHATDDSATFLETDAISGNLLSNDSSDNGHLFLRAFDGASVG AKSGNSQVTEIQGDYGTFFVKPDGSYTYVLSDAAKVNFTNGELLQEKVAYKISDGSGH TDVGLFTLNIQGVTQVKPIAVDDHYSFTEGDAIGGNALDNDIAGDNGHLFLRQFGTTT VNGDPSATTDVAGTYGTFHVKADGSFTYDLAVDVAPGDHVTETIQFYKISDGEGHTDA GVLTLNINGADAEV YP_002977017.1 MFRSQSVSITRIAFVIVSVVLVVLAGRELYASIRTASISIVAER IERGETVADDVVTKYASRSVDVVDGHYCRSDIVAAGVTLVLAQLDRQNVNVNYDGWAA AASSARQYLRHALSCMPTNSNFWLRLAAVQSTIAEEPASIAGMMKRSVALAPYDQVMI LTRFYFWNDFTNATLAAAARALDSDLMTMLKRGDRCRVNATIREISPQLRPIFDRSWT SVGEAATARFRQRCSK YP_002977018.1 MLSPDKLTPRIDPSRDTGNDADFIDFDKLIAIARRQWRMVAACG FAFAILGIVYVLTSVPVYTADTSVLIDRSDSQVINQLAAFGQMDDDEGTVLSQVELLK SDTIAYAVVDKLKLVDNPEFMGPKSSLFSVSTLKSFMNFRSWFADDAAVAPDPEMRRR GAAETVAGNIDVERVGRSYVLDVSYTAQSPDLARDIAAGIADVYLVDKLNSKYEATRR AGQWLQERIEELRQQALDTDLAVQKFRGEHGLVEAGSGTLISEQQLSEINTQLINAQA ETAKAEARYARVKSIIDAKQTDAIVTDVLDSSISNDLRKKYLEASKLETEIEARLGPD HVQAVRLRAEMEEYKRLMFDELNRIAESYQSELQVAKSRENSLRDSVTQATGVAATAG ETQVQLRELERTRDTYKNLYQSFLTRYQEAIQQQSFPITAARIITTAETPTKPSAPKR ALVVAFAMFVGCAFGSGIAAFREFRDRFFRTGDDVRDVLDVESLGVMPLIENNVDDPT LVDPSNPRSIARGGKTTTYVEEHPLSAFAETLRSAKIAIDLSAADQRCKVIGVVSSLP GEGKSTTSINFAKLLAMQGARCLLIDGDMRNPGATRAIGRHAEAGLLEAIVDSRPLKD LILLDPKTKLAFLPTVARYRVPHSSELLASRGMDQLLETARQSFDYIIVDLPPLAPVV DARAINSKLDAVVFVIEWGKTSRKVVQSTLLSEPELYAKCVGTILTKVDPSQMKLYRT FGSSEYYYKRYSRYYTES YP_002977019.1 MARSAVYRKLAYSGIAVAMYVGMNSSAFAAACLGPANLTSVDIS GFTASPAVLLDMSDPLVLSSRVRALVGSSNDALSPVIEQAKKANAVQMAAIGSGLGRA ANSCQVTDPQFKLAIEKAVADAASADPNLAPLLAAFAKVLAEGGTAALGPGASAAAAS GIGNDGQVGQTGPGADDGTPFDGAATTAGTLKVSNAGSDDSSSTSTTTIVSTGAGGQS STDPTESTSQSVPVIQ YP_002977020.1 MYFVRSRGSSFKGVNTGYSMGCSRIGSTRVAIVVALTTILASCT SLPRSGPDHKDVDRDAAVKVTTKERRVGIDYALVDLSKNVLSYFTAPQPTSFKGFGGG RGGAPEIPLGYGDVVSVAIFEAQSGGLFIPSDAGSRPGNYISLPEQTIDRNGTITIPY AGRVPAAGRLKETVEQDVEDRLASRAIEPQVVITTTTSRSSQVAILGDVNNPQRVEIS PAGERVLDVISAAGGLTTNNIETNVTLQRRGKTATVAYTTLLKNPAENIYVAPDDTIS IDHERRTFLMLGAAGTSGRFDFEESNLTLGEAIAKAGGLRDDRADPAQVLLYRLVPKK TVQAMHVDTTRFASEMVPVIIRANMRDPATLFAVQQFKMEDKDIIYISNSDSVELVKF LDIVNSVSSTVSGVTDDANDTRNAVQDLGN YP_002977021.1 MFSNLINKRVLREVLLFAFIGLVILTLIPFGSVTPFPFAFAAIG MFVLAIISGLLFREPRQSRWVFSIALFLLVVLAGWAFIQTTELPANWLANPAWNAARD LAGAQSAAISVEPADTLASILWVALPFVTFLTGLLLCDTDQRARKVLTGLGLTAGIIA VFGLMQFLLFPKILIVVEKHAYLDSLTAVFVNRNTAATFLGLGTLLMLTLVRDITRAY SKYPPGEPGRNALLLRSWIYILFLCACFTALMLSRSRAGIFATFVAALVYFPWLVINW NGSRRHLRPAPRWRSLLKLLSAIAFVVLLLTVFAGQAILRAQERRLEDDDRFCILPGI WRAVSDHWLTGTGLGTFRTVFSAYRDPACGIFGVFDRAHNFYLEGFLGLGILFPIATV LALSVLARVFWHGLAQRRRLRHYVLLGLAATVLVALHAAVDFSLQIPGFAVFYSAFLS AVVAICLGRSNGEADMAYERPLTT YP_002977022.1 MKKTFVTLLALALTAGNACSAFAAGPAGFARGLTGNSAVSYISE KGKIIPPFAQVLFCAQNPTECRDNNGLAVVAMTDKQMLQLNDVNTAVNRTMVGRNDSR NELNGDVWKVNVRSGDCEDFALTKRSRLIAMGWSSRALRIATAYTPSGEGHAVLVVRT DRGDLVLDNRKSSIKNWRDTDLRWDKIQSGTDPYVWYRL YP_002977023.1 MRITMIGSGYVGLVSGVCFADFGHDVICVDKDLSKIEALREGRI PIYEPGLEQLVAENTSTGRLSFSTDVGESVRSADVVFIAVGTPSRRGDGHADLSYVYA AAREIATYVEGFTVIVTKSTVPVGTGDEVERIMRETNPAADVAVVSNPEFLREGAAIE DFKRPDRIVIGLNDDRARETMTEVYRPLYLNQAPLVFTTRRTSELIKYAANAFLAMKI TFINEIADLCERVDANVQDVSRGIGLDGRIGSKFLHAGPGYGGSCFPKDTLALAKTAQ DYDAPMRLIETTISINDNRKRAMGRKVISAVGGDIRGKKIAILGLTFKPNTDDMRDSP AIAVIQTLQDNGAEVVGYDPEGMENARKVIENIEYASGPYEAAAGADALVIVTEWNQF RALDFNRLKQSMRAPILVDLRNIYRSDEVRKHGFTYTGIGTNLYQDVTGA YP_002977024.1 MFNYLGPWRQQIQILAGNRKKRARLTFAEGEFAAVYAMSDVHGC YNELVEAHRRIEQDAARIPGPKLIVMLGDYVDRGPDSSAVLEFLSKTPPPGFQRLVLC GNHDAELVKLYRKPASILEWLGFAGTETLHSYGIDIEHLLQSAAGSETIARVIRNMIP ERHIQFLESLPIMVRMGRVVFVHAGIKPGIDLKKQKDSDLMWIRQPFLNEGPQLPVLV IHGHTPARIPTFGPQRIGIDTAVSATGRLTVLTIKGTRVGIL YP_002977025.1 MIRNLRSKTAGCKVETAVDSKLIEAITYDEDSRHLRVYLTNGQR REYEGVPKGVVVGLTMAESPGNFYMKAIRGKYPPRP YP_002977026.1 MTETGYVHRLHAGAPDKPILLVLHGTGGDENQFFDFGRHLLPEA TILSPRGDVSEHGAARFFRRTGEGVYDMPDLSRVTEKMAAYVKAFADEYQASNILGLG FSNGANILANVLIEKGIFDAAVLMHPLIPFQPEAQSPLAGRKVLMTAGQRDPIAPVSM TEALSEHLKNRGAEVRTVWHPGGHEIAPLEIDAVRDFLGRY YP_002977027.1 MLDQIKGLHHVTSMAQDARTNNQFFTHALGLRRVKKTVNFDAPD VYHLYYGDETGAPGTVMTYFPFPKMAQGRPGTGEVGTTVFSVPKGSLGFWSDRLAALG VGGLKAEESFGEMRLNFSGPDGDGFALVEVEDDTRQSWTHGGISEDHAIRGFHSVAMR LRDEGATAELLKFMGYEVAEERDGVRRLIMPSGNGAHLIDLETMPNIARALPGAGSVH HVAFAVENREKQLEVRKALMDTGYQVTPVIDRDYFWAIYFRTPGGVLFEVATNEPGFD RDEDTAHLGEALKLPQQHAHLRALLEQHLQPLEA YP_002977028.1 MSDTSISLEGSWKAALEGEFSSPYMQQLKSFLVTQKEVGKRIFP KGSEYFRALDLTPISNVKAVILGQDPYHGLGQAHGLCFSVRPGVRIPPSLVNIYKEME TDLGIAPARHGFLEHWAKQGVLLLNSVLTVEEGQAAAHQGKGWERFTDAVIRKVNDEC ESVVFMLWGSYAQRKAAFVDTTRHLVLRAPHPSPLSAHNGFFGCGHFSKANAFLQSRG RAPIDWQLPADPHGA YP_002977029.1 MKFGTSGLRGLSVDLKGRASALYATAFGKYLLQTGKARAGDVIL IGRDFRDSSPEISGNCAGALAALGFRIFDCGTVPTPALALYGLESKAACLMVTGSHIP ADRNGIKFYRPDGEIDKSDEVAITALAAEIERTGETVAQAPAETEEHEAICRQLFFER NAALLPQGALSDMKIGVYQHSSVARDLLVDVLAHYGAEITALGRSESFIPVDTEAVSD ETITLMKRWVSEHKFDAIVSTDGDGDRPLVADETGTPLRGDLLGLVAANFLDAGTVVT PVTSNSGIEAAGSFAVRRTRVGSPFVISGMEEAVAAGKDHVMGFEANGGLLTATAFDI NGRNVRALPTRDCFIPMLAILSLAAIRKQPLSAVAASYQLPFAAADRLENFPLETSAA LMEHLRASDENLSAFLQPIGEVATKSDIDGLRVTLRDGGIIHFRPSGNAPEMRCYTEA GSEAAALELLNTGLNRITDWAGAR YP_002977030.1 MTQKIVPVIMAGGKGTRLWPLSRATAPKQFIQFVGDKTLFQETL ERVSDPELYEAPIVVTNEEFRFLVAEQARELAIPLAAVLLEPVARNTAAAVAAAATLA GELFGKGTIIQMLASDHEILADKSYFDCIRIARDAAADGSLVTFGINPTEPATGYGYI EIGDALKNGAHKVKRFVEKPALEKAEQMLADGGFYWNSGIFMFPVTELIAELQEYAPD VLKAASKAVSKASRDLDFTRLDADHFAKSPDISIDYAIMEKTSKAAVVPSPFKWSDMG SWDSVWKSGARDDNGNVAAANTTVVNTRNSLVMTRGVHLAVQGMEDVAVIASEDAVYV GPLKDSQNVGQLVKMLASSSITAKFTETHPTSYRPWGGYTSIFNGDRFQVKRIFVTPG KKLSLQKHHHRSEHWIVVKGTAEVTVGENVRMLRENESVYIPLGEVHRLANPGKILLE LIEVQTGSYLGEDDIIRIVDEFGRT YP_002977031.1 MTGDIPLMRTLPVLAGFLAIMVPGMAFAETASMRTANESEIRQH LPGTSELKEAKNGYEYRDGNKNGYKITNGQVCVLFPSKKTDCVNVKTDGKRFQMIDKK GGRTRF YP_002977032.1 MRDIVYWILCAFLTVAIAIVSLRYVTNFWLLSFVYSFQVHLGVV FVAASLVILAIKRQIYGFILLLASLLLVAHGVIMLREFSEGDRGTDRLPLFRLMSFNI AIDNWKNSTEITHMVIASNADVVNLLEAKPLTFHLQQLFKAYPYHIGCDAGKDTCDTL VLSKRPFVSRQVASMGSLRKNRLVIASVDFGGETINLVSAHLTKPYFDDFQMAELEDL GKALGSIDGPLVLSGDFNSSAIAPSIQVFLREQKLKTITPEPATWPIGAGALGIAIDH IFARAPLQLTSLKRLDDNLGSNHSGLVADFVLDKDGETSPAK YP_002977033.1 MRYFITGTAGFIGFHLARRLLQEGHDVTGFDGLTPYYNVKLKEM RHAALSQFPAFRPVISMLEDRPALEAAVLAAKPDILIHLAAQAGVRYSLENPEAYLRS NVEGSWNIMEIARRVEIRHLMLASTSSIYGANATVPFHETDRADEPLTIYAATKKSME LMAHSYAHLHKIPTTAFRFFTVYGPWGRPDMALFKFTKNMLEGQPIEIYGEGNMSRDF TYIDDLIEAIVRLSAVVPSEENRLENTAVETLSRQAPFRVVNIGGGQPVSLMDFVETV EKALGRPAIRKMLAMQKGDVPRTFAAPDLLVALTGYKPDTTLDVGVKAFVDWYLDVRS ELDA YP_002977034.1 MHRTFAAIALSLTITAAAEPAFAIGPASLARDLMYTSAIGHSPE VPLTTRAGFVRPGVPFVLRSPAEIEGEGYRLKTYSQSLTVVVDYVFSTAVEAVQAVAF LR YP_002977035.1 MRIQIYIIALLLSAIMWSISFDAARESYHAAQSAGVMPNLHINK KLDRIL YP_002977036.1 MIKEHDSQSPASARADIARQKMLSAALDVFGRYGFDGASTRQLT EAAGVNLQAIPYYFGSKEGLYIATAEYLMMRINTHVGDMRARVGAHLLALDAAGKSLG EAEARHFLTEILQTMVTLFVGKESESWARFLIREQMEPTEAFTRVYQGLMRPMIEMGR RLIGAILHEDPASEHVRLRTFTLLGSILVFRVAHAAVLAQMEWDGVGPEQVETVRGLA AELVDAIGPPKGSAA YP_002977037.1 MKRVLPLVILLLVAAGAAAWWYALPQKLGWLPEASREFVLYGNV DIRQVSLGFRVSGRLAELHADEGDLVKTGTVLAKLDAAPYEFAVRSGEANVAALQATL DKLKAGPRPTEIAQARAAYDESIADLRNANLAYDRARQLRPQGTISEASLDQATAARA MAAARSDSANEALKLLLEGSRVEDIAAADAQLKAAEATLASARTSLDDTELRAPNDGV MLSRVRENGAIVSPADTVFVLSLTEPVWVRTYVAEPDLGLIHPGMKVAVTSDTAPDKP YEGTIGFISPVAEFTPKSVETPELRTDLVYRLRIVIDKPGPDLRQGMPVTVRFPTPTA GGQ YP_002977038.1 MTAAETGRGDKPLVRIDGVTKRFGDAPAALDAVSGTIGSGAITG LVGPDGAGKTTLIRLMTGLMLPDTGTMEVLGFDTRKNPAGIQAAIGYMPQRFGLYEDL SVQENLDLYADLRGLPKSERASAFDELLTFTDLKRFTGRLAGKLSGGMKQKLGLACAL LKKPRLLLLDEPGVGVDPISRRDLRKMVENLTKEGIGVLWSTAYLDEAEACDHVLLLN QGKLLFSGKPDDMTGRVSDRVFRVSGMTGRRRQVLAGLLQADGVIDGVIQGEAIRLVA ARDKKPDIGAAGDGATLTPAPPRFEDAFIDMLGGGPGGRSRLAEAQGPTKGDDDRPVI EAKGLTKRFGDFTAADNISFDIRRGEIFGLLGPNGAGKSTTFKMLCGLLKPTGGEGRV AGFDLRRDAAEARNQLGYMAQKFSLYGDLTVMQNLEFFSGVYGLRGRHRRERIDLMAG IFDFGRHISQPAKDLPLGLKQRLALACAVMHEPRALFLDEPTSGVDPITRREFWTHIN ALVEKGVTVLVTTHFMDEAEYCDRISLIYRGRSIALGSPDELKARVATKELPDPTMED AFIALVQQSEKEDAA YP_002977039.1 MSTSSGRMRRLLALVRKESFQAIRDPSSILIAFVLPLILLFLFG YGVSLDTTRTRIGLVTEEMTPLTQDLSASFQASRYFDVAIGRDRRLFEEDLVLGKLRG IVVIPADFTTRYTAGNRPDIQVIVDGSEPNTANFVQNYAQGTVANWERQRQADVASHS PAISVEQRFWFNPELTSRNFLVPGSIAIVMTLVGTLLTSLVVAREWERGTMEAMMATP VTAVELLAGKILPYFLLGLTSMTLCVLLAVFLFGVPFRGSVAALYALSAAFLIPALGQ GLLISTATKNQFLASQLALISAFLPAFLLSGFLFEINSMPTVIQWITFIVPARYLIPS LQTVFLAGDIWPMFLQAIGVMLTIGAIMFVLAARSTRKRIG YP_002977040.1 MWTRLYALIVKELLAVLRDPKGRAILIGPPIVQLLVFSYAATLE VRNVDVMILNRDNGHWGQELIERIDGSPTFRKIEIAGSQAEVRVAIDNQTAIAAVEIG PDFSRNIEAGAPADLQVVLDGRRSNASQIVAGYLSQIGAALAAETPAGKRAGADIVST VPRNWFNPNLTYQWFMVPNLIASIALLIGLIVTALSIARERELGTFDQLMVSPLRIHE ILIGKLIPPMMIGLFHITVYILAAVFLFEVPLRGSLFLLYGSAIFYLGSVAGLGLFIS ALSMTQQQAILGAFLFMVPAMLLSGFATPIENMPGWLQPVTLINPLRYFLVIVKGVFL KDIPLSEVVNQTIPLALIATVTLSAAAWLFRRRLE YP_002977041.1 MYKVLLVSSALALSSLATNALADGAVTGAAGGAITGAIVGGPVG AAIGGVAGGVAGAVVDPPPREVVTYVQQQPAPTASVVVEEPIVVGKPLPADVVVTPVP DNPKYAYTVINNQRVIVEPRTHRVVQVIE YP_002977042.1 MLSSMHILSLKQGKLYGDRIPIEALPQGVKLPQPLVVLFSLIGR WRERTKKGPAEAGPEVAEPQQAASVA YP_002977043.1 MRLLVLGTGVMAKNQLARFPLIDGVTVVGAVDTDPERLSAFADK FNIEKRFLSLEEAIAWGEFDAATNVTPDRIHHPTTMALIAAGKHVFCEKPLAENYAKA LEMTEAAEKAGVINMVNLTYRNVAPLQRAREMVLAGELGTIRHVEASYLQSWLVSRAW GDWRTESTWLWRLSTGHGSNGVLGDVGIHILDFAAYGAATDIDHVFARLKTFNKAPGG QIGEYLLDANDSFTMSVDFANGALGVIHASRWATGHLNELKLRIYGERGSLEVIHRPS GSELHGCLGEDVETATWTKIEVEPVATNYQRFAEAVASGIQPDPNFRHAANLQKVLDL AMVTERERRELKV YP_002977044.1 MAIRTVVWGENIHETTNEIVRGIYPEGMHTTIANALNTDPSISA TTATLQEPEHGLSEARLTETDVLTWWGHKDHGAVSDVVVERVAKRVWEGMGLLVLHSG HFSKIFKRLMGTPCALKWREAGERERLWTINQRHPIAAGIGEHFELENEEMYGEQFSV PEPLETVFISWFQGGEVFRSGLTWRRGAGNIFYFRPGHETYPTYHDVNVQKVLINGVK WAYNPEGALKSITDAPNVPVEKALEPIVERGPRLHQAGEAGYR YP_002977045.1 MSQAAVYGLLFAAIVLEVIGTTALQLSQQFTRIGPTALVVACYA AAFYCLSLTLKSIPVGIAYAIWSALGIVLISSVGLVFFKQRLDLPAIVGLGLIISGVM VVNLFSKSVSH YP_002977046.1 MSNAHHRKKQPVLVRQQLLEVAARLAASDGMAAVTLDAVSAASS VSKGGLLHHFPTKNALLDALFESLLEKFDADIEELMRGDPLPQGRFTRAYLRAVSGLK ERPDDSRSWTQVTIALLAEPRLRLRWRQWVQARAEEYIGTDSSLDAQVVRFAADGLWF ADTLESHDINGVVRRDLIDRLVELTGK YP_002977047.1 MTEKVTTLYQAIGGDPVVRALTHRFYELMDRLPEASNVRAVHPP SLEGSEEKFYEYMTGYLGSPPLYTDKRGHPRLRSRHFVAEIGPVERNEWLLCFRRALD ETISSQELRDLIWAPVERLAYHMQNKAPDDKEQP YP_002977048.1 MSLNARLEPVLYLFAGLFGVAGVALAALAAHGGGEANLAASASA MCLAHAPALLALALGNVRLRTAWLAGLLMIVGTLLFAGDLVTLRFSGSGLFPYAAPTG GWAMMLGWLAVAAGAVFRVRT YP_002977049.1 MYIAINRFKVATRSEGDFETVWRNRDSSLPEVPGFVEFRLLRGK VNDEEGYTLYSSHTVWKSEADFQNWTKSESFRAAHRNAGDHKAIYKGPPVFEGFNVVD GI YP_002977050.1 MNDQRPDGFTMIGLHKLAAQTGEGLVPELYELFQQHAERQQIYQ NVTLFPTWEARMPGEATTRPLGPAAANGDNVLAFPLRSARAGKRKA YP_002977051.1 MARKGKKGSNRDVRDSVGEDAGQASLGRSKLDGRSFLYVGGRDC QVAHLRQICSNFGAELIHHDGGLREAVSRIDTLLPSVDCVFCPIDCISHDACLRVKTG CKKFSKTFIPLRNGSKSSLERALQTMNERDNSR YP_002977052.1 MAISAKTRSRQVLIGEPDADGGLNDNNMHPGHELSDLRNVQRQP AGEAVVHYARFAQIPSFPDHPEAEPTASVPAPPMDAAVEKQGDEKAPVRRRVALTYIC SLIFHATLAAVLLIAFPKAPEEAIEEAGQAMSVVMYGDSDIDQAAAGETETTIQQEII PEEVQPDTIQPTQTAEVQPETVQPTEVSPFEAQDPIQQAPAPEVTRVSPETAAAVEPE ILVSEVPAEESVAQPMSTVVPEQQQVPLDAVPPSEVQPTAVQPSEVQPAETPAEVAEE TPQGVKPIETAEIQPKPEQPPEVVTPTPKPKVAQEKPKPVEKKRPPQKAAGDKGEGQQ TSTRGVAEGNSSAQSDNSSQAANGNNGVGTAATANYKGKVRSRIRRAIRKPRGVEGSV VVTFSVNGGGGLTSARVSRGSGVPEIDQLALDAVRRAAPFSPPPGGQAMTMSAPIEIV P YP_002977053.1 MTVRFAAVAAGMVMAVSSVCQAQDAATAKLDVELNALAPSQKGC MMTFVAENNLQTPINKISFELAFFNDKNAVDRITVLDFRDLPQGKKRVRQFDMPNVKC ETVTRIIINDTPVCDGPAAGECMKGLVTRSQISVPFEG YP_002977054.1 MMVEKPDNFKHVPLQSEPAAQHRIVESADLFRGTNEIMIRHDGL VYRLKITRQGKLILNK YP_002977055.1 MTEQTRPAPAEIRAFRADNPKMRERDIAAQLKISEAALVAAETG ISVTRIDGSALRLLERVAGLGEVMALSRNESAVHEKIGVYENIKSGAQAAIVLGENID LRIFPSRWEHGFAVSKKDGDQERLSLQYFDKAGNAVHKVHLRPSSNIEAYHAIVAELK LEDQSQEFVEAETSNAADETTDVSRDELRDNWSKLTDTHQFFGMLKRLKIGRQAAVRT VGDDYAWKLDNSATAEMMHASVKSGLPIMCFVANDGIVQIHSGPIFNVQPMGPWINIM DPTFHLHLRQDHIAETWAVRKPTTDGHVTSLEAYNAEGEMIIQFFGKRQEGSDERAEW REIIENLPRAASVAA YP_002977056.1 MTTRNNLRRIRPWELALTAAVMALPLIPTARAVDGFAFVRAAHA EEKKLDTSRLVSVGGDITEIVYALGEENRLIARDTTSIYPEAALKLPNVGYMRALSPE GILAMNPTAIIAVEGSGPQEALSVLKNASVPFESVPSAFTRDGIIAKIDRVGTLLGVP DKAKALEEKVAADLDAAIADAEKRPEAERKRVLFILSAQNGRIMASGTGTAADGIVKL AGAINAVGAFPGYKPLTDEAIIEAKPDIILMMNRGDGAGTKNEDLLAQPAIALTPAGE KKAIIRMDGVYLLGFGPRTAAAARELNTAIYGG YP_002977057.1 MALPQAMMEQRRRFPMADIRDIRQAGDRTRLALLAIALLVVGSV FSMLFSVTTGASDASILDVISNMAGSETALSTRDRIIIFDIRLPRAILGFLIGASLAV SGTVMQGLFRNPLADPGLVGVSSGASFGAVAMIVLGGGLAAPVEALLGIYALPTAAFG GGLVTTLLLYRIATRHGQTSVATMLLAGIALGALALAITGLLIYMANDQQLRDLTFWS MGSLAGATWTKIAAASPIILLSFTALPFMARGLNAITLGEAAAFHMGVPVQRLKNVAI VGVAAATGASVAVSGGIGFVGIVVPHILRMAIGPDHRFLLPAAALLGGSLLIFADVLA RTLVAPAELPIGIITAAVGGPFFLWILLRQRSRLAL YP_002977058.1 MIEVSGVSVRLSGKTIISDVTFAAKAGELTAIAGPNGSGKTTTM KAISGELAYGGSVRIGDDEVQALKPWQLAAIRGVLPQASTISFPFTVREIVRMGLTSG LNLHPDKAEQTATAALASVDLTGFEGRFYQELSGGEQQRVQLARVLCQIAEPIVDGKP CWLLLDEPVSSLDISHQLTIMTLARNFCERGGGVIAVMHDLNLTALFADRIVLMKSGR LAAAGSIGEVLTNETMLSVFGCALRINQVPSDGTPFVLAHSAVSRP YP_002977059.1 MSYSIFQSGRSRRNGTFHNLESGIEEQIEALRGELAELTRLVGK SSRHQGEKIRSQAGAGYEELLGRSEDLLRELQHGYERGTTEMRETVRKHPLATIGAAA AFGLAIAFLARR YP_002977060.1 MLLPILSLLTGASVHRTVARAKRNGIFIALAVLFLLTAYALAVT AGAIWLAGIYGPVGAALFLAACALLIAIIALVAMSIINAREARRARERRASLESLATV GLGLIRAQPLLTAGVLAAIVAANLVGSKRED YP_002977061.1 MKIRPEVLDHWPEVRERLPAGFDLEATARLRGAFTRVREIKNAE TLLRLALAYGGLGMSLRETCAWAEAGGIARLSDPSLLERLCKAAPWLGDIVAALIAEQ AKVPTGRFAGYRLRVLDGTSICHPGADRTTWRLHVGYDLATAQVDQLELTDIHGAENL QRLTYAPGDIVLADRYYARPRDLRPVIDAGADFIVRTGWNSLRLLQTNGEPFDLFAAL AAQQEQEGEVQVRVHEGMTGTPPPPPLVLRLIVRRKDPQQAQAEQERLLKDARKRGKK PDPRSLEAAKYILLLTSLPTATFPPADILTLYRFRWQIELAFKRFKSLAGLDSLPAKK PELARAWLYARLIVAIIAEQIAGQVPDSPPSGCGNPTG YP_002977062.1 MEDKSQPSFKRELLAALPSLRAFAISLIGRHDRADDLVQDTIMK AWAKQDHFEMGTNMKAWLFTILRNELYSQMRKSGREVQDSDGLFTESMAMHPSQYGAL DLQDFKKALDQLPPDQREAIILVGASGFSYEEAAEICGCAVGTIKSRVNRARQRLQEL LQISGEADFGPDATSAPLTSKAFAF YP_002977063.1 MSMVEIVFDPIHAPRTDNRREDELMTTRKKEAADQRKLELRASD ILDPNNQIGVRLRSLYSAAQDEAIPDRFLDLLEKLDHAEMMASAKMAE YP_002977064.1 MTLSTRIAPHLPYLRRYSRALTGTQTSGDAYVAAVLEAIIADLS IFPDTANDRVALYKLFTQLFGSTAVQIPEPTSPYAWEQRATLNLSKVSPRARQAFLLA SVENFRVAEIAEILETEEQDVMHLLDVASQEISRQVATDIMIIEDEPLIAMDIEQMVE SLGHRVTGIARTHAEAVALYNKTKPSMVLADIQLADGSSGIDAVNDILKTSSVPVIFI TAFPERLLTGERPEPTFLVTKPFNPDMVKALISQALFFNESTRVAA YP_002977065.1 MNTTEPLSGMPFTFEGKAAMMERALGSAGISILCQDARLSIFYA ENLPPHFAALFTPGSSDAALFGDAHGRYLTALKHKVLETGIPNNAEVEIDVDGERRTY ELKIQRTGERGAYGLLSVMAEVTESRHREKVLKSLLRELSHRSKNLLAIIQGIATQTA RNTLSLDSFLLKFRGRLQSLSNSQDLITDSSWRGAYLFELAEKQFAPYWPETAGSMPI YGINAHLTPNAAVHLGLALHELIVNSASFGAISGGAASITLNCREAMINDRKAIEVAW AEVLHDQSEVHEFSDNSFGRTVLERVVPSSVNGKAELNLVPGRIEYRLTIPETEFEIF KRV YP_002977066.1 MERLETGIHAGRLSPTEYEANFSDLHPRLDNHEALVAADRCYFC YDAPCMTACPTSIDIPLFIRQISTGNPIGSAKTIFDQNILGGMCARVCPTEELCEQAC VRNTAEERPVEIGGLQRYATDAAMQAGRQFYARAEPTGKTIAVVGAGPAGLAAAHRLA VNGHSVVIYDAREKSGGLNEYGIATYKTVDDFAQKEVDYVLAIGGIEVRHGERLGRDF SLSDLQAQYDGVFLGIGLAGVNALRIEGENLAGVDDAVDFIAALRQAEDKSDIAIGRR VVVLGGGMTAIDAAVQAKLLGAEEVTICYRRGKEHMNASEFEQDLASSKGVIIRHWLA PKSILSQDGKVAAIEVEYTRIVEGRLVGTGETGVIAADQIFKAIGQSFDASGLGSLRM ESGRIAVDGEGHTSLDGVWAGGDCVFGGDDLTVSAVAHGRDAAESIHRALTAAAAPAV AVA YP_002977067.1 MADLRNNFVGIKSPNPFWLASAPPTDKAYNVERAFKAGWGGVVW KTLGEEGPPVVNVNGPRYGAIWGADRRLLGLNNIELITDRDLYTNLREMKQVKMNWPD RALIASIMVPCEEEAWKAILPLVEETGADGIELNFGCPHGMSERGMGSAVGQVPEYIE MVVRWCKQYTRMPVITKLTPNISDIRRPARAAKAGGTDAVSLINTINSIVSVDLDNFA PNPTVGGKGSHGGYCGPAVKPIALNMVAEIARDPETYGLPISGIGGITTWRDAAEFLV LGSGNVQVCTAAMTYGFKIVQEMITGLSDWMDEKGHRSLDDITGRAVPNVTDWQYLNL NYIAKAKIDQDACIKCGRCYIACEDTSHQAITNFVDGARHFEVVDEECVGCNLCVSVC PVENCITMEQLPAGALDKRTGRVVDPNYANWTTHPNNPMARQAAE YP_002977068.1 MSAIDLLITAGNGPVECRMALAALLGILEREAVRLGCSFETNLG PMPDQHGAKSAIVSLNGFEAEQIANDYCGTIRFTFKSQVRPGHKRQNWYVSVQRIDTK PEGGEVTIDPADLRFETLRAGGPGGQHQNTTDSAVRVLHRPTGLVATARDERSQHRNK ALALRRLEAMLRHLEVEKQEAAKSGRFIANRTIERGNEVKSFKL YP_002977069.1 MGNFIGSESSPMTSSAHPAVINRFFSGSAWIEGAALDQLDEMSR LPGVMEIAAFPDLHPGKYGATGVALLSSRLHPLLIGNDIGCGMSLFGLDLPLRKLKID RAAERLRRFETQAIGDAAELLAEAGLSTDLTPGALGTIGGGNHFCELQAVEDLAEGGS AEGLDDQGLYLLVHSGSRSLGATIFSEMVAAHSGLAAGLASGSEAGTAWLMRHDQCVA WASLNRRLIAERAAAALHVDLRLIADVPHNLIRPSDRGFVHYKGAAAVVSGKLAPIAG SRASLSYVVRPSAGVARSLDGISHGAGRKYDRATMHGRVGRNRSEREQLLRNSWGGIA ICDDRSLVVEEAASAYKDAGQVVTDLENESLVVRLASFRPLVTFKKAVDEAEVEQRRR KPEYRREGGRGHERY YP_002977070.1 MTDSVKIMPNSSPQQTKGPLVAALAYDGLCTFEFGIAYEVFGLP RPEMGEGWYRFSVCGIEPGPLRAAGGLTVAVDNGLEVLDEADLIVVPGWRAIDAPVPE PLAAALRAAHQRGARVMSLCSGVAVLAGSGLLANRRATTHWRYVASIAARYPDIAVDA DVLYMDEGSLLTAAGSAAGIDLCLHVVRGDFGSEAANSVARRLVVPPHREGGQAQFIH APVPEEREGIRLGPLIEWMRESFSEDQPISLLAKRAGMSMRTFQRRFEATTGLSVGEW LLKERLRHARDLLEKELAVSLDDIAAASGFGTLATMRHHFRRRLGTSPHAYRKSFGG YP_002977071.1 MLSPVSQIPAAAPDAAVAHFAAKLAFETDCSDVHAAFAAGKVDF VLLDVRSPQLFAESHIPGAINLPHGKMTAHRMSTWASDTLFVVYCAGPHCNGADKAAF RLASLGLQAKLLIGGMTGWADEGFAFEQGVPAAA YP_002977072.1 MQTTRQWQIDAVGPERQLTIGERRLEPVSGNRVLVRTEAVSLNF RDRLVLESGMGLPLQFPFVPASDMAGVVEAVGPEVSRFKPGDRVISTFSPDWIDGRGL GDARTPPYKTRGGFYPGVLSQYTVLSEEWYSRAPDTLDAAQASTLPCAGLTAWFALIE RGGLKAGDKVLVQGTGGVALFGLQIAKAHGAEVFITSGSAEKLGRAVALGADHAINRH EGDWVEQLYQLTGGYGADHVLEIVGGPHLGQALKAVAINGRISVIGVLEGFEVSGPAG PLLLKAPVVQGISVGHRRALEDLVRAIDQTGLKPVIDKRYAFNEFPEALDHLYRGPFG KVVVEF YP_002977073.1 MERLKGISIFVEAVEAGGFSAAAERLHLTRSAVGKTIARLEQRL GVRLFNRTTRMQSLTEEGRFFYERCLRAVEEIRLGEAMLESGRRDVRGRLRISMPVLF GRHCIAPTLARLLDEHPNLELDLSFNDRIVDLLEDGFDLVIRNGPLKDNPDLMARAIA RQRMTVCASPAYLEKHGEPQTVFDIPRHEGIVYRRGDDDKGWIFPTAADPGRRLVPKA RLRLDDLASISDAAVAGRGLAWLPCWLVREEVLAGRLIQVLKQEPANVFDAHAVWLRS PVMLPKVRLAIDTLAARLPAMMG YP_002977074.1 MSLRSALRAQTADCHTAVDTLFGSFDLSRIQDYKAFLRAHARVV PSVEHALENGGIGRLLPDWPERRRAHLLAADIRELDDRLPVLLPQPVLRCEAAVWGAA YVLEGSKLGGALLAKAVPDHLPSSYLSPQGPKGAIRLFMDRLDASEVDDPGAAVAAAR DVFDLFLKAGQVELEAVP YP_002977075.1 MNGTHEPVDLTNCDREPIHQLGSVQPFGFLLAASSDWIVMRASA NLAEFIGVTEANAIGRPVLSLITPEALHTIRNKLTTLRGSDVVERIFGIALTSDQNRF DLAVHLNGGQVIIEGERCQEDRHDAASLSMRSMMSRLDHTETLEAFFREGARQARALT GFDRVMVYRFDESGSGEVVAEAARAGIGSFLGLHYPASDIPVQARALYQRNLFRIIAD VDAVPVPILPPLDEHGRPLDLSMSVLRSVSPIHIEYLKNMGVGASLSISIVVDGRLWG LFACHHYGPRLPSAQSRSTAELFGQMFASRLESRERRLALDYETKARRIADRLLTSVA DNASLLDDPAWLIEALADAIPADGIGVWINGRLALAGNGPNERGFAALVRHLNRNAAG RIYAVDRLAETYLDLEVDDAVAGMLAIPISRSPRDYVVLFRQELVRTVRWGGDPYKPV EYGPNGPRLTPRKSFEAWSELVRGRSLPFTEAERRVAETIRVTLIEVVLRLTDEVSMA RQTANERQELLIAELNHRVRNILSLITGIIRQSQATSVGLGDYIRQLEGRIQSLARAH DQITRDHWAPASLRQLLLAETAAYLGKNAQRIQMGGEDVLLEPHAFSTAALVFHELMT NSAKYGSLSGTGSGTVQLGWHRDDEGNLRIGWREKHGPPVIEPTRHGFGSTIIRRSIP YDLGGKAEVRYLRDGLEADFCIPARHVVGPTSERSNPVPIGATEPKTNPDDQPLSGLN VLLVENNLIIAMDGEDILRRLGADVATAPSVTEAMEILAGQSFDLALLDVNLGDETSF GIADRLAADGVPFVFATGYGEGIAQANSHSDAPVLQKPYTMEGVTDILARVQLRRSG YP_002977076.1 MNFKGKRQPVTIPRAAKTLRRTRIQEEKEEQILEAALDVFSASG FRGSTIDQIAEVAGMSKPNLLYYFRTKEAMHRALIDRVLYTWLEPLRAFDAEGNPEAE IRSYIRRKLEMARDFPRESRLFANEVLQGAPHIEDELKGPLKELVDEKAEVIRTWAKS GKIVKCDPYHLIFSIWSTTQHYADFDVQVRAVLGQEHSGEGRFEDAARFLEQLFIGGL RPDPLGG YP_002977077.1 MVAAPGENMRVNGDRLWDSLMDMAKIGPGIAGGNNRQTLTDADA QGRSLFKTWCDDAGLTMGVDRMGTMFATRPGTDPDALPVYVGSHLDTQPTGGKYDGVL GVLAALEVVRTMNDLGIKTKHPIVVTNWTNEEGARFAPAMLASGVFAGVHSLDFAYNR RDPEGNLFGDELKRIGWVGDEEVGARKMHAYFEYHIEQGPILEAEEKQIGVVTHCQGL WWLEFTLIGKEAHTGSTPMNLRVNAGLAMARILEMVQGVAMGEQPGAVGGVGQVFFSP NSRNVLPGKVVFTVDIRSPDKEKLDRMRAKIEAKAPEITDALGVGCSIEAIGHFEPIT FDPELVTSVRDAAERLGYSHMNIISGAGHDACWAAKVAPATMVMCPCVGGLSHNEAEE ISKEWAMAGADVLFHAVVETAEIVV YP_002977078.1 MSATEAVVVMDGTRLVGHDKFHDSFAETFGFPDFYGRNMDAWID CMSCLDDPDAGMSKLTIEPGKALTVRINNYLHFKDAAPQQWVDLMECAAFVNWRRTEK GRGAVLALAFYD YP_002977079.1 MTTVIKNGTIVTADLTYKADVKIDGGKIIEIGPNLSGDETLDAT GCYVMPGGIDPHTHLEMPFMGTYSSDDFESGTRAALSGGTTMVVDFALPAPGQSLLEA LTMWDNKSTRANCDYSFHMAVTWWSEQVFKEMETIVRDKGINTFKHFMAYKGALMVDD DEMFASFQRCAELGALPLVHAENGDVVASMSAKLLAEGNNGPEAHAYSRPAEVEGEAT NRAIMIADMAGCPVYIVHTSCEQAHEAIRRARAKGMRVYGEPLIQHLTLDESEYSNPD WDHAARRVMSPPFRNKQHQDSLWAGLASGSLQVVATDHCAFTTAQKRFGVGDFTKIPN GTGGLEDRMPMLWTHGVNTGRLTMNEFVAVTSTNIAKILNIYPKKGAILVGADADIVV WDPQRSKTISSKAQQSAIDYNVFEGKEVTGLPRYTLTRGVVAIEEGAIKTREGHGEFV RREPVTAVSKALSQWKDITAPRKVTRSGIPASGV YP_002977080.1 MDVTSKPTCHIVRPNHAYDGKQGLSYFAGIAAETVGAKGICMHL LTIPPGVRAKAHLHEAHETAIYMLSGEAHTWYGDELEHHVIVHAGELFYIPAGVPHLP ANLSSTPCTAIIARTDPNEQESVVLLPELDALVPA YP_002977081.1 MQAPSVVSAKDLCLTYQANDGPVNALSNVNLDVRKGDFVSFIGP SGCGKTTFLRVIADLEKSTSGEISINGMTPEEARKARAYGYVFQAPALYPWRTIENNI ALPLEIMGYSGADRTRRIAEALDLVSLSGFEKKFPWQLSGGMQQRASIARALAFDADL LLMDEPFGALDEIVRDHLNEELLKLWTRTNKTICFVTHSIPEAVYLSTKIVVMSPRPG RVTDVIDSTLPAERPLDIRETPEFLEIAHRVREGLRTGHA YP_002977082.1 MKPDTFKDKIVPVTTILLALVVIWYIAAILMNAPFQRDMDGRAG VTPTTLEFIGKTLAQPKPILPAPHQVAQNVYENTFLRSLSSNRSLVYHSWVTLSSTLL GFGFGMLLGILLAVLIVHNRAMDRSLMPWLVASQTIPILAIAPMIVIISYNVLTGDNA VAHLLNLDSDASRLVSKALISTYLSFFPVAVGMVKGLRSPEIMHLDLMRTYYASPMQT FWKLRVPASIPFLFTSMKVAIAASLVGAIVGELPTGAVAGIGSKLLAGSYYSQTIDIW AALVAGSLLAGILVAIVGVAAKIVDRAMGGRPA YP_002977083.1 MRHLTFSWQAMVALLLCIGALTALPLLGEGAARPLTDGTASLIF IIVIAAALLSLAPQPPAYRATVLFIGAHGAAWMLLSALSGNEGTATRAFFLLLFACWL LAWRCVTELSKLQPVTTSGKSALQLLIPAIFGAWILILWEAVTRGAGVPFILLPPPSA IGARFMASLPILGADVRQTIFKAVLIGYIAGCLSGFVVAVLADRIAFLRRGLLPIGNM VSALPIIGVAPVMVMWFGFDWPSKAAVVIIMTFFPMLVNTVAGLAASGSMERDLMRTY ASGYWQTLLKLRLPAAMPFIFNALKINSTLALIGAIVAEFFGTPIVGMGFRISTEIGR MNVDMVWAEIAVAALAGSIFYGIIALTERAVTFWHPSIRGG YP_002977084.1 MRKLMVAMMASAMSLASAHAMAADKVVLQLKWVTQSQFGGYYVA KEKGFYKEEGLDVDIKPGGPDIAPEQVIAGGGADVIVDWMGGALVAREKGVPLVNIAQ PYQKAGLEMVCRKDGPIKTEADFKGHTLGVWFFGNEYPFFAWMNKLGLSTEGGPNGVT VLKQSFDVQPLVQKQADCISVMTYNEYWQAIDAGFKPEELTVFNYTEMGNDLLEDGLY AMEDKLKDPAFKEKMVKFVRASMKGWKYATENPDEAAEIVMDNGGQDDNHQKRMMGEV AKLVGDSSGKLDEALYARTAKALLDQKIISKEPSGAWTHDITDAASK YP_002977085.1 MVRKPTGILGASTLFAAALAASTAFSQEAPVAKPQQNLPAIVVT TAVNRTLVDRVIGTGTVKPVEEVYIQPQVEGLSIRTLKADIGDKVQAESTLATLNDDA VVLEKSQMMATKAKGEASLAQLRAQLIEAQANAEQARQQQARAQEMVKKGTVSTAQVE QADATAAAANARVVSAEQAIEVSEADLKVFDSQIADADLKLARTDVKTPVAGTVSAKN AKVGAIAAGNGDPLFTIIRDGDIELVAEVAESDVVRIMAGQKATISLSGSREKLSGAV RLVSPTVDPVTRLGLVHISIDDDSKARSGMYGSAEITVRETEGVALPLTAVLTGNEGS SARKVEDGVVKFAKIETGIQDGAYVEVIDGLKTGDEVVAKAGAYVRDGDHITPVREQP PASN YP_002977086.1 MNFSAWSIRNPIAPLLAFCLLVFIGMQSFNALPITRFPNIDVPL VSINVTQSGASPAELEMQVTKEIEDAVASITGIDEIQSTVTDGSSQTVVMFRMEVPTE QAVQDTKDAIDRIRSDLPTTAEAPIVSKVDVEGQAIQTFAVSSPAMSLEELSWFVDDT IKRSLQGQAGIGRVDRYGGAEREVRIELTPDKLNAYGITAASVNQQLRGTNIDLGSGR GQVAGSEQAIRVLGDARNVADLANTTIGLPNGRFVKLSDLGVIKDTYEEPKSFSRFND TPVVTFGVFRSKGASEVSVAETVAQNLDKVRTENPNVKIELIDDSVYFTYGNYEAAIH TLLEGALLAVIVVFLFLRNWRATLISAIALPLSAIPTFWIMDMMGFSLNLVSFLALTL ATGILVDDAIVEIENIARHIKMGKTPYRAAIEAADEIGLAVIATTFTIIAVFVPVSFM PGIPGQYFIQFGLTVAFSVFFSLMVARLITPMMAAYLMRAEDAMEDHHDNDGLLMRGY TRLIRGTTGRWYTRYATLIVAFAFLVGSVLLLMQVPGSFLPPEDASRIVLSVELPPNA RLDDTEKTTDAIYDRVKDINGVDSVFVLGGASPKGDLELRRATITLALDKLDQSLVKK MVNDVIGRIPVIGPKLPKVEVHGRERPQWDIEKEVFAKLRDIPDVRILKLNDRGERDL SFNFLSKNDKDLNDAVGILESKLRADPLLANVSADGSLPRPELQVHPRMDEAARLGIT PQQISETIRVATIGDVDAALAKISLDDRQIPIRVQAALDMRRDLAAIRTLKIQTASGG TVPLASVANIDYSEGVSSIKRNNRYRVVSIGSDLPQGVALDTASARFRQIVNDANIPA TVHLAESGDTKVQSEMQQSFVNAMLMGLLLVLTVLILLFKDVIQPFTILFSLPLAIGG VAAGLILTSNPLSMPVMIGILMLMGVVTKNAILLVDFAIEMRHQGMPRVEAMVEAGRK RARPIIMTSIAMSAGMLPSALGVGEGGSFRAPMAIAVIGGIIVSTVLSLVVVPSFFLI MDDLSRLLGWAFGRLVGRKDEEELPLSREDLTRVTRENRSEIDSLEERLTAIEKPEGK RKSAKGNDTNVLRLPPFAAE YP_002977087.1 MNRTLTFNGHEAAVLAKAAFMAGLDHNEAEALRACATVRLCDPH DVLFEEGDKAAYFYCVLSGYVRLYRHNGDGRQADIRICEPGDGFAECLIHADETYRTG AQAMDHAVLACFRIPKVRLLLEERPRIGKAIMRSLSLHLISTMECLASDRMQTAPQRV AHYLLTHCAGNGAAGSLRLPFPKNLLARKLGLAPEALSRAFSTLKTKGVTVRGRAIAI SDVNLLRQV YP_002977088.1 MKSRNGPLRVGIGGPVGSGKTALTEKLCKAMRDDYSVAVVTNDI YTTEDAEALVRMQALTSDRIVGVETGGCPHTAIREDATINLQAIAGLNQRIPDLDVVF IESGGDNLAATFSPDLADITIYVISVCQGEEIPRKGGPGITRSDLLVINKKDLAPYVG ADLEVMDRDATRMRASRPFVFSDMKRGDGVSSIVSFLREQGGL YP_002977089.1 MTGDRELQALLRLTAWLSPAFPIGSFAYSGGLERAVADGLVTDA GSLAAWTATLIGNGSVWNDAVLLAESHRHQVEAVRLAEIAVLAEALAGSRERHQETML LGEAFLAAARAWPDGVFDRLPGKVAYPIAVGAVTGAHGIEPEKALAAFLHAYASQAVS SGIRLGVAGQRDGVAVLAGLEDHITEVARRAAASTLDDLGSATVQADIASLRHETQAT RLFRS YP_002977090.1 MQRVTSYLPAGTPSSHPIAQVKLPHDLRHLRRKLLHLENGEMVM LDLKDPVLFANGDLLVRDDGELIEILAADEKLFEVRGRDRTHLVELAWHLGNRHLAAQ IEEDRIVILRDHVIRTMLQGLGAVVLEIDEPFQPARGAYHSQGGHSHGHDHDHDHNHD HGHDHGHDHDHEHGYEHEHEHRHDRGHDHDHKHD YP_002977091.1 MRNWISLQADFGDLQYRKNVYVFALKMAFLAVILSGVIIALTMP SLDLLGLLPVTLAHAIGFSAIFSWLIGGTVSGMLSLFAGFAMRDLALSRAEFEKLSRT DTLSGLLNRRAFTEALENTDGDASLVIFDVDRFKTINDRFGHGCGDAVIIAVSAMLTS AFDEMSVVARLGGEEFGVIVSGEPLEARMERVEGVRARIAGGAIKAEGHDIRITVSGG VADLVAGRNKQAVYASADRALYLAKALGRNRVVHEREGLHHAWHGLVDKGVDGEGGPE SDNVMQAYGI YP_002977092.1 MRTGIRWLLRIVFLLVFSAACGIFIPRPLIAPVKASSAAVTHRI LLLSGPIHTDIAIPLDAETRAAFSFLDDTGFPLGHPNAEWLIIGWGGRAFYLETPTWA ELKPLPVLRALTIDRSVLHVDLAGHITEPQPTVAAFNVSDDQLAQLRNFISDSFVRDA GAVVPIPDAGYGEIDRFFEARGYFNALFGCNTWSAAALRSAGLRTGLWSPIPQALRLS VSVYN YP_002977093.1 MPYKISRAAYAGMFGPTTGDKVRLADTELFIEIEKDFTTYGEEV KFGGGKVIRDGMGQSQVTRADGAVDTVITNAVIVDHSGIYKADIGLKDGRIVAIGKAG NPDMQPGVNIIVGPGTEAIAAEGKIVTAGGMDSHIHFIAPQQIEEALMSGMTCMLGGG TGPAHGTLATTCTPGPWHLARMIEAADAFPMNLAFAGKGNASLPGALTEMVLAGATSL KLHEDWGTTPGAIDCCLSVADEYDVQVMIHTDTLNESGFVEDTIGAIKGRTIHAFHTE GAGGGHAPDIIKICGQPNVIPSSTNPTRPYTVNTIAEHLDMLMVCHHLSSSIPEDIAF AESRIRKETIAAEDILHDIGAFSIISSDSQAMGRVGEVAIRTWQTADKMKRQRGRLKE EKGDNDNFRVRRYIAKYTINPAIAHGLSREIGSVEVGKRADLVLWNPAFFGVKPDMVL LGGSIAAAPMGDPNASIPTPQPVHYRPMFASYGKSLTNSSVTFVSQASLDAGLKGRLG VAKELVAVKNTRGGISKASMIHNDLTPEIEVDPETYEVRANGELLTCEPATVLPMAQR YFLF YP_002977094.1 MIVGNGDIEEGGAGIIDAADFVIRFNDCRSYGAGGSRTDAVAVC NTGRPAKAMLGSREWRTHPGVVSAGEIWSVRDPEKFAAMRAPLAVSHPELDDFCDDYT DEFSVYCADTGKKHVVIGKAVHESVDASLSAFSPSPYVVPSSGMIVIAEVLNTYAEAE VTLAGFGHVGWEWHPFAAERQLVDSYIAAGRLKRLGGKTLVSSSQGA YP_002977095.1 MRLNICLVGAAMLLTAGAASAEDVDCNSPKTQSDMTACEAARHE AADKALNAQYKKTRAVLTAIDKDLDGDRKGAEQALVKAQRAWIDYRDAECDAFGFQAR GGTMEPMLVAGCLANITDKRTKELKELEDTMSN YP_002977096.1 MIPGEIFAASGDIELNAGAPTVTLEVSNTGDRPVQVGSHYHFAE TNAGLSFDRAAAHGKRLDIPAGTAVRFEPGQTRSVTLIPLSGKREVYGFRQLVMGKL YP_002977097.1 MLELRPNCECCDKDLPPDSTEARICTYECTFCADCVDGVLKGVC PNCGGNLVARPIRPAAMLAKNPASTKRVLKAEGCAPKAA YP_002977098.1 MNLTPREKDKLLISMAAMVARRRLERGVKLNYPEAIALISDFVV EGARDGRPVAELMEAGAHVIGRSQVMEGIAEMIHDVQVEATFPDGTKLVTVHEPIR YP_002977099.1 MTIAAAGTRPQRAEGRGHLAAKLFDGRTRIRELYQEGAAKIRLP DTFDASMEAVIINTAGGLTGGDRMDWSVDAGPGTRIDVTTQACEKIYKASAGIAEVAT SIKVGAQARVDWLPQETILFDRAALFRRLDVDLDESAEFLAVEAVLLGRKAMGEAVVS GLFRDRWRIRRSGQLIHAEELRLSEGVAALAARRAVLGGQVAFATLLYAGPLSEAYLS KVRPLVEGSMGGASAWNGKLVVRLAAADGFSLRKILIPVISALRNGAPVPKVWNL YP_002977100.1 MLTVENANLHYGAAQALRGISIKAEMGKITCVLGRNGVGKSSLL RAVTGQHPLSAGTVTFNDTKLNGLPPFARAKQGIGYVPQGREIFPLLTVKENLETGFA PLGRRDRNIPDDIFSLFPVLKSMLSRRGGDLSGGQQQQLAIGRAMVTRPRILVLDEPT EGIQPSIIKDIGRAIRYLRDSTGMAILLVEQYLDFCRELADYVYIMDRGEIVHEGLAE TLDTPEARRHLTV YP_002977101.1 MIPDDKPTSVLYLNGVSVSFDGFKALNSLSIVIEPGELRAIIGP NGAGKTTMMDIITGKTRPDEGEVFFNGTIDLTKKDEADIAQLGIGRKFQKPTVFESHT VWDNLELALNRRRGVFSTLFYRLSGEDKTRIEEILETVRLTHRRDEFAANLSHGQKQW LEIGMLLAQEPKLLLVDEPVAGMTDAETAETAILLKDIAKTRSVVVVEHDMGFIRDLG VKVTCLAEGSVLAEGSIDFVSSDPKVIENYLGR YP_002977102.1 MITAFLLRSLDRKIVIAIALLLLVAVLVPVLNLMTGPSNPLHVP TYIMSLFGKYLTYALLALALDLVWGFCGILSLGHGAFFALGGYAMGMYLMRQIGTRGS YGDPVLPDFMVFLNWKDLPWFWFGFNHFWFAALMVLVVPGLLAFVFGWFAFRSRVNGV YLSIITQAMTYALLLAFFRNDMGFGGNNGLTDFKDIIGFSVQADGTRAVLFAATAIFL ALSLLIASAIVRSKFGKVLVGVRDAESRTRFLGYRVEHFKLFTFVVSAMMAGIAGALY VPQVGIINPGEFAPANSIEVVIWTAVGGRATLIGPIIGAILVNGGKTIFTGLFPEFWL FALGGLFVAVTLFLPKGVVGTIAQYLGKRKVVSKVAPSAAEEDGIEPKIQAAE YP_002977103.1 MYRAIKIFLITVCLTFSGLTFSGVIISSEVHAQDDIHVLIDALG VGDFPEREEAIKALVASKDPHVSQILQQLSDGLLYVNSDGGPVLLQGGTDDEPTYSDP ITGEAAADVDPDLMTKVKINNALRGVIGAATSQLTLMSPDRSARLAAAQGLLKDADPA NLDLLNSALAAEKDAEIKNTMEAARAVLLLKTDASVEDKKAAIDTIAARGNRDALTIL TTTLETAPDDLKPAIQADISSINRSLALWDIVQNIWYGLSLGSVLLLAAIGLAITFGV MGVINMAHGEMVMIGAYTTYVVQEYITSAFPELADYSLAFAVPAAFVFTGFVGLVIER AVIRYLYGRPLETLLATWGVSLILQQAVRSSFGPTNREVRNPTWMSGVFDLGGLSITW NRLWIIVFSMVVFVALLLLLKRSAFGLQMRAVTQNRRMASSMGIRTGWVDAFTFALGS GIAGIAGVALSQIDNVSPNLGQSYIIDSFMVVVFGGVGNLWGTLVGALSLGVVNKFLE PFAGAVLGKILVLVLIILFIQKRPRGLFALKGRAVEA YP_002977104.1 MNLRTTIAGAALGAVMAASAAFSGAVAADDTIKVGILHSLSGTM AISETTLKDAMLMLIDEQNKKGGLLGKKLEAVVVDPASDWPLFAEKARELIQKDKVAA VFGCWTSSSRKSVLPVFEETNSILFYPVQYEGEESSRNIFYTGAAPNQQAIPAVDYLM EKEGVKRFVLEGTDYVYPRTTNKILEAYLISKGIPKEDIMTNYTPFGFSDWQTEVSKI KEFGSTGKKTAVVSTINGDANVPFYKELGNKGIKATDIPVVAFSVGEEELAGLDTKPL VGHLAAWNYFESVESPANKKFIKDWHAFTKNDKRVTNDPMEAAYIGFNAWVKAVQAAG TTDTDKVLDTIIGVSVPNLSGGYATVMPNHHITKPVLIGEIQADGQFEIVQQTPAVVG DEWSDFLPDSKDLISDWRKPMSCGNFNVATGKCGGKGS YP_002977105.1 MSVLSFFRLSTALVCLLSIVPSLAGAEQATAAKAPYAQAGNTNK RGDACFSTVDTNAAVRLLSGFLEIWTPRTPFVDAGVEAPAKDNCPAVAKTDWDGIPSS KTDGHILNQAVHDANIAYVVKATRARTADQAVAAYLDDRRGKNASIVDGLGPLTDAWK AGSKQTTTITEVAADATTAKYDDKGNNRGAGSKPDTENKTDANPDMGLAIDFINAASG DGSTEPAKRYFKYGRPYRWSQDVSVVPTLEPAKSGKPVEDGGFPSGHTAEAWRDALAM AYLVPQRFQEMITRASELGEDRILAGMHSPLDVMGGRMLGTATVVYNLNKADNAALKS DAYAQAQSWLVAKSGVADAGALEVAAHAAPLAADRFADHDANRAYVLQRLSYGLPTIH ATDQPARVPQGAEALLETRLPYLDGEQRRDVLKTTEIASGYPLLDDAEGYGRLNLFAA ADGYGAFEQDVTVTMDAAKGGFNSIDTWRNDITGRGRLVKRGNGILGLSGANSYSGGT MLEEGALVALSSSAFGRGGLTVNGGSLVLAADKPLTVSGDYQQLANATTKPALGANGA GTLVVEGKAALAGDLAVTLVDGYAPTPGTKIEILKAGAVTGTFGKVTVSGHKASLSYG PTSVTLTIDG YP_002977106.1 MAARQRIIPVRREYNRWVANQTLEDYALRFTAKSARHFSSQRIS QTAIGAISFLALEAIGGAITLSYGTTNAFYAIIVASIAMLAIGLPISRYAIRHGVDID LLTRGAGFGYIGSTITSLIYASFTFMLFAIEASIMSGALELTLGIPLWIGYIISAVMV IPLVTHGVRLISKFQLMTQPFWIVLNILPFIFIALLDWEKFDLWRAFAGIRHASGPPG TVAEFDLVEFGAASAVILALMSQIGEQADFLRFLPPDPQRKWRHRLAIFLAGPGWVII GAPKLLAGSFLVVLTFTSGVPLDRAADPAQMYLTAFGYMVPWHNAALLLMAAFVVVSQ LKINVMNAYAGSLAWSNFFSRLTHSHPGRVIWLVFNVAIALLLMELGIYRLLEETLGI FSIIAMAWLCTISADLFINKPLGLAPPGIEFKRAHLYDINPVGLGAMTLSATVSLIAH FGAFGEIAASLAPYITLVVALVASPVIAWATKGKFYLARKPRQSWKNLTNITCSVCEH PFEPEDMAWCPAYAAPICSLCCSLDSRCHDMCKPAARFNAQVGTVAKVLLSETIIEKL TTRLGRYGIAVVLALTAIGAILAMIAHQVASASPETAEVVNRTIFIVFFVFSVIAGVV CWFYVLAHDSRVVAEEESSRQNTLLLKEIAAHKKTDAALQNAKETAEAANRAKSRYVV GLSHELRTPLNAVLGYAQILERDETIPAPRQSSIKVIRRSAEHLSGLIDGLLDISKIE AGRLQVYSNEINIQDFLDQIVDMFRPQAQAKGLAFIHERAPALPQFVRTDEKRLRQIL VNLLSNAIKFTDEGSVTFDVGYRSQVATFTVADTGRGITEKDLPRIYEPFQRGEAESV RPMPGLGLGLTITRLLTNTLGGEISVSSVKEEGSTFRVRLMLSAVMRAVAAAPQEKRI VGYDGPRRTIVVVDDNEDHREMMREILAPLDFIVLTAAGGGECLTLIEGIMPDLFLVD ILMPGMNGWQLVSRLREAGQTAPVLMLSANIGDAAVLSDSDDSHNDAIGKPVDIRQLR DKLALHLGLTWIYADAMPTVPVKIEAPMLSPGAAHVQELLRLGEIGYIRGIEAKLSDL AKVEANQPFTEELRAYVAAFDLAGFMTFLHDFDEKVESIG YP_002977107.1 MAEPALPRDIVLLVDDSAEALGFMTDALEQSGFSVLIATSGAAA LGIVERITPDLILLDAVMPSMDGFETCRRLKANATVAQVPVVFMTGLTETEHVVHALE SGGVDYLSKPINIDELRARIRVHLRNARSAQSARIALDAAGRHLLAVKGDGAIHWSTP QATRLVNAAMGSDDGMEIVVRHIAGWMHDRVAAVRDGIISIAHAGQAALQLAFLGAIG PDEYLFRLTAASQRSDDEVLRQRFSLTQRESEVLLWIAKGKANRDIGEILGLSARTVN KHLEQIYVKLGVENRASAAVKATHVLYEM YP_002977108.1 MSKPRILVTRRWPATVETVLAERFDVTFNRDDIPLAENELRLAL STFEAVLPTVSDRLPAAVFDGVPVVTKILGNFGVGYNHIDISAAKERGIAVTNTPGVL TDCTADIAMLLLLSVARRGGEGERQLRAGEWKGWCPTHMVGTKVTGKTVGIIGFGRIG KAFAQRCHFGFGMDVVFFNRSPINPAEAARYAARQLPTIEAVLAVADFVSLHCPGGAE NRHLMNAARLAAMKPGAFLINTARGDVVDEAALIAALEAGTIRGAGLDVYEAEPDVPE ALRRMENVMVLPHLGSATEETRTAMGMKVVDNVTAFFEGRDVPDRVV YP_002977109.1 MKKSLLSAVALTAMVAFSGNAWADVLIAVAGPLTGPNAAFGAQL QKGAEQAAADINAAGGINGEQIKIELGDDVSDPKQGISVANKFAADGVKFVIGHFNSG VSIPASEVYAENGILEITPAATNPTFTERGLWNTFRTCGRDDQQGAIAGKYLADHFKD AKIAVVHDKTPYGQGLADETKKAMNAAGVTEVIYEGINVGDKDFSALIAKMKEAGVSI IYWGGLHTEAGLIIRQAADQGLKATLVSGDGIVSNELASIAGDAVAGTLNTFGPDPTA NPANKELVEKFKAAGFNPEAYTLYSYAAMQTIAGAAKAAGSLDPEAVAKAMKEKGPFP TVLGDISFDEKGDPKIPGYIMYEWKKGPDGKYSYFPQGM YP_002977110.1 MQGLFFEADAGPLVAIRVIVLLLGFWTAWRAGKAVAEGWADYPL VIVYTFLLAWAMQFLHHALFNGPMLNAFYYILDFVTLLVFSTAGFRYRRTNQMVNNYY WLYEKTSAFSWKDKH YP_002977111.1 MGDEVMTGQPLLQVNSVETYYGNIRALAGVDVHVNKGEIVSLIG ANGAGKSTLMMTICGSPQARTGSVVFEGRDITHMPTHEIARLRIAQSPEGRRIFPRMT VLENLQMGAGLDNLKHFAEDVEKIFTLFPRLKERHAQRGGTLSGGEQQMLSIGRALMA RPKLLLLDEPSLGLAPLIVKGIFEAIKKLNEAEGLTVFLVEQNAFAALRLSHRAYVMV NGKVTMSGSGKELLANPEVRAAYLEGGRH YP_002977112.1 MSPVTNTMSDDTLLKVEHLSMKFGGLMAINDFSFEAKRGDITAL IGPNGAGKTTVFNCITGFYKPTMGMITFNQKSGKQYLLERLPDFRITREAKVARTFQN IRLFSGLTVLENLLVAQHNKLMKASGYTILGLIGVGPYRREAAAAIELARFWLEKADL IDRADDPAGDLPYGAQRRLEIARAMCTGPELLCLDEPAAGLNPRESATLNALLKSIRA ETGTSILLIEHDMSVVMEISDHVVVLEYGQKISDGTPDHVKNDPRVIAAYLGVEDEEV EEVIAAVEQLEGGAN YP_002977113.1 MANIENSAGKPDAGLVRKGLTEALFAAVLSFGMFVLYVGLKTDQ NISNELIIVQRWGLLAIFVAVAAIGRFATVVFLRPHLDSRKLAKARQGELDISTEKSF FHRHFLKIALIALLLYPLVVVAIKGPQGSLTYVDNFGIQILIYVMLAWGLNIVVGLAG LLDLGYVAFYAVGAYSYALLSSYFGLSFWVLLPLSGIFAALWGVILGFPVLRLRGDYL AIVTLAFGEIIRLVLINWTDVTRGTFGISSIPKATLFGIPFDATAGGFAKLFHLPPSS AYYKIFLFYLILMLCMLTAYVTIRLRRMPIGRAWEALREDEIACRSLGINTVTTKLTA FATGAMFAGFAGSFFAARQGFVSPESFVFLESAVILAIVVLGGMGSLTGIAIAAIVMV GGTELLRSMDFLKLIFGPDFTPELYRMLIFGLAMVVVMLFKPRGFVGSREPTAFLRER KAISGSFIKEGHG YP_002977114.1 MEYFVQQLLNGLTLGSIYGLVAIGYTMVYGIIGMINFAHGDIFM LGGFAALIVFLVLTSIFAGLPVAVLLLAMLVVAMLMTSLWNWTIERVAYRPLRGSFRL APLITAIGMSITLSNFIQVTQGPRNKPIPPMVSTVYQFGNISVSLKQIIIIVITAVLL TIFWYIVNRTALGRAQRATEQDRKMAALLGVNVDQTISITFVMGAALAAVAGTMYLMY YGVASFNDGFTPGVKAFTAAVLGGIGSLPGAVFGGLLIGLIESLWSAYFTIAYKDVAT FAILAFVLIFKPTGILGRPEVEKV YP_002977115.1 MLRTFEKAALEAGRAIITVLREGFPVAMKADASPVTVADEEAER IILAHLARDYPEIPVVAEESVAAGKVPDIDGRGFFLVDPLDGTREFVDGRQEFTVNIA YIENGAPIAGIVYAPALGLAFSGERGHAERLVVMDDFTVGARSAITVREQPDDRLALA SLRHNSPETGSFLADQAIFKCTNIGSSLKFCLLAEGKADVYPRFTRTMEWDTAAGDAV LRAAGGSTVTLDGTPLTYGKTGTAADFDFANPNFISWGGRKRVLEPA YP_002977116.1 MPPRPLEDNRVRSLSITELNNSISTESFRPSRRQQPSLKIQTPV IHSDAPQAPLLDLVLKRAFDIVSSLSALLVLAPFLLFVALLIKIDSPGPVLFKQTRWG KNCKAIKVYKFRSMRTDLCDVSGVAQTVMNDPRVTRIGAILRRTNVDELPQLLNVLLG HMSVVGPRCHAIGMRAGGVLYEELVPEYHQRHAMRPGMTGLAQMRGLRGPTDRPAKAR ARIASDLYYVGNFSIWMDMRIVAGTVVSELTRGKGF YP_002977117.1 MKRRGRAVGDCAEDAAFSPSQADGVGVSFNDGKERLGVMAKLVG FDFYLLSAFPRGDRTDFAENRLISNWLQSLVGFYDAADLFYCGRLVTAMKRAIMPVFC EEGSFAGSAANQENRKLDRLFQMHGLKNTFAFAQHDADLKQYTFAFSGSCPMPTREQA MALLSGCMELLDKVSRSGGVEDGPLETLTRREIECLPRIDLGNHAAIQSATASFARLK RRAALSARAATRSQSFSISRRIRWRVI YP_002977118.1 MTEMIRPRVKYVIGPDGSPLTIADLPPPNTRRWVIRRKAEVVAA VRGGLLSLEEACERYTLTVEEFLSWQSSINSHGLAGLRTTRIQQYRH YP_002977119.1 MSALSMARPVLLVAAPFFLALGLVLPLVRFETLYFFDKTPSLIE IIVSLWQGGDGLLAAIVALVSILLPFLKMVGITVEAMGITAEATAAGGGAGSLFYRRV VPHLSKWSMMDVLLVAIVIAAAKTTGLADAFTQPGLWCYAASSMISGLLHSLTGDASG PK YP_002977120.1 MDIRSEEGASGGRYAAEVEGHEAEMTYSRTSPKLVIIDHTAVPD ALRGKGVGQALALHAVEAARTGGWKIIPLCPFFKAQAQRHTEWKDVVN YP_002977121.1 MKSRESLVRLKEFQVNEKRRQLQQLQMMMSEFERMTKDLESQIV VEEKKSGISDPNHFAYPTFAKAARQRADNLQVSIKELKMQEETLEMALEEMQAEYARA TALEERDGGARARA YP_002977122.1 MRVLLIEDDSATAQSIELMLKSESFNVYTTDLGEEGVDLGKLYD YDIILLDLNLPDMSGYEVLRTLRLSKVKTPILILSGMAGIEDKVRGLGFGADDYMTKP FHKDELVARIHAIVRRSKGHAQSVIMTGELIVNLDAKTVEVGGQRVHLTGKEYQMLEL LSLRKGTTLTKEMFLNHLYGGMDEPELKIIDVFICKLRKKLANAAGGANYIETVWGRG YVLREPDGAEYAETA YP_002977123.1 MQRFMITDNSDIVRKVGKRILSELDFLVSEASNAGEALQRCQAE LPEYLIVDSGMEGALDLIAAIRAMEGGKEVKIYYCVVEADLKKLMAGKRAGATDFLLK PFDRKILTAVFGNRAIAA YP_002977124.1 MSKNPNLTLSGPDLAALLCSRVCHDVISPVGAINNGLELLDEGG ADADAMDLIRTSALNASVRLKFARLAFGASGSVGASIDTGEAERAAKDFAVAEKKTEV IWNGPRAIVAKNRVKLLLNLFLVAYSAIPRGGVLEVTLENPEFDAKFKLTSKGKLMRL PPKFVEISTGTIEEAIDAHSIQPYYTVLLAQECGMTLDHSASADELVFTAVAAAA YP_002977125.1 MRPRFPHRFIGFCRLLSALIFSSLMVAGPAAAQDNGQYTMQEIV DAGHSFFGSASGGLAKVVESAFQKYGLPNGYILGQEGGGAFIAGLTYGEGQLNTKNAG EHNLYWQGPSLGLDYGGQGSRVMMLVYDLPSVNGIYARFGGVSGSAYVIAGFGMTLLK NNDVLVVPIRTGVGARLGVNVGYLKITQAPTWNPF YP_002977126.1 MIEYALLFGLGFLTAAFLVFLVSPAVHRRIVWYTENRLKATMPL SPQEVRAQKDMVRALYAAENARTAQDLLREREKSLSLQLRHDALAVDAGRFAAEIGEL QAQIGEMHVEAADQRSHLRKDENYISQLKTNLHIAEQSAANKESELTTMRTRLGKLGE QADGLRIDLAARETEAESLKFRVNALRDERDTLRQDVSLLQKRAKDAEQKLTQQQHMV IRLEDKAARESASATEKENLVARRQQEIAKLKEQLKAANTEIRKVNRVLRDAGLAGLV AELPAEMTAEDTTTSTFDTAMITAEIGEDVRKRSAALAERLQKAKAVTGRDGAIREEI ASIAANMVALTALSEGPASPIRTLLVEAAEKNANDRVSLADRAAAIIADPPRAP YP_002977127.1 MAAVPIDIDSADDPRVAEFREIRERDLTGRENRFIAEGTVVLRM LAEAHAAGRGFFAEKILLLRNRVEGVLPILERFPADVPVYVAGADVLDGVVGFHLHRG VLALGRRQDRGEAALLDALPERALVLVGCGISNHDNAGSMFRNAAAFRADAVLLDETS CDPLYRKALRVSVGSVLSVPHRRGGKALDVLVALAERGFAIWTLSPHGKTDIRAIPAS TRMALVVGTEGEGLPEALLARFQSARIPQSEELDSLNAATATGIALFSMASAMGRV YP_002977128.1 MTAIEPDDQEEKPLDPAMESVRRKMVRLQIVSGAIMFVSLMAVF GAVVYKVMHSEPKETASAVAASGVPSDAPLAATVSLPLGFKVQSTSFSAGQILFYGET AEGKNKALVYDLRTGRTVADVTVTGN YP_002977129.1 MSDPFKQAAGNRKVLTADESAEGRLDAWLTAQVGEEFSRSRIKA LIKDGQVFLRGEPVTDPQRKVRTGDSFELTLPEPEDPTPQGEDIPLDILHEDDDVIVI SKPSGLVVHPGAGNWTGTLVNALIHHCSNTLSGIGGVRRPGIVHRLDKDTTGVMVVAK NDIAHRHLSLQFADHGRTMPLERAYQAVVWGRPRSLSGMVDAPLGRATGDRTRRAVKR PDSQDADEAITHYQVVERFHEKPDATAFASLVECRLETGRTHQIRVHMAHIGHPLLGD TVYGAGFKTKANLLPEEIRKIVNGFGRQALHAFMLQFEHPRTGEIMHFEVPLPDDMVE LVEALRR YP_002977130.1 MARNTLPSITAGEAGLNRYLDEIRKFPMLEPQQEYMLAKRYAEH GDRDAAHKLVTSHLRLVAKIAMGYRGYGLPIGEVVSEGNVGLMQAVKKFDAERGFRLA TYAMWWIKASIQEYILRSWSLVKMGTTANQKRLFFNLRRLKGRIQAIDDGDLKPEHVS EIATKLKVSEEEVISMNRRLSGDASLNAPIKAAEGDGGQWQDWLVDDHDSQEDVLIEQ DELDTRRRMLAKAMSVLNERERRIFEARRLAEDPVTLEDLSTEFDISRERVRQIEVRA FEKVQDAVRKEALERAKAVRVVEATA YP_002977131.1 MADFIAVIRRAVDGLAENTPEMRVKVYERARGAVQRQLENMKPR PPEAMLQRQLEKLEAAIREVEGEHSEAMPLDEPVAAVTASESFEEQAVHDESEPAPET AVEEPAYEAYAEPQAAEAADEPDHVEAAAPQQAEEEVAAEEPLSQETLVSPETAAPAE TPVSAETPVSPETSGPAETYWHPSHEEEAPAEEWHASEARDVAAEDVPAEHGGWEPPA AHRSEQADEDRADGQHALEADEIAAEPAAVESEEPEAEEAYEPVEPLQPITRGIDHAS NRLVEPVADFDRPQEFVEHSREEPLQAEAAAHFDPVWTEPVAETPAPAPKDAETEWAE EELRQYSETAPAPITADASARAFEEVISSLEKVAPAAIMPAAKESFSWETAAFDDLPP IEADSGKKTPVASHFDDVDIFAEVHNGKPAPASGAPSEDWREAKALRGYDRRGSVAAD DDDANPSMDIDQIVASKLQGKSFRMEPKRRRFGIGTVITLIFALILIGGGAYAGWTNR EALVAMVDGLVSSAPSQATRNEAATPPDGSSTPAQPGAVTPAQPTAPGAQNTPATPAQ PNPQVASMNNDGAAANSKFTQRLLTDGTEVDSGPATVPGTPTAEGKSVAEQNVAAADT PPASAQGDAAPAATPTPNGAAASPPQAAPVGSSQKMFLYEERIGQSSPTAIEGSVVWS VQHEAGQGGRQEATVQGNVTVPERNLSALVTFKRNSDPSLPASHLVEIVFSVPPNFEG GSIDSVQRISMKRTEQDRGDALIAVPAKITDDFHMIALNDYPDARKANLDLMSTRNWI DIPITYRNGRRALLTMEKGGTGTDAFNTAIKEWTALGDVSTSQ YP_002977132.1 MTNVVVVGSQWGDEGKGKIVDWLSERADIVVRYQGGHNAGHTLV IDGTSYKLSLLPSGVVRPGKMAVIGNGVVVDPHALIAEIGRLEAQGVTVTPDNLRIAD NATLILSLHRELDAMREDAASNSGTKIGTTRRGIGPAYEDKVGRRAIRVMDLADIESL SGKVDRILTHHNALRRGLGVAEVSHQTIMDELTSIADRVLPFRDTVWLFLDKERRKGA RILFEGAQGSLLDIDHGTYPFVTSSNTVAGQAAAGSGMGPGSLGYILGITKAYTTRVG EGPFPTELTDEIGQFLGEKGHEFGTVTGRKRRCGWFDAALVRQSVATNGITGIALTKL DVLDGLEELKICVGYMLDGEQIDHLPASQGAQARVEPIYVTLEGWKESTVGARSWADL PAQAIKYVRQVEELIGAPVALLSTSPERDDTILVTDPFED YP_002977133.1 MQATAYICLVIATLCWGGNSVAGKLALGHISPMMLTFLRWFLAV AMIAAISLPQLRKDWPVVRKNLPLLLFYGVIGYTLFNAMLYSAVQYTTAINVAIEQAG IPMLIFLLNFMFFRTGISLAQCLGFGMTLIGVALTAAHGDLATLLQLSLNRGDGLMLI AIAAYSVYTIFLRWKPPLDWRTLMAVPALGAMLTSLPLLLWEAGRGAAQWPDQAGWVI TLYTAIFPSLVAQILYIKGVVAIGANRAGLFINLVPVFGTLLSVALIGEQLQSFHVIA LVLTLGGIAIAEKGRPKASSPTVPASPVD YP_002977134.1 MNSEFAVRSMRPGELELVLEWARQEGWNPGLDDSLAFLEADPSG FFVGSIGEVPVGSISVVKYGESFAFLGLYIVHPDFRGKGYGKAIWETGIASAGDRTIG LDGVAAQQDNYRKAGFEPAYSTIRYGGVATSLPVSTLVAQPVLDSRLEGLQRYDSAIF PQPRDAFLTSWCTGRRGRRSAVVRKSGKIRGYATIRRCYEGYKVGPLFANDADSAAAL LAELIPEAKGAAVFIDIPAENHEAVALAEGIGLQPVFETTRMYRGSAPAIPLKHVFGV TTLELG YP_002977135.1 MQASKAGTALPEIKATRSEREFQDLLRRLELALDASQIGVWEHS IEQDGILWDAQMHRLYETGETCRLVPASLWSDAIHPDDRERAERDFEQAITTRGAYNS QFRIVLPSGEIRHLRSRAHFYVDAEGLPSFIGAEWDVTADILLNAELARQKVVAEARA LALEESNARIEHVADHDYLTGLPNRRLLDKRLAELPADKSITTLAVLHLDLDQFKQIN DSHGHAAGDAVLRAAALRITAAIPANGVVARVGGDEFVIVLVNFADLTELKLITEDVQ RRLRKKIRFGQEMLQSGASIGVSWSGDRRARNLLAESDLALYQAKKLGRNRVEFFTRQ LQEDLRSKRRLAEELKLGLERGEILPYYQLQLDARTREVIGFEALARWKHPEKGVLAP GVFLKIADEHGLAAEIDAAILKSVLEDRLFWLLRGLAVPRIAVNISASRLADPALLDK LRKLDIPPGVIVFELVETIFLDDSDEKLLDHIGGIKQMGIDIEIDDFGSGHASLIGLV KLRPKRLKIDRQLVTEVVSSAEQRRVVGSIVEIAKALDVEVIAEGIETEAHAVVLAQL GCDGLQGYAFGYPAPAAETDRLFSSMTSRIEKQKTAMGN YP_002977136.1 MTDSHSPKRRLRSQDWFDNPDHIDMAALYLERFMNYGITPEELR SGKPVIGIAQSGSDLTPCNRVHVELAKRVRDGIRDAGGIPIEFPTHPIFENCKRPTAA LDRNLAYLGLVEILYGYPLDGVVLTTGCDKTTPSAIMAASTVDIPAIVLSGGPMLDGW HEGELAGSGTVIWRMRRKYAAGEIDREEFLQAALDSAPSVGHCNTMGTASTMNALAEA LGLSLTGCGAIPAAYRERGQMAYRTGRRAVEIVFEDLKPSDILTREAFLNAIRTNSAI GGSTNAQPHLAAMAKHAGVELYPDDWQVHGFDIPLLANVQPAGAYLGERFHRAGGTPA IMWELLQAGKLAGNCRTVTGRTIAENLEGKEARDREVIKPFAEPLKERAGFLVLKGNL FDFAIMKMSVVSEDFRRRYLEEPGHEGVFEGRAVVFDGSEDYHKRINDPELGIDENTI LAIRGAGPIGWPGSAEVVNMQPPDHLLKRGISSLPTIGDGRQSGTADSPSILNASPES AAGGGLAWLRTGDIIRIDFNHGRCDMLVEDAEIERRKGDGIPPVPADATPWQQIYRRS VTQLSDGAVLEGAAEFRQIAKNPPRHNH YP_002977137.1 MTSSREGHDGRRIAFLGTGLMGAPMARRLLGAGFAVTVWNRDLS KAEALAEDGAVCAKTPDDAVSGADVVITMLTNAEAVEDVLFDRGAVDAMTSGTTVIDM SSIAPHFARDHSARLAERGVDHVDAPVSGGVVGAEAGTLAIMAGGDKDVIDGLADIFA PMGRVTRVGPSGAGQLAKLANQQIVAVTIGAVAEAMMLIEAGGGSQAAFRDAIRGGFA ESRILELHGKRMVERQFTPGGSSSNQLKDLNAAMETAKTLSLTLPLTAAVHAEFSEFV ANGNGEKDHSGLLLHLEEKNARPGEKQ YP_002977138.1 MNISRFFVDRPVFAGVLSVLILVAGLIGLRALPISEYPEVVPPS IVVRATYPGANPSVIAETVATPLEEQINGVEGMLYMASQATSDGVLNVTVTFKLGTDP DKAQQLVQNRVSQAEPRLPAEVRSLGITTVKSSPNFIMVVNLVSDGNSHDITYLRNYA TLNVKDRLARIAGVGQVQVFGAGDYSMRVWIDPQKAAEHNLAASDISSAISSQNIQAA AGIIGASPSQPGVDLQLNVNAQGRLRTPEEFGNIIVKTGASGEITRLRDVARIELGAA DYTLRSLLDGKPAVAVAVLQAPGSNAIEIADNVNATMDQLQLAMPEGVKYEIVYDTTK FVRASIEKVIDTLLEAIALVVLVVILFLQTWRASIIPLIAVPVSIIGTFAVMYVFGFS INALSLFGLVLAIGIVVDDAIVVVENVERNIEHGLSPRAATYKAMKEVSGPIVAIALV LVAVFVPLAFISGLSGQFYRQFALTIAISTVISAFNSLTLSPALAALLLKGHDQPKDW LTRFMDAIFGWFFRGFNRVFGAGSNAYGKGVGGLLSRKSIVMVIYLALVGATYSLFST VPGGFVPSQDKQYLIGFAQLPDAASLDRTEDVIKRMTDIALAQPGVANAIAFPGLSIN GFTNSSNAGIVFVTLKDFEERKTPDLSGGAIAMALNQKFGVIQDAFIAMFPPPPVNGL GTTGGFKLQIEDRAGLGNQALDEATKAVLAKAYQTPELAGLFSSFQINVPQLYADLDR AKAEQLGVSVTDVFQTLQIYLGSLYVNDFNAFGRTYSVRVQADAKFRAQPEDIGQLKV RSASGEMIPLSALLKVEPSTGPERANRYNGFLAADINGGPAPGFSSGQAQAAIEKILH ETLPAGIDFEWTDLTYQQILAGNSSIVVFPLALLLVFLVLAAQYESLTLPLAIIMIVP MGVLAALTGVWLTGGDNNIFTQIGLVVLVGLSAKNAILIVEFARELEFEGRTPREAAI EASRLRLRPILMTSLAFIMGVVPLVVSTGAGAEMRAAMGVAVFSGMIGVTFFGIFMTP VFYVLLRRLTGNRPLVQHKPDEHKEEEAEVIRLAAE YP_002977139.1 MTSRKKRWALVGAGIGLIASVSGAALFFELPMSTTATAASAPAQ APAVPVTVAVVAAHDVTAWESFSGRLEAVDRVQVRSRVAGAILSVAFREGALVKQGDL LFTIDPAPYQASVAQAQGQVASAEAKVSLAQTELDRGRRLSDNRTISQSDLDQRLSSL AEAQAGLRSAQAALQSAQLDLDYTQVRAPVSGRIGKIEVTAGNLVAAGSTSPALTTLV SVDPIYASFNASEEMVTRALAQLPQTDSALPAVEQIPVEVGTLTDSGTPIKGKLQLID NEVDASSGTIGVRAVFDNPGGRLIPGQFVRVRMGQPKAENKIVISDRAVGTDQDKKFV FVVDGENKVAYRQVQLGTLADGQRVVESGLNVGEKIVVNGLQRIRPGAVVAPQMEEKV ATAQ YP_002977140.1 MTVEWKDMMLDKVAIGPVSARIYQGADYGKGPPIVLYLHGGAFL DSDTHVDRPVAMSLAKAGAIVVAADYSSLSGNLFPKALEVSFSVFTYLANKRAGLGDR KSLLFVAGEEAGGNVAAGVALKARDQMPDALDGQVLISPLLDPFMGTSSIRKAEAIGM RQRWTEGWSHYLSGGGCHPYAAPCLCSRISGVAPALIFTAEDDPLHDETIGYGTRLKQ AGVGVRQHVLPAGTGWPSIYGGKSDGAPDWQENVSHHFGSFLRDVSVQPQLH YP_002977141.1 MDQLSAMRVFIRVVETGNFTRAADMLAMPKATVTNLIQGLEAHL RTKLLNRTTRRVMVTTDGALYYERAAQIISELEELDGSLSNSQSLPSGRLRVEMAGAF ADWIVVPALCDFYQRYPDIRIDLGVGDRTVDYLAENVDCALRAGTPADQSLIARRVSE VEMITCASPSYIQKFGMPERPEDLEADHYTVNYFRAQNNRTLPFEFRRHNEVIETSPR YIASVNDSRTYLTAALTGLGIAQVPIFMAREPMARGELVRVLPDWRRDPLPLYVVYPP NRHLSNKVRVFVDWLVKLLVEARLNDV YP_002977142.1 MSKQVIVITGASSGFGALTARALAKAGHTVYAGMRGTEGRNAPA VADVAEFARNNNVDLRSVELDVASDASVVSGIARIITDVGRLDVIIHNAGHMSFGPAE AFTPEQFAELFDINVLSTQRVNRAALPYLRKQGRGLVVWVSSSSSRGGTPPYLSPYFA AKAAMDSLAVSYAGELTRWGIETSIIVPGAFTKGTNHFAHSGSPDDTARAAEYNEGPY KGVPEQALQGLAALEPADADAGAVAVAIVDVVGKPFGTRPFRVHIDPSEDGAEIVNGV ADRVRAELFRRIGLEDLLKPAVGN YP_002977143.1 MASKENGKVALVTGASRGIGAVVARRLAKDGFTVVINYSGNAAP AEELAQEIEQAGGRALTAKADVSDAEAVRRMFDAAETAFGGVDVLINNAGIMMLSSLA EADDANFDRQIGVNLKGTFNTLREAAKRLRDGGRVVNFSTSVVGLKLETYGVYAATKA AVETLTAIMAKEMRGRNITVNAVAPGPVATDLFLNGKSDEVIARTAKMNPLERLGTPE DIASAVAFLAGPDGGWINGQTLRANGGVI YP_002977144.1 MDRFDAMRVFCRVVERRSFTLAAEDTGLPRSTVTDAVKGLEARL GVRLLQRTTRHVSPTLDGEAYYQRCLSILADIEGAEGAFAGAKPKGLLRVDVHGTLAR HFVLPSLPSFLETYPEIEFYMSEGDRLVDLVREGIDCVLRVGTPGDSDMVARRVAMLD EVTLASPDYIATRGLPQHPHRLAGHRMVGFRSSSTGTLLPLEFIVDGTVRNVTIPATV AVNAAESYISAARMGLGMIQIPRYHAEKDLAEGTLIHVLQDFPLTPTPVSLLYPRNRQ LSPRVRVFIDWLVKVFARQNSENALH YP_002977145.1 MALNDITVYQTEDGFVVEFGGEGEDSIAVTLRSTPELTSENAVL RAKALLAAAIEPAHGDGARSKDPALLEEELEEGLEDSFPASDPVSVTSSSIPMRDPNA GR YP_002977146.1 MLSASDMTDGGTISGAIGAGTLTGESLRKFFERDAIAVSRDLLG CHLTVDGVGGRISETEAYFPDDEASHSFRGPTKRNGAMYGKPGNVYIYRIYGMYWCLN FVCHPGSAALIRALEPETGIPLMMERRGTDMLTSLCSGPGKLCQALGIDIGINDRLLD RPPYAIAPSAPVPIVSGKRIGITKNAEAPWRFGIQGSRFLSKPFR YP_002977147.1 MSNASASPVTPIANRGAITACVILAVIMQALDTTIANVALPYIQ GSVSASADQINWVLTSYIVAAAIMTPPSGFLAAKFGRKRVLLVAIAGFVAASVLCGLA QSLNQIVAFRLLQGLFGASLVPLSQGILLDIYTVEERGSAMALFGVSVMVGPVLGPVI GGWLTDNISWRWVFYINVPIGALAFMGIVVYVTETKRDLLAKLDWFGFGMMSLGIAAL QLFLDRGEQLDWFSSGEIMLEALICASAFYLLIVHTLTAEKSFVNPKLFLDQNFSISM IFIFVVGITYLASLALMTPYLQTLMGYPVITAGIVMGPRGLGTMLCMFIVGRLVGKVD TRALLALGLGLTAWAMYDMTGWTPDVSQWTIVSVGFIQGAGLGFLFVPLTTIAFSTLP AHMRGDGTGLYNLSRNIGSAVGISIVSALIVENTQSNHESIAAYVTPFNHAFNAVAAQ GLSPVTAAGRASLNEIITLQATIIAYMDDFKLLMLMSLAVIPLVLLLRKPKAAPAVDH SAVME YP_002977148.1 MADQSPLRVVADANTKTKTKTKTPVEGNEAKQQETVAEAPSSNS APAAAVAAPGGNKVRRRRSPTRPILFALLPVALVVGGYYYVNGGQVMSTDNAYIQADM VGLTTDVSGIVDQINVHENEAVKTGQVLFSLRSDSFKIALDGAKAQLGAQRNQIMNLK ASYQQSLAEITQAQADLPYYQDQFDRQQNLVNNGSATQSAYDEAKHNLEAAQQKVTVA KAEAATTLAQLGGNADQPAEENPLYLQAKSNVDNAQRELDHSVVKAPFDGIVTNVNAL QVGSYLQASQQAFSLVATDHLWIAASPKETELTYVKPGQTAEIYIDTYPGVTWKGKVE SISPASGSSFSLLPAQNTTGNWVKVVQRIPMRVSIEDTEGKPPLRVGMSTVVDVETGH ARGLPDFVNKLLGRPQGKDHE YP_002977149.1 MNATPTLGFLLHDVARLLRKRFEQRAKCLGLTRSQWQTLAYLSN NEGIHQGGLAEILEVEPITLVRILDKLAERGLIERRQHPTDRRIWLLYMRDEAHPLLA EMRELGDTTRAEALQGVSAEQRELLFHILSVMKTNLVQACRSPVDENETNDG YP_002977150.1 MAFFESMLTLLLVAIVFLQFSRKFRIPYPTMLAIAGVIVAAVPW APEVAIDPELALALLIAPVLFDAAYDLPPRTLRRNWLPLFSLAAIAVILTAAAVATVS VTMAGLPLAAAVALGAIVAPPDAAAATAMLDRFTLPRQTYVILKGESLLNDAVALLIF SAAVAAAASPAFFAGALAELALAVPGGLILGYLMGRLYMVVGLRLAGTLGGTLLEFVA TFGTWIIAERLHLSAILAIVVYAMVIARYMPERQTARHRIHSYSVWEAAVFLLNVLAF LLMGLQARQIVLDLDPGRLNFAITFAAMVFVTVIVVRLAWVLFYNRVINFLAARGRTT QAVPTFATSLLAGWCGMRGLVTLATALALPIDFPDRDIILLCALAVVLGTLIVQGLTL GPLIRFLKFDPDTSLDRDLARARVTLIDAALAELAGGEDKSTRILRDVYTSEREITAD GKHPREVSRLDKQRRNVIVAKRRRLAAMRRADEIDDDVFHMLEQELDWAELAALPPGR DEIVES YP_002977151.1 MTYALRQMVVLGCIAAFMPLPALAQSAPPPPPVTVAKPVVRDVV DSDEFIGRFEPVDEVSVRSRVGGYLQEIHFQDGALVKQGDLLFVIDQRPFVTALNQAK ATLESAQSALVFADAQYKRTQSLTSSGSQSAQTLDDRRREFDSAEANVRGAQAAADRA SLDMEYTEIKAPLSGRIDRRLISAGNLVQTDQTVLTTIVSLDPIDFYFDVDERRLLNF ADTARKLGKDLQQGGGGLDVSVTISDPSAKPFKGKLDFAENRVDNESGTIRLRARFPN PDLVLQPGLFGRIQVEASNTYQAILVPDEAIGSDQNERVVYVVAEDGTVSTKPVRPGP RLYGYRVIREGLDGTETIIVNGLMRARPGAKITPQMTELPQERQDAPPETAGAESGQ YP_002977152.1 MRFAHFFVDRPIFAAVISILFLVVGSIAYTQLPVSQYPEIAPPT IVVRTSYPGADPQTIADTVSTPLEQQINGVEDMLYMSSYSSADGAMSLTITFKLGTDL DKVQVLVQNRVSIALPRLPEEVQRLGVTTDKSSPDLMMVVHLLSPSHRYDQLYVSNYA RNRIRDVLVRLDGVGDVQIFGERQYSMRIWLDPEKLSAYGMTSDDVVSALRDQNVQVS GGKIGAPPVTGKNAFEYTVRTDGRFSDVREFRYVIVKSTTSGRLVQLQDVARIELGAQ DYVTNSYLNNDPAVALGIFARPGTNALDTAHQVQTLMKDISQNFPPGLEYRIVYDTTE FISDSITEVYRTIAEAAILVAIVVLVFLQSWRTAIIPIIAIPVSLIGTFAVLLAFGFS LNMLTLFGLVLAIGIVVDDAIVVVENVERNLARGMTPKQASHVTMDEVGTAVVAISLV LIAVFVPTAFIPGISGQFYRQFAVTISVTTAISALNSLTLSPALAGILLKAHDHETKR MNVASRLGRGLADGFNHGFDRLSSGYSWTVRHLVSSWVGLTAAMVTFVCLLGATWYMG TKVPAGFIPTMDQGYAIIVIQLPDGASLARTDAVVKQVGDIARTVPGVGNAVQFAGFS GATFTNASNAGVVFVPFKSFAEREEGGENANKIIGELYGKLQSIQEAFIIAIPPPSVR GVGNSGGFKMQISDLENADMTRVLGLARQMMGAAATTEGLTGVFTTFSDASPQYFLAI DRDKARFLNVPIPNIFNALSINLGVAYVNDFNAFGRVYQVRAQADRQYRMDREDILAL KVRSATGALVPLGTLMDIQDASGPALVQRYNMYVSVPLQGNPTPGTSTGDAIAKMEAL AAKILPQGTTFEWTELAYQETHTGNTAIYIFALSVVFVFLALAAQYESWVLPLAIILI VPLAVLAALIGVSLRGMDNNVLTQIGLIVLIGLAAKNAILIVEFARQAEDEGKSPVEA AIEASHLRLRPILMTAFAFIFGVLPLAIATGPGAEMRQSLGTAVFSGMLGVTIFGLFL TPVFYVVLRGWRRKRPATVEPAKTEPVEQGVV YP_002977153.1 MARQPRPRLTLDIAAIPTYAIGDIHGRYDLLVKAEAAILRDGAR LPGRKLIVTLGDYIDRGPESAQVITHLMEPPPDGFDRICLAGNHEVAMLDYIDGWISY DDWMQMGSAESLKSYGLDPEHLPLVFPSGAKLDAFIRQSLPRTHIDFMRAMPVLLETP SVIFVHAGINPKLRLSEQTDEDLVIIRQRFLESRVPLPKLFVHGHTPNDEPDIRPRRL NLDTRAYRSGKLTVARLWQGKVHLFST YP_002977154.1 MGTVSQFHQSLRPPAHRIESEEEVISTARDLAAAFRHQASERDI NRILPFAELDALSVSGLTAIAVPPDHEGLDVSNALLAEIVAIIAEGDASIGEALESHF SALETLRTQAAEDLKASLFARALLGDRFAGAAFIDGAELTAEGPGYRLSGRTRQGPGI LFADWIAAAATAPPSRPVTLYLAGAEEGVQVVDDWDGFGQRTNGSATAIVGTLHVNAD AIAPAPSSGHSTAISLGLLLKAGVSLGIARAAWSDLMIAIGDRSTSVLPGIGERAIRI EMAAATLERAGRKLDIAQVNPVEAAMVDAHFSASAAAILAGETALDTANALFELAGET SAGIGLNLDRHWRNARIHALSLPREKLVHTAGEYMSKVGGA YP_002977155.1 MLTKKGKYGLKALVDLARLAPGETAFINDIAARNNIPKKFLDTI LLELRNVGILRSKKGPGGGYSLSRPATEIRIGHVIRTLDGPLAPIRCASRTAYEACDD CADPETCQVRRSMTDVRDAIAAILDNMTLEQFVADGGSIDGAREEFPISAAS YP_002977156.1 MDLGLKGKIAVITGASVGIGLAIAEGLAAEGADLVLAARGGERL VAEAARIAETYGVSATAVAADVATVAGTEAIITAAAEKGGADILINNAGTGSNETVME APDQKWQDYWDLHVMAAVRLARGIAPQMKQRGGGVILHNASICAVQPLWYEPIYNVSK SALMMFSKTLSTELIKDNIRVNCVNAGLILTPDWIKTAKQLTAETGGNWEGYLQSVAN EHAASKRFGTPEELANVFVFLSSERASYCIGSTYFVDGGMLKTI YP_002977157.1 MRRYSACIEWLFAEEGDSFPDRIRRAHAAGLTAIEFWRWSDKDL DAIEAALKETGLAVSSLVAEPMIALTDAANRQAWLKGLAESVSVAKRLGAPVLIAQAG DDLPGLTREEQRRALTETLRAGADILKGSGVRLGVEPLNIRIDHVGYFLDSTREGLDI IDDVARPEIGIVYDIYHSAVMDERTEEVLNGRLDRIIHVHVADHPGRNEPGSGDIDLA RRLGWIFANGYDGAVGLEYRPTKSGADAVKAAIASLGG YP_002977158.1 MGSRGRVTLQTIAREVGLSKYAVSRSLAGKSGVSEETRALIRET AQRLGYTRPSGQGAGGEVAVVFHDLDAVNSELYMQVQNGVQREAHRLGMTLRVRWTHD SSQLEELARACDGLMLVGPHAREAVAAASATGVPIVRFGWVDALEQIDHVGGTDHEAG QAVVEYLVGLGHRSIVYVYGMPGLRGRQERHYGAREVAERYPDVALHVMQFDEENGFR AAFRALVEKGIQPTAFFCAHDGLALTVVSELLGQGYRIPDDISVVGFGDYSPATQISP ALTTVRMEGQECGAVGLRLLLERIENPRLPGMPARRIMIASRIIERRSAGPCKAAASV DAAEV YP_002977159.1 MIKLKRRAFLAGTSAALILPALPVFAADFKEADILKTKVSSGAL PGLKDRLPENPLVVKPVESVGKYGGDWNMALVGGGSLSMLFRYQAYEPLLRYTPDWSG VTLNVAESFEGDADSKVYTIRLRKGMKWSDGHPYTTADIKFWYDTVFTDKRVAFVGQD HWKSGGKPAKLEIVDEQTFKVIFDKPNGLFPLQVAWANNDQTTRTPKHYLEQFHIDHN PKADELAKQRGFESWIASFQAAAGFQDDNAFFLNSSKKPCVHAWMFTIAPGENTERAV AERNPYYWKVDTEGNQLPYMDRIVYQMVADPQVLLLKAMQGEVDLMDQYIATPNNKSV LYDAREQGGYDFYTLTSTEANVMNFIFNLNHNDETKRKLFRNKDFRAALSTALDRQSL IDAVLVGQGAPAQPSIKKEDPLYNEQLATQFTAYDVDKANAMLDQIVSKRDDQNFRLD EKGRRLTIIFEIDQARAVFLDLFQLVIPMFQAVGIDAQMRSMDRSLWETRVRQGRDFD ATAHQFGANGGVAAMLDPRYYVPTDANAMYAPAWQLWYRDRANANAEEPPESTKNQLA LYDKLKATSDASGQREVMKQILQGAADNFYVFGISLPPDGYGVVKNNMKNVTKIMPNS FGWPTPAPTMPEQFYKA YP_002977160.1 MLDARKQNTHTLRTPDWFKTATRWTQLTFVEDDPEKYDPAFWID VFKRTKSNAVCLSAGGYIAYYPSEVPYHYVSKYLGDKDIFGALVDAARKLDMHVMARV DPHAIHDDAAKAHPEWVMINADGTPRRHWAYPDVWVTNAYGDYNSVFMPEVVKEIVRK YDIDAVFANRWQGHGVDYSEDSARRFKDMSGHALPVKPDAEDPAWQAWVQWRRRVLTD MIAQWDDAVKAIRPHASFIPNMGGASLMEFDLSVIARHCPFLVVDHQGRKGLELGWSA GRNGKRIRATFPDRPVVLITSIGPEEEYRWKDAVTSGEEMQLWINDGTAHGLYAWFTK FNGVVPDKRWVEPVADAFGLQAAVEPVLESMKPTAEIAVVDPSTTLRHWAPEERHSAE KHDLGLYHALVEARLPFELLSDQVLTEETLDRFKLIILANASCLSDAQNAAIRAYVDR GGSVIASYETSLRDEFGKKRDEFGLADVLGARYVSGPRGIVKNTYVALSGNHPINRGF DGAERIMGGTRLIHAEPSADAKTPFLYIPDFPDLPMEEVYPREAPKGAAVIARETGKG GRTVYIPWNIGEIFWEVFAVDHARLIANTVHWALGKTPRVTVKGKGVVDLALRENGEG LALSLFNLTNPMMMKGPIRDNYPLAAQTVSVEIPEGRSVAKAWLVVADRAASFSLGNG RAEVEVPGIDRLEVLHLTWK YP_002977161.1 MLGYIFKRVLYMIPTLFGMSLISFLIIQLPPGDYLTSMIATMSD SGQTVDPAQIERLKEIYGFDDPFYVQYLKWIWGIVSRGDFGYSFEWGQPVSGLIWARM GSTLVISLLSLLFVWIVALPIGIYSAVRRHSIGDHVFTFLGFIGLAVPNFILALTLMY VAYKYLGQSVGGLISPEYAEAPWSLAKVGDFLAHLWIPIIIIGASGTAAMIRILRANL TDELHKPYVVTARAKGLPEYKVILKYPVRIALNPFVSAIGWVLPHLVSGVTITAIVLN LPTAGPLLFRALISQDMYLAGSFILLLSALTLVGMLLSDLLLALLDPRIRFN YP_002977162.1 MSTHETFGAHAGPLHTVADGPSISPLKQGKTVSTAAIGPWRLVA GKLVRQKVAMVAGAIILFLYLVGLFAEFLAPALPMTSRPQYTYAPPQGFSFFVEKPDG SSEFNFHVKGYKVEIDKVALRRTFVVDDTKVVPIGFFVKGAAYDLWGLIPMNRHLIGP LNQNDPMYLLGADRLGRDVFSRLVYGTRVSMSIGLVGVAMSLILGVVLGSISGFYGGW VDTLIQRVIEVVSAMPTIPLWLGLAAAIPLTWSPVNVYFVITIIVSLLGWTSLAREVR GRFLALRSEDFVVAARLDGSSEARLIFRHILPSLTSHILAVVTLAVPTMIVAETSLSF LGIGLKPPVVSWGVLLQDAQNIRTVATAPWLLIWPSLAVVVAVLSFNFFGDGLRDAAD PYDN YP_002977163.1 MTDLSDDVVLSVENLSIDFRLRTHILHAVENVSFELKRGQTLCL VGESGSGKSVTARSLLQIVDSPGSIVSGRILLSNGDEVTGGNGVIDIAALKPSDRAMR AIRGRRIGLIFQEPMSSLSPVHTIGSQIIEAVRLHSNLDHRAARERTVELLRQVEIPN PDKMADRYTFEFSGGMRQRAMIAMALAGNPDILIADEPTTALDVTTQAEILDLIKRLQ VERGMAMLLITHDMGIVAEVADDVAVMRFGRIVEHGPVDAIYHAAEHPYTRQLLASTV KLTHHVEGRLPAVALSPDAPQPILSVRNLGKIYGWHGKANTLRAVDDASFDLYPGENL GIVGESGSGKTTLGRLILRTVEPTSGSISYRGKDGSEIDVTKLTKRELRTFHREVRLV FQDPFASLNPRMTVKEVIGDPLVVYGLAKGKALEDRVAELMRLVGLDPMGMERYPHAF SGGQRQRIGIARALALDPRIIIADEATSALDVSIRSQILDLLLDIRQRLNLSFIFISH DISVVRYFCDRVAVMHRGKIVELGEAEQICTAPKEAYTKSLISAVPNPDPRDKRMLHR HRFVAPANI YP_002977164.1 MPKFSANLSFLYQDLPFLDRFTAAAEDGFGALEYLGPYAEPKEK VAEALEASGLKQALFNVPSGDWAGGERGIACLPDRVEEFRNGIAQALDYAAALDCRQV NVISGLVPKGADLQTLETVLVDNLKYAAKRCADVGVKLLVEPINLRDIPGFFLSTTAD AERILDRVGSDNLYIQYDFYHMQIMQGDLIPTFIRLKEKIAHVQIADNPGRGEPGTGE INYGFILSELDRLGYDGWVGCEYKPKSGTSEGLGWMKPYLKSARTA YP_002977165.1 MNIGFIGLGVMGRPMAEHLIDAGHTLHLSRVKETSQHLVDKGCK AATSARAVAHASDIVILMLPDTPDVEAVLFGEDGVAAGLSQGKLVIDMSSISPVATKT FAKRIEALGCDYLDAPVSGGEVGAKAATLTIMVGGKEQVFERAKPLFEKMGKNITHLG GSGDGQTAKVANQIIVGLTIEAVAEALLFAKRAGADPAKVRTALMGGFASSRILEVHG ERMVKETFEPGFRIRLHRKDMTLAVDAARALDLSLPNTAATQQLMNAAIANGDGERDH SALIRTLELLAGGPR YP_002977166.1 MLNKDIQLPYGAVYFRKSNPPREDWERDYATAGQDGLNIFRHWF MWSAIETAPGVYDWEEYDRQMDLAAANGIKTVIAELIHAVPDWAVRKYAHALQVNADG TKLGSYMGVSSATGGFSNNGGGAGALTLNCPEVKEAAGKFLTALATRYKDHPAIYGYD VWNECNYSADVDYSPYAKSAFRKWLEKKYGSLKILAKAWYRYSFAEWDDIEPPVHMAP YPECIDWLQFKRDNFYDQMQWRIDTIRAVDQKNTIVAHGISGAIPNMAANGCDDWLAA SKVEVYGFTWIQARKGSEAWKNWYGVDINRAAARGKPFWHAERQGGPLWLQPQVIGRD KEDGRVAEPEDIRLWSMTSLAGGARGVINLRWRPLLDGPLFGAFGSYGMDGSRTPRSD MASAMAKWANDKAQSALWEAKPVRGEVGILVVRETQEFDYLLNHDRKEKPYPEAMWGA YRAFLENGVQPDWVHIDDIAAYDFLYFPYPIMFTSEQAKSLKAWVENGGTLIAEACPG YFGDRGQVGTVQPNMGLDEIFGAREEEVEFMPDVGDRIHFDLDGAAVDGGGFLQSYRL TGGTGRGHFTDGRLAVVEYTYGKGRTLLIGTNPSVAYYRTQGKANGAFFAELLRWSGK KRHVTLSNTALFARVHEGEKGSFLWLVNPTRTAQKTEVTLARGALAQDKATWPIDYVS NGGIVVPPRDVLILPLG YP_002977167.1 MTALSLDNLSVTFHGHGQGGGKGFTAVRDVSFTVASGETFGLIG PSGCGKTTVLRAVAGLNIGWQGAISVFGEPLHPGRKITGALRGNIQMVFQDPYSSLHP RHRISRILGEPLSLRGIAGVEEAVRIALDQVGLSAAIAGRYPHQLSGGQRQRVAIARA LLLKPKLLLLDEPTSALDVSVQAEILNLLNDLKAAHGMTFVLVSHDPGVVAHMCDRAV TMQAGGIESLLDRAALSGNGWVEQSSLR YP_002977168.1 MTEPLLSVEDLTISFPSEAGLLRVVDGISFAIGREVVALVGESG SGKSMTGRAIMGLLPRQADIRARRLEFDGQDLTALSPSGWNRLRGAGIGLILQDPKYS LNPAHRIGRQVEEALLLHARLSVGERRDRALDMLDKVGLPDPKRVYSSYPAALSGGMG QRAMIAAMLINRPKLLIADEPTSALDRGLQDQILTLLRSLTEELGMGLLLISHDLQQV SRYADRVMVMRRGRIEEEMASADLANAKSAYTRALWAARPSAATYGTHLPVYGEEP YP_002977169.1 MSVIVHAPVGGPSFLKRMLRSPSAAAGLVVVAVILLAALLAPVI APYDPNLQETANRLMPPTSAHWFGTDAFGRDILSRLIYGARPTLLLVLVVVLLMAPLG IVVGILAGFFGGLTERLLMRVTDIVMSFPRLLLAFAFVAIMGPGLINGALALALTSWP AYARQARVETAALRRSDYLAVAEMVGIKGVRLLWGHILPLVLPSAIIRLALDLSGIIL AAAGLGFLGLGVRPPTAEWGSMVSEGTQVIFDQWWVAAVPGLAIFITSFAFNLLADGL RDILDPRHD YP_002977170.1 MPQTATPLTADTNDSRQSRRWRELSFVRPLLKWLWSFALTLFGL ALVTFTMTRLSPIDPALQLVGDHASQSTYEAARVELGLDQPLPVQFLRYLETALSGNF GQSISTGQPVAKDIARTFPATIELATAAIILGAAIGLALGIAAAMRQGTWVDSAARFV SLFGYSVPIFWLGLLMLLLFYARLHWAPGPGRADVVFQYTVKPISGFALIDTWMSGKT GAFRDALAHLALPAIVLAFHALAAISRLTRAAILTELGQEYVTTARAKGASLSRITFI HIMPNIAGTLLTVIALSYASLLEGAVLTETVFAWPGIGRYLTTAMFAGDMPAILGATL VVGASFVLLNALTDLGVSRLDAGRRR YP_002977171.1 MSHLPQIDYDTASEEVRAAHDEEVRLRGRMTNMKRTLLHSPAAH HIYAEWFTLRAELDPAISDREIWIFSHAISKAAKSKIAITFFRRALINKGFDPDALEL SEEEALLDAFGKAIVTDSNAVPAEIWAKLKQRYETKVLVDLVAFAGIMLATAVFNNVV EVDFDPELEAFAEGNSSSAS YP_002977172.1 MGDDHEHHHVDWREHGVKVIPGNSLDPNTAQTPGMNRATAINNA RAGAEKIWAGTVTIHANAKTGAHHHGDLESIIYVVKGKARMRWGEHLEYTAEAGPGDF IYVPPYVPHQEINASRDETLECVLVRSGQEPVVVNLDIEPVEKPEDVAWIDPIHR YP_002977173.1 MAPISIRGVKKNYGKTPVVHGVDLDIQSGEFIVILGPSGCGKST LLRMIAGLEEITGGEIAIDGRVVNQLEPRERGCAMVFQNYALYPHMSVAENIGYALKV AGVSKAERSRRIGEVAKALSLEPFLERRPAALSGGQRQRVAMGRAMIREPKVFLFDEP LSNLDAKLRIAMRAEIRRLHRRLGATSIFVTHDQTEAMTLADRLVVMNGGRVEQVGTP EEVYHHPVSRFVAGFVGTPAMNLLEGTINDEGVFVYDQSRMVALPRERAAPLKGKRVV LGMRAEAARLVAPDAPGALVATTDFIEELGASRIVHADFDGLPFAVALTEAVKVKSGD PIGIAIDYDQIHLYAADTGRIIEKPVMNSAGVVHA YP_002977174.1 MIERTPIFNFICYSLLALGMVIALLPFAIVIIASTLDLETVNRV PLPLTPGSHFWENAETAWVRADLGNKLFHSIIFAATVGAGKVMLSSMAAFSIVYFRFR GRYVIFWIIFITLMLPLEVRIVPTYSIAANALQPFQTILDVTGISWLVAQITGMQIKL EWGLLNSYPGLVLPLVATATGTFLYRQFYLTVPDELAEASKMDGSGPVRFFWDILLPL SRPNMIALFTIMFVWAWNQYLWPLLITTDPNFGIAVTQLKTLIPSEFGLPDWNVAMAG TLIIMSPPLILVILMQRWFVRGLISTEK YP_002977175.1 MEKRVTFSSTTIGLLFAFPMLLLIFVFFYWPSAQALYWAFTLEQ PWGGGNAWVGFDNFRQLLTDPVYWDSITRSMIFGFSSTAIAMGLALILALLTDRELRG HKVYRSVFIWPYAIAAPALGLAFRFILAPEAGLLSVINHVWPGLWNPALDGKDAMIAV IVAFSWKYIGYNFIFFLSALQGIPRSLIEAAAMDGSGPMRRIWDIQLPLLTPTLFFLL VINITESFQDSFGIVDIMTQGGPARATELMVYKIYFDGFRGLDYSGAAAQSIILMVLV VLLTIFQFRFIERRVHYK YP_002977176.1 MQAKLLGAVGALLATAFLAGPAAAADKTKIDFWFGNSGDIAKRV QEQCDRFNQSQADYEVVCTSQGSYDASLQNTIAAFRAGKQPTIAQVSDAGTLDIMLSG AYYPANKLMTDMGYTVDWKDYFSGISGYYATSKGEMYSFPFNSSTALLYWNKDAFAKI GKDHAPATWKEAGEDLKALKDAGYACPLGFDISNNEVWQYIEQFEAVNGEAIATKKNG FEGLDAELVFNKNPLLVSYVKDLKSWYDDKLVVIKNKAVGQTFVEAFAAGDCQVILTS VGDHGNVGRTAKQGMNWDVAMLPTYGDAARHSSYVGGASLWVLQGHSDAEYKAAAAFF NFIAKPEEALTWSTVTGYIPVRNSGFEYLNKQGFYGKAPYAGRELAIQSLTASPAGDA APQGIRLGGLLQVRTEIANGLQAIFVNNADVQASLDSAAERGNTLLRRFQQTYKNVQL P YP_002977177.1 MNDSRPIRAKSGTNHEGTSAHNRRVMIDALRINGALSRADLARA TRLTKQTVSNIIEELERDGLVSSQEAVRRGRGQPSTPYGLVPEGAFAIGLQIDRHVTR AVAVDLVGSVLVRDEAGLPPGGPSNGTKVILDLVAGIRSKLAGVVQQSEKRLVGLGAA MPGPFGVAGSGDDPWMMEAWQKFPLLETLTAGTGLDVGLQNDAAAAATAERMVGVAHG LDHAVCLFVGYGIGAGLILNGELYRGTNGNAGEIGMALLFADGKSTPLEHRASLASLY QHLSVDPADPHLHARINDLALRGDQSIDAWVEAAAVDLRWSIHLIETLFDPQTVILCG SAPEALVNRLIAAIGPLLPSIAERSGRTLPRLQSGMADPWSVALGAAAGPISRAFDPR FAAILKDSL YP_002977178.1 MLKSLNKTLLGAALIGASLAPHAFAETTLNALFMAQAAYSEADV RAMTDAFAKANPDIKVNLEFVPYEGLHDKTVLAQGSGGGYDVVLFDVIWPAEYASNKV LVDVSSRVTDEMKKGVLPGAWTTVQYDSKYYGMPWILDTKYLFYNKEILEKAGIKTPP KTWDELTEQAKTIKDKGLLATPIAWSWSQAEAAICDYTTLVSAYGGDFLKDGKPAFQT GGGLDALKYMVSSYSSGLTNPNSKEFLEEDVRKVFENGDAAFALNWTYMYNMANDPKD SKVAGKVGVVPAPGVAGKSEASAVNGSMGLGITSASKHPDEAWKYITFMTSQATQNAY AKLSLPIWASSYEDPDVTKGQEELISAAKIGLAAMYPRPTTPKYQELSTALQQAIQES LLGQSSPEDALKSAADNSGL YP_002977179.1 MSGTWLTTRAWLLMLPLLVVMISVIGWPLIDTVGLSFTDAKLVG TEGNFVGIDNYAKMITGSNFQRTLITTAWFAIVSVAAEMAIGVLAALLLNQQFRGRTA LRALMILPWALPTVVNATLWRLIYNPEYGALNAALTQLGLLEAYRSWLGEPGTALAAL IVADCWKNFPLVALIALAALQAVPRDITAASLVDGAGAFARFRFVILPYLAGPLMVAL VLRTIEAFKVFDIIWVMTRGGPANSTRTLSILVYQEAFSFQRAGSGASLALIVTLLVT LLAAAYAALVRKTAGSAA YP_002977180.1 MERQSPLFSVFIHLCALLLAAVILAPILWLFIMSISPAADLAAK PLRWWPQTVDFSRYGVLLSTIENSAGAAFTSSLRNSIEVAGMATIAAIALAIPAGWAV SRTPSVGWSLSMVIATYMLPPVALAVPLYMGLSHLGMLNNVFGLALVYLTILAPFTTW LMKSGFDSIPREIESAAMIDGAGLFQTLRIITLPLAAPVMATSSLFAFLLAWDEFFYA LLFTSDQRAKTLTVAIADLAGGRVSDYGLIATAGVLAALPPVLIGLVMQRALISGLTS GGVKG YP_002977181.1 MTAERPRPAGLAAIDREMARQHADAISSYEAAQPMATRAAASLK RTGRLLLIGMGGSHAVNRAVEPLYRALGIDTVAMPLSEQLGQPLPIAGRTIFVTSQSG ESAEVVRWFNETGGTEETFGLTLEGSSFLASAAPSLIGTGGTELAFAATRSLTVTFAL HLAILATLGDDPDAAIAALKTPEDHDIAAALTALENVATIVTSGRRLQGIAEALALGL TELSRRPCFSLEGGQLRHGPMEMLGPEIGVVLFRGFDETAGLVTAMATSAVETGAPVI LFDASGEAAVAGAVTIRFAPATGLAAIFAMLPVAQRLMIAFADARVENAGTPVRSTKI TRSE YP_002977182.1 MRPLAVIGNVNIDLILGPAAPWPKAGTEIIVDHDELRVGGAAGN SALAWQALGVEFEISANIGSDQFGRWLSEAFGHRSDKWPVRPEKTTLSVGITHPDGER TFFTTKGHLPRFSLADVFAVIEGARLQGGYALLCGSFLTDDLTADYDAFFDWADSHGI TVALDTGWPLDGWTDENCAATRAWLSRSGIALLNEVESTTLAGIADPVEAARHIRSHM PEGAIIVVKRGPDGALAIGPDGLLVSVTAPVVEVVDTIGAGDVFNAAFLAALASDEPL ISCLEAGTAVASRAISTLPRDYDGPSSPEEPVR YP_002977183.1 MSALEIQNIRKTYGDVETLKGIDISLESGEFLVLLGSSGCGKST LLNIIAGLAEATSGDVRIGGRSVLSVHPKDRDIAMVFQSYALYPNLTVHRNIGFGLEM RKVPAPERDNAVRDAAKLLQIENLLDRKPSQLSGGQRQRVAIGRALVRKPEVFLFDEP LSNLDAKLRMEMRTEIKRLHQMLKTTVVYVTHDQIEAMTLASRIAVMRDGRIEQLGTP EEIYNHPATLYVATFVGAPPMNLLKATARDGRLALSGSDVSLPLPARFRETAGNGRDF ILGIRPEALRTDGTGPSIEAILEVAELTGPELVVTALAGNQRLMACLPPRTPIRDNEK LTLLFDEEAMHLFDPQTGLSCGR YP_002977184.1 MRYGISSKALGLLIVLGLGALPGQPSVAQQPLNINAYQLTFEES FDSLDVSAWGERSSRWIAHTPWNGDFGDARFTDPAPGFPFTTDQGILKIEARKEADGT WRSGLLSSVNPKGEGFSQQFGYFEARMKLPPGKGVWPAFWLIGLDRSKYTAEIDVLEY YGRAPYEFSMGFHIWRQSQGGQNTTGGHWQTVQDGILNSEYHTYGVDIQADKTSFYLD RQFIWSFDTPKEFHMPFYPLVNLALGSGWPIDETPNPSILLVDYIHVYQRKPTDAAN YP_002977185.1 MSSVSQRTATASIWTISGKFLARLLDFVSLLILARLLSPADFGL VAIATSVLVIVETILDLPLTQALMRQPSPSDDMFATALTLSLLRGVAISTLMIIVSWP MALIYDDSRLFSLVAVLSIAPAMRSVISPRMVLFMQRFDFKREFALDLITKGSTLLFG VGVAVATGSYWGLAIGAVAGPTAAAITSYVFAPMRPRFSLSEWKHFQDMISWNTVSQV LSSINWQLDRLLLPRFTGLSTFGAFSVADNIAAIPYQTFVGPLLRPLMAAFSTVDDRR NLIAAYLKATNAITFVAAPILITLAFLAEPAVRIIVGEKWASAAPILQWLCIVSLLGL PTNMMPPLAMVLNKTRYLALRMFAEFAVRVPVTILGIVYFQVAGALGARIVAVLVAYV ASLIITRQLIGATFAAQLYAFLRPLAASLPMIAFLLWIEPMLSAMPVGLNLVVSLALC GGAAVAIFWAFALLLWQVVGRPDGIETIVVQRLMPRRNGVLTF YP_002977186.1 MTLKATTSLPDARAFLGDAPVMAKRRVTEAGSASDTKQLRVAIV HYWLVSMRGGEKVVEELCRMFPQADIFTLVCNRDRISDFLKTRNIRTSFLQKIPGAQR HYTKMLPLMPFALEQFDLQDYDLVLSSESGPAKGIITRADALHVCYCHSPMRYIWDQF HVYRHGLSWLGRALMSITAPMLRAWDVTTSSRVDVFVANSDYVASRIRRFYDRESIVI HPPVATDDFAVGKGKGEFYLYAGQLTTYKRPDIAVRACTEAGRKLVVIGEGEQLAYLK SIAGPTVEFLGHQPFNVLRDHLSRCRALLFPGTEDFGILPVEAMASGRPVLAFDAGGA RETVSSPHVGFRFAQQTTEALLETMAAFEEVEDDIDPHAIRAHSLKFSSAVFRDRLSS LIEQQLSTHGDRSAEVFRRRAG YP_002977187.1 MRPSLPEVLRSAASVGRFPGPGMTKIDRVVIIDDYSVARGGATA LAVLSAKLFRELDIPVTYICGDDASNAELVALGVSMVGLNSRDLLSAERAKAFVTGIH NGAAVRMVANWIAANDTANTVYHVHGWHQILSPAIFRALLPVARRCVVHAHDFFTACP NGAFFDYQAQEICLRRPLGGSCIATACDKRSYSHKLWRAARGSNILRLLKDRADFGRI ILLHEKMASFLVGAGYRPERLTTIRNPVAPLSIKRIEAETNDEFVFIGRLDEEKGIED AVAATRKAGVRLCVIGDGPLMPLVAASGDHVRAVGWQSHAEIGPTIRKARALLMPSRY PEPFGLVAIEAARSGLPVIMSRSAFLAEEMQRAGMAIACDTADESAFADTLTRFSQMP SHEVRAMSEQAFLKSPDLASTHEEWRDALLSEYHSLISTNVVSQLTDGVAIQGVLS YP_002977188.1 MDGATHASDRRAGIGKAAISASSGIHLNSRIVIDDEFLSCRSSV RRFRRGEIIAGAGVLVDTFARVHSGVVSASTMLPDGREFIVEIIPKSGLIGELEVLRR QTLNLEYRAGSACELHFFEGRLLRDMYASDPCFREKVFSRALARISELELRIIANAAS SLQSRLASTLLRLSIVYGKDAANSGDELIISQNDLAATLPASREKVNQCLRRLREGKI IDGGQGKIRILNRKALEACANGAVSAK YP_002977189.1 MTWEAHSFEAWSRVGRSAKGGDLHSSRPRAAGRSSKRALDLLIA VTALILLSPLLLIVAMIVKISDRGPIFYSHTRIGVGGTPFGCLKFRTMKTDAGAQLAE LLQNNPAARSEWEETRKLKDDPRITAVGDILRRSSIDELPQLINIVRGDMSLVGPRPI TAEELPRYGEHIWAYMAVRPGLTGHWQTSGRNDVSYEYRVSLDVHYLNNWSLRRDFII IAKTIPALFSQRGSY YP_002977190.1 MTSSTIFSGVSPISLPAAATGGNSPLTLRDMLFFLRMRWLWIAA TTFAFLVLAGSYVLTAEPTFVANTQLVIFPQVSGSEAQRAFAEDAFIEGQLEIAKSSD VVGGTVTALGLDTDPDFVDQTPSLQVRAKNWLMGVSSEPDTASQEAAGTGPRDTQPQQ QIEDGRIHDRAIATLLNMMGVRRIGSSTIIEISAAASTPQKAVDIADTLARQYIQKNI AMKASASRQYSEWLTRFVSEQQRGLAEAASALASFTSNPRDQFKLAELQSATDARRTL YENTLNQLTEAKQRITYPVSDATIVSRATLPLSKARPRSTLIIAFAAAVGLGVGFALA MIRHAGDRRIVRPNQIADAGGLPFVTLLATSRTRNDYSARFLAAGTSSSGTVAAYPVI PGMAELSATVVGLRRKRRVVIGIVAVNPGGGASTIACELAVLSSISGAQTLLIDAAAQ KSSLSKSIAPHSSTGLIDVLDNGELIQTAALPLTPTLKFLPLGKVEAVTPAIRLSSRR TQLSFADLKKEFDAIFVDISAFSASPDSNAIAPELDGVLVVTSHGHTSIDDTMHVIET LRNVGAEILGAVINHAPKRIES YP_002977191.1 MAGHARRPLRMAVGIASAGRPSILKETVDYLTALPDQAERLIVC VPVIDDAAGLADRLDVEVIVGSRGLTSQRNRIIQAAAADTDVLIFLDDDFIPAATFLS RMAAVFAANPDVAIATGEVLADGILDGGLSMSNALQVLETAAEEAGHVTEVYNAYGCN MAVRLSPILEHALAFDEQLPLYGWLEDVDFSRSIARYGRSVRVEGARGVHLGVRSGRQ PGRRLGYSQVANPVYLIRKGTMSKGRAIAQIGRNILANTRGLLFNDRLIDRWGRLNGN LLALSDLLIGRASPSRILEFGSPSPREMPSPSIATKRR YP_002977192.1 MQRTSWSDRFISAALALLVFSCVTGWSVAHAENYTLVPEDQVRL RVVEWRSSDSRYASWEALGGTYTVDDAGNLAVPIAGQVQAIGKTTEQVSDAIATALAE KAELPGKPFIAVEIAQHAPIFVTGTVQTPGRYAFEADMTVMKAVSIAGGFLRDREENT IFERDRIQAAGAYRTAILNRRDLLMRQARLRAEIAGEQSFDIPAELAGTPDVDKLKAQ ELNLMRLRRVDIESQIDAASDLTRLYGQQVQSLEAKINSQRRQIELAQKELDNVNSLV SKGLVSNSRQVSVDRGVADAQGTLIDLEVALTTARQGLSEADRSKINIVNRQNSENQE LLNQVNLAIGRAAIDIQVAQLLGEQAGYSAQLAQMNMDTPGLGRAQKNYRIVRRSDDG TYRNIEADETTSLRPHDVVEIGINTDLQTPSPPLQLQSAPQQQSSTVPLSDVAGDNQA APGWISQTRSN YP_002977193.1 MSIEPIGFIVLLLGLLSMVNGARFAITTLCLSTLFGAAAALQLP ALGGSSIQPSHLLVLFLVVAALLRPAQTQATLASMAYPGPGFWFAAYILFSVASSFFL PRIFAGATLVYSSARDATGMMSTVAAPLSPGSSNLSQSVYLLGDLACFAVVSGLARLG YARFIALQLIVASIACFTLALTDIGTFLTDQSYLLDVIRNANYTMHTAETISGFKRIV GPFPEASTYGAVALAYFSFTLMLWLERVQSRMAGLATLFIGPTIILCTSTTAYIAGIS VVCMFVLFCLKRLISGPAKTPHVTFLVITLFLIPCGIAALSLVPDAWDSIAGLVNTTL SDKLQSQSGEERTAWNTLALIAFVDTATFGAGLGTVRASSFIAALLSNVGLTGTLLFA AFLYSLARASGRHMSGNRETHTIGNAAVMASIAQVASAAISGSGTDLGLLFSTTAGLA AGCLATNTSPRRATRSLANRTPLETSTSLMRAPMFSTR YP_002977194.1 MLITTLGAAVISIAAGASIRAWAFAIFAILVAIGFGGVAFAGGS SVIAAMLSGIAILVIMEIGYLAGVFLSGLLRRSAKLRENNSVADSVATTSERPQG YP_002977195.1 MNNQCVVYVTDVEYSFPTILSALQARKFASPATDVCVLMSEHLD NFEELKALLARSGVILTDATEALQDSLGKLDSSHFQGRISVSTMAKLVLCEILPSNYT QIIYLDGDTQIVSDLGAFESATVPEGRFFAARDYTAIHDFLDTGKDSHYFNAGVLKFH RNGWIGQEALELFARNPEACEGKHDQGALNYVCGSSLILVSNRWNFPKQFLHLVNMSS LSIVHYMAHPKPWHGTFFPWTDKESQVYVDLRKAHPIYNSLYRGISFDRKMLYKYRSI RARIEHAIEKDGPHPRVQGLLVGDYAV YP_002977196.1 MMMYGWSDLNVRVTELSVAGRAVDLQTEAQVFIHSFQEWIMFRT EYEL YP_002977197.1 MSYRASAASISHYVKARLRRSLKARQVRYDLLRSGLKQARHVVI CVIRDEGHRLAFFLQYYRDLGFEHFICIDNGSTDGTAELLSSFDDVSLLSAHGSYKAA RFGNDWINEVINRHCREKWVLYVDADEFLVYPHCDTRPIDQLTSYMDSMGGHSLRSVM IDMYSPHPVLENICEPGRNPLEVCNLFDRSGYVAHFDKHNWTIWIKGGVRGRVYFHDR LWDGPALNKIPLVYVAGERLFLKSSHQVWPLSLNLGDMRGALGVSGALLHFKFLSTFV HKVADAAHRSQHTEEYTVYSSGKDMDDFVYDDTGTYRSWKDLSDRGLIQGEGWKYWRN ASESDV YP_002977198.1 MDGAISTRDNRARGNAARTSEAVTCGPVGIVYDGRADLDHEFPF YRSSVRKFRRGEIIAGAGVVLDMFARVQRGMVSASTPLADGREFIVEIVPKGGLIGEL EVLRKQSLSLEYRASSDCELHYFDGRLLRDLCANDPQFQVKILSKALARVSELELRII SNAGSSLRQRLARTLLRLSALYRMDAQNSGDELIISQHELAATLPASREKVNQCLRRL RESKVVDGTQGKIRILNRKALEAYAEGAGLA YP_002977199.1 MAGKNQGINPTGPWRDFARARQERRPFNSSQPRLISRILKRALD IIIAASFLFFLSPLLLSAAVIVALSDWGPVFNSHRKIGYKGKEFGCLKFRTTGSDATT DTHVTVVGDILRRSSLDKLPQLVNVLLGQMSLVGPRAITKEELQRYGEHAYAYMAVRP GLTGHWQTSGHNDVNYRNGISLDVEYLSKWTLALDFVIMAKTLSALLSRHALLPKVDS YP_002977200.1 MLKSTLVVVAVSIAAGATIRASAFAIFAFLVVTSLGGVILLKGS SLAEAVVSCVEVLVLMEICYLAGLFAFSLWHIQKRRKRNAITERSHLTGKRPHG YP_002977201.1 MVLGEKLDLANGRPTGFDYMRLLLAFSVLWIHTARVTYGDDLFL WESPFRPFIKSVLPMFFVLSGFLVAGSLERSKTLISFLGNRFIRIYPALAVEVLLAAF ILGAIYTEYDLRDYFTDPQFFTYLMNVTGHIHFNLPGVFLDNPDAAMVNGQLWTVPFE LECYAVIAVLFLLGVVRRRVIALIATPALIIAFGIARYWKHESDWATMPTTASGNLLI CAFLVGVTFYLYKDKVLWDIRIFLASVAMILWAYWFTSFGDFIAIPAMGYVTVFLGLT SPRKLGVLRGADYSYGVFLYGYPIQQAFVALGPWAHNWWLNGIVCSIVAACFAAFSWR FIEKPALKLRKQVTWLENLSLQRGVKRQLAGAVSK YP_002977202.1 MKFLATLFCIVLALIGLALIGGGAWLLRLGGSPYYAIIGLAYLV AALLLWRRKTAGGLIVLLVAVFTLPWALWESGLNFWALFARLMSPIALAGFALLFAPS LSPAANRKLFYGGALVTALIFVAGFGLSFVPHGIIRPSADITAYKTAKGDNSPSDWPS YGRSTAGDRYSPFDQINRGNVSKLDLAWTYRTGKGDGADQNTPLQIGDTVYTCTPTDV IAALDADTGKPRWAFDPKATAPYWQRCRGLGYYKMPTEAQSADGLCNERLVQTTIDAR LLEIDSRTGTPCKDFGVNGTVQLSQGMGEVKTGYYFQTSAPLIARNLIVVGGWVTDNQ EVGEPSGVIRAFNVVTGELEWAWDLGNPEITKLPPKGQTYTRATPNMWTTAAFDDKLG LIYAPLGNTTPDYYGVNRPAFADQYNATLVALDVTTGREKWKFQTVHHDIWDYDLPAQ PILIDLPDGNGATMPALLQTTKRGQLFLLDRRTGTPLAEVQEKPVPQQGGVPEEKLSP TQPYSVGMPTIGAERVTEQTAWGLTMFDQLACRIAFRKMRYDGDFTPIGTQYAIQQPG NLGGLNWGSVSVDLPNNRVFMNDIRVPSLFALIPREEYVDFALTTTAHGPSAPQRGTP YAMATEMWTSRLRVPCTQPPFGTVTAVDLKTRKIAWQVPAGTAEELGPFKIKTKLPMP MGLPSYAGTSATAGGVVFFAGFQDYYIRAYDAENGAELWRYPLPVGSSATPMTYVSPK TGKQYVLISVGGAPYSKDVGDYVLAFSLKDGA YP_002977203.1 MTRKLAAILVADVVGYSRLAGADEDRILARLRTLRSDLVDPTIA VHNGRVVKRTGDGSLIEFRSVVDAVRCAIEVQTAMVERNIGVPSEHRIEFRVGIHLGD VVEENDGDLMGDGVNIAARLEGIAKPGAICLSEDAYRQVKARLDLAVTDLGKIELKNI AEPMQVYSLQIGTPEPNSARQVRPAIEMPSAPAVPDKPSIAILAFNNMSGDAEQEYFS DGISEDIITDLSKLSELHVIARNSSFVYKNVTASVPAMAKALGVRYVLEGSVRKAGNR VRVTAQLIDASNGGHIWASRFDRDLTDIFAVQDELTQEIVAALRLNLTHGDQDRLAQG RALNVDAYELLLRGREQASSHTRTGNRAARSLAADAIAVDPQYAAAQALISFTHVLDY VNAWSTDPEGSLRIGMDLAQKAVEMAEEQPNGRFALGMACMWNRELDRAQAEVQQGLA LQPNSAELLLLMAHIQIFSGDPAGALETLDASMRLDPHHPEILFQFRADAHFSLGEYE QAIAAIEQRLQQNSQSETAYALLASCYGHLDRPEESRQAWEKALRINPDFSVERRRRV LPFRNPEDFDRRVEGLRKAGLTGSDLGLC YP_002977204.1 MERRLAAVLIADVVGYSRLSEIDEEGTRIRFHTDLHELFEPKIA THHGRLIKTMGDGILVEFHSVVDALRCAVKIQQQKAERNAALRPEQRMLFRIGVNLGD VIVEGEDIHGDGVNIAARLESLAQPGGICISGTAFDHTVHKADVGFSALGEQRLKNIA DPVRVYRVLLDPSEAGKVVASRRPRRRAVVLATLAALLIAATAIVLAWQWPFVPQRPS VAVLPFANLSSDAGQDYFTDGITDSLIADLTMLSDLDVIGHNSVFAYKGKPLVLADIG RDLGVRFIVEGSVQRIGDQIRVNAQLSDAASGDHLWANRFNRAAADVMAVQDELSRQI AEALGLKLTQSEAERITRPPTANLEAYDYYLRAEQATRTGRRSRLLEALALFDKAEAL DPGFAEAFAADAHATAYVWRSAYDDVLQSALARKRAYEKASRALALDPVLSSPYAVLA VMQVVDRRYEQAVASAQQAVSLGPADAEAHMALAYVQLFSGNHAEAGAAVETALRHDP NLSAINRYTAGLVFYLQRDYTKAIDSFERARDGSPGNGDFVTPLAMAYARAGRLDDAR ATVAEGIRFVAGRDSLAGWRISNAHFRNEQDLVFILDALREAGLPEWPFGFKGNEQDR LHGEEIASTVIGKLLRGKTEPSGSPALMQIERDGKAAFRSATQMITGTVFVNGDMLCE QSENAFGRADCGPVYRPANSPAETSYAYVNSTKVFYFSPVK YP_002977205.1 MYAGTISGARGIGHSMAVILLALAAVGGSRAFADDSVNTGYFGG VAIMGYDPVAYFTEGKAVKGSEEFSHEWLGTPWLFANAKHREMFISEPIKYAPQYGGY CAGEVALGSVTINVDPEAFKIIDGKLYLIYDKGSAEGFAAHVAEAVPKADSNWPKVAA DLERDQYH YP_002977206.1 MRGLLASVTLIFTATVAAAGSPLFDAVATGNTAVVEQVLATGAD VDSRTRDQATPLINAALGAQLPIAELLIGKGADVMARNSGGFTPLHAAAFSGSLPISK LLLHHGATLDDAANKAGVTPLMVAGEENHVALATFLLAEGADVGHAEVHGYTPITRAI WKGNTDIVRLFKRHGAPCPPASRIGEKEYAKCMEIHD YP_002977207.1 MVDRSTTTRRPNSPRMTGASVHVSLAGEIGLRIVRGDYPPGTIL PNEAKWSEVFDVSRSAVREAIKMLMAKGLLSSRPKIGSWVEPKERWNLLDRDVLGWYA ASPDRESFLRAVQELRHMIEPEATALAAERRTDEQMTAISQALHDMDQATSLQQRTES DARFHIAILRASGNDLLVPLGVLIESALNHLFAHVTREEDNLRYALKLHENIEKNIRL QRPNMARNAVRKALANTDDIIARWSR YP_002977208.1 MRKALLLTAAVLALTAGQALAKKQLVIVVKGLDNPFFEAINQGC QKWNKENPTAEYECFYTGPASTSDEAGEAQIVQDMLGKADTAAIAISPSNAKLIAQTL KTANPTVPVMTLDADLAAEDAALRKTYLGTDNYLMGARIGEYIKKGKPKGGKICTIEG NPGADNILRRAQGMRDTLTGQKGLTELKGEGGWTEVAGCPVFTNDDGAKGVQAMTDIL AANPDLDAFGIMGGWPLFGAPQPYRDLFKPLADKIASNDFVIGAADTIGDEVAIAKEG LVTALVGQRPFEMGYKAPSVMMDLIAGKPVEDPVFTGLDECTKDTVDTCIQK YP_002977209.1 MLILVTGATGKVGRRFIAGLLDDPRFSKARIRALCHNRLYEATD RVEVFQGSIADRDVVAKALDDVTHVVHLATCKETPEDIMDVTVKGLFWLLEEFRASVT ARQFILIGGDAGVGHFHYRHDGPITENARHCAYPGSYALSKVLEEVMLEQFGIQYGLN GCCLRAPWIMEKDDFKYSLSFGDDVFGGPDWKTLVPEDAAGRYAAMGTVPLLLDADGR ALKRNFVHVDDLVSAILAAIDNPLAERQLFNICMDRPVDYAEVAAYLLRTRNLGSVDI PSRFHSNWMDNSKAKYLLDWQPNYDLEMLIDSAWQYERSKEEPRIVWYPG YP_002977210.1 MAVLELSNISKHFGAIQAVNDVSFSLEAGQVVGLMGDNGAGKST LVKMIAGNFRPSHGTMRLDGADLVLHRPKEARQHGIEIVHQDLALCNNLTAAANVFLG RELRRGVWPLRILDHKAMYKRAGEIFRELKSETRARDLVKQMSGGQRQAVAIGRTMLS EAKIVLMDEPTAAISVRQVAEVLNLIRQLRDRGIVVVLISHRMPDVFSVADRVIVMRR GRKVADKQIAASSPEEVTGLITGAIEQV YP_002977211.1 MAITLDQTIGQKQRSWLATIMSGQTFWVLIAVILACIFLSMATD SFATAKNIYNITRNVTFVAIIALGMTLVIITGGIDLSVGSVLCLCSMVLAVVMHAGYS IEVGIAASIGTALLVGAFNGVLIAYLGFPPFVVTLGMLSIARSLAMVASNNTVVFEFG PDHDKLLALGGGAWVFGIANPVLYMVVLALITGFVLRWTKFGRYVFAIGGNEHAATLT GVPVPRIKVIVYMISALSAGVAGIIQTGWLGAVTTNIGAGMELQVIAAAVIGGANLAG GVGTAFGALVGAALIEVIRNSLGLLGINAFWQGCFIGGAIVLAVLFDRLRNLRQGE YP_002977212.1 MSSVSLKGIEKRFGAVEVIRGVDLRIDPGEFVVFVGPSGCGKST LLRLISGLEYLSGGELAIGGRVVNEVPASKRGVAMVFQSYALYPHLTVRDNMGFGLKV RKVPAQERQKRVDEASATLKLEALLDRYPRELSGGQRQRVAIGRAIVGNPDVFLFDEP LSNLDAELRVHMRSEIAALHKRLSTTMIYVTHDQIEAMTLADKIVVLRDGRVEQVGSP RDLYERPANTFVAQFIGSPKMNLLPADIAPLLTGATAMDAAAETIGIRPEHLTVVEPR DGTLRGTVGLCEYTGAVTLLHVKLPDGHDCLVLHDGHDTKAGIQIGLTADVSKVHFFK GNGQTIKQTERGRTA YP_002977213.1 MTQRQIQILYRACWTIALLIAAIPFVFPFVWMVSAGFKSVTEIF GAPTLIPRVWRWQNFVEVFTYQPFARQYFNSLYIAIVVTALTLIISSLAGYALARMRF AGAGLLMLFLISALMVPEEVTIIPNFFLMRWFGLVDTHWPLILLPTFGPHGVMATFLM RQYFLALPKELEEAGKMDGLSRFGVWWKIALPMARPALAAVSIITFLFSWNLFLEPLI FLSSLDMFTLPLALSNFNDSYGLPLWNLQLAATSLAVVPILIVYLIAQRQIIESFALS GVKG YP_002977214.1 MADTVHNSIRTGQKTWLTLKMREMMEAWLFVSPTLIGFLIFFLG PLCAVVYYSMTEWNLLSQQATFVGLANFQNALFENPDFWLVVRNSVIFAIGLVPLNMA LALALALALSRPFFGVVFFRTVFFAPVITSAIAWAIVWKFLLQGEGGFINQVLALVGI TGPNWLREPSWAMAAVIVTRVIKMVGLNMILYIAALQAIPRDYEEAARLEGASRRQIF SMITWPLLAPTTLVIMVITTIGSFKVFDHIYQMTGGGPENGTLVLAFYIYQQGFKFFN VGYASALAMIMFVMIMALTLVQVMLRRKGSE YP_002977215.1 MKAALAGIAASALTLCISTSAVFATDLRMTVWTGSEAHLKMLNG IAESFKATHPDVNVKFETVPVSDYTQKLTFQIAGGNAPDIAWMMEDAAPAFENANLLM DLGPTLKAAEGYDFDDFSKPAMGLWQKDETVYGIPFSTSPFMIYYNKDMFDKAGLEDP LTLATKGEWNMDKFQEVSKKLAETNPGKWGFEFKDGEGYASRMTHALLPPIRAYGGDI WSNKECGFDKPEAVKAVKQLHDMVFKDKSIVPPGEQGDYFSGNSAMTVNQISRASKMA EAGFKWGIAPLPTGPGGESPVIGQAGLVVFAQGKNTEIAAEFVAHMTNKENVATMAQF FPPARKSVLQADAFINGNKLVPPEMMKNVAAAIEKGRVVSANEKAPQILAAMAPRVDA LWKPDADVDAAIKGICAAIQPLL YP_002977216.1 MSAARFSLKGRRVLVTGGSSGIGRAVALGLAEHGAELILHHFGN ETGAREVARATGGTAPVLEADFTDGRATRAFADEVLGTYGPIDILIANAAIEHRRPWQ ELDEAHVNAHVASNFSALLTLTAKLVPPMAERGWGRVVATGSIMAVRPRAETVVYASL KAAQLTAIRSIARETGHRGVTLNVVSPGAIEIEANAARYGDPAFRKAVAAKIPMGRPG QPQDLVGAFVFLCSDAASYVTGANIPVDGGWSIGDAPGNLPHAAG YP_002977217.1 MTEIPERKPVFTAKPPNPSRLADGVSDAIAAALFDGRISPGEPL PPEGEIAREFGVSKPIAREALRQLTAAGLIFTQQGKVARAKALSGDSMDKIYGYAVRS SLKRLREANEMRRVVETGIARLAAERRDPEGLDIMRQGLAGMLASVQEPSGFTAADIL FHLGLAVTTGNYMIRVHMESMRSVQREVSELFSRRANRSDADWRATIDRHQAIYDAIA VGDADLAEQKIREHFEAADIASLEVADKLKDAER YP_002977218.1 MDSVQRSTGQAILISDVVAHPLSQTLPKPTVTSWGTYHKVSMVL VEVRTDAGIVGVGEVLARFSPKAYAELIETSLKPRLIGQDARNIGALWQSMRRSLSGR AGGMLIEAIAGVDIALWDIMGKAAGMPIAKLLGGIGREAIEVYAAAVNWVDDAEADRE LERYIGEGFPRIKVKMANPVREACRRIERLRKRAGDDIELCVDANWAYDLDQAIEVGR ALSANGYFWFEEPLAPENEQGYVELRKRCDVPLAAGESNFTADQAQRLVANRTLSILQ PDVARAGGISETRRMADYAALHDVGYAPHIGMSGIICETASAHLAAALPNFRVMECEC DLSPFKRDLADLAPGCLRQKNGRLDVPTRPGLGIEIDWGAVKRLRIQ YP_002977219.1 MTTSVPSSTADMMEAMRLAMLRADPALSRFDPLPRILSFDDFSR GHCGWSQLVGNYEDSLDVMLPGFAQHSSAMLSTLGHWDAGSHGGMDSSYALKIATKAK PGAQNVAIKRHTFRKRGPIRFEIFFTFKPEATELKLSETDVRSIGFLFDLQCGDRDGD GERVMPHLRFLNALDGKHLQKWQYKRETTSFTAIGREDKTVSHYHLAPEGWLDLPDGE QRLCYNEIPTKVNWSYMRFDFDLASMKATGLQCNDRNFDVSGFESIRIPAMKNLWCML NFCLFAETDVAKRAFLYVDSICISGDF YP_002977220.1 MLPENITAVITRNERWSGDAASEPYEAGWAHEAVFFIRALKPPV GTVPKGWVEISPDGMHWVREGTEFAMPGEQDGVAVARLNAFGNWLRVATRFADGAECT VLVTLHLKA YP_002977221.1 MQQTARRYAYSADIPELDDVDVLVIGGGPSGLSAAVAAARLGVR VRLVERYGFLGGNLTAGLVGPCMTSYSLDGSQQLIRGIYEEFVQRMMALGGALHPSTI PAGSAYCGFIVYGHDKVTPFQPEAAKVAAQRMCVEAGVELLLHTMVVDAIVDDHDADN PRVLGVVCAGKGGLRSARAKVVVDCSADGDVAHYAGVHTRQGRESDGLSQPQTLFFRV QNVDDQVVEDYIRAHPQDFRPFASIVAKATAEGRFPAPRRGIGLYKTMEPGVWRINTG RVLRRNGADSLELSLAEVEGREQTVALLDFFRDNLPGFEQVELRDTATQIGVRETRRI DGAYELTLQDLHSGRAFEDVVALCGYPLDIHDPTGSGGGTGGAGLDAANIYQIPYRVM LPRVHEGLLVSGRAVSATHEALSAIRVMPPCFALGQAAGVAAALSVGNRASPRHVDYA DLRRELLAQGAYLG YP_002977222.1 MPGTYATFSDDSFAGRTVLITGAASGMGLETARAFASKGAEVVM ADRDEAGLKRYGAELRNDGTAVHTVTVDVSNQESIDRAFDYCDANLARLDNVVTCAAI ITAKRIFEQDRAHWHRVLDVNLLGSFFVVQGAVKRMLDNPDGGNIVCIASDAGVHGGG GLIADTAYAASKAGTLSMVKSVARELAGKKIRINALNPGPTDSPMHSHIDQSLKDRIA GNLPMRRMGRPEDMAAAIMFLCSDAASFTYGAALDVDGGSMFR YP_002977223.1 MAANTDDIRASVSKDIAALQQEVSRLQKMISAQGAEAYYEVRGR AGKVYDEALPRAKNAVAQIRAEGVAAADAAREHPTATTTALVLAGAIGFLAGYLLSGS PQPQPRHWWR YP_002977224.1 MENKRANCIIEVSVDSADGRYAVGIMNMRQALDLPEMPSLSYTH PDPVKAAAGIVVSRKELAGFMVCR YP_002977225.1 MRERRPPHKTRERTKPADNAAAKRVTLPRALSKLGYCSRTQAER LIAENRVAVDGRTVSDASAWVDLATASISIDGLVIAAEAKIYLMLNKPRGLVTTRHDP EGRPTVYDCLRDFDIPHLSPVGRLDKASEGLLLFTNDTEFAQILLDPVTHVTKTYHVQ IDRIMDDEDIAAMTAGIRHDGELLTATAARRLRQGDRNSWIEVELDEGRNRQIRRMLE ALGTECLRLVRVAIGGLELGELPKGAVRALTEPELQALRRKTGMERTRRN YP_002977226.1 MTAVTEMAPHDFWQELHPPGTFAGNGEFTSFYVATLEDGRQLRL PIRELADGDRALASLIVNQASFAVLDALAESLAEKIRPMRIDVVAGLPTLGLTLAAAV AQKLGHGRYVPLGTSRKFWYRDELSVALSSITTPTQQKRLYIDPRMLPLLQGRRVALI DDVISSGASIVAGLHLLMACGIEPVVIGAAMLQSERWRESLAAAGPQWSARTVGVFAT PILERNAAGRWQAPPA YP_002977227.1 MSFEQASLLILLLAMLILFSLNRIRIEVVSIAGLLGGYALGLYP ADQIFTGFASPVVITVVEILLIVQVLARAKLFDSLAARFAAARPRDFTVIACTSALAG FMSIFMNNIGAFAITLPVALRLGTVLTIPRRQLVMPVSFAALLGGLVSLIGTPANLLV SDALAKATGTGFHFFDFAYVGLPIAIAGILLIAFRVQRLFPEPDETPVKISPAARRIV VERRIPDVSPLIGVRLSDCPVRFGIKPHALIRGDSFVFGPLDQSVIEPGDVLLAEGAD AVFAGLAATQALIADAHPHGLQPDFTRVEAVVMPESTLVGSRVGSLEVFHHRGIAVAA LSMRALRIEGRFLDLQLSIGDILTLEGPRIAIGEALEESECLPLASTAPSEPAPLLSW RPFALFACGVAASASGLRPDVAFAGVVLVLALLNHLNIRQAMADLNWPIIIMLAAMIP IGQAVATTGAAETIAGWLSLVVPITHPLFGIALILFLAMALTPFVNNATVAIVLTPIA LEFARAGRHAPDAYLIAVAAGASLDFLTPFGHHNNTLAMGIGGYRFGDFLRAGWPLAV ASYGLALLLTGLFWL YP_002977228.1 MRILSEAHFPELPNYYRGKVRENYDLPDGRRIIISTDRLSAFDR ILTCIPYKGEVLTQTARYWFEATKDICPNHVLDYPDPNVVIGKRLDILPVEIVVRGYL AGTTGTSILTLYKKGEREMYGMRLPDGMRDNQILPEPVITPTSKEFDGGHDEPLTPTE IVTRGLLTNEQWQTLSTYALALFARGQEMAANNGLILVDTKYEFGTDGDGNIILADEI HTPDSSRYWLAESYPASFVAGKRPESFDKDFVRAWVAERCDPYKDEIPEIPAELIEQT SAVYIKAYEAITGERFVPDDSGETPLARVRTNLTRYFP YP_002977229.1 MVRRPRRKAEETREDILSMAEMLFRERGFVAVSIADIAGALHMS PANVFKHFRSKVALVDAIAGRHLDDAAERFAAFDEKLPPKEQLLRFILRLLESHLQDI QKNPYIFEMVLSTIEAKLEAGNRYRARIEAKLGEIIREGMAEGSYHCRDPESAAHTVA DVLACVLHPVLIARDDKETLVHRAEKIVCFVHAALQNSAC YP_002977230.1 MIRRALLISSALAFAALLAACSDSGSKPAGNAGAGAAQQAAPVG VIALTKGTFPITTILPGRAETFQTADIRPQVSGLIREIAFKEGGEIKKGDLLYQIEDA PYIAAVEQAKAAISKAQASVPSAESNLDRYQRLVGSGATQIEFETAKTTLLQAKAEVE SAKAALSAAQIDLDHTRIVAPFDGIIDQTAVNVGNVVSANQTTALTTIRQLDPIYISL TESSTNLLKLRDAMAAGDIKGEANVVFHLILENGKEYNQQGKLDMSKQVVSETTGTFI IRVLFPNPDRIVLPGMYVRATVELGAEAGYALPQLATSRDANGRLTAQFVSADGKVET RAFENSSPSNNSWLVTEGIKDGDQLIVSGLQSITSGMPVKPVPMKINDTGVVMPAEQP AAGDAQKPAAK YP_002977231.1 MAKFFIRRPIFAWVIAITIMLAGLLAIFTLSISQYPDIAPTTVR INATYRGASAETVEKSVTTIIEDGMTGLDDLTYMTSTSSTGSASIQLTFGTSADPDIA QVQVQNKLQLVQSQLPSDVIDAGISVTRSTSSILLVGSLVSTDGKRNSVDLGNIMSTS IEDQIQRLEGVGSINVFGSGYAMRVWLDPFKLLKYQLTPSDVTSAIQAQNTQVSVGSL GAQPTIPGQQINVTITAQSQLTTVADFEHIILKVEKDGATVRLSDVSRIEIGQESYGG SSRYNGQPSTGFAVNLAIGANAIDTAARVRSALEVIGRGLPAGVEITYPYDTTPFVEL SIEKVVHTLIEAIVLVFVVLLVFLQNLRATLIPTIAVPVVLLGTFGVLAVTGYSINTL TMFAMVLAIGLLVDDAIVVVENVERIMSEEKLSPLEATEKSMGEITGAIVGIALVLTA VFIPMAFFGGSTGIIYRQFSITIVSAMLLSALVALVLTPALCATMLKPVGEHRKHRVG DWFNRNFTRSTNGYITTIGYLLKRPIRVMLVFLLVGAGCAYLFTRLPSSFLPQEDQGV LLTIVTTPPGSTTQQTQAVVEKVEKYYRENEKDAVDSVFGALGFGFSGSGQNSAIVFT KLKDFSLRTDPTLSAQSVVNRALGNFFAIREAQVFALLPPAIQGLGVSSGFSMYLVDT GGHGNDALTAASKRLIQMGNSSGKIVALRSSNKEVEPQMRIVLDQEKIGAMGVDIASV NSMLSIIFTGRDVNDFTLNGEIKPVYVEGDAPFRMQPSDLNHWYARNNDGEMVPFSAF TRTEWVKGAPSLARFNAVSAIPLDGASAPGVSSGDAMNEMEALTNQLGGGYTVAWQGI SYQERLSGSQAPMLYAISVLVVFLCLAALYESWSIPFSVIMAVPVGILGALTAATLFG QANDVYFKVGLLTTIGLAAKNAILIVEFAKDRMESGMGLYEATLEAARLRLRPIIMTS LAFILGVVPLAIATGAGSAAQNAIGIGVLGGMLAATMLGIFFVPSFFVVIRRIFATRG KNAAGL YP_002977232.1 MVSLRFATPALLLLLSGCVVGPDHVPPEMPLPAKFGEGGTKSDG DVTTVAWWSAFRDRKLDGLVQSGLDQNLSVQQAIERINAASANVTVAGAGALPNLTVG ASHTVSGQKGELRTQFDTRNTSGGEAQLTWLLDIFGLYKRNTESALASLDSAYASADV AKLTLVQDLVSSYIDVRYYQQRLALSKANLKSRQETYELTKFQLEAGAASRLDVVQAE GLVQSTLAEIPGLETNIRISAHHIATLLGLPAATLVDDLLKGYGQPVFRGGITSGIPA DLIRNRPDIRVAERDLAAATAQIGVAQAQLYPSISLSGSISPAYINQRGIHGGLTPWS FGPTLNLPIFDGGTLRAGVKTAQSNAAAAYLNWKSTVLTAIEQVENALSAVRRDARTV SALQAQVKTTQETLELSTASYKDGASSLLDVLDAQRQVSLAQASLAAAVQQMAKDYVS LNIAVGGGFAPGGKTTAPAVKVVAVKG YP_002977233.1 MAAPRPGPNLSRIATSLGVSVATVSNALSGKGRVSGQLVERIRE HAAELGYVPSQAGRALRTGRSGVLGLVLPDIANPLFPKIAQAIEFAASAAGYGVLIAD SRGDVAAQTEAINRLVERGVDGMVVIPRRATRISSAACPVAVIDTPSTPGNTVAADHW QGGHEIAGHLAGLGHRRILIIGNNQESNVQNDRAGGIRSGMRPGMHSETLWIDRLEQE NGSGCPLGLAEKVGQGFTAFAALSDLQALRALTELQQAGINIPTDVSVAGFDDLIWSP VVTPSLTTVRMDMDRIAEIAVSALVDTIRTSSIREGVLVTAKIERVAMQLVVRQSSGP AKPAQKTSEMENM YP_002977234.1 MKKAFIAWAAPLLDTALAFDLPVAGAASLKQFG YP_002977235.1 MGVWIDTDMGFDDIAAIMVVAQSEFEIDGLSLVFGNTPLAQVRI NAAGAASAFGWKFPIHTGRATPVLGKLETAQAILGETGIPTLGRSLPKAPALAESDAF AALCRWLERQGEHRILALGPLTNIAAVALARPDLAARITELVWMGGGVTSGNHTASAE FNALADPEALSIIIAHGLPLRMVDLDLCRKVLARPEDAEPVRNAGGANAELIADMFSG YIRIGTSRGRPAMAIYDPCAAVAFVAPDIVNFRPARIDVELQGALTRGRTVVETRATH ATFNAQFAADIDADMARVIILAALVNEARK YP_002977236.1 MNVPARQEPADLNDPALRARAVTAARGDAPFDMLITGGRLLDAV TGLIRQADIGLVGALISSVHAPASRTDAVEIIDAAGSILTPGLIDTHMHIESSMVTPA EYASAVLPRGVTTIVWDPHEFGNVHGLDGVRWAIEAARSLPLRMILLAPSCVPSAPGL ELAGADFDASMITEMLHSSAIGGVAEVMNMRGVIDGDPRMTAIVNAGLAAGKLVCGHA RGLEGADLNAFMASGITSDHELTSGADLLAKLFAGLAIELRGSHDHLLREFVEVLNGL GHLPPTVTLCTDDVFPDELQEGGGLDDVIRRLVRYGMKPEWAIRAATFNAAQRLKRSD LGLLAAGRRADIVLFEDLAEFRARQVISGGRLVARNGSMQVAVKQIDTAPLVNSVKLP RLTENDFRIPAQGERVRVATIDRPRFTQWGEAETEVRDGFVVPPAGSAMISVVHRHGK ADGTPRIGFLTGWGEWRGAFCTTVSHDSHNLTVFGDNAHDMALAANAVISAGGGMAVA KDGRIEALLPLPLSGLVTDASLQDTALAFAGIRKAMEKIVNWKPPYRVFKACFGATLA CNIGPHQTDRGIADVVTGKVLENPVLS YP_002977237.1 MLYRERRSVLAGMIGVAFAAVLVAFPAAAQQTTNSKFKVVTTFT VIADMVKNVAGDAAIVESITKPGAEIHNYAPTPGDIQRAQGAQLILWNGLNLERWFEK FFQNLHDVPGAVVSDGIEPMGISEGPYQGKPNPHAWMSPKNALIYVDNIRDAFAKYDP ANAETYNANAEAYKQKIEATITPIRATLDKIPEDKRWLVSSEGAFSYLARDFGLKELY LWPINADQQGTPQQVRKVIDAVEENKIPTVFSESTVSDKPARQVARETGAHYGGVLYV DSLSEADGPVPTYIDLLRVTSDTVAKGLADGLSQ YP_002977238.1 MIELSNLVRPASSDAGSGITVKDATVTYRNGHTALRDASFQIPT GTIAALVGVNGSGKSTLFKSIMGFVRLARGHIRVLGIPVEEALRKNLVTYVPQSEEVD WNFPVLVEDVVMMGRYGHMGMMRIPKAADHEAVAAALARVAMSEFRKRQIGELSGGQR KRVFLARALAQDGRVILLDEPFTGVDVKTEDQIIKLLRELRDEGRVMLVSTHNLGSVP EFCDRTILIKGTVLAYGPTVETFTQENLEKAFGGVLRHFVLSEAQNGRPTSIGVITDD ERPLILQGGRATARRPDSGNGGRE YP_002977239.1 MIDDLLQPFTYEYMLNAMWVSALVGGVCAFLSCYLMLKGWSLIG DALSHAIVPGVAGAYMLGLPFSIGAFFSGGLAAAAMLFLNQRTKLKEDAIIGLIFSSF FGLGLFMVSLKPMAVNIQTIVLGNILAITPEDTLQLAIIGFVSLAVLLVKWKDLMVTF FDESHARSIGLNPTVLKVIFFTLLSASTVAALQTVGAFLVICMVVTPGATAYLLTDRF PRLLVIAVIIGSMTSFVGAYASYFLDGATGGIIVVLQTAIFLIAFFFAPKHGMLAARR RAAQALEAAP YP_002977240.1 MNMVETLLLPFQFGFMVNALVISVLVAIPMALLSCFLVLKGWSL MGDAISHAVFPGVVIAYIVGIPFAVGAFAAGMFCAVATGFLKDNSRIKQDTVMGIVFS GMFGLGLVLYVRIQSDVHLDHILFGDMLGVSWRDIGQSAVIAAITAAILGVKWKDFLL HAFDPAQARAVGLRINLLHYGLLALISLTIVGALQAVGIILSIAMLIAPGAIAFLLTR KFSTMLLLSVAIAVIGSFVGVYLSFFIDSAPAPTIVLVLAIGFVLAFIHATRGTARVE ESPVD YP_002977241.1 MSIPARISDDLPFLTALRRDLHAYPELGFEEERTAGIVATLLEE AGIAVHRGLGGSGVVGTLQIGNGTRRIGLRADMDALAMPETAERSYKSTVPGKMHACG HDGHTAMLLGAARHLAATRDFSGTVHFIFQPAEEGRGGARRMVEEGLFTLFPCDAVYG LHNMPGLAVDEIAVVEGPQLASSDSWRMTFRGAGTHGAKPHLGRDPITAAGTFLSSLQ TIVGRVVDPLQPAVVSACFLQAGDPKALNVIPDIVEIGGTARAYSPDVRDQLETEIGR LAHGTAAMYSIAVDYAFERRIPPVINDADATARALAVAGSVFGGKVQTSFPPSMAGDD FAFFAQNAPGCYVWLGNGPAVDGALHHNTAYDFNDEALGYGAAYWVALVERELKV YP_002977242.1 MDLFSNLALGFATAGTPENLFFCLIGVLLGTLIGVLPGIGATAT IAMLLPITFQLEPVSSLIMLAGIYYGAQYGGSTTAILINMPGESSSAVTAIDGYQMAR KGRAGAALAIAALGSFFAGTVSTFLVAIFAPPLTAIALQFGSAEYFSLMIVGLVSSIA LAHGSVVKALAMVALGLLLGLVGTDIYTGTPRFTLGIREYADGLNFVALAVGVFGVAE ILRNLEGESTRTVLMAKVTGLLPSRQEFKEMIAPVIRGTAIGSALGILPGGGAILASF ASYTVEKRMSKTPEEFGKGAVAGVAGPESANNAGAQTSFIPLLTLGIPANPVMALMVG AMIIQGIVPGPNVATEQPALFWGIIASMWIGNLMLVILNLPLIGLWVKLLTVPYYVLF PIIMAFCSIGVYSVNANVYDLYAVAFFGLIGYVLAKLRCEPAPLLLGFVLGPLLEENL RRAMILSRGDPTTFVTRPISATLLAIAVAVLIVVFLPSVKKKREEVFVEET YP_002977243.1 MKSISFDTTNAICGALFVATGAFFAIQSLGLDLGTAVRMGPGYF PLVLAGVLVLLGAIIFIQALRVEGEPIDPFAWRGMLFILPAPVFFGLTVRGLGFAPSL FLTAFIACFASQKMNVFLAIVLSLLLTIFSVGVFSYGLGLPFERFGPWVRF YP_002977244.1 MKILKAMLGLTAAAAVSLLASVASAQTYPERTITMVVPFAAGGP TDTVARLVAESMSKDLGQQIVVENVGGAGGTLGAGRVASADPDGYTILLHHIGMATSA TLYRKLAYDTLGAFDYVGLVTEVPMTIVARKDMEPTDLKGLIDYIKANKDKVTVANAG IGAASHLCGMMFMSAIQTPLTTVPYKGTGPAMTDLLGGQVDVMCDQTTNTTKQIQGGT IKAYAVTSPKRLDVMKDIPTAVEAGLPGFEVGIWHGIYTPKGTPPEINERLSKSLQVA LKDPNVGARFAELGTAPSSDADATPAALKAKLESEITRWKTVIEAAGEYAD YP_002977245.1 MNDAKILLVDDEEELRRSTAQALELSGFSVETYSNGDHVLELIG YSFPGVVVSDIRMPGIDGMTLMQKIRELDPEVPVILVTGHGDVQLAVKAMREGAYDFI EKPFTPEMLAGVIRRAMERRGLVLENRLLKAVAGKRDDIEARLPGRTQVMVDLRYRIR AIGASDADTLIVGETGAGKEVVARALHDISARASRPFIAINCAALPANLIESELFGHE VGAFPGAVRPRYGKFEHGRGGTILLDEIGSMPFDLQAKFLRVLQERVISRLGSNEVVA LDVRFIATSKVDLEAEVAAGRFRADLFYRLNVATLHVPSLSQRRADVPLLFLQLVREA AARYGRDEMVVPPDVTSDIAQRDWPGNVRELRNAADRLVLGLDNGGRQAEEATGLAER VAEFERGVIASALVAHGGSLRPVYESLGISRKTLYEKMQKYGLDKRMLTTEG YP_002977246.1 MLVVPLIIFSFFTYGSAIATRAYMEEASAQAGTALRLAVSALSG HLNRYEALPALIADHDDIKELVSAPEDVALRDAANLYLKEINGLLKSSDIYVVKPDGE TIAASNYDGPASFVGQNFSYRPYFQDAIEGRQARFYALGTTSLKRGYYFAAPIRTGAD IRGVIVFKVDIDMIESSWGGGEYRIFVSDPEGIIFMSGSPEWLYGAILPLTADRVART EASRRYANARLAALPVTRHHFEQHELMTLASERGSSEYLVLSHYMPAEDWTVNVLMET SSIRAQARTALAAVFLILCIAGLTVAVLRQRRARLAERMQMQAEARNELERRVEERTA DLARVNSRIEEEIAERRLTEQQLRQTQADLIQAGKLAGLGQMSAALSHEFNQPLAAAK TYTDSASVLIDRGRTEEARDNIRRIGGLIDRMAAISRHLRNFARKPNEKLGLVSLDEA MRDTLEIVAWRLKAADAELLLALGPRPPVVRAGSVRLQQVLVNVISNAADAVEGLDDR RIEVSAFEEAGKVVLTVRDHGPGVPAAIAERIFDPFFTTKGVGKGLGLGLSISYNIIK DFGGSLTAANHPEGGAVFRIELQSAAGLMPEAAE YP_002977247.1 MSDDQTPADNKLTTSKRRWAAEGKFLTGRISRPEAERLPPGQHL VKNWPVLDLGQQPVISTETWRLEVRGLVETTRTLTWADFQAIEQSTGVSDIHCVTTWS RYDNKWKGVSTRNLLDLAMPKPEAAYVMLTSYDGYTTNLPLSDFAAEDAILATAWEGL PLTPEHGGPMRLVVPHLYFWKSAKWLRRIELMPADQAGFWEKNGYHIYGDPWREQRYS DD YP_002977248.1 MKNDRARPLLLTAFSAVAFFCVVAGSAFAHSRSDGGSHAGLDIP QISHGEMAVMSDYRSGIVDLASRAVDTNEPFRRVLNYAEIQYSYCLWGRMPGSVTDEE SPFNECAHAYLAATKAVLLSMREMPREAAAAGEIISAVDADMVRRGLALISCRFSDEA FNTADIVKPRWSEIPFHAASMASLTGLAALFGLGVFALRRFSRPKAQSSE YP_002977249.1 MVGNLLLRGMLAGLIAGILVFAFAHTFGEPLVDAAIAFEEASAQ AAGEAAEPEIVSRATQADLGLFTGVMAYSAAVGGLFALAFAFVHGRFSSLSPRGTSAV IAIAAFVAVVLVPGIKYPANPPAVGNPDTIGARTELFFLMIVVSLAALIAAVALSRRL SERFGLWNGAIIAGIAYLVFIGVVLYLLPPINEVPENFSAMVLWRFRTTSLGMHVILW ATLGLGFGALAEKRLAVGGGERGRPATALH YP_002977250.1 MSDTTFAPVAVPAPIPVGEILPWAIFGGLLMLIVLYFVGTEEGA MALFNGMYVHEFVHDGRHLLGFPCH YP_002977251.1 MNTRLTWICHGATAASRKALFPLDEPLEDKAVEEAGRMIPPPRA DRIAMSPALRARQTAEALRLEARIDLALRDCDHGRWAGRSITTIGTEEPENLMGWMSD PEGAPHGGESLVDLRTRIAGWMDEQSSLGGHVIAVSHAAVIRAAIVHVLQAPLSSFWL SDVEPLSVIRMTSNGQRWSLRFQH YP_002977252.1 MTYDWSGERTRRLRFMRVATVTVLVGLIFSVPLLIVTA YP_002977253.1 MPVFFQSRAGRQCVSIVVSGVTLWLLGTLLQLDDSLVAFMTGFG EYGADKLVLALGIAGAMSFVYSVLRIADLRKEMELRAAAQAKADWTATHDHLTKLPNR YAFERKILSRPIKDDEAEIEEWDRNVTIFSVDLDGFKKVNDLVGHKGGDILLIEVARR ICALGNADCVYRFGGDEFIIVAFALTAQREERFAKLLIQAVTRPIHIDGFAVEVGASV GYDRWAEGAEPLEDAAHRADLAMYEAKSRGPNHYFVFEPSMQDKVTERAALETRLRAA ISNKAIKPFYQPLIDLKTGQLCGFEALARWIGDDGVNIPPPVFIDIAEETGMITALFE DLLTQACSDALAWPDHVTLSFNVSPVQMEDRLLTSRILKVLSASRLPPQRLEVEITEN ALIQDPAIAALILDELHAAGIQIALDDFGTGYSSLAQLSRYRFDKIKIDKSFIATYRD DERQEKIVRAMLGLGRSLNIKTTAEGIEEHGQLAFLLQLGCDIGQGYLFGKAMPAAEA GIFISDRNTKLASTG YP_002977254.1 MADIAPSQVHSDASHPLHSSNSANKWFLPLFALVLVCGLGYVAY ALGRDLTTAVAVPWILLGLALLIALGFEFVNGFHDTANAVATVIYTRSMPAEFAVIWS GFFNFLGVLTSSGAVAFGILALLPVELILQVGSGSGLAMVFALLIAAIVWNLGTWYLG LPSSSSHTLVGSIIGVGLANQFLAPAGTATSGVDWSQATNIGLSLLISPLIGFGLSAV LLLVMKLLVRNKALYAEPKGNQPPPPWIRAILIFTCTGVSFAHGSNDGQKGMGLIMLI LIGLVPTAFALNRTPDVNYLEAYKSASAHVETALGKYVKPGVTVADYKAEVGNAVKNK TWTDATTPALQQYIHQTSAEVAGFPTLEAVPTNLVGNVRNDIYLIGEALKLIDKQKLL PMDAGDLSAVTSYHKAVDNATKFIPLWVKVAVAIALGLGTMVGWKRIVVTVGEKIGKT HLTYGQGAAAEVVAMVTIASADHLGLPVSTTHVLSSGVAGTMAANGSGLQWSTVRNML MAWVLTLPASIAIAFVLFVIMRQVF YP_002977255.1 MSSTDLLLTFNTGSSTVKIGIFAIDGPKARRIGKGVIDFRAEPL SLGLTKASQIVEMPLKAAVTEDLHGVIDETFALLADHFDMTAARAAGHRVVHGGDRFT KAIALDAAAIDAIDALTPLAPLHQPRALRFIRALKHLKPHLAHIASFDTAFHATQDDL VRRFAIPRALHDEGIKRYGFHGLSYKFIAGELRRKAPHPAKVVVAHLGSGASLCALDN GVSRDCSMGFSTLDGIPMATRPGWLDPGVILHLAGQRKQSFEEIEDLLYHRSGLLGVS GITADTRELLKDSRPEARQAIELFTLRIAGEIGRMAATLGGLDAIVFTAGIGEHQPEI RAGVTKRLSWLGLATDEKANAANDFTISTRESRIAAHVIATDEEQTIAEEALSVLRSD YP_002977256.1 MEKHVSTAALTDAELTLIDRYWRAANYLSIGQIYLLTNPLLREP LKPEHIKPRLLGHWGTTPGLNFIYAHLNRLIRARDLDIIYMCGPGHGGPGMVANTYLE GIYSEIYPDISEDAEGMRKLFRQFSFPGGIPSHAAPETPGSIHEGGELGYALVHAYGA AFDNPDLIVACVIGDGEAETGPLAASWHSNKFLNPARDGAVLPILHLNGYKIANPTIL GRASHEDLQSLFEGYGYEPFFVEGHEPRDMHQQMAATFDRVFDRIREIQEEARNGKAP DICPRWPMIVLRSPKGWTGPKEVDGKKVEGFWRAHQVPVSNCRENEGHRKILEDWMRS YDPKDLFGSDGRLKPELRALAPVGERRMGANPHANGGLLRKELDVPDIRDYAVDIGKH GSAMVQSTEILGHYLRDTMKRNADAANFRIFGPDETESNRLGSVFEVTDRVWMEGIEP YDVHLSRDGRVMEVLSEHLCQGWLEGYLLTGRHGLFSCYEAFIHIIDSMFNQHAKWLK VTRELEWRKPISSLNYLLTSHVWRQDHNGFSHQDPGFVDLVANKKADIVRIYLPPDAN TLLWVGDHCLRTYDRINVIVAGKQPEPQWLSMDEAVKHCEAGIGIWHWASNEDDTILP DLVMACAGDVPTMETLAAVDLLRKAIPELKIRAVNVVDLLALQSRDQHPHGLTDEAFD AIFTADKPVIFAYHGYPYLIHRLTYKRTNHRNFHVRGFIEEGTTTTPFDMTVLNELDR FHLAIEAIERVPGLKEKAGEALAAFRGKLAEHHDYVREYGEDMPEVRNWTWPMA YP_002977257.1 MVKRSLKLVKTLGALFLQDRIVGYVSRASFKAVDRGNDMKSFAA TLTAARLEKALAFYMTPEAQRDGSDDAVKSAEQRDAYETRAGERARNTEDGVEAW YP_002977258.1 MSKHIPTYELYGEKTGREPDFWVHCETIRSRSSLHQWEISPHRH ESFFQILYIESGSGDAIFGEKSHAILPPAIITVPPGLNHGFRFSRDIDGLVITLLRSH LSHPPGDRSQLGEWLAGPHLTPLDPDHAEAVYVMQTLKRLGDEFENRRSGRNEALAAY VALALRLTARISHEGNAHELPPNENERRMDMLSELIQQHFRSHKPASFYARELGLSPT HLTRIVRTMTGNTPHELIAGKLVEEAKRQLVFTLGSVQEIGFRLGFADPGYFSRFFVK YTGETPRVWRMKEKVRLERA YP_002977259.1 MRTQVAIIGSGPSGLLLGQLLTEAGVDNVILDRVNKDYILSRVR AGVLEEGTVGLLDQAKSGARLHSEGLPHDGFSLTFDGRDHRIDLHELTGGRRVTVYGQ TEVTRDLMERREESGSPSIYDAVDVAPHDFDGHSPFVTYVKDGVAKRIDCDFIAGCDG FHGASRKTVPERAIRSFEKVYPFGWLGVLADVAPVSHELIYANHPRGFALCSMRSATR SRYYIQCALDEKIGDWSDDRFWDELRRRLPTHHAEALATAPSFEKSIAPLRSFVAEPM RFGRLFLVGDAAHIVPPTGAKGLNLAASDVHYLFSGLIEHYREGSNSGIDAYSQKALA RVWKAVRFSWWMTTMMHRFPDTGDFDQKIQEAELDYLTHSRAASTALAENYVGLPF YP_002977260.1 MKKLFLAAVAAVVLSGTAYADTIKVGVIGPFSGPFALQGKNFKA GIDAYMAVNGNTVGSDTVEIVYRDVPQADPAQSKALAQELIVKEKVQYLAGFYFTPDA MAVTPILKQGNVPMVIMNAATSAIVTKSPLVVRTSFTTWQTSTPIAKVAFDAGVKKVI SVVSDYGPGIDAENAFKAGFEKAGGQVVEAIRMPLATNDFSPIMQRIMDSGAEGVFAF LPSGPTTLGFVKAYNDNGLKAAGIKFFAPGDLTQESDLPALGDAALGIQTTFHYAVSH DSPENKAFVEAATKAIGNKAELSFPSVSAYDGMYVIYKMIEATGGKQDAEKAVDAVKG LAWTSPRGPVSIDPESRHITQNIYLREVTKADDGTYINKEIQTFEKQGDPGLAAVK YP_002977261.1 MQTVFSIAVDALAYGMVLFVISIGLSVTMGLMRVVNLAHGAFAM IGGYIASYVARDLGLGYAAAVIAAVVITIIVAIPIERFLYRRIYGAPELTQVLMTIGI TFCVIGIANYVMGPTLKTIPLAGALQGSADLGFRTIPVHRLFVILCGLVVALALWFAI DRTSFGVKLRASVDDAAMAAALGVRTEIIYAVSFAVAVGLAAFGGVVGAELLPVEPYY ALRYMVTFLVVVSVGGAGSIPGALIACLLLGAIDTTGRYLMPEFGEFFFYLAVIAIIC VFPRGLAGRAK YP_002977262.1 MALVMNNENEGFQHRRGFIGRDLIGIAVILAVAAIGYFAFPDNL ALLTRMITIALLVLSLDLVTGYCGVATLGHAALFGSGAYAAGILSAHYGINDPLLMML AGIAGGAVAGLLCGAIILRAHGLPQLVLSIALINLFHEFANKASSWTGGSDGLSGIAP DPVFGLFEFDLYGHTAFFFGMALLLIVFVLLRVLVRSPFGMLCRAIKQDPLRIRAMGA SPKAALIRMYAISGAVAGVGGALNAISTQVVGLDSLSFTQSAEALVMLVLGGTGSLFG ALSGTLIFMLFEDYVSAANPFHWLTMVGALLIAVVLFAPKGLYGTAAAFVGRRREQR YP_002977263.1 MSPVFEVANLKKAFGGLAVTNNVSLTMSPGDRIALIGPNGAGKT TFVNLVTGNLSPDSGEVRLGGEVVTKVDAIGRVRRGLVRSFQVTRLFQDMTPAEHVGL AVLQRDGKTGHMFGNFLRMPDVMAEVDDLLGKLGLAFLMHRKVSEIAYGQQRLLEIAV ALALKPKVLLLDEPAAGVPQSDTGRIEQALADLPADLAVLMIEHDMDLVFRFAKRVIV LASGTIIFDGLPEDVTKDARVREAYLGSYANASPVA YP_002977264.1 MPALSLEVENLSAGYGPTRVLEGISFSVPAGARLAVLGRNGMGK TTLLATLAGQTRRYEGSIRLGDSDVTTAPSAARAHKGLGYVPQARCVFPTLTVEENLF VGLKARPKTALEEAYSMFPRLKERRRNLSSQLSGGEQQMLSTARTILGRPSVLLLDEP LEGLAPVICEELMAAFTDLAKTGDMTILLVEQRIQSALDFADQVVILERGRLAWTGTP ENLTRDHEAVESLLGVGGLH YP_002977265.1 MANHKPVAGDVYLPVFDINDPISGDILENTSSTDIDGDAVRLNF VNGQRIPQPANGSPATSTTIEGEYGTLTVYSDGSYTYALDHSNPVVAALGPGDELVEK FTFKISDGKGATDVGAFSIAIDLPERDDVFANFEDVGQSDFPTGYKGFDWGAWYDGDD ASVREEADGNHYLGGGVFWTPIQAADGGNFQIEQFSVANGTSDYDNVLTIEGKLDGDT VFLVTVNVTADSIHDPQVIDLSAYGEIDSLVLDTEPVINETSGPDYYGAQYDNFHFIV YP_002977266.1 MQPVGMRLAAVMFSLAVWAIIIAVASHLWATKMPLLLLTLYR YP_002977267.1 MAPSLNTLDFDKKPEETRVVVAMSGGVDSSVVAGLLKQQGYDVL GITLQLYDHGAAVHRAGSCCAGQDIDDARRVCETLGIPHYVLDYEKRFRETVINPFAE SYVAGETPIPCVSCNQTVKFADLLATAKELGADALATGHYIRSGRNPSPDNPGRRALF RPADADRDQSYFLFATTQEQIDYLRFPLGGLPKAETRRLAEEMGLVVAKKADSQDICF VPQGKYSDVITKLKPNAALAGEIVHLDGRVLGSHEGILHFTIGQRRGIGIATGEPLYV VFLDARSRRVIVGPKEALETHRVYLRDVNWLGDETLAEAAAGKGFACYAKVRSTRAPA PAVLHIDAIGTYVDLTVGEAGIAPGQACALYSAPGDNARVFGGGFIERSEREPSAEAC LKALLASPVAA YP_002977268.1 MKRFSFSMIGLALVTAIIANPGIAFACNKLIGT YP_002977269.1 MILILFNFSQYIPVIGINRTRISMAPLQKTEQTEAPNDDFRSLF ATHPSPMWVYDPVSLRFLIVNEAAAALYGYGADEYRRMTVLDIRPEHERERMSDAVSG RTDMEKAERWVHLKASGETFEVLTYGREVRFEGRAAILAIVQDRSEVNAARRQMSDTR SLLDSIVDNLPVGVFVKDMEADGLYVLFNEACGDIVGMRAEHVVGRTDRSLFPPSQTD AFREQDRRAFEANAAISFEETMQRADGVPRILRTVKRALPTPEGHAPRYLLGISQDVT EERAVEAKLAHLATRDPLTGLPNRAAFSEHINQRAVEATADSAIALLYIDVDHFKHIN DSKGHAAGDALLCQVSERLLQLAGEGDLVARLGGDEFAVVLELDEPERAGRFAQRLLQ TLSHAFDLDGAREHVTCSVGIALAPDHAGDADVLMRHADLALYAAKESGRSIYRFYET EMRLAAQRRHVMTAELWEALEKRQFELHYQPIVQLDNDGIGGFEALIRWRHPERGLVA PMEFIPLAEETGLIVPIGDWVIREACRAAGGWPVHLRIAVNLSVSQFRHASLLSTVVA ALDETGLTADRLEIEITESVFLTDADQSLPRLRALKALGVRIAIDDFGTGYSSLSYLR SFPFDKIKLDRSFVSGIETDAGNLAIVRAVVGIGSGFNATTLAEGIETEEQLQKLRAE GFSEVQGYLLGRPMPQHEAEALIDGRALKAASSRR YP_002977270.1 MGRFGTTTDYSRIRHWIEERGGHPARIKNAPDSETVRVDFSSET QEEISWDEFFQGLDGSRLAFLHRTKAEDDVARFGKILRRKRRHH YP_002977271.1 MAPRVLVSDELSETAVQIFRDRGVEVDFEPQLGKDKDRLLEVIG KYDGLAIRSATKVTEKIIEGATNLKVVGRAGIGVDNVDIPAASRRGIIVMNTPFGNSI TTAEHAIALMFAVARQLPAADTSTQAGKWEKSKFMGVEITGKTLGVIGAGNIGSIVCA RAIGLKMHVVAYDPFLSKERAEEMGVTKVELEELFARADFITLHVPMTDKTRGILNKE ALAKTKPGVRIINCARGGLVDEAALAEAIKSGHVAGAAFDVFEVEPAKESPLFGLPNV VCTPHLGASTTEAQENVALQVAEQMADYLVNGAVSNAINMPSITAEEAPILKPFIRLA DVLGAFVGQVTEEPIKEIEILYDGITANMNTRALTSAALAGLIRPQVADVNMVSAPIM IKEKGIVLSEVKRDKTGVFDGYIKLTVTTESMTRSVAGTVFSDGKPRFIQIKGINLDA DVGSHMIYITNTDVPGMIGFIGTTLGAAGVNIANFQLGRDKQGGDAIALLYVDGKVDD AVLAELTAHQAVRQAKPLTFNID YP_002977272.1 MAKTAKPDIRPHNTHFSSGPCSKRPGWSLEALSDAALGRSHRAK VGKAKLKQAIDLTREILEVPADYRIGIVPASDTGAVEMALWSLLGERGVDMLAWESFG AGWVTDVVKQLKLKDVRKLEAGYGELPDLSAVDFDRDVVFTWNGTTSGVRVPNADFIP DNRKGLTICDATSAAFAQELDFAKLDVVTFSWQKVLGGEGAHGVIILSPRAVERLVTY TPAWPLPKIFRMTSGGKLTEGIFQGETINTPSMLCVEDYIDALVWAKQLGGLKGLIAR ADANAKVIHDFVAANDWIANLAVKAETASNTSVCLKIVDKDIAALDDDGQANFAKGLV GLLEKEGVAYDVGHYRDAPSGLRIWAGATIEASDMQKLMPWLSWAFETQKAQLTQAAA YP_002977273.1 MKRSLSGIFAALLIATNAYSADLAPAEPIPEQPPEVTVTEATGW YLRGDVGYAFTDLRGARYFQGSNATEVDFDDADLDDAWTVGGGVGYQINSYLRTDLTF DYLTQADFKGSTVGQCGFPLVDCTSSDRSSLTAYTLLANAYVDLGTYGYVTPYVGAGI GGSYVKWKNLRNVACADDGSFCDDQVTHGGKGNWRFTYALMAGASIDVTCNIKADVGY RYLHIDGGNMFGYAENGGPGRDKGLTAHEARVGARYLFGGCAQASYEPPPEIPLQQPI YK YP_002977274.1 MKKLVRLLLTIALASVVFTGFRWYRYITNTDSPYDEVGITLNNA MPGPINAWGCAKLKTTFGTSLPPYGCAAENATQWK YP_002977275.1 MKRRYFGTDGIRGQSNVFPMTPDLAMRVGIAAGTIFRRGNHRHR VVIGKDTRLSGYMLENAMVAGFTAAGLDAFILGPIPTPAVAMLTRSLRADLGVMISAS HNPYEDNGIKLFGPDGYKLSDDIEAEIEDLLEKDLNAQLAKSDDIGRAKRVDGVHDRY IEHAKRTLPRDVTLQGLRIAIDCANGAAYKVAPAVLWELGAEVVTIGNEPNGTNINLN CGSTSPVALQKKVDEVRADIGIALDGDADRVIIVDENGSIVDGDQLMAVIAESWAESQ QLRGNGIVATVMSNLGLERFLDDKGLGLARTKVGDRYVVEHMRQHNYNVGGEQSGHIV LSDYGTTGDGLVAALQILAAVKRTGRTVSEVCRRFEPVPQLLRNVRISGGKPLEDIQV QKAIADAEAELARNGRLVIRPSGTEPLIRVMAEGDDRAQIERIVNELIGTISNVRTAA YP_002977276.1 MLGSSMNPNLRNFALWAIIALLLIALFSMFQTAPAQTGSREIPY SQFLREVDAGRVKDVVVTGNRLSGSYVENGTTFQTYSPVIDDSLLDRLQSKNVLVSAR PETDGSSGFLSYLGTLLPMLLILGVWLFFMRQMQGGSRGAMGFGKSKAKLLTEAHGRV TFEDVAGVDEAKQDLEEIVEFLRDPQKFQRLGGKIPRGVLLVGPPGTGKTLLARSVAG EANVPFFTISGSDFVEMFVGVGASRVRDMFEQAKKNAPCIIFIDEIDAVGRHRGAGLG GGNDEREQTLNQLLVEMDGFEANEGVILIAATNRPDVLDPALLRPGRFDRQVVVPNPD IVGRERILKVHARNVPLAPNVDLKILARGTPGFSGADLMNLVNEAALMAARRNKRVVT MQEFEDAKDKIMMGAERRSSAMTEAEKKLTAYHEAGHAMTALNVAVADPLHKATIIPR GRALGMVMQLPEGDRYSMSYKWMVSRLCIMMGGRVAEELTFGKENITSGASSDIEQAT KLARAMVTQWGFSDQLGQVAYGENQQEVFLGHSVSQSKNVSEATAQKIDNEVRRLIDE AYTQARTILTEKHDEFVALAEGLLEYETLTGEEIKALIRGEKPSRDLGDDSPPSRGSA VPKAGARPATKGDEPEGGLEPQPH YP_002977277.1 MSPEAASPQLAILQFLTSLQSPARILVAISGGSDSTGLLLLLDE AVKAAPHLKISLYAATVDHALRAGSADEAREVAALCASLGIAHTIMIWQGDKPKTGIM AAAREARYGLLATAAKEFKADLIVTGHTFDDQRETLQMRGMRTEQVSTGIADAVLFDR SFWILRPLLFSTRADIRAFLKERGVPWIDDPSNEDTTYERVRMRRQLSVDAGVERTIQ AACEERPALSSKGAEWLDRHFRLHGGLLGQVMPDGLRQDRAVLNYALGRLAAVFGGQP FAPGRVQMERVLAFVTGSEPGRMTAGRVVFDLRRDGLYLARESRGILPLLLQPGEAGV WDGRFYARNGLSTSIAVEAATLYAPSFLSSDLTRELSEESSAPKSLGANDTSRFIESI TAQTRGGWIPVTSTGMRGRMHHLPKAAWKRAVASAPVLSAEGNLLTEECARMVELTPY FAPFDRFLTRFDFIFANRLSAVFATAPYARLPLRSIDGKTI YP_002977278.1 MKKFVVAGMLCLAAVTGSERVAYSASFFGLHLGGRSAENQAAAP VIKVQSGDAEVRVQQLEEQLRQLNGRIEEMSFQLLQMQETIRKQQEDNEFRFQQLEKT GAGGGAKAPVKKSETDAAPAASGGDDIAKVIQAPQGAETAPSTSVPENSGLGQPPKEL GSIDFDQNGNPIGGSVDENATIGSGPIPDANTKTPQQTASLGSEADQYKAAYGHVLSG DYSTAEQEFTQYITHYPSSARAADANFWLGEALYSQGKYNEAAKTFLNAHQKYGTSEK APEMLLKLGMSLAALDNTETACATLREVSKRYPKASRAVISKVASEQKRLAC YP_002977279.1 MSRIHTPAMSRMQNFARNPVMIALIAGLALASCAKKPPNSAGDL GLGSGAGGAATPGSAQDFTVNVGDRIFFDTDSSSIRADASQTLDRQAQWLGRYPNYQI TVEGHADERGTREYNLALGARRAAAAKDYLASRGVPAQRLKTISYGKERPVAVCDDIS CWSQNRRAVTVLGGAGM YP_002977280.1 MTKCSFFRAILVAVGLMTAAVFATPANALVTLDIRKGNVQPMPI AVTDFQGDMGAQVSQVIAADLQRSGLFAPINKTAFIEKISNPDASPRFEDWKVINAQA LVTGRVTKEADGRLRAEFRLWDPFAGQQMTGQQFYTQPENWRRVAHIIADAIYKQITG EEGYFDTRVVFVSESGTKQQRKRQLAIMDQDGFNVRMLTDGSDLVLTPRFSPSRQEVT YMSFANQQPRVYLLQLETGQREVVGNFPGMTFSPRFSPDGQKVIMSLQQDANSNIYTM DLRSRTTTRLTSTAAIDTSPSYSPDGARVSFESDRGGKPQIYVMNADGSGQTRISFGD GSYSTPVWSPRGDLIAFTKQAGGKFSIGVMKPDGSGERILTTGFHNEGPTWAPNGRVL MFFRQAAGSGGPQLYSIDLTGYNEQLVKTPTYGSDPAWSPLME YP_002977281.1 MLTDLSHGNKSFAAASMLGAILACAPEALAQSVDAATYKKSAMD LQHAIASSFNVPPGRRGAAEL YP_002977282.1 MKASVITSAVLHGLVLTWAMVSLGAPESFKVEDFEAMPVDLVPV ESITQMQQGDKKAPKKEISAPVPTTRPPIAQPAENAGDNNVDLKTPPVPNAKPSNSEA AAANSSEKPMPKTDPKPNDVKDIVKEETEVEQPKEVASIPPPKPVEVTPPKPEEKPPE EQAKPEEPPKPDAEALPDNVPTPVAKPQVKPPEPQKPAEKPPEKTPDQPKTADVPTDK KKADKKQETAKSASAMKSDFNADEVAALLNKTDPSAGGAKRSTQEASLGAKKSNGGSK LSQSEEDAVRGLIEGNWLVTPGMEGLSGMVIKVHMKLDKDGNIIGQPEVESSGGSSSD ATRRALEGGAYRAVMKSAPFSMLPKDKYEAWNEFDLNFDPSSMGI YP_002977283.1 MGMAVGGNGGGGGGRRRRGGRNRAVISEINVTPLVDVMLVLLII FMVAAPMMTVGVPIDLPETQAKALNSETQPITISVKNDGEVFLQETPIPAAEIAAKLE AIATTGYNERIFVRGDATAPYGVIADVMARIQGAGFKNIGLVTQQKKDQ YP_002977284.1 MEQVGLAAATTDVSLWSLFMQAGIVVKLVMLGLIAASVWTWAIV IDKYLAYGRARRQFDKFEQVFWSGQSLEELYRSLSERSNTGLAAIFVAAMREWKKSFE RGARSPIGLQMRIDRAMDVTLARETEFLGARLGSLATIGSAGPFIGLFGTVVGIMTSF QAIAGSKSTNLAVVAPGIAEALLATAIGLVAAIPAVIAYNKFSADAGKLSGRMEGFAD EFSAILSRQIDEKLQPRAAAQ YP_002977285.1 MTERPFSISGELTEAGHRLVQRVYYEDTDFSGLVYHARYLHFLE RGRTDYLRCLGVEQRELVSADEEGLVFVVHRMEIDFKSPARMDDVLTILTHTEKAGGA KMVLNQQIRSGETLLIAAKVIIAVINARGRPRRLPETLAVKFLEGSTPL YP_002977286.1 MKIAVMGGDGFIGWPTSLHLSDAGHDIHILDNLSRRWIDTELGV QSLTPMDSIQERTRIWHAETGRRIHFNLIDLAKDYELLKNWLSEHRPDAVIHFAEQRA APYSMKSDRHKNYTVNNNVSATHNLLNALTELNLDAHLIHLGTMGVYGYSTVGAAIPE GYLPVGIETAGGETVNQEILYPSNPGSIYHMTKCLDQLLFQFYARNDGLRVTDLHQGI VWGTHTEQTRRHAQLINRFDYDGDYGTVLNRFLIQAAIGYPLTVHGTGGQTRAFIHIQ DSVRCIELALKNPPARGARVEIFNQMTETHRVRDLAEMIARMSGAKIAWLPNPRKEAA ENELIVRNEKFRDLGLEPITLEAGLLGEIVDVAKKFAYRVDRARVPAVSAWTKDIAAT INHDPEGKRLKSVS YP_002977287.1 MANVTTQTPHRFAYVTLVTNADYAMGATALARSLRRTGTGADII ILHTGGVDAAALVPLKALDCRLIEVEHLPLSAAFNERHARGQLHSAAPFTKGRKPDFH SPLDNFCKLRLWQLVEYQRCVFIDADALVLKNVDRLFLYPEFSAAPNVYESLADFRRM NSGVFVATPSHDTFRHMLERLDRPDAFWRRTDQTFLETFFPDWHGLPVYFNMLQYVWF TMPDLWDWKSISILHYQYEKPWEKDHPKAARLQPLIDLWHRFHDGGDVPEITALDNPE GTA YP_002977288.1 MKVLVSGGTGLVGRYVVEELLAAGYQVIVGGRRAPLPRLFSRPV EFAALSLDPDKDQIDVFDDAYFFVHAAFSHVPGKYRGGEGDDPKTFHRLNLDGTVRLF EAAKRAGTRRCVFLSSRAAYGEHPEGTELTETMLAKPETLYGQVKLDAERALDHLSTP GFAGVSLRATGVYGDLSPNKWDGLIADYLAGRPVVARRGTEVHGRDLGRAVRLMLETE STRISGEVFNVSDISVDTRDILSPIRRETGCRHALPPPPDRAALNPMSTAKIRTLGWA PGGAPLFEETMQQLAAALPKSPRHRSTQA YP_002977289.1 MQVATTSASIILRGTSSTAAISAAGSETDQGVLKLQRATQALQQ MRQTLANSGDEAKAKAQRKLEEAKQQLEMLRSSNMAPEVVARLAAELARKVGTAASEF ASSVATGSPATAVSMDATTGAAAVAISGADAALTDTAGETAAGETGSQEPDAATNARN AYASVAEDAPKFSGISADDRETMEEFKAVVRELKQVLDKAMRELRQQDRQPGANAIPD MAGFSIATATIPTSIVV YP_002977290.1 MTSRELKAQLRNERLSARDAIPAEKRASKSLAMAEHAGEAVGFA LGTIISGFLPIRSEADLRPLMARFAVRGARLCVPAILDRQTIVFRELAEGAPLVDTGF GTVGPGADAAILDPEIMLVPLSAFDARGHRIGYGAGHYDRAISRLRQKGLHPKLIGIA FDCQEVAHVPAEPHDISLDAILTESGLRFFASWIG YP_002977291.1 MRLLFLGDMVGKTGRTAVWDRLPGLVSDLKLDFVIVNGENAAGG FGITEDIFLETINAGADVVTTGNHVWDQKEAVAFAGRHDQFLRPANYPQGTPGRGSGL FYARNGARVLVANVMGRVFMHPELDDPFKSAEVILAACPLKEQADAIIFDFHAEATSE KQCFGHFVDGRASFVVGTHTHVPTADAQILNGGTAYMSDAGMCGDYDSSLGMDKEEPL NRFISKMPKGRMEAANGPATICGVGVEISDTTGLAEKIAPLRLGPRLAETVPEFWR YP_002977292.1 MKPRYLVLAIACLATFAAPNLAGAQEQRPPVSQCQAIAQTIPKV TFASFSGAPPLMPAVSEGEDVKLTFLGHSTFEIDTPGGIVIATDYNGWYRPATPPDVV TMNKAHTTHYTLTPDPGIKHMLHGWSDVSGEKANINLVVGDAYIRNVPTDIRFSYGLG GAHENGNSIFIFEIAGLCIGHLGHLHYELTDAHYTEIGRLDVVMVPVDGGLTMGADSM SRVIKRLRSSLILPMHRRGPPVEAFVSMFGKDFDVANAPDDSITVSMRTLPKKPLIYV MKGLR YP_002977293.1 MASLSEAQEHPARQLWDQVNDVHAGMLGISGLDMHMQPMAPHAD PTTNTIWFYTKTDADIVRAIKPGSRAHFCVIGKDHDYHACLAGVIEVHPDPSKIEEYW SSIVAAWYDGGKKDPKLTMLSLHVDDAEIWVSTGNKLKFGWEIAKANLDDDKMPDVGI KRHLQFA YP_002977294.1 MAGHSQFKNIMHRKGRQDAVRSKMFSKLAREITVAAKAGLPDPT MNARLRLAIQNAKAQSMPKDNIDRAIKKAAGADGENYDAVRYEGYGPGGTAIIVEALT DNRNRTASNVRSSFTKAGGALGETGSVSFSFDHVGEITYKLSVGDADKVMEAAIEAGA DDVETDEDGHYITCGFEALGEVAKALESSLGEAETVKAVWRAQNNVPVDEEKAQSLLK LIDSLEDDDDVQNVYSNFEVSEEVLAKLSA YP_002977295.1 MVPFSILDLSPVAEGSTVRQSLEASARMALKAEEFGYKRFWLAE HHGMPGIASAATSVVIGHVGAATKRIRIGSGGIMLPNHSPLVIAEQFGTLEALFPGRI DLGLGRAPGTDMRTAQALRRNLEAGANSFPNDVVELQQLLDTPVENQAILAVPGNGSH IPIWLLGSSLYSAQLAGMLGLPYAFASHFAPDMLLDAIAIYRDRFQPSATLAKPHVMV GVMGAVAATDEEARYHFTSAQQQFVNLRRNVRGPFPRPVADMGDFWSPMEKMNVEHTL RYAVVGSPKTAEAKLTEFLQETQTDEVIISMPIHDIEARLTSVELFAGLGNFMRAAA YP_002977296.1 MARRFQSLSFKVIATFILLTVLSIAVIDVLAYFASSRISDEQAL KAKESVLIFRGDMLQDQLTQLENQANSIARIEALQMSITNLKSGWKTIEKTAGDARAE LKKVFIVGNPNPADQREKLIKPEGPSGFYYSSHEKTQGEVARDLEDTAFSDLLIVDLE GTVLYSYKKEDDFAENLKSDAWKATGAGIAFAKAIENTARATDDAAPTGFSGLRVDAG SGKSAIFYAVPIVKLGAAKGIILFKVRDEIVTGILAKGIVQGSTARAAIVSGDGSAVG LDASGKLATLDATPFTFIKSALASSAMTVADFGRTDGAARAYVRGIDYRGDRFLVVES VLLSELNAGSIEIATLLTMIGIGVLVVMAIATGLITNFLFSPLARLAGVTRDVADGKL DSEIGSLNRKDEIGTMANALDRFRHSLIESRELEAASEETRLQAEHDRQQNLAEREAE AKTLQQVVEALDEGLHHLANGDLAYQIDTRFPNELESLRVNFNEALATLSETMTAIGG NSMAVRAGSEEMRTGADELAGRTERQAGSITETANAISAITQSVRQQIARAEQAERIA RDAKKETTGSGQIMRETIAAMEAIQASSRQINTIISVIDDIAFQTNLLALNAGVEAAR AGESGKGFAVVAMEVRELAQRSSSAAKEISSLLQKSTHEVESGVTLVEKAGVALTGIG AHVEAINGQINEIMSATREEANTLREINSAVAELDAMTQQNASMVEETTAAIHRLATE ALEMDRQLGNFTLPPGHHQPIAEVHVLRRHR YP_002977297.1 MQNTIRIVGIDPGLRRTGWGIIETSGNSLRFVASGTVTSDGDMD LASRLCQLHDGLAEIVHSYKPDEAAVEQTFVNKDAVATLKLGQARGIAMLVPARAGLP VSEYAPNAVKKAVIGVGHGEKQQIHMMLKILMPKVEFKGNDAADALAIAICHAHNRGS NRMRQALAG YP_002977298.1 MRVTEKGQVTIPKDIRDRLKIGPGSEVDFVADEKGARLVVLSSR IEPSQDDFESWLGSMSGTLDTSGMTTDEFMEWLRGPRDDLSPR YP_002977299.1 MTSVLVDTNIFIDIFGPDNPFREWSARALSILRPDSQFIMTPVV WAELASMTPREETLAFLLSRLNLIREALPFPAAYRAGMAHAQYRRNGGLRDRTLPDFF IGAHALVRSHRLLTRDGARYRSYFPELDIISPETHP YP_002977300.1 MIGKLKGTIDEIGEDYVLVDVHGVCYVAHCSARTLSKLGSAGEA CVLFIETYVREDQLKLFGFMTALEREWFNLLQSVQGVGAKVALAVLSTLTPGELANAI ALQDRTAVSRAPGVGPKVAMRLVTELKNRAPAFAGEAINIALKQELGEGVAAAPVADA VSALTNLGYSRDQAANAVAAAMKTAGDNADSAKLIRLGLKELAR YP_002977301.1 MSEPARLISPEKRGEDLDITLRPQSLDEFTGQAEARANLKVFIE AAKNRGEALDHVLFVGPPGLGKTTLAQIMAKELGVNFRSTSGPVIAKAGDLAALLTNL EERDVLFIDEIHRLNPAVEEILYPAMEDFQLDLIIGEGPAARSVKIDLSKFTLVAATT RLGLLTTPLRDRFGIPVRLSFYTVEELELIVRRGARLMNLPITEEGAREIARRARGTP RIAGRLLRRVRDFAEVARAEAVTREIADEALTRLLVDNVGFDQLDKRYLNMIAVNFGG GPVGIETIAAGLSEPRDAIEDIIEPYMIQQGFIQRTPRGRVLTAIAWKHLGMQPPKDM EAAQFRLFQEDN YP_002977302.1 MITRRGFLKVLGGGFAGVMSLGGYAFAYEPLARLAITRYQLTPP GWTPGLKLRVVALADLHACEPWMSASRIAAICHTANELEGDVTVLLGDYAAGMNMVTQ YVHSSQWSKALATLQAPLGVHAVMGNHDWWEDRTAQKNGGMETFGHRAFADVGIPVYG NRAVRLEKDGSGFWLAGLEDQLALLPGRKWGRTQMLGLDDLEGTMAQVTDDAPVILLA HEPDIFPRVPERVSLTLSGHTHGGQIRLLGRSPIVPSRYGNRYAYGHMIEEGRNIIVS GGLGCSIAPVRFGVPPEIVVIDLG YP_002977303.1 MAKRTLIRLNAGAERFNYRIAGLGFRDGHVLVHRAVHEPFWTFP GGRAEIGETSEQTLKREIVEELGVEVTVHRLLWIVENFFRYEQRDWHELGLYYLMEIP PEFPFLSGEIIHRVEDGDNHLEFKWVPATRNALSALDIPPYFIADEIETLPVVPRHLV WRDGDLDGKD YP_002977304.1 MPTFDPVRPFRKLAYNNALANRRLLQACATLKPSEFEAPRTSFF PSIKETLNHIITVDWFYVDGLEGGTLGLKAFEVDEPFDDVSSLTEAQAKVDQRLTALC EALTPERLISTISLHRGDRIQEERMEDVLGHLFQHQTHHRGQVHAMLSGTSVAPPQLD EFIVADDARFRGGELATLGWSEETLMR YP_002977305.1 MIRTILLATACACMLAAAPADAAEETTQSFETGLIPSPHIFLPE GEVKGTVMLISDAAGWGDYEKGEADRLVAEGAVVIGVDFPSYIQALGQYDVSLNDGCV YMVSDIESLSQQVQRATGNNAYHLPIVAGIGEGGALALAIAAQTPDATIGQTLAVNPA AGIPLAKELCTPASKQVVGERTVYGLSDGILPDPIVTVFTPEANKDGRAHAEALKKAH DAIEIRDSTDDAQTAFADTLDDLVTASGAFGNPLGLPLAVLEATPAFDTMAVIYSGDG GWRDIDKEVGGTLQKEGIPVVGVDSLHYFWKERKPEETAADLSKIIDFYRKQWKVKHV LLVGYSFGADVVPATYQLLKPAEKSAVAQLSLLSLSHQVDYVISVLGWLGQKTEGAGG DPVGDLKNIDPKLVQCIYGKDDDDDVACPALKDSGAEVIELPGDHHFDENYDLLTKTI IDGLKKRLQN YP_002977306.1 MSGHGNLEEIEEADGFSFGGLFRRYRTPLLAAASLVVFCLVGYA IMQLTNEVRYDDVVGALAATRPSSILLALFFTALSFLALIFYDLNAIEYIGKKLPFPH VALTAFSAYAVGNTAGFGALSGGAIRYRAYTRLGLSPEDIGRIIAFVTLSFGLGLAGV AAIALIVIADEIGPLVGISPFLLRLIAGSIVAILGAVMIIGRDGRVLDLGPVAIRLPD SRTWSRQFLVTAFDIAASASVLYVLLPQTAIGWPVFLAVYAIAVGLGVLSHVPAGLGV FETVIIASLGSAVNIDAVLGSLVLYRLVYHVLPLLIAVLAVSAAELRRFVDHPAASSM RRIGGRLMPQLLSALALLLGVMLVFSSVTPTPDQNLEFLSNYLPLPMVEGAHFLSSLL GLALVVAARGLGQRLDGAWWVAVFSALAALTLSLLKAIALVEAAFLAFLIFGLFVSRR LFTRQASLLNQALTASWLMAIAVIVVGAVVILLFVYRDVEYSNQLWWQFEFTAEAPRG LRAVLGITIISSAIAVFSLLRPASFRPEQATDEALARAVEIVMKQGNADANLVRMGDK SIMFSENGDAFIMYGRQGRSWIALFDPVGDHRAVQELVWRFVEAARAAGCRAVFYQIS PALLSHCADAGLRAFKLGELAVADLRTFEMKGGKWANLRQTASRAQRDGLEFAVVEPQ DLSSVIDDLAAVSTAWLEHHNAKEKGFSLGAFDFDYVSSQPVGILKKDGRIVAFANIL VTESRQEGTIDLMRFSPDAPKGSMDFLFVQIMEYLRGQGFTHFNLGMAPLSGMSKREA APVWDRIGSTVFEHGERFYNFKGLRAFKSKFHPHWQPRYLAVSGGGNPMIALMDATFL IGGGLKGVVRK YP_002977307.1 MQDGSALVMLALGRLLLGGLYVAGGVHHFFVIVPLTEAIEARGL PFAKWVLVLGSMFQIVAGILLMLGLFVAAAAFGLIVFTLAATVMLLNFWDMQGTARDS AINTWKTNLAIIGGLLIAAAGAM YP_002977308.1 MERGMARGLKAVIFGMLTLLALFSAVSPGFAQTSPAQVSAAAAP PAEVRQMMELMQTPQVKQWMSTQMAAAPASDSAAGDQMSVLSGLLQHARRHVSMVSDA AMTLPSQVGHASSLFFGEIAAIGWPRMIAQFLAIFLLGTIVERIARYAFRRRRQAAQM AGMHLAPRVIPALIFAMATALALLSVSWPPVSQSIAIVCVVALVVQRFTICLGGVLVD LIGLARAEEERQEITAPIMPARDVAFFWYRRCATFVIYFIFGWAVIQSMEPLGYSASV RLLVGYILGIGLLLIAIEAVWSRPHKDEKRGHGTSWALTVYFLLLWSLWVAGFNWLLW LGIYVLLLPRVLAVSTIAVKSLQQTEASFLATRPIAAVLLDRGVRALIIAVAAIWLGH MLGVGADTMAAGETMIDKIARGVIGGIVILLAADLLWHVVKAYIDGKLLESSVDGGAT DEEKAKRARIQTLLPIFRNILAVVIAVIAVLMVLSGLGIEIGPLIAGAGVVGVAVGFG AQTIVKDVISGMFYLWDDAFRIGEYIESGSHKGVVEAFSLRSVKLRHHRGPLTTVPFG ELGAVKNLNRDWTIDKISLNVKYDTDLVKAKKVIKQIGQTLLENPEFGPHIIETLKMK GVEQFGEFAIEIRLSMMTKPGEQFVIRRNALAMIRNAFQENGIEFAVPTVQVAGDRDA EVDAAVARYAAHARAGAEPAA YP_002977309.1 MQLGMVGLGRMGNYMVQRLMRGGHECVVYDARPESVAELAGLGA TGSASLAEFVSKLTHPRAIWLMLPAAIVDKVLASLVPLLENGDIIIDGGNSYYHDDIR RGADLITKGIHYVDVGTSGGVFGLERGYCLMIGGEKGIVEHLSPIFATLAPGVGKTEA SPNRSVEAAAASTAEQGYLHCGPHGAGHFVKMVHNGIEYGLMAAYAEGINILKHANIG AASHEADAETAPLAHPEHFQYDFNLQDVAEVWRRGSVITSWLLDLTADALHADPALSK YAGRVSDSGEGRWTIMAAIDESVPTPVLSAALYGRFSSRDNDEFANKVLSAMRAGFGG HVEKPAVKS YP_002977310.1 MSLGIRVSGRIGRPVAEVFDAVVNPKKLSSYFTTIGGASAPLVK GTTVTWWKDAPVEVVELVPESRIVLRWDGGTGEDEAKYKTLVEMNFKPLEDGGTLVTI AETGWREDDSGRRGTYLNCEGWTQMLCCMKAFVEYGINLREGMFLSEMKGEPASAPDI YP_002977311.1 MSSESTDDPVFKALAHHRRREILDLLKDGPRTTGTLCEMFPEMD RCTVMLHLKVLEEADLVIARKEGRERWNHLNSLPIKHIYDRWISAYAGHALSILDRLK GDLEGQPE YP_002977312.1 MTISGAGIRRMRLLRSMKQEHLAELLGVNQATISRWERDKLALS PEQAIKLERIFASPPHAAVDAALKRLVEDSVRPVHLICDRTHRLLATSRPRHAEWRAP LGAFLGRSLFSYASAEIAAAEQSLEERGWHAGRLSSLTLDTGANGNALLPIAAGRMTW ERIMLSDGSAGRLVTTIG YP_002977313.1 MTILVTGSAGHLGEALMQTLRAESRWVRGIDIKPSAFTDMVGSI SDRAFVRQVMSGISHVIHAATLHKPHVATHGNYDFLDTNIAGTLHLLEEATTAGVASF VFTSTTSTFGAALTPAAGEPAAWVTEDVLPVAKNIYGVTKLAAEGLCELFARKSGLPV AILRTSRFFPENDDDPDIRNGYLAENAQANELLHRRVDISDVVGAHLLALEKAPAIGF GRYIISATTPFSANDLAAIRRDAPHVVERLFQGAGALYASRGWKMFPSLDRVYVNERA RRELGWQPRYDFRFVLDCLRDNREWRSPLALNVGSKGYHDEVFAEGPYPVG YP_002977314.1 MKNVRPYTARLFAAVLAASAAVPVVAMAENSATVGGLTFVNKGL VGIGRIPANQRDKFGETFGSGSGMAIDPAAWSRDGAGYKGTLYLLPDRGYNAVGTVDY RPRLNTISIGLTPTAPGAAPEVGKEQSGVDARLVDSTLFVDDRGGDMTGLDPESGVRP AAGDFPPLPQATNGKIALDNEAIIRMADGSMFVSDEYGPYIYHFSADGHLLSATQPPK ALLPMRKGALSFASNNPGPGASAPDPKDPETGRQNNQGLEGMAMTPDGKFIIAVLQSA ARQDGGDSGSTRQNTRALIYDAADPDHLKLMHEYVVPLPVFKDAKDKTMIAAESEIVA LSDKTFLMLARDSGNGQGLKGDTSLYRKVDIVDVSAATDIAGSNFDDGKPIAPKGVID PSLTPATLIPFIDLNDKVDLARFGLHNGAPNDKNNLSEKWEAMGLASVLNPNLPDDYF LFVANDNDFLTQDGFQVGAAYKADGGADVDTMFQVFQVTLPGLKK YP_002977315.1 MAQVTVTIDGKAYRMACEEGQEDHLTDLATRFDRYVGHLKDQFG EIGDLRITVMAGIMITDEIAELTRRVAGLESELETLRGNRDTVLAATARTEENLAAAL SEVSSRIRGITDKLNGRSAPELN YP_002977316.1 MMPTGKTMEAALNELRQAISSLENAVDMRVERQREQGEIEGEVR RVHADRSRLAQELDQAEFRANRLEEVNREVSRRLVTAMETIRAVLDR YP_002977317.1 MTSPEQHDRMANAIRFLAMDAVEKANSGHPGMPMGMADVATVLF TKYLKFDPKKPHWPNRDRFVLSAGHGSMLLYSVLYLTGYPDMTIEDLKQFRQLGSKTA GHPEYGHATGIETTTGPLGQGIANSVGMAIAERKLREEFGSDLQDHYTYAICGDGCLM EGISHEAIALAGHLKLNKLVLFWDNNSITIDGAVSLSDSTDQIARFKAVHWNTIEIDG HDQAAIADAIEAAHKSDRPTFIACKTIIGFGAPNKQGTHKVHGNPLGAEEIAATRKAL NWEAEAFVIPSDVLDSWRAAGARSVDLVKSWEDGLAKAPARAEFTRRMAGGLPEGFDA AISAYKKKLAETKPTVATRKASEDALEVINGFLPETLGGSADLTPSNNTKTSQMHSIT PTDFAGRYMHWGIREHGMASAMNGIALHGGLIPYSGGFLIFSDYCRPPIRLASLMGIR VIHVLTHDSIGVGEDGPTHQPVEQLAGLRAIPNLMVFRPADATETAECWQIAIKTHNR PSGLALTRQNLLAARTEYSEKNLCEQGAYVLAGNADAKVTIFASGSEVEIAVAARTAL EAKGVTVRVVSVPCTELFFEQPEAYRKEILGNSPVKIAVEAAVREGWDAFIGPEGTFI GMKSFGASGPVKEVYKHFGITADAVVAAAEAKL YP_002977318.1 MTVKVAINGFGRIGRNVLRAIVESGRTDIEVVAINDLGPVETNA HLLRYDSIHGRFPATVKVEGDSIIVGNGKPIKVTAIKDPATLPHRELGVDIAMECTGI FTARDKAAAHLTAGAKRVIVSAPADGADLTVVFGVNHDQLTKEHLVISNASCTTNCLV PVVKVLDDAVGIDHGFMTTIHSYTGDQPTLDTMHKDLYRARAAALSMIPTSTGAAKAV GLVLPHLKGKLDGTSIRVPTPNVSVVDFKFVAKKATSVGEINEAIMAAANGKLKGILG YTDEPLVSRDFNHDSHSSILATDQTKVMEGNFVRVLSWYDNEWGFSSRMSDTAVAFAK LI YP_002977319.1 MLAARETGPLFVAVPSERNQLSPLWHLFVHCPDEIC YP_002977320.1 MDYFTVEIAGRAVASFRSENHEEATHFFEAEDFRDDLTVLESEG KPLWDRKAALSLRKATTEEASEVEHAYEFDDDPERTIEDEFVVFLVPVADLTDEGEDT ED YP_002977321.1 MAEAQKRLSHTTLKRRQRFHPKRDVRPAVLAKANRLVIWVAALI GLSFLAIVIFQAVLG YP_002977322.1 MEAFYIVVLVSTALVLLAAFSSLLAFRFGAPLLLLFLMIGLAAG VDGLGIEFSNNYLAYILGSIALAVILFDSGFGTPMQAFRLAAVPSLALASVGVLITAS LFAFAAMWLLNFTWLEGLLLGSIVASTDAAAVFFLLRIGGINIRDKVRSTLEVESGTN DPMAIFLTIALVEVLASGERYAGINIGMLAMFVQQMGLGVILGLLGGMMIVLIVSRLD TDRGLTPIFVLALALLVFSFTGAVGGSGFLAVYVAGIYAGNRKMQAIGTIKRFQDGMT WLAQIIMFLVLGLLATPSQFPVIIVPAILLALFLIFVARPLAIWLSLLPFDYTQQEIG FVAWVGLRGAVSILLAIMPILGGLENGQIYFNTAFIIVLVSLLLQGWTIKPVAKKLGL IIPPRIGAVDKVEVDLPGAANHELLSYRVIKDSPVLRGERIPRWATPSLVIRDGKSMR YQYAGRLREHDLVYLFIVPSYSRLLDRLFASRAPVDDDDAEFFGAFALSPARPAADLD AAYGPGLLNESERGLTIAELMRQRLGGKADYADRVRLGSIILIVRDLDEHDHITSVGM SLEAVEPAITLPIFLNLKDITQRIRDRLNGRRNRETAASESPPKPPAGRDEGTRENGR YP_002977323.1 MPSFKTLDDLSDIRGKRVLVRVDLNVPVKDGKVTDVTRIERVAP TILELSEKGAKVILLAHFGRPKDGPSPDLSLSLIAPSVEEVLDHAVLTASDCIGEAAA SAVAAMNDGDILLLENTRFHKGEENNDSNFTKTLAANGDIYVNDAFSAAHRAHASTEG LAHHLPAYAGRTMQAELEALEKGLGEPARPVVAIVGGAKVSTKIDLLMNLVKKVDALV IGGGMANTFIAARGTNVGKSLCEHDLAETAKQIMIEAATAGCAIILPEDGVIAREFKA GAANETVDINAIPADAMVLDVGPKSIEAINAWIERAATLVWNGPLGAFEIEPFDAATV AAAKYAAGRTVAGKLTSVAGGGDTVSALNHAGVADDFTYVSTAGGAFLEWMEGKELPG VAVLNAAR YP_002977324.1 MSERLEDIAVQMVTGGRGLLAADESTSTIKKRFDAINLESTETS RRDYREMLFRSDEAMKKYISGVILFEETLFQKAADGTPFVDIIRAAGAIPGIKVDTGA KPMAKYPAETITEGLDGLGERLARYYEAGARFAKWRGVIAISSTLPTRGSVRANAQAL ARYAALCQEAGIVPIVEPECLMDGKPGDHNIDRCAEVTESTLRIVFEELADARVNLEG MILKPNMVIDGKNARKASVAEVAERTVKVLKATVPPAVPGIAFLSGGQTTEEATAHLS AINASGDLPWFVTFSYGRALQDSALKAWNGKQENVAAGQREFTHRAEMNSLAAKGNWK KDLEKAA YP_002977325.1 MNTLSYVTVDVFTSTRFEGNPLAVISDARGLSDAAMQKIATEFN YSEVTFVLPPEDPQNSARVRIFTPTMEIPFAGHPNVGTAYVIGQQAEIFGKPVGDTLR FEEKAGIVEVSLKREGGRVAAAAIRAPQPLTIGDTIAAETVAGCVSLDPGAIVNTTHA PVFVSVGLNFAVAELNGLGALAAARPNLAGFQAAAGRQTTSGHDFSLFVYVRTAENPW SIRARMFAPLDNVPEDPATGSASAALGAYLVSLAPEADMNARITIEQGVEMGRRSVIT LDVAKSDGIVTDVVISGGCVSVMRGEISLQD YP_002977326.1 MTKLIALLLILAMAIQVIKPLGLPGLRRRMDFWKLALIAFAVWA VALLSRDFLM YP_002977327.1 MKRNLLSVAALLFGTLFLFMGNGLQGILLPVRGNLEGYATTTLG LLGTSWAGGFVIGCLVAPKIVRRVGHVRAFSGFISIIAIIALVSGIIIDPVWWVVLRA VTGFSTAGTSMIIESWLNERASNESRGMIFSLYIGITLLGVVGGQMMIPLEDVRTPVL FMICGIFYCIAMLPTTLSTAASPQPLKAVRLDLPALYRNSPVSCLGILLVGIANGAYG TLGAVFGAGAGLSDTNIAVMMSATIFAGAVMQLPAGRLSDRIDRRYVLAAMSGIAALA GLLIFLLHPTSPALLIGLVVLYGAVANTLYPIAVAHANDFAASEDFVKVSGGLLLLYG IGTMIGPTLSGPVMSAITPHALFLVTAIAHVLITVYAIIRSRIRAAVPASDRDAYTTI PTGTSQMLTPQSMSLADRGAGKPPETEKSPESGDPAVKFG YP_002977328.1 MSFIDDERPQKKVAHEIGADLSMLSVDELKGRVELLKTEIARLE AEAGRKASGRQAAESFFRS YP_002977329.1 MSEVGLNTISFAGRAAASSQFKALYAEGMSLVEETAAYLDGQGR AASKVLPRMASVLYAAESMRLTTRLMQMASWLLLQRAVNNGEMSRDQVLAEKNKVRLD GFNVDRAAPGWGDLPESFRDLVERSLRLQNRIALLDREIYRPSEAVIVHDNQNSVQAQ LSLLQTAFGNN YP_002977330.1 MKAGIHPEYHMIKVVMTDGTEYETRSTWGSEGAVMNLEIDSKSH PAWTGGNQQLMDRGGRVSKFNKRFGGLGL YP_002977331.1 MAEQARAEVNKKRSLRPLGRLTPYVMRYRGLVAGALMSLALAAI TSLALPLAVRRMIDHGFTQSDGRFINSYFAMLMVMAIVLAVASALRYYFVITIGERIV ADLRRDVFAHVTRLSPSFFDVNQSGEIVSRLTADTTQIKSAVGATASVALRNLILCIG AMGMMIVTSPKLSSLVIGAIPLIVFPLVAFGRSVRKRSRAAQDTLAEASAFANETIAA TRTVQAFNGEDAAATRYGTAVESAYEAARAAIRSRALLTGIAITLIFGSVVAVLWVGA HSVLAGTLSAGTLGQFLLYAVISAGSLGALSEVWGELSQAAGAADRLTELLDEVSPIT APASPEPLPSPSRGRVEFSGVHFAYPSRPGKSALHALSFAITPGETVAIVGPSGAGKS TVFSLLLRFYDPQQGSVKIDGVDAQLTTPDELRQRIAIVPQDVTIFAASIHDNIAFGR PGASRDEVRAAALAAQADEFIARLDQGYETEVGERGITLSGGQRQRIAIARAILKNAP VLLLDEATSALDAESETLVQKALDGLVDGRTTLVIAHRLATVLKADRILVMDQGRVVE EGTHQSLIRHGGIYARLARLQFDAANEDVLAAAK YP_002977332.1 MALSDMTRRAGLALGFGVLAALAVGASATAADFPDRTITMVVPF AAGGSTDVVARIVAQKMSEDLGQQVIVQNVAGAGGNLGAGNVARAEPDGYTILMGTVA THALNPLILKSTPYDAEKDFAPISLLVVVPNVLVVNPELPAKTVQELVALLKAEPDKY SYASSGNGTPLHLSGELFKSMAGVSMQHIPYKGAGPALNDVIGNQVSIMFDNLPSSSS HIKAGTLRALAVTTAERAPSFPDVPTVAESGIPGYETYTWNALFAPAKTPNEVVMRLN ASAKKALADPAVAERMKEFSATIVGSTPEELAAHVKAELAKWGPVVKGANIQME YP_002977333.1 MYKFEVYKDKAGEFRFRFKASNGEAMFSSEGYKAKASAMSAIES IKKNTPSAEIVDQTKAES YP_002977334.1 MQYALIIREAAEDFARRSDPAYRDGWVAYSQALAQAGIMTGGAG LTGPETGTIVRRKGEDHDVQDGPYPDGKEQLGGFYLIDVPDMDTALEWAIRVPISDKG SVEVRPRLQM YP_002977335.1 MPPDARRAAEKVARQSYGKLIAFLAARSRDVPAAEDALSEALAS ALRVWPERGVPGNPEAWLLVAARRNLMQAARHRTVEANAQTTISVAFEEAEERMNAAG NAVFPDERLKLLFACTHPAIDSSVHTALMLQTVLGIEARTIARTFVVSPETMSQRLVR AKVKIRDAGIPFAVPPRPALPGRLAAVLSAIYAAYGLGWDGLDGENERHSLAGEAIWL GRALLAVLPDEPEAIGLLSLMLHCEARRSARRDDSGRYVPLDEQDTTAWNAIMIAEAD ALLRKAGSFDRFGPFQCQAAIQSVHAARRLSGTTDWQALTTLYAALVMMKPTLGAHVS QAAVIGRAFSATAGLERLDKLDPRDIASYQPYWAVRAFLLAQAGDHTAAADSYMTAIG LSDSAAVRDFLAVRLRDARQAISS YP_002977336.1 MALARNRRRERTVDYWPGFVDALSTLLISIMFLLTVFVVGQFIL SREITGRDEVLNRLNSQINELTQLLALEKGSNQDLQDSVANLQASLASAEGDRSRLQA LLSAGSGGQDAAQKRIGSMTQELDEQKQVSERALSQVELLNQQIAALRSQIAAVEAAL QASEDKDRVSQTKIADLGSRLNVALAARVQELNRYRSDFFGRLREILSDRENIRIVGD RFVFQSEVLFPSGGADLNPEGQTEMAKLASALLDLAKEIPPEINWVLRVDGHTDNVPL GGTGRYRDNWELSSARAISVVKFLIAQGVPADRLVAAGFGEFQPIAPGDTPDARSTNR RIELKLTEK YP_002977337.1 MENVNVAEIGSTEKTTGIYANRLSSPMPFLWTMLLFLVIVGFIA AILFRQTQTAFMHNPGLNGLIVGVLAVGIILVFNHVLALRPEVRWFNSFRAAGSVDKV NRNPRLLAPMRALLGSRKSSASLSTTALRSILDSIASRLDESRDVSRYLIGLLVFLGL LGTFWGLIGTIGSISIVIQSLDAGSNGTGDVLSALKEGLSTPLSGMGQAFSSSLLGLS GSLILGFLDLQAGRAQNRFYMELENWLSSVTDVGSDHLAPALDAVAGASSDDMRALSD YLRKVSEEGGAGSQRSVAAMASLAEGIQGLVKNMRNEQQMLRDWIEAQQDEAKAMRRT LDRLAERIGVQERAGERGERLRDRASQAEKSEGK YP_002977338.1 MARSALLNVMVQAALKAGKSLGRDFGEVQNLQVSVKGPGDFVST ADRKAEKIVREELLKARPTYGFLGEESEEIKGTDGAHRWIVDPLDGTTNFLHGIPAFA VSIALERNGEIVAAVVFNPATDELYTAERGGGAFLNDRRLRVAARRALSDCVIGCGVP ALGKRNHGKFLVELRHVMGEVAGIRRLGSPTLDLAYVAAGRFDGFWEAELAPWDVAAG ILLIREAGGFATDWEGGATMLESGTIVAGNEIIHKALIEVVKRPVPAK YP_002977339.1 MAALRRRKLFILFWTDQSNKSRSLVMARHKEFDRDTALHAAIGV FTEHGYEGTSTEELLTAMKISRQSMYDTFGDKRGLYLEALKRYNVESIDKIIADLRHD GRPIEALEGALVAFASRPAAEARRGCLGVSAICEFGRSDAEVAALTDSAGRALHAAIE SLLAEARRSGELATEIDIADAIPFLGATLSGMKVSARNGAQSETLRGIAHMAIRSLR YP_002977340.1 MSQPLSTKIALVTGGSRGIGAAIVRRLAADGAAVAFTYSSSEHK AKAIVAELEAAGGRALAIRADSADAKAVQDAVALTAGHFGGLDILVSNAGILILNPLD DYSLEDFDRMFAVNVRAAFVGIQAAARHMKEGGRVITIGSVTADRSGFPTSAVYSMTK GAIASMTRGLARDLGPRGITVNNIQPGPTATDMNPNEDDHQRLKPLMALGRLGEDREI AGLAAYLASAEAAFVTGASLTIDGGYLA YP_002977341.1 MAKKIRVLIIDDSASIRQTLTHVLEQDPDIEIMAVASDPFMAAR KLQEEIPDVITLDVEMPRMDGITFLRKLMSQRPIPVVMCSSLTEAGSETLLQALEAGA VDVILKSKIGAADSLSDDAMRIREVVKSASHARLSNVRRAAGTIRSASAEGPAKKLTA DVMLPPPTGRAMAKTTEMVVCVGASTGGTEALREFLEELPANAPGMVIVQHMPEKFTA AFAKRLNGLCEVEVKEAVDGDPVLRGHVLIAPGDKHMLLERQGARYYVSVKTGPLVSR HRPSVDVLFRSAARSAGSNAMGIIMTGMGDDGARGMLEMHQAGAYTVAQDEASSVVFG MPKEAIAKGGVDRILPLDQIAREVLITQQKF YP_002977342.1 MSMAAAVETQLPGDRISKRNFDKLARFIYDYSGIKMPPTKLTML EGRLRRRLRATNHATFDDYCDFLFNHDGLDQETVYLIDVVTTNKTDFFREAKHFDYLQ TVALPMIVNSGVRTIRTWSSACSTGAEPYTMAMVLAEFAEGRNDVSYSVLATDLSTDV LQTARRGIYPEDLIAPVPRDLQRKYVLTAKQPGRREVRITPKLRSKIGFARMNLMDEK YAIGELMNVIFCRNVLIYFDKQTQAGVLNRLCACLAKGGYMFIGHSESITGFDLPLKQ VSNTVFQRI YP_002977343.1 MIMATTSLEAQFVTFSLGEEIFAVPVEVVREILDYAEAFKIPNG PDYLLGLRDVRGQGVPTIDLRLKLGMTKTVPTPHTRVLVLDVPMENRLLTLGLVADRV FEVTPFRHEQIEAAPDIGVRWRSDYIAGVVRRENGFVVIIDLARLLSREDASVLQSAA YP_002977344.1 MRITIKLKLAAAFGFVILLLVGSAVYGIISLSTLNDAVGNLVAG PAKSLELALEAKAAELSAIRWQKNALLEMDPEVARKNYQNSAKSMDEMLAYAVSGQQL ATVDGKPTWDRLIELAKRFTEGSHKVASIQESGDRAGANALSSGEVRALVTELEDVFA ALVAQQQKSMAQADDDTETLYGSTRNLLIGIAVGASVIAFAAALWIALGINSGLRKIM NVANAVATGDLNQKAEINSNDEIKDLVNTINVMTDNLRSTAGIASQISNGDLTVSPKP LSDKDMLGIALEQMVERLRGVVSDAAAAAENVSAGSQELSSSSEQVSQGATEQAASAE EASASMEEMAANIKQNADNAAQTEKIARQSAKDAEASGDAVTRAVQAMRTIAEKIGIV QEIARQTDLLALNAAVEAARAGEHGKGFAVVASEVRKLAERSQSAAAEISSMSGDTVK AAQEAGDMLGRLVPDIRKTAELVSEISAACREQDVGASQINEAIQQLDKVTQQNAGAS EQMSATSEELATQAEELQASIAFFKVDTAGNRQSRTPAARMTVRSPAPAAGRKPAPKK PAANSVAGQQARAKGFALDLSMGGPDDGDAEFKESA YP_002977345.1 MRFTIKLKLGLAFGIMTLLLIGIAVYGSLSLGTLNEASGKMIDG SVRRLELALNANIAEVNAIRAQKNALLSTDPDAAAGFYKEADQNLQVMFDAVDAGLAI ALPEGKPHWEKLRTVGGKFREKSAELQQLDAKGDKAGALALSLGDLRAMTGDMGDAIS ALTEIQRKGMQATNQSNTNLYTSTKLILTTASGIAVLIALGAALWITLGINNGLRKIT TVVNAVAIGDLNQKVDVKTNDEIKDLINTVNAMTANLRATAALADQIAMGDLSTDAKP LSDKDALGIAMQSMISNLRTTAGIADQIANGDLTVSPKPLSDKDALGIALEQMVERLR GVVADAISAAENVSSGSQELSASSEQVSQGATEQAASAEEASASMEEMASNIKQNADN AAQTEKIARQSAKDAEASGEAVSRAVDAMRTIAQKIGIVQEIARQTDLLALNAAVEAA RAGEHGKGFAVVASEVRKLAERSQSAAAEISSMSSDTVTAAQEAGEMLGRLVPDIRKT AELVSEISAACREQDIGAAQINEAIQQLDKVTQQNAGASEQMSATSEELASQAEELQT SIAFFRVDMAGGRRERAPAAKLTVRNRPPAAPRKPAGKAPANTVAGQQARVKGFALDM SMGGPDTVDEEFRESA YP_002977346.1 MRLTIKTKLVTAFTFIILMLVGTAAYGIFSLGSLNDTIDKLLAG PAARLDLAQQINIAQLEAIRQQKNLLTARSADETAGAVAKGNQARKEFTDAFNQVLAL ATEEGKARWARIAELSKTFNAADDQIRDYVKAGNAEGANTVSVTTARAAANDIDATLS EILALEKQRMKAADDGAEAQYTTTRTMMVAVAAVALLIAALTAFWIASTISKGLGRAN TVVREVSEGDLTKMADITSHDEIGELLGNVNIMIERLRGVVADALSAADNVSSGSQQL SASSEQVSQGATEQAASAEEASASMEQMAANIKQNADNAAQTEKIARQSAKDAEMSGE AVTRAVDAMRTIAQKIGIVQEIARQTDLLALNAAVEAARAGEHGKGFAVVASEVRKLA ERSQSAAAEISSMSSDTVKAAADAGDMLGRLVPDIRKTAELVSEISAACREQDIGASQ INEAIQQLDKVTQQNAGASEQMSATSEELASQAEELQTSIAFFKVDTAGNGRSGAHKA QPKASAKALKAPAAGRKPGLQAHSQGRGQTVSAQQQRLKGFALDMSMGGPDASDDDFR ESA YP_002977347.1 MSATAAAERFDKHWSYAEEVEVLTFDLNGETFALEAVIVQEILD LLPETAVPGSQPFVASVINFRGKVIPLADLRLAFGMEAAEATIDSRFIVIEIDLQGEQ TLVGLRTDKVNEVTTLAKSASEAPPSIGMRWRADYINCLVKRGGEFIILPNLQAIFSS RHDAAGAVN YP_002977348.1 MSTLDPVAVFRTEAAECLEAIEAGLLDLTHQLDNKDLVDAVFRG LHTLKGSGAMFGFEALAAFTHHCETAFDRVRKGEVAATSELVAAVLAAQDHMRALVDQ PDADHGDTGHKLLAQLQAAVGGKEAVPAAVAAPAAVRETPAKKKSSWRIRFSLPANSM ANGTNPLGLLDELRDLGECTVRANSSAIPPLDALAPTELHISWDVTLTSEQDRSAIDD VFIFVLDDMELSVEEIGGTAAATAAPVEEKAATAPVAAVSAASPTSVPEFRPVEAVPA KREAPAAISQAKAAENVRVPAERLDELMDRVGELVIAQSRLSQLASASADIALRSVSE EIERLSGELRDTMMVLRMVPVATLFSRFRRLIHDLARETGKVIELVTEGESTEVDKTV IERLADPLVHLVRNSIDHGLETPADRLASGKTEAGTVTLSARQAGGEVIISIKDDGRG INRERVRAKAESSGLIQPGQPLSDSELLQLIFAPGFSTAAAITNLSGRGVGMDVVKKT VEALRGAIDIVSLPGQGSEVSLRIPLTLAIIDGLLVRVGSGRYVIPLSAVEECLELSL EEDLRSRGRSFISLRDSLVPFLRLRDLFRTGTKPDVHQKVVVISTGTERVGLVVDQII GDHQTVIKSMSKLHNNVATFSGATILGDGSVALILDVGHLVAAGQQQEAQLRVAG YP_002977349.1 MSAKILTVDDSASIRLTTKVTLTNAGYSVTEAVNGAEGLATAKG SSFDLIVTDLNMPVMDGLTMIEELRKLPAQAGVPIIFLTTESDADLKARAKAAGATGW LTKPFDPESLVKIVKKVLGR YP_002977350.1 MDTQKQYYESINLPDVLSIRNVSELYSKFTDEFHSNDTIIISIP EGAEADLSFVQLIESSRRQAKAKGKTFKLSSPASGSVLKVLERAGFIESFDHEDANFW LHKEVTL YP_002977351.1 MAHALVSPNAAAYSSGRDISQTLESARSQVEERFLEGGTVLLSV MDVLNRLLNSLESVTTTLDNKEASDTSADLRATVESLMALPVTEENRQQALVSLAHTG RELRKHVADMQETMRYLRTFAVTVKITGAGLAEFAGFAQEILERIYSGTDEVNRFATH LDSLDKEVKLAASFGASVSKGYADTVPAVAGALRDDAAKIAGHRKDLGVIAREVGAIA RAVQSKVASTLSALQIGDITRQRIEHVQATFSLLEDFFAGEDGARLDAGARQRLQNVI HHLTAAQMSDMCANFQRDSENVVKTIASFDHDMREILKLRDQMSGQSGEAGGNFMRAL ESSVSAAHEIVKQVDTASRQADQVSQSTIGTAAKLSEAIGNIRAVKTDIHYMALNTNL RCSRLGEEGKSINVVTAELRIFAGKLDDSADAIVNGLPALEAAAGRVAPATDAGAGNL GESLTSAVGNIRSAANVMENELKVLAENGREVATKISLLIGKLDFQHNLGEVLAGCAD LLEGVAGTDVADISDLAETIAPLDRKIFKHYTMVQERSIHRGIIPASEDSTSAPAENV KAENDEDLFADALF YP_002977352.1 MPIPPARLLKYILASMAALVAAGPNVALAQAPDSVISQPGTGPA TTFRTDRPSRPGVQPSDGVGVFDRMGANLPDLPPEKDYKGPIDEAYGAFQRGYYLTAM DKALPRAQLGDPAAQTLIGEILSQGLGVKKDVKNAAFWYGKAAEGGDGAAMFKYALIL MEGEGVPRDKVKADDYMHKAAEAGNPSAEFNWAQLLIADNPGEKGLKLALPFYEKSAE QGIADSQYAVAQIYATLKDLPEEKTKLAREWMARAARAGFDTAQLDLGIWLVNGVGGP KDYVKGFEWLKLAANGGNVAAQNKLAHLYINAIGTAPNPIEAAKWYVLSRRAGLADPA LEDFYLGIEDNQQKAAIDAANKFRRR YP_002977353.1 MTEPENRCRLVLIVPDIADADEQAKIVADALKGGDVASVIVPQY GLDDGTFQKHAEKLVPLIQDAGAAALISGDSRVAGRAKADGLHLSSNAEALSEAIDKH APKLIVGGGNAADRHHALEIGEVRPDYIFFGKLDGDIKPEAHPKNLALGEWWASMIEI PCIVMGGTDPASALAVAETGAEFVALRLAVFAEPARAPSVVAEINALLDEKAPRFED YP_002977354.1 MPQDLSFYYAAVPAVLLVGLAKGGMGDALSLIGLPFLALVVSPV EAAAILLPILVFMDMISLVIWRKHGDWATLKIMLPGAIFGIALGWATSALVPGNMLRI VIGAVTILFCLRYFWNSFGPGAGKVIPPRGQRPVAAGLWGTFSGYGSFVAHAGGAPFQ IYALPLKLQPREYTGTSVRFFAILNAIKLIPYFALGQLDTQNLATSATLLPFAPLATI AGAWCVRRMRPQIFYPFMYAMALIAAFLIVGEGLGW YP_002977355.1 MSNTDPFSAIADPNRRFLLEELRRAPRTVNELAEGLPISRPAVS QHLKALLDSNLVSVTSEGTKRIYAVNNRGFDKLNLWLDQFWA YP_002977356.1 MTVQAHLESLQKKHVALEEELHALRTSPSISDTALADCKRRKLR IKDEIQRLKSSVH YP_002977357.1 MADQEQAEIRLIVARLRQEHEDFDAAINAMIQTGCDALRIQRMK KKKLVIKDRLSRLEDQIIPDIIA YP_002977358.1 MTDRPPVAIIMGSQSDWETMKNAADTLEALEIPYDARIISAHRT VDRLVNFAKGARAEGFKVIIAGAGGAAHLPGMTASLTPLPVFGVPVQSKALSGQDSLL SIVQMPAGIPVGTLAIGKAGAVNAALLAAAVLALSDEEIADRLDEWRERQSAAVAEYP MDDL YP_002977359.1 MTATTIGIIGGGQLGRMLAIAAARLNFRTVILEPQADCPAAQLA NRQITAAYDDPAALAELADICDVVTYEFENVPVAAAEKLSASVSVYPPPKALEAAQDR LVEKRFLNGCGITTARFHAIHSQADLETALKDFGGQGVLKTRRLGYDGKGQKVFRSAA DSPDGTYAALGGVPLILESFVAFEREVSIIAARATDGTVVCFDPAENVHRNGILHTST VPAAISAPTADAARKSAEKILAALNYVGVIGIEFFVLADGGLIANEMAPRVHNSGHWT EAACVVSQFEQHIRAVTGLPLGNAERHSDCVMQNLIGDDILAVPDWLRRPDTLVHLYG KTEWRPGRKMGHVTTVTPKSPVWT YP_002977360.1 MKIKNSLKSLKARHRDNRLVRRKGRMYIINKLNPRFKARQG YP_002977361.1 MSAMRFFALTSAALPLALILLTPSFPAAAAEKSAAVAKKDVIVE QADANNSPKQHLDQLFSQLKRERDPEKASGIANEIRMEWNDSGSATVNLLMQWADKAI EEKRNPAALDFLDEAIALKPDYAESWNRRATLNFVMGNYRKSMSDIERVLDLEPRHFG ALSGMAAILSNSGNDQLTLKAWERFLDIYPAERTAQEQANTLAEKLAGNRT YP_002977362.1 MLAEVSALLAPLAAAIGYSTYKARQFEHAYPNIGELTDIGGYRM NAVHVPPPENSDLPALVFIHGASGNLLDQVVAFRAALEGRAEMLFVDRPGHGYSERGG PENAVPSGQADAIARLMEKRGIEKAIIVGHSFGGAITAAFGLRHPDKTAGLLFLAPAT HPWPGGIDWYYHLATVPIVGWLFNHAIVVPLGLSRLERGTLNVFRPNPRPADYIEKTG PSLVLRPSAFQNNADDFKRLLAYVKEQSLLYSQITAPTVIITGDSDEIVWEHLHSRGL ARDISGSELITVRGVGHKPDYLATDVAIAAMEKISGKPRDLQAIARRAEERLAAASRD RTAAAVPSLGPTGPHVFSEAPRTP YP_002977363.1 MSSQHVVVIGGSSGIGLATAKLLLKQGYTVTIAGRDGEKLAAAT ASLAGELRSLVLDAAELSGLGEAFSQIGAFGHLVLAMGSGHGAGPFVTLDMADLLAGF QTKLIPHAAAAQAALPLLQPGGSITFVSAVSAQAAMPGTAGLAAVNAGIEAMVPVLAA ELKPLRVNGVSPGVVDTPWWNFLPPEQRDSVFADFAGRTPVGRVGRPEDIAEAIAFLI GNGFMSGHVITCDGGVSLGA YP_002977364.1 MDQRPDTFDARRREFAAFLRSRRERLTPSEVGLPEGFRRRTPGL RREELAMLAGVGTTWYTWLEQGRDIRPSIQVLNALADALRLDEAERRHLFILNNRQVP QAISSAPECVDEPLQRMLANLTHQPAYVLGRRWDVLAWNRAAEVLFGGYDTLDRDERN TMHRLFADPAHRKLLVDWEAVARVSLAMFRADSARYAGDPDFDRLITLLKQSSPEFRD WWQRHEVVSPLSGIKRINHPVKGRMSFEHTGLTLTSRPEMKLIVYTPLDDDDTNRKLA ELLT YP_002977365.1 MGRLHIGTISVISALSLSLTSAFAASPAPVEAEHGMVVTAQHLA TDVGVDVLKSGGNAVDAAVAVGYALAVVYPSAGNLGGGGFMTIRLKDGTKTFLDFRER APLAATKTMYLDAKGDIVPRASLDGYLAVGVPGSVMGFETAREKYGSKSREELIAPAL RFAKEGFTLEQGDAASFAGNAKRLAKDEAAAKIFLKPDGKPYASGEKLQQPDLAAVLA GISEKGPDAFYKAAPAEAIVKASQAKGGILAKEDFEQYAVRELKPIECNYRGYDIISS PPPSSGGVIICEILNVLEGYPLSYLGYNSAETVHIMVEAMRYAYVDRNAALGDPDFVE NPVEKLLDKKYASEIAAKIDPYRAGASANLKPLGGKESTETTHYSIVDDEGNAVAVTY TLNGSFGAAVVAPGTGVLLNNEMDDFTSKPGVPNLYGLVQGEANAIAPKKTPLSSMSP TIVTRDGKPFMVIGSPGGSRIITITLEAILNVVDFGMDISQAVNAPRVHHQWQPDKVF LEPYALSPDTEKTLAAMGYSFDGGNDAPQWGQAAGILVGGKSLAAIEKGGGARYNGAM DSRAAEGSASGY YP_002977366.1 MIVAARARLRGHARRTPLLSSPFLNEIAGRRLFVKAECLQHSGS FKFRGGWSAVSGLDAAVRSKGVIAFSSGNHAQGVALAAKLHGVPSVIIMPSDAPKLKI ANTRAFGAEVVLYDRVNEDRDEIGARLSAERGLTLIKPFDEPLVIAGQGTTGLEISEQ AGEEGVTSAEVLVPCGGGGLTSGIALALEASSPGFRVRPCEPRDFDDTTRSLASGKIE RNAAVSGSICDAIVTPQPGNITFPILKRLAGAGIVVTDEEALRAMALAFSRLKIVVEP GGAVALAAALFHGDALESDTAVVVTSGGNVDPDIFAMALERFG YP_002977367.1 MTQDHKGTALVTGASSGIGAVYAHRLAKQGYNLILVARNGERLK TLASRLTDETGRTVETVVADLGNRADLGRIEGVLKEDRSITLLVNNAGVGGTAPLLSA DVDKMQEMIELNVTALTRLTYAAVPGFATRGGGTIINIASIVAIAPELLNGVYGGTKA FVLAFSQSLKHELAEKNIRVQAVLPGATATEFWDIAGTPVEHLPNEIVMSAENMVDAS LAGLEQGEFATIPSLEDAGLLAAYEQARQALMPNLSRTAPAKRYKVA YP_002977368.1 MQQIGFLLYPGFQIMSLAAVSAFEFANLELEEKVYEIRYLSENG GPVANSLGMMMETEAFGTPALDTLIVAGAPDIRLPNAAEADFIRAALPATRRLASICT GAFFLAEAGILDGRRATTHWYVSRELQSRYPKIKMEEDRIFIIDGSIWTSAGMTAGLD LALAMVEKDHGFEVARAVSRKLVVYHRRAGGQSQFSALLELEPKSDRIQKALAHARSN LKSALSVEELAEVAHLSPRQFSRAFRDETGQSPAKAVENLRLEAARLMMEQGRHPIDV VARETGFADRERMRRAFLRAFGQPPQAIRRAALQELQM YP_002977369.1 MTSDVYPAALSAAQIEQFIDDGFVRIDDAFPLALAKEARAIMWR DIPFDADDPRTWTQPVVRLAGYGGGPFEKAVNTPVLHSAFDQLVGKGRWEPRSGLGSF PVRFPHPDDPGDAGWHVDLSFAGEDSNRDEQRDFSAWRVNITSRGRALLMLFLFSDVG EQDAPTRIRVGSHKDIARLLEPAGESGMAYLRLEHVGEDRPLALATGRAGTVYLCHPF LIHAAQMHRGKQPRFMAQPGLAPSEPIRLEREDGAYSAVETAIRRALQER YP_002977370.1 MRRTIFDLEVLRTFSTGMELGNFAKAAERLGRSTSAVSAQLKKL EEQAGTPIFRKAGRGLALTDAGETMLGYARRLLELNDEAAAAVNSVELEGWVRLGLQE DFGETLLPDVLGRFARAHPKVRIEARVVRNAELLERVTSGKLDLALAWSDGTLTAHCE RIGEVPMRWIGPAEGQPAWQAASGEPMPLASLEAPCLLRSAATKALDEAGISWRLAFV SPSLGGLWAATAAGLGLTIRTPVGLPAKVRPLAPGAIGLPDLPKLGLVLHRAEAEPQP AAARLAELVLQSVHGALRGVVA YP_002977371.1 MPFVRISLRKGKSPDYLMALADNIQHALVETFDVPENDRFQAIH QHDERELIFDRSYLAGPRSDDFVYISITIGRPRTGEMKAALYRRHADLLAQSPGLRPE DVMIVISTSTPEDWSFGNGIAQMTDPDWRLRVAGGRQ YP_002977372.1 MIASNPKTMTIRRPGRAVRSPEGVATSPFWVEMLLEGSADGENT AMRATLEPGTITRWHTHPRGQLLYVLSGHGLAQTEGGPIEALRPGDAVWFALGERHWH GAADDSPFSYISIQPKENGSVVEWLQPVETRS YP_002977373.1 MMTMQYSFPLPADYDMSIIDRRIRDKGPLLDGFPDLGFKAYLSA RKGEFGNRDNLYAPFYLWQRPEGASEFLCGPGFEALTSAFGWPQVRTWIVWQAEISPD IASAKFATRDVLQTEPYAPLADIRQAESAEAGADVATGRALASVSGFEPTTWTRVRFR LWREIPEIGEQTQAYRVGHLSLARP YP_002977374.1 MDIVTLLAFAAVSFVGIATPGPTVLLALTNGSRHGLRRAVAGMI GAVLSDFVLIGAVAIGLGALLAASEFWFSMLKWAGAAYLAFLGIMLLRSKGTIDAALK SGAPAGATSPFSIGLKSFMVAATNPKGYLFFSAFLPQFIDPTLPQATQYTLLALVFAA LDFLIMFGYAFFGSQAVRFLKTSSAMWLERACGGALLALAGSLAFYRRATA YP_002977375.1 MKRNRKVKILATLGPASSEESMIEKLHQAGADVFRINMSHASHD MMRMLIQRIRSVEARSGRPIGILADLQGPKLRVGKFVDGKVDLKPGQTFTLDNNEALG DQNRVYLPHPEILESVQPGHRLLIDDGKLALRAEKCDGKSIVTTVISGTRISDRKGVS LPDTLLGVGALTDKDRADLDAVLATDDVDWVALSFVQRPDDLAEVRKIARGRVGLMSK IEKPQALERIEEIIELSDALMVARGDLGVEMPLESVPGIQKQLIRACRRSGKPVVVAT QMLESMISAPVPTRAEVSDVATAVFEGADAVMLSAESASGDYPVEAVTTMASIATAIE REPHYPGIIYAQRAQPEATGADAISLAARQIAETLKLSAIVCYTSSGTTGLRASRERP QVPILALSPIIKTARRLAVVWGLHCVVTHDATDLDDMVNRACRIVADEGFGKPGDRII ISAGVPLGTPGATNMIRIAYIGSDGQSGV YP_002977376.1 MIQAAPSFLTRSGPLVRLALFALAAAMPFFIADAARADFRVCNG TQNLVGVAIGYRAKDGWVTEGWWQVPATTCATLIEGELQSRYYYLYAEDAARGGRWTG DVQMCVAENEFKISGVQDCYARGYQKMGFKEYDTGRQGSWMVQLSDTPGTQESQN YP_002977377.1 MTNGNSLMDDFQSFEILSGKHDKGMVILADHAMNRLPARYGRLG LPDAAFDRHIAYDIGIEGLTRQLSAKLGVPAVLGSFSRLLIDPNRGEDDPTLIMKISD GAVISGNHPITPQEWDYRIETFHRPYHDAVAATIDSVANATGRAPLVLSLHSFTPAWK GIPRPWHAAVLWDSDHRAVGPLLDMLRADPDLAVGDNEPYDGALKGDTMYRHCMITGI PHALLEVRQDLIGDETGISAWAERLAPIFAAMNADPALHGYDVHLSRTGPY YP_002977378.1 MTALSKEQQTEFEAAAFRRLVAHLRERSDVQNIDLMNLAGFCRN CLSNWYREAAEAEGVPVTRDESREMVYGMSYEDWKNLHQNEASPVQKAAFELNNPHK YP_002977379.1 MSDAHGVARDQLRAFIERIERLEEEKKTIADDIKDVYGEAKGMG FDTKILKKVVALRKKDEQERMEEEAILDTYLLALGMIESPPEG YP_002977380.1 MCADICGKAIRTVAAALLALAVSSPVFVGTPSQAAGDTRSLKLY FIHTGEKAVITYKRNGKFDPKGLEQLNRFLRDWRKNQPTKMDPRLFDLIWEVYRQSGS RDYINVVCGFRSPGTNELLRGRSRNSGVAEKSQHMLGKAMDFFIPDVKLATLRGIGMK MQVGGVGFYPKSGSPFVHMDVGGVRAWPRMSRDELVRLFPNGNTIHIPADGKPLPGYQ QAMADYKRRASGTQIQIASASESAPKHKTLFAALFGGGADEQEDESDDSTPVAVAKAT PPKAEPAPAEPQQTEVADLNAPVPQVRPAFSNQPAASEVASALVAPPSGNAAQQALAA ALPADQAQPQQFADLSAYSIPVPSLLGQRRAPGDAEVAATDPVLTGANGLPVPTPIER PSVAENLLAAADADPEAEADEADQDALSPAVADALDQQRNEGESQIASKAPPQTVEQA INAAMTQKAPAATKPPLELAALAPMTKSASFGDGFDEPAVESAVAQGLPAKGGRPTHK EAAAADASRTTVRTEPKLTEKMISQWALTNARLEMASKLVKAPRFVSQTMRAQPTAVY AEGFNVKTASVDPARFSGTAVNFMEVRKFNTN YP_002977381.1 MTGYNELKGAGQILVVEDDPVQRRLLKNAIERHGHVVHQAENGR IGLEMVKRDSGLFNVIVLDLMMPEMTGLEFLDALHEFGTQIPVIVQTGQGGIETVVQA MRAGAFDFVVKPVSPERIATSISNAMKLDQREVKARAGRRSRSGSVGFDDIVSASPAM LRVIDLAQRAAQSNIPVVLEGESGVGKELVARAIQSGGDRSNKPFVTVNCGAIPHNLV ESILFGHEKGAFTGATERHIGKFMEADGGTIFLDEIGDLPLEVQVKLLRAVQQGEIET VGARTAHKVNVRLISATNKDLIEEVKNGHFREDLYYRLNVFPITIPALRKRKEDIPHL VRVFADRFSSEQKNGRRMTVNAGTLALLTAYDWPGNIRQLENAIFRAVVLAEGPELTE ADFPQIAAQLPEYDVVDHLALVADNTGLDPDNGYGEDFRASMSGEVHHHRLSEASENA IASVNPAGDVRKLADVEEELIRFALKFYRGQMSQVARKLGIGRSTLYRKLKDYGIDPD NPQKDAA YP_002977382.1 MKIKLPHAGLLLSAAAPAGAADPALGVLPVWKLQDLYPSATSTA FVADMEKAGKAAIAFEEKWKGTLTEATAKTGAEGIGAALKEYEALDDIIGRLGSFAGL TYFSDTTNPTNGKLYGDVQAKITEFSGHLLFFALELNRIDDAVIDACMANDPAAGHYR PWLLDLRKDKPYQLDDRLEQLFLEKSMTSAAAFNRLFDETMAELRYEIDGEKVPLEVA LNKLQEKDPEVRRKAAMALAETFKANIRTFTLITNTLAKDKEIADRWRGFEDIADSRH LANRVEREVVDALAAAVREAYPRLSHRYYKMKAKWLGMEQMNFWDRNAPLPETSSAII SWPEAKDTVLSAYGNFSPEMADIARRFFDEQWIDAPVRAGKAPGAFAHPTVPSAHPYV LVNYMGKPRDVMTLAHELGHGVHQVLAGAQGALMCQTPLTLAETASVFGEMLTFRALL QKTTDTRERKAMLAQKVEDMINTVVRQIAFYEFERKLHTARKAGELTADDIGELWLSV QSESLGPAISISEGYETYWAYIPHFIHSPFYVYAYAFGDCLVNSLYAVYQKAEKGFQE KYFELLRAGGTKHHSELLKPFGLDATDPSFWSQGLSMIEGLIDELEALDRG YP_002977383.1 MSDPYILFRDDATGQVMLFAEPAEIIVARTRAEFFAGLARMEEA KAAGKWLAGYMAYEAGYLFEEKLAPFAGEHRETPLICFGVFDAPQADTHPLAQPKQRL ENEEFLTAPKAAWDFPIYKERFDRLHQHLRLGDAYQANLTMPVEAHWNGDPRAAFWSL IERQPVKYGALVDLGGPVILSRSPELFFRTDEEGWIETHPMKGTAKRGTTAAEDAEII EAMRSDIKTQAENRMIVDLLRNDISRITEVGTLDVPKLFDIETYPTVHQMVSHVQARL RPDLSICDIFSALFPCGSITGAPKMRAMEILHALEDVPRDAYCGAIGMISPTGAMRFS VAIRTITLFQGGRAVFNVGGGIVFDSTAEAEYEECLLKARFAVGDQWIAR YP_002977384.1 MIDFSLIETLRWQPGEGFIRLRLHLARLSRSARRLGFPQPVEAV ARLDEAVAGAAGPLRVRLTFDAQGRIEVTSAAFVPLAPDTTWTVRLAETRLNSADRLL RVKTTRRAVYEAARAEYRPDEADEVILLNERNEVCDGTITSIFLDDGTGVLRTPPISC GLLAGVLRTELICNRKARVGRITPADLNAGTLYIGNSLRGLIRANLIRS YP_002977385.1 MNDLAAHLKELEEKLFEPSVRASREMLTTLLSRDFREIGSSGRL YTFDVIVPSLLAEQRTGTSRGEHFETQRLAEHIALVTYRAIYTDTDGSKRRTLRSSIW RLEEEGHWRMLFHQGTVIG YP_002977386.1 MTEQNYPVYAEITGPIVMIGFGSIGRGTLPLIERHFKFDKSRMV VIDPREEPSDMEILKKHGVRHIKEYVTKDNYKELLKPLLTEGEGQGFCVNLSVDTSSL DIIKLCRKLDVPYVDTVVEPWLGFYFDKGMSNADRTNYALRETMRKEKAKNPGGATAV STCGANPGMVSWFVKQALVNLANDIGLKFEEPDQHDREGWAKLMKKVGVKGIHIAERD TQRTKHPKPLNVFWNTWSVEGFISEGLQPAELGWGTHEEWMPKNAKKHKKGNKAAIYL EQPGANTRVRTWCPTPGPQYGFLVTHNESISIADFFTVRDKDGEVTFRPTCHYAYHPA NDAVLSLHEMFGNGGTPQPVHHVLDEDELEDGIDELGVLLYGHERNAYWYGSRLSLEE TRSIAPYQNATGLQVTSAVLAGMVWALENPNAGIVEADEIDYKRCLEVQMPYLGPVEG HYTDWTPLDGRPGLFPEDIDTKDPWQFRNILVR YP_002977387.1 MKIRTGLVLVGAAAALAACVSSEPRRLPVATAPAATGVEGNWSD PNGIVSTFQGGSFTTRTTDSNQLLASGTYINTSPTLVEINMTSLVRKTQSKVNCALVN PSQLNCTSDSGAQFTLSRRG YP_002977388.1 MRALASYLVLLLAMPLAALAVVSPANVYRAQGIAAPDCDGPLQV LIFAVPAVLIYGAGALLAYRAGRRFHRLVSVLCLIIALATVPNIAEAVHELYRNAADG ECLG YP_002977389.1 MTKSFSFGLLTASMLALSAGAAFADYELNILHINDFHSRIESIN KFDSTCSAEEEGKKECFGGAARLKTAIDQRRQALSGKNVLLLNAGDNFQGSLFYTTYK GAAEAEFLNLMKFDAMTVGNHEFDDSEDGLATFLDKVQFPVVTANVKATAASKLGDRI KPSLVLDVGGQKIGIVGAVTNDTAELSSPGPNVTIADDVQSITSAVQDLKGQGVNKII ALTHVGYPRDLALIAKIPDVDVVVGGHSHSLLSNTDPKAEGPYPTMVDNPGGYKVPVV QAASYSKYLGDLVVNFDDSGVVKDAKGDPIVIDSTFTPDPAVLTRIAELAKPIEDLRK KVIGSSESPIEGDRKVCRVKECSMGNLVADAMLDRTKNQGVTIAVQNGGGLRASIDGG EITQGEVITVLPFQNTLATFELTGANVVKALENGVSQIDQGAGRFPQVAGLKFSFDQS KPVDSRVSDVQVKDGDNFAPIDPAKTYKVATNNFMRGGGDGYTIFKEGQNAYDFGPDL ADVTAEYVAAHSPYKPYTDGRVTELAQAAPAPSASESAPAAPAAPTPSTEPSPAPAAP APSTEPAPAPAAPAPTAPAPAPTAEPAPAPAASTPAATTPSTHVIAAGDTFWDLAVTF YGDGTLWRKLSDANGKPNPRHLTVGKEIEVPAK YP_002977390.1 MNVGARMTADISLRPADHPAVKFGKVGVLLVNLGTPDGTDYTSM RRYLREFLTDRRVIEWSPWKWYPILFGIVLNTRPQKVGKAYELIWNKEKNESYLRTYT RNQSELIAERLKDLDNVKVDWAMRYGTPSIASRIDALKEEGCDRIVLFPLYPQYAAAT TATVNDKAFQKLLSMRWQPALRTVPAYHDDETYIEALATSVERHLSTLDWKPEMLLAS FHGIPMSYFKQGDPYYCQCQKTGRLLRERLGLTKENFMVTFQSRFGPEEWLQPYTDKT VEKLAKDGVKRIAVINPGFVSDCLETLEEIAEQAAHSFHENGGEKFAHIPCLNDGEDG MKVLEKVVRRELQGWI YP_002977391.1 MLFGGFDIVVIVLVIFVILVLFAGIKTVPQGYRYTIERFGRYTR TLEPGLNLITPFIERVGAKLNVMEQVLNVPTQEVITKDNASVSADAVSFYQVLNAAQA AYQVSNLENAILNLTMTNIRSVMGSMDLDELLSNRDAINDRLLRVVDEAVHPWGIKVT RVEIKDIQPPRDLVDAMARQMKAEREKRAQVLEAEGSRNAQILRAEGAKQSAILQAEG QREAAFRNAEARERLAEAEAKATKMVSEAIAAGDIQAINYFVAQKYTEALTSIGSAPN SKIVMMPMEASSILSSLGGIGAIAREVFGDAGNGPSTPLPVPPRPRPAPARSTPPINP STPNPFNPEQER YP_002977392.1 MLAKIVAELGPWSWWVAGLVLLAAEMIVPGFFLVWIGLAALIVG ALSLLFWDSAFWVWELQAILFALFAVAATFAGRRLTLRNATTDEPFLNQRGASLVGRT ATLHEPIREGRGRIRLDDTLWQVMGPDLPVGTQVKVVSSNGRDLTVEPV YP_002977393.1 MNRRAINLVENSVLESAKRTIETERRGLEALEQAFDNGLAGPFT RAVEVISDISGRVIVTGVGKSGHIGAKLAATFASTGTPAFFVHAAEANHGDLGMIARD DVVLAISKGGESAELKSIISFTRRFSIPLIAITCSEGSSLAAAADIVLLMPNEQEACP NGLAPTTSTLMQLAIGDALAVALLEARGFTATDFHVFHPGGKLGASLMHVADVMHTGE RLPLVAKGTPMPEAITVLSRKHFGCVGVLDEDGRLCGIVTEGDMARNLTRNLAELTVD DIMTRTPKTVKPTVLATAALALLNQHHIGALIVIDDDRRPVGLVHFHDLLRIGVA YP_002977394.1 MGQPKQTSSVTPLRAMSRAVSFAVLGGLLLSQTAAFAQSASSQP ATGRSAASQDNRATNNAASAAGFDDETSDNATPAANGATANADDAQQRPAIPDTQAGD DITGSILDEDIRRLNTREAPSDETLPRRRAAESASTEETPGIPIGTFVLRPSVTQSIN TETTKDGNTRQRRAFLETDAAATLTSDWGRHQLTVTSEGAWQRNISGEGEEQPSFKVN GDLRLDLPDDTTAHLTAGYNFYREDTDDPDAIADAAQQSDVQEFSAGASVQRDFGILR GTTALALTRSIYSDAKLANGTTVALSDRNQTTGTLRGRVGYELSPALIPFIEATIGRS VYDETRDSAGYERSGHSYGAKAGVEVDLGEKLKGEVGVGYEMADFEDSRLSSIDTATL DASLLWSPIRGTDVNLDLQTSIQPSTTAGESGYVSHALTTTVTHQLRDNLVGTMIGGV IWRDYPTDSTINDELVYTAATGLTWNINRYLDLTSTLGYELTTRKEGSDSQQWRAGVG LKLKR YP_002977395.1 MAQHNKVRKAVFPVAGLGTRFLPATKAVPKEMLTVVDKPIIQYV VDEAIEAGIEHLVFVTGRNKHVIEDYFDIHFELEQTLRERAKKAEITLLAQQLPKAGT VSFTRQQEPLGLGHAVWCAREIVGDEPFALLLPDMIMKGDKGCMKGMIDLYAQSGGNI IAVEECAPDQAHKYGIVGVGEAIGDGFRITGMVEKPAKGTAPSNFFINGRYILQPEIF KILETQERGAGNEIQLTDGMLKLLKEQDFAGYHFRGATYDCGAKDGFILANVAFALER DDIRPSVEGGFRELLAGLK YP_002977396.1 MTQNHKNSLRGLALALIVAAQVALVPDNAKADSRFQKWIADFYQ TAAQSGISKATYQKAFSGVSEPDPTVLEKAAYQPEFTSKIWDYVDSRVNPYTVKIGRE MAAKHARTLAAIEQRFGVDKTILLAIWSMESNYGAVLDKDDRLHYVPRALATLAYADP SRAKFAKKQLVAALKILQNGDVPARKMTGSWAGAMGHTQFIPTSYLLYAVDADGNGHR DIWNSIPDALATSANLLMKNGWDTGKTWGYEVVVPAAAAKQAGKTHTLAQWAALGLTR PNGKAFRESATKAMLKMPAGAGGPGFLMTANFFTIKNYNASDSYALAVGLLADQIAGY GGMQQRWPRPNGALDITEKFELQTRLKTLGYYNGEVDGNFGSGSKAAISAVQSRIGMQ PDGEPSLPLLNALRR YP_002977397.1 MTKKIDRTRKIRWLVLALAAASLCLGVSAPVHVAEAQEQRYQRR SIFDFFLGRRYLDDGPQAPDVQQPRRQQRKRPPAQKAIVNTRTAPPVRAPVEEEPAVQ KLGDARKILIVGDFLASGLGDGLTAAFETSPGVVVEARGNVSSGLVRDDYYDWPEQLP KMIDELKPAMVVVMIGANDRQQMVTDTAKEKFRTDGWFTEYRRRVLSFGKEVTDRKIP LLWVGLPAFESDSMTADAVQMNQLYRNQVESVGGEFVDIWDGFVDENGNFIVTGSDVN GQQVRLRTSDGINLTQAGRRKLAFYVEKPARRLLGTQASPDLVRLDSSNLPGLGLPAN PVEHTVPISLSDPNLDGGAELLGARPPPVALTRSPRDQLVEQGEMTPAPPGRVDDYRL PAAKAPAEVSVK YP_002977398.1 MIDKDFQMVSALDSTRLVSAQYALKNLRGSDDSAQDMQSSSAAS ILSSYGLDPSSSSLLSNQALSGLLDTLSAQSDTSDESDTTGDGADVTSASFMSMLKQQ LQDAAAAEGESGKAHDMLAALEAGTLTITDPTQGVSINAWDVADVDEADTESKAGQDI DVSGWSDFLDAHLERGANGAFVKENGSYVDQTNDSNAFFGLIGANYYYLSWPQATATA K YP_002977399.1 MRVRDEDMGKVTGFLEIDRQVAKYQPASDRIRHFREFTIPMSDP EVQKQAARCMDCGIPYCHGPTGCPVHNQIPDWNDLVYNNNWEAAIQNLHSTNNFPEFT GRVCPAPCEEACTLNLEDAPVAIKTVEQAIADKAYELGFIRPQPATVHTGKKVAVIGS GPAGMAAAQQLGRAGHEVHLYERETKPGGLLRYGIPDFKMEKNFIDRRVEQMKGEGVT FHCGVNIGVDVKVEQLLADHDAVLYCGGSETPREAGIPGTDLAGVHDAMPYLVQQNRR VGRENIDSVGWPSDPILAGAKHIVVVGGGDTASDCVGTAFRQGAVRVTQLDIRPQPPE KEDKLAVWPFWATKMRTSSSQAEGAVREFQVATLEFVGEDGVLTGVKCCEVDERRRPV PGTEFVIRADLAFIAIGFRGPFTGSVLKELDGKLTLNTDKRGSTNVVANDRDYKTSID KFWTAGDVRRGQSLVVWAIREGRQAARAIDEDLMGSTVLPN YP_002977400.1 MMTKTPSMEVDRFAAANLRATANMSKSASGLPKKQGLYDPRNEH DACGVGFVAHMKGQKSHQIVKDGLFILENLTHRGAVGADPLMGDGAGILVQIPDRFFR EEMAEQGITLPPVGEYGVGHIFMPRDEKQIEHFKKVIKDVITEEGQVFIGFRDVPVDN SSLSKAPAIAATEPHHVQVFIGAGEDAENNDEFERRLFTLRKVISNRIYDEFDGEESN FYPVSLSSATVVYKGMFLAYQVGVYYKDLSDPRFESAVALVHQRFSTNTFPSWKLAHP YRMVAHNGEINTLRGNVNWMAARQASVSSPLFGEDISKLWPISYEGQSDTACFDNALE FLVRGGYSMAHAVMMLIPEAWAGNQSMATERKAFYEYHAALMEPWDGPAAVAFTDGKQ IGATLDRNGLRPARYLVTNDDRVIMASEAGVLPVPEEIIIQKWRLQPGKMLLIDMEEG RIISDDEVKSQLATAHPYRSWLNRTQLILEDLKPVEPRALRRDVSLLDRQQAFGYTLE DTRILMSPMATTGQEAIGSMGTDTPISAMSEKSKLLYTYFKQNFAQVTNPPIDPIREE LVMSLVSFIGPRPNILDHEGAANAKRLEVRQPILTNGDLEKIRSIGHTEDRFDTKTLD FTYDIERGAEGMPEMLDRLCERAEAAVKGGYNIIVLSDRQIGPDRIAIPALLATAAVH HHLIRKGLRTSVGLVVETGEPREVHHFCLLAGYGAEAINPYLAFDTLLDMHAKGEFPK EVDATEIVYRYIKAVGKGILKVMSKMGISTYQSYCGAQIFDAIGLQSEFIDKYFFGTA TMIEGVGLEAIAAETVARHTAAFGADPILATTLDIGGEYAYRMRGESHAWTPDAVAAL QHAVRGNAEDRYQEFAEMVNNSALRMNTIRGLFKMKSAEALGRTPVSIDEVEPAVDIV KRFSTGAMSFGSISREAHTTLAIAMNRIGGKSNTGEGGEESDRYMPLADGSMNPERSA IKQIASGRFGVTTEYLVNADVLQIKVAQGAKPGEGGQLPGHKVDATVAKTRHSTPGVG LISPPPHHDIYSIEDLAQLIYDLKNVNPTADVSVKLVSEVGVGTVAAGVAKARADHIT VAGFDGGTGASPLTSLKHAGSPWEIGLAETQQTLVLNGLRSRVALQVDGGLKTGRDVI IGALLGADEFGFATAPLIAAGCIMMRKCHLNTCPVGVATQDPVLRKRFKGAPEHVINY FFFVANEVREILASLGFTRLDEIIGASELLEKDEMLSHWKAKGLDFSRIFHKVDAPKE ETFWTSRQKHPIDDILDRVLIEQAQPALTAKTPVAFEVGIKNVDRSVGAMLSGEVAKR YRHRGLKEDTINVTLRGTAGQSFGAFLARGVTFNLIGDGNDYVGKGLSGGKIIIRPPE NSRIVAEDSIIVGNTVLYGATEGECYFRGVAGERFAVRNSGAIAIVEGVGDHGCEYMT GGVVVVLGATGRNFAAGMSGGVAYVLDETGDFASRCNMAMVELEPVPEEDDMLEKLHH HGGDLMHKGRVDVSGDMTRHDEERLYQLISNHLHYTGSARATEILDNWADYRPKFRKV MPVEYRRALEEMERSRMGIAAE YP_002977401.1 MFFASDNWAGAHKSIAERLLTESTGFAAAYGAGDLDRKVEARFS EIFEREVSVFFVATGTAANSLSLASVQRPGGITFCHSEAHVIEDECGAPEFFSGAARL VAIDGEAGKIDPAKLSAKIASFPEDAVHHGRASAVTITQATEIGTVYSLPEIGEIAAI SRKRNLPLHMDGARFANALVALGATPAEMTWKRGVDMLSFGGTKNGCWCAEAIVFFNP DRAREMPFIRKRAAQLFSKSRFIAAQFDAYFEDGLWLDLARHSNGMADRLRAGIGTSN SARLAWPTASNEVFAVVSKSAVKIAEEKGAKFYEWPVPAATPELVSESETLIRLVTSF ATTEADVDGFLKCLAA YP_002977402.1 MLDLSQLRSFVAVEQMGSFTLAAERLGLGQSTVSQHIQRLEAAL GRRLLARDTHKVMLTGDGEALLSHARAMLSIEGQVQSLFKNNSLRGSLRLGVSEDFVT SQLPAVLEDFVRSHPSVDLELTVALSGVLYEMQDNGEIDLVLAKRRLGDARGKLVYRE PLVWLARDPERVLASGPLPLIAFPPPSVTRVIALEALGRNGVPWRIVCTCGSLSGLTA AARAGMGVLVQPRSMAPSGLKEIAVGKLPVLEDVEFVLVPRKGADQALVSALSEDILQ KVRGLRSA YP_002977403.1 MTVLSSREIVMRRFLPDTFTILLVCTVILASVLPARGTFADHFG IATDLAIALLFFLHGARLSRDVVIAGLLHWRLHIVILLTTFGIFPLLGMALGLIPDTI LPQPLYLGILFLCLLPSTVQSSIAFTSMAGGNVPAAICSASASNIFGMFLTPLLVGLL FSVGGHGGFSFDALQQILLQLLAPFIVGQILQPWIGDWIRAKKKILMPVDRGSILMVV YLAFSTAVVEGLWHTFSIADIAVVIVADMVLLAIVLVLTMFGSRWLGFNKADQITITF CGSKKSLASGVPMANVIFAGQSIGAIVLPLMLFHQIQLMVCAVIAQKYAAAAARRATD KEIDEATSPA YP_002977404.1 MRHVDFSPLYRSTVGFDRLFTMLDSLAQPEQAQTYPPYNIERTG ENTYRITMAVAGFDETELSIEAHALVLSVKGEKNEEPAESGEFLYRGIAKRAFERRFQ LADHVEVTAASLKNGLLHIDLLRNIPEAMKPRKIAIAAEPVEAPKAIEAQIING YP_002977405.1 MDQVLYSTPDNPAPENRTEGFFETHDGQQLRYAVFRSSGQIAKG TVVILHGRNEYIEKYFETIRDLTAKGLWVATFDMRGQGGSPRLLKSRNHGHIRRFADY ERDLDTFLEKVVLPDTRLPFYLLAHSTGGLIALSAAPYLTTRIDRMVLSAPFIGLTGQ SASPRVIRALAGTLAAVGLGFLPLTSKPKEPNFSDNPLTSDEHRFERNVAMMKAHPEL TLGPPTARWLIEAFRTMDRVTSPYHLFSITIPTIVIAPTRDGVVPYTAQERLSRYFRA GQLVPINGARHEIFQERDIYRAAALAAFHAFIPGSDAEENQDVAALGT YP_002977406.1 MLPDRSFFNRLAEAARAETLPRFRSGLDVTNKLSSGFDPVTEGD RAAELAIRALIEESFPGHGILGEEHGNVGLDREYVWVIDPIDGTRAFISGVPVWGTLI GLQQAGRAIMGMIEQPFTGERYFADQNGSIYTGPEGERRLATRQCDTLSNAILFTTSP HLFAGEEMEKYREIESQVRLFRYGCDCYAYALLAAGHIDLVVENSLKPYDVGGIIPVI EGAGGIITTWDGGRPENGGSIIAAGSRAVYEQAIAILQR YP_002977407.1 MPEIREYELFEVHEPVSQTIPFVYNSPHSGRIYPPEFIAQSRLE GIAIRRSEDHYVDELFGSAVALGAPLLAANFPRAYLDVNREPYELDPRMFDGLLPPYA NVNSLRVAGGLGTIPRIVAENMEIYARRLPVQEGLDRVEAVYKPYHSALRRLIARTHV QFGFGVLIDCHSMPGNVRVAGSTARPDFIIGDRYGTSASAELSRAAIAILEEMGFAAI RNKPYAGGFITEHYGRPSRGLHALQIEVNRAIYVDELTLEKREDFAAVADAVTDFMQQ MAEYVEKFAGERALAAE YP_002977408.1 MTQKILLAEDDNDMRRFLVKALEKAGYKVLSYDNGASAYDRLRE EPFSLLLTDIVMPEMDGIELARRATELDPDLKVMFITGFAAVALNPDSKAPKDAKVLS KPFHLRDLVDEVNKMLAA YP_002977409.1 MTQRTRTRKAISIILGVALVGAGLLGFGYMQFHVVEPISIKLWL IPITIFAAGAAILWDDFKSP YP_002977410.1 MRLFASAAAAIFSAALALPASAAPAAPVETFPGAPGVITLSGKC AKLVVAKFDATKGCKNELASVTLANGLVTFIFTSDGKALGFQGDGSGIKPASNGNARL PLSLVTTGVGNKMTGEVKVAGFCTFGNPYAGKPIAIECTAESKDSSFTGSFRTGGKLV KKGK YP_002977411.1 MAKTATRGRRKAPARGKSKARSSGGGLLPWAVIGIAAIGGIVAH DHWKRIQPMLARQSTSITRETAEPRPVVRKDVPPKQVALAAPTPKVAAPLRQSQSLPP ATIPTPMIQPIKAVPSPASPAVSETGTVAFGYCGQGAHINCVSDGGVFWYKGEKIVIA DMASPVVDQARCDGERRVAFAAKSRLLALLNAGPFTMNAAGKSEPSGAPRVISRDGRS FGAQLINEGLARKPGAAGGAWCA YP_002977412.1 MDLIIADIIPASRIHYPVIFARLFGAIVFGGLIGFEREARDRPA GFRTHILISLAAALFAIISIEAVHMPGFTDDEQVRIDPLRVIEAVTAGVAFLAAGMIV FARGRVHGLTTGAGMWLSGAIGLAMGFGYWPLAFFTTIVAICVLFAFGKLEQRFGFNS GQRVDRDEQK YP_002977413.1 MTVDLKQGEKHMTINFVPREIFIRHENEWKAVREAADERINIGK RQKPLSAIGGTVSVGKSDPSATRAEWPTAE YP_002977414.1 MHTSTHLPDKRTEASDRMKRSKPNRMQKAQVLPPHHVDLTLTPG VYHDIHVPAHVTGADLSKGGPDIKGNAETKK YP_002977415.1 MNKLTMPDPRAHCGVRRLSNLSTLFDTICGREVRP YP_002977416.1 MNQTVTSKSSLSYFRWAFIVTALGLVLGAVLGWQTTGTIGGMAT VFFICTVLAVLEISLSFDNAIVNANKLKEMTPVWQKRFLTWGIIIAVFGMRIVFPLAI VAIAAQIGPWDALVLAAREPAEYARIMNDAHLPIAAFGGTFLMMVGLNYFFNHEKQVH WIGGLEKMMARSATIKGIEIAFVLALMLVFSWLIGGEEATVFVHCAIYGLLTFLAVEV VGGLLDASQQTMSAAAKGGLGAFIYLEVLDASFSFDGVIGAFALTQNLFVIAIGLGIG AMYVRSMTIMLVEKGTLAEYRYLEHGAFYAILILSVIMYAQTMVHIPEVITGLGGAAL IGLSLWSSIRHNRREKVEGHGIRQEELHA YP_002977417.1 MSALRNSIRTGFFGRAFAVLGAANAVSAAVEAGRRPRANDLTKL GIDPASFGQVSR YP_002977418.1 MSTFEFLWQGILVAMQPMNLVYALVGVTLGTAVGVLPGIGPALT VALLLPVTYKLDPGGSLIMFAGIYYGGMYGGSTTSILLNTPGESASIVTALEGNKMAR AGRGGPALATAAIGSFVAGLIATLGLAFIAPYIVKLALVFGPREYFALMVLAFVTVSS AFGDSALRGLTSLFIGFALAMVGIDQQTGQARLSFGIPDLLDGVEVTTLAVAMFAIGE TLYIAAQGNRIAEKIEAVKGSLWMTAEDWSRSWKPWLRGTLIGFPIGAMPAGGAEIGT FLSYATEKRLAKNPEEFGHGAIEGVAGPEAANNASAAGTLVPLLTLGLPTTATAAIML AGFQQYGLQPGPLLFATNPQLVWGLIASLLIANAMLLVLNLPMIGLWVKLLTIPKPWL YAGILLFATLGTIGANPSVFELGMLLTFGLLGYVMRLFGYPIAPTVVGLILGPLAEQQ LRRALAISQGDVTTLVMSPIAAGLLIVAAAAFLIPLILRLRGRGQVLSQLAANED YP_002977419.1 MSEDSPSSATERRPDWAAFIIAVFLFVVAGVMAWDALHLKTIAQ YDRIGPATVPQVVALGLFCLGIWTAFEAWRGDFPERDRQEVAPVIWIVAGLACQMLLL RVAGFSIATGILFALTARGFGKRKLWISLPLGIVLSFVVWAIFSQLLQLTLPAGPLEH LFF YP_002977420.1 MKHTFIATLLAATIALPAYAADYTIIAPAAPGGGWDQTARSLQT ALQQEKISGNVQVQNVPGAGGTIGLAQFSSQAAGNPNSLIVGGYVMVGAILTNKSPVT LKDVTPIARLTGEYEAVVVPAASEIKTMADLVAALKKDPGAVSWGGGSAGGTDHIAVG LIAKASGVDPTKINYVAFSGGGEALAAILGGQVTAGVSSYSEFESQVKSGTLRLLAVS SDKRIDGVDAPTLKEAGTDVTIQNWRMVAAAPGLSAEQVASVTADFEKLHSSATWQET LKTKGWADTYLSGDAFKAQLEKDVSATEGILKDIGLVQ YP_002977421.1 MLLAFWPGFALADQAFYPAKSGNADAPVLTVYSSLDEPLAQPMI RGFLDANPDIAVKYEDMLTGDIYDRIVRETDAGKKTADFAFSSAMDLQVKLSNDGYAQ VSNLPMSGAWPKWANWRNTAYALTFEPAVFVYHKPSFAHEPVPSSRAEFVDYLKRKGN DVYGRIGTYDIERSGVGFLFMARDQEQFGDIWSVIGAMGAAGVKLYSTSSAILERVAD GRFVLGYNILGSYAADWASRYPDVGIVLPKDYTVVMSRIGLVPQAAADPELGRRYLTF FMSREGQTILARELQIPAVSPEVAGENTANTLQELLGAQLRPVPVSPGLMVYLDQVKR ARLIAHWNEVLRMQ YP_002977422.1 MCVLSFKGNRPGLPETVWFKLCGHGWRVQHDVVSFFSFGWWNVA DGLQKTAVPPRQ YP_002977423.1 MTDLARNFLEFHDIPEAGLRSIIGRAGELAKAWDERTMPQSLAG KRVALIVDDGGWRNTTAFDLGIQAMGGICVHVPIGFNAREETGDLSGYLGNWFDMLVI RTKEFATLKAVAAASPVPVINARTRSNHPCETLGDLAYINSRRGLIDGLKVVGVAADA NILRSWAEASIALPIEVVQVYPEHWHISDAALLNGRFRVSTDMGELSNADVVTTDSWP GDAASDALAGYRIGADVLDRLREDAIFLPCPPVRRGQEVTAEAMAHPLCQSRVAKAFL LHAQNALMEWVAT YP_002977424.1 MERRLSAILAADVVGYSRLMGIDESGTLQALNRHRCELVDIRIS DYKGRIVKLTGDGILAEFQSVVNAVACAAEIQRSMAARNENVPKDERVEFRIGINLGD VVVENGDIFGDGVNLAARLERIAAPGGIAVSASVRDQVGSRLNLGFEFIGEHILKNIR QPVQVYTVSLAPPSSARLVAQNRNTCFIAVLPFTNMSGDADQDYFSDGITEDIITDLS KISSLHVVPRNTIFTYKGISVKVKRLAQELGVRYVLEGSVRIVGNRVRISGQLIDTAN GDHLWAERYDRDMTDIFAIQDEITHAIVGQLKVRLLPEEKKAIATEPTANVEAYTYYL RGRQLSHTWTKSYLQLARRMFCKAVELDPDYARAYAGIADCDAAIRDWAPDDVPLRRI LEMSARALALDPDLPEAHASHGLALHQSGYDDRAAAAFERALALDPNLFEANFHYARF FFMHGNFAESVQYFTRAAAIRPDDYVSPIHLMSAYRSLGRVLDTENWARLGLLRAERA LNLNPENSGPAHRGALALAHMGDATRARDWAARAIAIDPDDIVAQYNLACVYSVLGDV DQAIDLLEKLLPNSSVYHIKWFDNDSDLDNIRDDPRFRKLLTAAMMQRERIERTGS YP_002977425.1 MRILLTEDNIALADGLSAILRGTGHAVDVVHDGASANAVIAAEN FDLVILDLNLPEMDGLDVLRAMRARQNQAAVLILTARGTPEERVKGLDLGADDYLIKP FDIAEFEARVRVLLRRQAGLRSATVSFGGISFDLNSRTFSAGATPLDIPARELGLLEI LFMRAGKVVAKEAIIQSLTAFDDDISANAIEQYVSRLRKRLSPHGLTVKTARGIGYYL DKLPEAS YP_002977426.1 MKAAYSLRRRLLFWLLVSTAVIGTLALADTYREAVQTSNIVSDR VLAGSALAIAERVVVAEDGTLQVDIPYVALEMLTSAAQDRVFYRVDGPPGQFITGYQS LPVLKKTPGDAAAFADDSFRGEPIRIATLERSASTGIRSVPFVVTVAETTIARRQLAQ AILVRSALRLAFMIAGAAVIVWISVTVALRPLYKLGDAIGERSPDDLHPIEQTVPSEV EPLVDTVNSFMVRLQSALDALRHFTGNASHQLRTPLAIIRTQLALSARAGSLDEAQAA ALKGDQAVAHAERIIAQLLLMAKIDAATAKEALTASAIDLAAIAQEITGEQIPTAAVA GIDLGFEGESPAMVRAEPLLIGEMLRNLAGNAIAYAGKGAEVTVRIIAASETVRLEVE DNGPGIPREKLEAVRRRFSRGNESGAPGAGLGLPIVEEIANLFNAALTLEPGTGGKGL KAAVTFAKAA YP_002977427.1 MSIKASIYHLTHYIYDKPVRLGPQIIRLKPASHSKTRVLSHSLK VTPSNHFVNLQQDPYGNYLARYVFPDPVTEFKIEVDLVADMTVYNPFDFFVEEEATKW PFGYPETIQEDLSIYMTPEPAGPRLKALLPTLDWSPDQPTVDMVVGLNARLQRQIGYV IRMETGVQTPEETLESAKGSCRDTSWLLVQILRHLGLAARFVSGYLIQLTPDLKALDG PSGTEVDFTDLHAWAEVYLPGAGWVGLDPTSGLLTGESHIPLAATPHFRNAAPISGGY FGEAETEFAFDMKVSRVAEHPRITKPFSDESWDELNELGEKVDRVLEAEDVHLTMGGE PTFVSIDDFQSEEWNTAAVGPTKREKADVLIRKLQERFAPGGFLHYGQGKWYPGESLP RWTFSLYWRRDGKPVWQNLDLVAAEGKDTGVTAEDAEKLLTAIAKELAIKPDMVQPAY EDPADWIIKEGNLPENVDPANSKLKDPEERNRIARVFARGLTVATGYILPVQAWNAKA AESRVWVSEKWRTRRGKIFLVPGDSPIGYRLPLGTLPYVAPARYPYIHPADPTIPREP LPDVFVPAGRAMPEASFHADESNRRRVEQTLGELRGAVRTAMSVEPRDGRLCIFMPPV ERIEDYLELIAAAENAAAELKLPVHIEGYPPPQDERINVIRVAPDPGVIEVNIHPASN WKDCVDITTAIYEEARATRLGADKFMIDGRHTGTGGGNHVVVGAANPNNSPFLRRPDL LKSVVLHWQRHPSLSYLFSGMFIGPTSQAPRIDEARHDSLYELEIAMAQIAAPGSGQQ PLPWLVDRLFRNLLTDVTGNTHRAEICIDKLFSPDGPTGRLGLIEFRGFEMPPNARMS LAQQLLVRALIARFWVNPVDGNFVRWGTTLHDRFMLPHFVWTDFLDVLADLRQNGFDV SPEWFKAQLEFRFPFCGEVEYEGSKLELRQALEPWHVMGEEGAPGGTVRYVDSSVERL QVRLETSNTARYTVTCNGRTLPLTPTGTAGVSVAGVRYKAWQPSSGLHPVLPINTPLT FDIYDTWSKRSIGGCIYHVAHPGGRTYDTFPVNGNEAEARRLARFEPWGHTAGGYIPH AETGSLEFPLTLDLRRPAGI YP_002977428.1 MGKRPAAERRGEAEVRIAKGAAFGYASLPGTADEMVDNKGAVRP VWQNFLSHLSAMPEKDLAERFARADRYLRDAGVFYRAYGSKGTGERAWPISHIPVLID EREWKTLSAGLVQRADLLEAIVADIYGDNRLVEEGVLPPALMAANPEFQRPLAGIRPG SGHYLHFCAFEIGRGPDGNWWVLADRTQAPSGAGFALESRVATTRAFSDIYAETPVHR LASFFGAFRDALQGMKHSGDDRIAVLTPGPANETYYEHAYIARYLGLMLLEGEDLTVV KGRVMVRTVAGLKPIGVLWRRLDSAFADPLELNQNSHIGTPGLVEALRAESLTIVNAL GTGVLETRALLAFMPTICHRLLGEDLQLPSIATWWCGQKEEREHVAKNIEKMVIGPAY SRAPFFDDNGESVLGSSLRATAKDSITDWLSSDGPKLVGQEVVTLSTTPAWVDGKLVP RPMSLRVFAARTANGWQIMPGGFARIGSGADVAAIAMQSGGAAADVWIVSDKPVERHT LLPAEGSFTRNMPGSLPSRAADNLFWLGRYIERAEGALRILRAWHARYAEAADPSQPL LADVSEYLTAVDIDTAEPVPETLLRNIDSAVYSASNIRDRFSPDGWLALNDLAKTARR FHVTVAAGDDASHAMTILLRKLAGFAGLVHENMYRFTGWRFLSLGRYIERGLHMTRLL GHMSGPEAPDGALDMLLEIGDSVMTHRRRYNVNTARLTVTDLLALDPLNPRSVLFQVN EIHHEVEQLPNALINGQMSPFYREAMRLHSGLAVMTPEGMGAEVYQRLERELEQLSDL LAQTYLG YP_002977429.1 MLYDLSLRMGYIYDVPASGARHVMRLMPLSLTNRQRLVAGSITI SPTPDEQSHFVDFFHHPTTSFMLRAPHETLDIRMQARVQVESQPIAADFSPLLADLPE EVAGIWSLAPDSPHHFLGDSPRLTQAREIADYAQSWAVPDLTAMQIAHALCSRINKDF TYDPDATTVDTTPLEAFRLKRGVCQDFTHIMILALRSLGIPAGYVSGFLRTIPPPGKE RLEGADAMHAWVRIWCGETNGWIELDPTNDIPAGTDHIVVAYGRDYSDVVPVIGVLKS YGGQRAVQAVDVIPLK YP_002977430.1 MMSTSFLHPCLRRMLGDRGGNFGIMTAIVLPVLFGAAGMAIQVG DLLLSKQQLQEAADSAALATATALANGTIQTSQAEAFARDFVAGQMANYLQSGIDIKS TTGVDVRTTTSGKSTSYQVTVSPDYNIAVNPLMQTIGFTTQNISTSSTTTSGNSQTQG SVSMFLVLDRSGSMGEDTATVNASDPTEEYNYDCSEKDRYGNVTKKKTCTDTRPHYYT KIEALKLAVGTLTGELDAVDPEKEYVRTGAVSYNIEMQKAKALDWGTAHVTKYVNKLT ATDGTDSGEAFKTAYNKLADAAEDKAHVDKTGQVPTKYIVFMTDGDNNYTSADTETKT WCDKARDAKMQVYTIAFMAPARGQALLSYCATAPGNYFPAGDMTALLKAFKEIGMKAS NQVTRLTN YP_002977431.1 MNTVSKPIIPSPAPRSSRPEILAEEIIERLTYRIGKDAKVAKPH DWLTATILVVRDRIIDRWMASTREVYATGAKRVYYLSLEFLIGRLMRDAVSNLGLMEE VRDALASLGVDVNVIAGLEPDAALGNGGLGRLAACFMESMATVDVPAYGYGIRYVHGL FRQQLADGWQVELPENWLAHGNPWEFERRESAYEIGFGGAVEFITTHDDQPRYVWKPA ERVIAAAFDTPAVGWRGKRVNTLRLWSAQPIDPILLDAFNAGDHIGALRESNKAESLT RVLYPADATPAGQELRLRQEFFFSSASLQDILRRHLQQYDDFTSLPDKVAIQLNDTHP AVSVAELVRLLCDVHGMDFDQAWEITRQTFSYTNHTLLPEALESWAVPLFERLLPRHM QIIYAINAKILIDARKGKNFSDGEIRSISLIDESGDRRVRMGNLAFVGSHSINGVSAL HTDLMKVTVFADLHKLYPNRINNKTNGITPRRWLQQCNPGLTGLIREAIGDEFLDDAE KLRALDVHSSDPAFQQKFAAVKRANKVALSNLVASRMGVKLDPSAMFDIQIKRIHEYK RQLLNIIEAVALYDQIRSHPELDWVPRVKLFAGKAAPSYYNAKLIIKLINDVSRTINN DPSVRGLLKIVFVPNYNVSLAEVMVPAADLSEQISTAGMEASGTGNMKFGLNGALTIG TLDGANVEMRDNVGEDNIVIFGLKADEVSKVRSDGHNPRAIIEGSRELAQALAAIGSG VFSPDDRNRYTSLIDGIYSHDWFMVAADFDAYAQAQREVDQIWTNQSAWYTKTINNTA RMGWFSSDRTIRQYADEIWRAG YP_002977432.1 MKTPKTVPEVKLSWEISADEITAILAGSHSNPFAVLGLHQAGDT FVARCFIQGAEEVTAMTLDGGVIGELKQLHADGVFAGPVSLTKLQPVRYRARRGDAEW AVTDPYSFGPVLGPMDDYFARQGSHLRLFDKMGAHLIKHDGAQGIHFAVWAPNAQRVS VVGDFNNWDGRRHVMRFRADSGIWEIFAPDVPIGVAYKFEIRGQDGVLLPLKADPFAR RSELRPKTASITAAELEQEWEDEAHLKHWRETDKRRQPISIYEVHAASWQRRQDGTML SWDELASSLIPYCADMGFTHIEFLPITEYPYDPSWGYQTTGLYAPTARFGEPEGFARF VNGCHKVGIGVILDWVPAHFPTDEHGLGWFDGTALYEHEDPRKGFHPDWSTAIYNFGR TEVVSYLVNNALYWAEKFHLDGLRVDAVASMLYLDYSRKHGEWIPNEYGGNENLEAVR FLQDLNIRIYGKNSNVMTIAEESTSWPKVSQPVHEGGLGFGFKWNMGFMHDTLSYMSR DPIYRGHHHNELTFGLLYAYSENFVLPLSHDEVVHGKGSLIAKMPGDDWQKFANLRAY YAYMWGYPGKKLLFMGQEFAQWSEWSEEKALDWNLLQYRMHEGIRRLVRDLNFTYRSK PALHERDCEGEGFEWLVADDHQNSVFAWLRKAPGQKPVAVITNFTPVYRENYSIRLPS AGRWREILNTDADIYGGSGKGNGGRVQAVDAGGNITCSITLPPLATIMLEPEN YP_002977433.1 MVEKRVQPLARDAMAYVLAGGRGSRLKELTDRRAKPAVYFGGKA RIIDFALSNALNSGIRRIGVATQYKAHSLIRHMQRGWNFFRPERNESFDILPASQRVS ETQWYEGTADAVYQNIDIIQDYGVEYMVILAGDHVYKMDYEWMLQQHVDSGADVTIGC LEVPRMEAVGFGVMHVNEKDEIIAFVEKPADPPPIPDKPDFALASMGIYVFHTKFLLD ALRRDAADPTSSRDFGKDIIPYIVKNGKAVAHRFAKSCVRSDFEHEPYWRDVGTIDAY WQANIDLTAIVPELDIYDKSWPIWTYAEITPPAKFVHDDEDRRGSATSSVVSGDCIIS GAMLNNSLLFTGVRANSFSKLEGAVVLPNVKVGRRAQLKNVVIDHGVVIPEGLVVGED PELDAKRFRRTESGICLITQPMIDKLDI YP_002977434.1 MKVLSVSSEVFPLIKTGGLADVSGALPIALKAFGVETKTLLPGY PAVMKVIRDAVVRLEFPDLLGERATVLEVQHEGLDLLILDAPAYYDRPGGPYLDPLGK DYPDNWRRFAALSLAASEIAAGLLPGWKPDLVHTHDWQAALTSVYMRYYPTPELPSVL TIHNIAFQGQFGSEIFAGLRLPAHAFATESIEYYGTIGFLKGGLKTAHAITTVSPTYA DEILTSEFGMGLEGVIASRIDDLHGIVNGIDTDIWNPATDPVVHTHYGSTTLKNREEN RRSIAEFFHLDNDDAPIFCVISRLTWQKGMDVVANIADEIVAMGGKLVVLGSGEAALE GALLASASRHPGRIGVSIGYNEPMSHLMQAGCDAIVIPSRFEPCGLTQLYGLRYGCVP IVARTGGLNDTVIDANHAALAAKVATGIQFAPVTETGMLQAIRRAMHFYQDRKLWTQL QKQGMKSDVSWEKSAERYAALYSSLVSKGM YP_002977435.1 MIKSVSTTPYLDQKPGTSGLRKKVPVFQQPNYAENFIQSIFDSL EGYQGKCLVIGGDGRYYNREVIQKAVKMAAANGFGKVMVGKGGILSTPAASHIIRKYK AFGGIILSASHNPGGPTEDFGIKYNINNGGPAPEKITDAIYARSKTIDSYKIADFADV NLDRIGKDELPGGTILSVIDPVEDYAALMEELFDFGAIRNLISLGFRIAFDGMSAVTG PYAKEIFENRLGAPSGSVRNFMPLPDFGGHHPDPNPVHCKELFDEMMGDDAPDFGAAS DGDGDRNLIIGRGIFVTPSDSLAILAANANLAPGYSGGLAGIARSMPTSGAADRVAEK RGIGMYETPTGWKFFGNLLDAGMATICGEESSGTGSSHVREKDGLWAVLLWLNILAVR GESVADIVTQHWQTYGRNYYSRHDYEGLDTDAANGLVDNLRSQLPTLPGKSFGNLKVE KADDFAYHDPIDKSVSEHQGIRVLFEGGSRVVFRLSGTGTSGATLRVYIERYEPDSTR HNIETQEALADLIAAAESIASIRERTGRDAPTVIT YP_002977436.1 MRGNSSAQAGAIVFETGVEFAVWSHHAAQIELCLFEDDGNREFA RLPMARDSNHIHRLFVDGLKAGARYGYRADGIYAPDNGLWFDPSKLLIDPYAKEIDRP FRYDPRLGIYGEDSQDLMPKAIVTTDTRAAISKPLFKPGGFIYEVAVRPFTILHPDVP EAERGTVAALAHPSVVAHLKRIGVDAVELMPITAWIDERHLPPLGLTNGWGYNPVAFM ALDPRLVPGGMTELRQTVAALHAEGIAVILDLVFNHTGESDRYGATLSLRGLDNLHYY RHAQNCPGELVNDTGTGNTLACDHPEVRRLVIDSLRHFVLNAGVDGFRFDLAPVLGRT ATGFERDGTLASILSDDVLADRIMIAEPWDIGPGGYQLGNFPPPFLEWNDRVRDDLRC YWRGDDWKTGALATALAGSSDIFSRNDGNETRSVNFLAAHDGFTLIDLVSYAAKHNDA NGEHNRDGHNENHSWNNGVEGETVYPTIRKRRRDDVMALISTLFATRGSIMLTAGDEG GRSQHGNNNAYCQDNEITWLDWKALDEGLIAHTAFVAGLRRRFTVFSETGFLAGNGDV EWISLSGEPMSVAEWETPSLSTLGMLLSTGDRSSRGRQTRLGVLFNRSGSRQFFTLPS QSEPGWRQLTPDGAKKTGGRATVEPRSIAFFVEN YP_002977437.1 MVTEISLSDVRGLIGMETGLSDWVTVDQTMIDAFASATDDHQFI HVDPARAAAESPFGGTIAHGFLTLSLLSTMNYNCLPKVREQTLGINYGFDRVRFMTPV KSGARVRGRFLLSEARFRGAGMLMTTYDVTIEIENEKKPALTAKWITIIQFDPKDRPA DI YP_002977438.1 MLHHVSLGVSDIERSAAFYDAALAALGYIRVWDDIRPGQTGEAI GYGLPGGGDKLAIKHRPDGQRAPGPGFHLAFAAPNRQAVDQFYAAAIAHGGSDNGRPG LRSHYGEHYYAAFVMDPDGHALEAVFNSAE YP_002977439.1 MADVAIRKPALAGRLGGSAAVRLTTAPTASRVALRAPAESLSAL SSALGLTLPDAPKTSGRAGARSALWIGPDEWLVIDEAGAGLMAALSAAGTLHSATDVS HRNVAIIVSGPGAEATLSGGCPQDLSLSSFPVGAASRTVFGKAEIVLFRTEEDTFRVE CWRSFSDYVFGLLNEAAEDAGH YP_002977440.1 MSGVNRIAGKGRLTPARTARFSFDGKSYTALEGDTVASALIANG VHLIGRSFKYHRARGILSAGAEEPNALIDVSRDTARKQPNVRATVQEVFDGMIVSSQN RWPSLAFDVGAVNNLMSPFFAAGFYYKTFMWPRAAWKHVYEPLIRRAAGLGVAPTEED PDHYASRYAHCDVLVVGAGVAGLSAALAAAETGARVILCDEQAEAGGALRYDAGVRID GQDGNSWAQKAVARLKAMDNVEVLTRTTAFGYYNHNFVGLAERVTDHIAKPSRDLPRE RLWQVRAKRVILATGAIERHMVFPNNDRPGIMLASAGRMYLNHYGVAVGAKVGIYTAH DSAYEAAFDLKRSGVSIAAIVDCRQTPGAAVLEEARTLGIDVLAGQSVVNTSGRLRIS SMTVARNGGGSPRKIAVDALLVSAGWTPSVHLFSQSRGKVAFDAESQRFLPGSYAQDC LSVGACNGTDDLQRTIEESLAAGELMAQATGRSSGEKIAISAEQAYDWTGGMIGAAEG AGPKTNAKAFIDFQHDVCAKDIRLAVREGMHSIEHIKRFTTNGMASDQGKLSNMHGLA IAAEMLGKEIPQVGLTTFRAPYTPVTYGTLIGHSRGELFDPTRKTPLHAWEEAHGAVF EDVGNWKRAWFYPQAGETMHQAVARECRTAREAAGIFDASTLGKIEVVGPDAAEFLNL IYTNAWDTLKPGKARYGIMTREDGFVYDDGVVGRLADDRFHVTTTTGGAPRVLHHMED YLQTEFPHLKVWLTSVTEQWAVIAVQGPKAREIVAPLVEGLDLSNEAFPHMSVAECTV CGVPARLFRVSFTGETGFEINVPADYGQSVLEAVWANAEPLGACVYGTETMHVLRAEK GYIIVGQDTDGTVTPDDAGLSWAVSKKKTDFVGIRGLKRPDLVKDGRKQLVGLVTKDP KLVLEEGAQIVASPNEPKPMTMLGHVTSAYWSDNCGRSIAFALVAGGRARMGETLYVP MPDRTIAVDVTDLVFFDKEGGRIHG YP_002977441.1 MLLIYCPYCQEERSELEFRGAGDAHIARPADIASISDEEFESYF FIRDNPKGLIFERWRHIHGCGRFFNAARDTVSDKFIMTYKAGEPKPEIGAAAKQHGPV ETYEAVEGEAQ YP_002977442.1 MWTAALLTIGISGAAMPAGDVFPGVGDFRLQKIHRVAGESEWPF VAESGTLLCAMILRQPAVYFVPEVGGTPGRAFVIDNDIAKMAFANIGMTDVLEPYDNF EQLLKRLIPYVTMGKRLCNQPPGTNVSGSEL YP_002977443.1 MRKYSVFAVAREALRGHKGWEKQWTSPEPRAEYDVVIIGGGGHG LGAAYYLAKEHGITNVAVIEKGWLGGGNTGRNTTIIRSNYLYEESMHIYEHSMKLWEG LSQELNYNVMYSPRGVMMLSHNIHDQQSFKRHIHANRLYGIDNEWLTPEQARAYCPPL DISASARYPINGAALQRRGGTARHDAVAWGYARAASDRGVHIIQNCEVTGIRRGPDGQ VTGVETSRGFIGARKIAVSAAGHTTTIMQMAGVRVPLQSSPLQALVSEPLKPIFPCVV MSNTVHAYISQSDKGELVIGAGTDQYNSYSQTGGLQIITHTLDAICELFPMFRRVKMM RQWGGIVDNTPDRSAIQSKTPVPGLYVNCGWGTGGFKATPGSANLFAHLIARDEPHKF NAGLTLDRFRSGRLIDEAAAAAVAH YP_002977444.1 MLCIALSGAWPALAAEPVGQAVVIKTQVTGQSGPIEVDTSVHRN ERIKTSPSGLGQFVFRDGTKLAVGWGSSVVIDKYVFDDSQSVKKLTIRAAKGTFRWVS GNSNSSAYQILTPAGTIGVRGTAFDFYVGPDGTTAVVLLNGAARFCGPGGCRQLQQRC DCVVAKPNGDMSAARRVDPSILATLGNQHALPFLSGNQRLAGGIGMLGGCNMASAAPE RRDRNRPPPPASPDPQKQDPPPKQAEPQKERPHKPDKPHHDKPHHDRPHHDRPDRPDK HDGNDRPGNHSQNHGNDRDHRGHDRDHGGDRDHDKDRDRDHGRDRNHDKGRSFNRNR YP_002977445.1 MTRVQQIGVVIGLAIVAMLTLLRAGDPGIFKLIRGVTFDEYQRL VPRTFEPMPVRVIDIDETSLREFGQWPWPRDRMALLVDRLSEMGASAIAFDVLFAEPD RLSPRNVVRDVAGVDPSLAEKLPNNDEIFARSIAGKPVVLGFGLSNEGNYRPPVKAGF AFTGESPVSAPPYLGASTPLRPQLEANAAGLGHISLNPGNPSPVVRAVPLFLTDGEQL YPNLAIEALRVAQGASTYVLSGAPDRVGIMTSAKIGDFVVPLTAAGELWLYVSPDRAE RYISARQVLAAEGASPEVAAAIDGSIVFVGTSAAGLQDIRVTALGENVPGVSLHAQAV EQILSGHFLSRPDWADGLEILTIAVVGCLLVVVTTFVSPAVALICGLLITAMALVASW LSFLYAGLLFDPLAPIVSGSIIHFAATSFRILVIDRERRAVRRAFGHYLSPSLLHRIE HTPDALRLGGDDRELTVMFVDVRSFTEISERLAPTDVVAFLNTLLDALSRHVVAHEGT LDKFIGDSIMAFWNAPVDVADHETKAVRAALAMRETLAELNAGDAFGFGPGQEVGIGI GIHTGLACVGNMGAKTRFNYSAVGDAVNVAARLESCCKEVGFDILISDSTARSVRGMA LIEAGAIPLKGKSSRTQILAVVGAERVAASAEFAALVVVHQQLMQALLSHSKNTRKLI GTAKLRAAQVIGGLAEFYQRISGRTDHFREVADRFEKSAAD YP_002977446.1 MQVLVRDNNVDQALRALKKKMQREGIFREMKMRDYYEKPSQKRA REKAEAVRRVRKLARKRAQREGVVAAR YP_002977447.1 MAVNTFNPSRALRLQKTAFLPALALAAMFGLAGCETTNTTDAVI RIDKAQGSEENIASLTAVINANPRDPEGYNVRGSAYGRAGQFRPALNDFNTALQINPR FFQAYANRALVYRNMGQQAQAISDYNAALQINPSYDVAYIGRGNVYRMAGQDDQAFND FDKAIQLGTTDGRAYHNRGLIYQKRNQQDKAIDDFSKAISLAPNSAEPYNGRGISYIA LNDDDNAFADFNHAIELNGNIAESWANQALVYERRGDKAKAARSYRHAIGLDPKYQPA RDGLARVGVAPAG YP_002977448.1 MKIVTLFFPKASIGTYLVAMAVAIALPIFAFVALLLLQLEDNQR STLKRETAQDALALSRIIDRQLQDMATTLRLLSSSPELENGNLASFHERTETALRDNT LFVIAVDSSGQQLLNTRRAFGTPLGKTANIPALEAVMASGRIEASDVFRGRTSGEWVY NVTLPRKNDPVAALIITQNAKDLGKLVTTEGLASGWSAAVIDESGHVVAASGPANLEP GTPFDPRILPALTVSRGVFEDETILPHMLLGYAQIPGWSWKTVIWGPIAQASILSTWR FLIIGGVALVLVAVLGAYAVARQVRTTIRDIADMANRMGEGHIVSPVETSVIEANQVA IALSNASFDRSQTEDRLRFVMHELVHRTKNLLTLAQAMMRQLAKQSDSVETFRAAVAD RLEGLVRSIELLTSEQWGGVSLRRVVDIHLHAFPQSREQIDISGKNFVLKPDAVQNLG LALHELATNSVKYGALSVPQGRVRFEWRDVSEEDKPDALLRFTWEERGGPPVTQPSRS GFGTTVIKAHAASAFRGTVEIDFRPEGLLWVLTAQRATLERE YP_002977449.1 MFKQTMIAAAALTAVAWASPAGAENYVTLGRLVCGSDGGQGLIV TSQKSLICTYTSAAGGAKAVYAGKIEKFGLDIGQTGKSVMIWQVLAKTGTDIPQFALA GEYYGIGADASIGAGAGAKVIAGGTDKAFMLQPLNVQAQEGLNLAIGVEKMTLVPGET YP_002977450.1 MTNIAAFLYLVSGVLFILALRGLSHPATSRKGNLYGMIGMGIAI LTTLVLATPDFGGFVLIILGLAIGGSVGAYVARTIPMTSMPQLVAGFHSLVGLAAVLV AASALYTPASFGIGEIGHIHTEARVEMALGVAIGALTFTGSIIAFLKLDGRMSGKPIL LPYRHVINASLLLLIVLFIIGLAATESHFDFWAVVALSLALGVLLIVPIGGADMPVVV SMLNSYSGWAAAGIGFTLGNLALIITGALVGSSGAILSYIMCKGMNRSFVSVILGGFG GETASGGPDTSDRTVKLGSAEDAAYLMANASKVIIVPGYGMAVAQAQHALRELADNLK KNGVEVKYAIHPVAGRMPGHMNVLLAEANVPYDEVFELEDINSEFAQADVAYVIGAND VTNPAARDDKTSPIYGMPILDVDRAKTCLFVKRSLGSGYAGIDNTLFYKDGTMMLLGD AKKMTEDINKALAH YP_002977451.1 MASEAMDRALEQLDHAVTAVITAAAQAPEAASAATGGAIDPFVF QLAIFVLSIFVGYYVVWSVTPALHTPLMAVTNAISSVIVVGALLAVGISTSGLATGFG FVALVLVSVNIFGGFLVTQRMLSMYRKKDR YP_002977452.1 MGNIVFVAREVTGEETRVAASAETVKKMKSFGFDVVVEAGAGAA SRIPDGDFEAAGARIGSFADAALADVVLKVRRPSGSEISGYKSGAVIIAIMDPYGNDE AIAALASAGLSAFAMELMPRITRAQSMDVLSSQANLAGYQAVIEAAAVYDRAMPMMMT AAGTVPAAKVFVMGAGVAGLQAIATARRLGAAVSATDVRPAAKEQVASLGAKFIAVED EEFKAAETAGGYAKEMSADYQAKQAALVAEHIAKQDIVITTALIPGRAAPRLVSRAML ASMKSGAVAVDLAVERGGNIEGVVPGEVADVEGVSVIGFANMPGRVAASASALYAKNL VTFLETMVNKETRSVVVNLDDELVKATMLTYAGDVVHPAFGGAKKGDI YP_002977453.1 MAEHHTGPVETGAPMDYKEHEQTYDMFIASAKYGSMLLIVLLLA MTAGFFGGAGLLGGLFVFIILLAAGIFLFR YP_002977454.1 MSETLFKRSDFSTKILEVLDHVEYRRVESSEDMEQVERLRYKAY KAHDVLALAPKGLLDDSDFDSHAYIFGLYYYGELVSTIRVHYVTPEHRLSQSGGAFPE AMDDLLDAGLTLIDPARFAADPELTADLPWVPYLTLRPTIVAAAYFRADRVLQFVRPP HAAFYKRVFYADTVVPGRLAKNYGIDMTLMATNVIEVGRKLLTRYPFFISSASEQRMM FSRNPNDTLPPLTIIPTARFVPQGELGTDLPL YP_002977455.1 MKPDNPNRVPIDVYLSFVSSLSGNRMTLLAGVIVHVATCLAVAA KTQSFVYILLAAAFLLVFCVRMVIFRQFDRVDKESLSHAGIERWERILVAGAACTTTL LGIASGYAIFVVHDSFAELACIAVTMATMVSVVGRNYGSRLAVDLQTFSCCLPMIVCS LLALDFYRGLLSIFLIPFWLTTRAMANGVREFLYENVIARREITIIADRFDTALNNMP HGLVMVDAENRIQVVNRKACELLKIGAPDRLKDRDLGAVLRYGARYSFMDASQPELIL RQLTQVAEGNLSRTLIHFPEGLSLEFSASRRADGGAVLIFEDVSSRVKAEQKIMHMVR FDALTGLPNREYFGQLVQDYLAKHQRKSGPLGFMVLDIDEFKHVNDMRGHVTGDHLLC AIAARIKQASGNAILGRLMGDQFILFFPHAKEQASLDVEIRRVHAAIQGNYAVDELTF LVSLSAGYAILESAAFAMDEWSVKADLALFESKSRFKGGISGFEREMDGRYIEQQKLK ADLRDAVSAQALHLAFQPMFRSDGSRIECAEALARWVHPEKGSIPPDVFIRLAEDMGI ISDITRFVLFKACSECMNWPEHIAVSVNLSARDLRDADILAVVAEALAHSGLDAARLH LEVTESCLIDEPAAVRAILAELRARGITIAIDDFGTGFSSLSYLDTLPLDIVKIDRSF VRNIVEDNRRLKLLRGTVHLARELGLKIVIEGVETEEQLALLNKHRSADLVQGYVFSP PVPSQNIPLLQQGIGRRVVQRRRNKVA YP_002977456.1 MSVLLAASRLIDSISQFMGKLSEYMVLFCCLISAGNAIVRYAFN YSSNGWLEIQWYLFAFVVMLGASHALRNNEHVRVDLIYGSVSDRAKIWIDIVGLIVFL LPACLYLTWLCWPFFTLSYHQGEISGNAGGLIRWPVKLILVAGFALLSLQGVSELVKR IAALTGHISIDTKYEKPLQ YP_002977457.1 MFDFGIIPPAMFLGMIIFMLYGFPVAFSLAAVGLFFAIIGIVTG HFGEVFLQALPLRFFGILSNDLLLAIPFFTFMGAVLERCGLAEDLLEGTGKLFGGIPG GLAYAVILVGAVLGAITGTVAASVITMGMISLPIMLRYGYNPRLATGVIAASGTITQV IPPSLVLVVLADQLGRSVGDMYLGAIGPSILQVTIFVLFILVMSIIRPKSMPPLPKEV RGDFNWALLVKVLMGMVPSIVLIFLVLGTIFMGLATPTEAGALGVVGAIVLAAMNRRL TWPLIREAMASTTHITSMVVMILIGSTCFSLVFQGMDGSRWIEHMLWGIPGGPVGFLI FVNIFIFVLAFFLDFFEIAFIVIPMLAPVASSLGIDLIWFGVLICVNMQTSFMHPPFG FALFYLRSIASKDVKTSDIYMGALPWVGMQLILVAIVIFWPQSVTYWLDHGPKVDPNS IKIEVPGFGGQLGLPPLGGGGNGSPQIPGLTLPPLNGLPGAPPPPAK YP_002977458.1 MDRRSFFKKAGTAGAGAVAATALAAPAIAQENPKIAWRMTSSFP KSLDTIYGGAEDIAKHVAAATDGNFTIQPFAAGEIVPGLQAVDAVAAGTVEAAHTTSY YFVGKDPTYAIGTAIPFGLNSRLTNAWYYEGNGNKLMNEFYATQGMYALPAGNTGAQM GGWFRKEINTLDDLKGVKMRIAGLAGRVMEKVGVIPQQIAGGDIYPALEKGTIDAAEF VGPYDDLKLGFHKVAKYYYYPGWWEGGPTVHGFFNLEKWSSLPKHYQAALTDACAFAN TNMLAKYDTKNPTALKQLVAEGATLRPFSQEIMEACFQAATGIYSEISGTNQYFKKIY DDQTAFKRDAYLWMQLSEYTFDTFMMIQQRAGKL YP_002977459.1 MTKPIVAIPADIRSFDGATWHAVQHQYLRAALNASGVMAFIIPA FEEGYDTDAILDRVDGLLVSGSASNVHPLLYGAEANDKDGPFDPARDATSLPLIRRAI DRAIPLLAICRGIQELNVALGGSLASEIQEQPGIWDHRRPEGVDRDGMYAIRQTVHVK EGSCIAAILGPGEIRVNSLHRQAIARTAPRLQVEAIAEDGTVEAVSVIDAKAFAVGVQ WHPEYWAETDKSSNQLFAAFGDAVRAYAAAKRPVIPAQAIA YP_002977460.1 MSRIAILVPGKIHERVLERLKDRFEIIAVPREEKLALDGETAGR IRGVAVSGSFPGAWIDQLPHAEVIANFGVGYDGVDVKHAAEKGIVVTNTPDVLNDEVA DTAIGLLLNTVRELPRAEAWLRAGNWKPGTAYPLSRFSLKGRHIGLYGLGRIGLEIAK RLEPFKVKISYHTRSRHADVSYDYHPTLKGLAEAVDTLIAIVPKTPQTHKTIDADILA ALGPNGILVNVGRGWTVDEAALSAALASGALGAAGLDVFYEEPTVPADLLGPTNAVLL PHVASASVPTRNAMADLVADNLIAWFDKGAALTPVPETPQKV YP_002977461.1 MVQKIKLSTIAETLGISTATVSLALRDSPLVAGNTREKIKEQAR ALGYIYNRRAASLRTSRSGIIGVVVHDIMNPFYGEILKAIESELDRSRHTFILSNHYD NVEKQRTFIETLLQLGGDGVIMSPAIGTPPEDVQLAEDNGMPAILVARSMEGQDLPTY RGDDSYGISLATNHLIGLGHRSIAMIGGTDQTSTGRDRYQGYVNSLRKAGIEVDPNLR IPGPRSKQGGFEAAVHFLSLPQKPTAAVCWNDLVAIGLMNGIARAGLVPGRDISVTGY DDLEEASIATPALTTVWNGQAEVGRLAARALLDRLAGSHEPDGMHLIKPEMRIRQSTS PHRPRA YP_002977462.1 MGKKHKDGKKNKSKKKDQTEYTLVDLSPALTQAARSMRTVLSRN LLESGLYAGQDGVILSLAESDGMTAGGLAQKLGVKAPTMTRTIGRMEAQGFLERKPDA EDARLTKVYLTELGRGSVQGIEMAASACDKLATQEFSEKEIRNLVRLLKAIDANLQAE AIHIEEPDED YP_002977463.1 MMTPSPRFEDSNPASTPDGRHLIAVLPLGAHEQHGPHLPFETDT LIAEGIAGRLKIALPAGLPVTFLPAESVGYSIEHMDVEGTKTLAFDEAVNRWLGIAEG LAKQGIRKLVMLNAHGGNSPIVTIVATEARLRFAMLAVATSWTRFGLPDGVVTPEEKA IGIHGGDIETSVMLALHPDKVDMAKAANFSSRQTEFAERFKHLRAYGPHAFGWKMSDL NAEGVAGNAAAATVEKGEALIAHAVKGLVELLSDVDAFDVAQLR YP_002977464.1 MTDAISTLKPIPVTVLTGYLGAGKTTLLNRILSENHGKKYAVIV NEFGEIGIDNDLIVESDEEIYEMNNGCVCCTVRGDLIRVVEGLMRRPGRFDGIIVETT GLADPVPVAQTFFMDDDVRAKTELDAVVALVDAKHLPLRLKDSREAEDQIAFADVVII NKSDLVTPEELDVIEDIVRAINPAARVYKTSRSGVDLARVLDQGAFNLERALENDPHF LEHGHDDHVCGPDCDHDHHHHDHDHQHGDHGHDHHHHGAHQHGAMSAIHDVTVQSVSL RGAEMNPERFFPWIQKVTQTQGPNILRLKGIIAFKDDPERYVVQGVHMIIEGDHQRPW KEGEKHESRLVFIGRELDREKLEASFKACEAAA YP_002977465.1 MPTVAPLDLDGHVLAVEFLGDVPFFASANGTFHRLDGGDRVSEA HQGMLTAIRDPYSESLISGGEDGKVLRIAADGSVSEIATAPRKWISQVAAGPQGAVAY SYGKSSLVRLADGTTKEFAEERTVEGLAFAPKGLRIAAARYNGVSLHWIGMNAKPVDL EWKGAHTGVTFSPDGNFLVTSMQENALHGWKLDIKPGTEARHMRMTGYPAKVKSLSWS VKGKWLASSGAPAAIVWPFQGKDGPMGKAPMELGTRANIMATAVKFHPLEDILAIGFI DGMILAVRITDSKEALLRRPGKGAITAMSWSKNGKLLAFGSEAGDCGVVDISA YP_002977466.1 MDDALTESEIVALTANHMQAAAEIRRVALWQRLPWLPDVHTPEE EEQYWRMHLLPNCSILGAAMGNRLVGVIAYGDNWIEQLYVLPGFQGMGIGSSLLGCAK EEMNEIRLWTFQRNAGARAFYERHGFIAEEETDGADNEEREPDVLYHWRLLPELTARL QPSG YP_002977467.1 MSKSTGLGLTEQQPQALGDASVWTVIRAEAVELAAREPILRRLL AAQVTDTAGNDEIIARVLAARLSVAQVETGDLFDLILSTLDGDIMRKVEADLIAVRER DPACTTFLHALLNLKGFHALQTHRIAHALWTAGRPEIASWLANLVSLVFGPDIHPAAR IGASIMLDHGSGIVIGETAVIEDEVSILQNVTLGGTGKETGDRHPKIRHGVMIGAGAK ILGNIEIGAFSKVAAGSVVLKSVPTHCTVAGVPATLVRVHRVDEIPAETMDQNI YP_002977468.1 MLLDLRTIYFIVAVSCFVLGILQLAAYATGRFERWPLWWGLSNL LVGVGSFLVALRNLVPTSVSIDGGNIVTIAGYMLMFFAIRVFSGRALDQRTFWLAIFV VSVPVALIVRDPSAVSARLLYVSVICCLCDLAVAREAIIIARCEKLYSAALLVGLYAC TAAIFAVRSILAATGEIGGPDPFGGSAVHSWMAVSAVAFIMLRSMAMVLMAAERSRNQ LTELAHHDPLTGALNRGGLAQHLPALGFQPVSLLIIDIDHFKQLNDRHGHAAGDDILR LFASVSRSIMRSDDLLARQGGDEFLAVLKNASRQDAVIIAERIRLAFAAAVLQRPDLA IFPTLSIGVAARAESGGDFERLMQKADEALYRSKREGRNRVEAFSENQQAA YP_002977469.1 MIRILSLLLLLCLPLDATGPAAAQSTASAVGGLGPRLDRVASDP AMRPLKTVIVARDGRVLSERGFRGHSPSESTNIKSASKSIISALVGIAIDKGLLIGPD QKIAPILKADLPVTPDPRINDITIGNLLSMQAGLDRMSGPNYGRWVSSRNWVRFALSQ PFVDQPGGEMLYSTASTHLLSAILTKVGRRPTLVLAREWLGPVDGFRIGAWERDPQGI YLGGNQMAMSARSLLAFGELYRSGGKTADGRQIVPADWIAQSWQQRTNSRFSGDEYGY GWFTRQIGGEQVHFAWGYGGQMLYIVPSLDLTVVMTSEESGPSARNGYRDLLHGLLAD IIGSVRAA YP_002977470.1 MAAVLDSVRAFFAPTTFAIDAENPSDVVARENLLDRVMGPDRRK KSSEKIRRSRVPAEGLALVARDRDGHVIGTVRLWNIEAGVNDEGTPINALLLGPLAIA PHHGGKGIGSALMRAAILEAKKRRHGAVLLVGDAAYYERFGFFAEKARHLVMPGPFER SRFLALELTEGWLDGAAGMIVASGRMLAGAPVRRAA YP_002977471.1 MTTQRIRDFLATRRPDGPCLVVDLDVVRDNFHAFRHAMPDSAIY YAVKANPAPEVLKLLAGLGSNFDCASVAEIEMALEAGATAARISYGNTIKKERDVARA HALGVSLFAVDSHEEVEKISRAAPGARVFCRVLTDGEGAEWPLSRKFGCVPQMAVDVL VYAHQLGLQSYGVSFHVGSQMTKVDAWDSALADAKRVFVSLAKQGIHLQMVNMGGGFP TKYLRDVPSAEAYGKSIYQALRTHFGNQIPQTIIEPGRGMVGNAGVIKAEVVLISKKS DNDDARWVFLDIGKFGGLAETMDEAIRYPIRTEHDGDEMEPCVIAGPTCDSADVLYEK NLYPLPISLSIGDEVLIEGTGAYTTTYSAVAFNGFDPLKAYVI YP_002977472.1 MDTLTRIRAFIDVVEAEGFSAAARRTGRSKALLSKYVRELEDEL GALLLNRTTRQFSMTEAGHTYYRTASDILKEIDNLADLVRENNAQLKGRLRISVPRTF VDADVGQSLIDFAKENPDLSLEIAADDRFVDLIEEGFDVAIRITKLEDSGMIARKISD FRIHICATPEFLERYPDLDHPTALSSVPFIVDTNARTQASIRFHNPDNTSFAVAVSGP IEVNSPHATLRAALAGIGVAFIPDFIARKPIESGELVTLFNDYTPTDRGIYAVYPHRR YLPAKVRIFVDYLHNWFKKHP YP_002977473.1 MKHSTILMAALLSLAPAAAFAHPHIFIEARLEVVAGKDGSVEEL RNVWRFDEVFSSSVVMDFDKNTDLKLEPNELTDVGNTVKKSLADYDYYMNLTINGKNI TVQKPDIIHVDYKDGQLLMFFAVKPVEKMPLKGRLTFGVYDPTLYTSIDFPTDNELAI VGDGFKACKHQVVRPDADEVISQNKQSLTDAFFNDPTGTNMSKLFATRLEVTC YP_002977474.1 MLTKRLPLIFSAAVLALVTAASLAHAQSPLGIGTAEPSFQPTGG PFAPLFLYVNYEQQAFYRALTGALKAMRQDPWQLASLIGLSFAYGVFHAAGPGHGKAV ISSYMIANEVELKRGVVISFISAFVQGVVAVALVGGAWLVLRGTGITLTAATHAMEVA SFVMVILFGGWLLFRKLRSLVGNMPRRRLMATPAGPVSMMLDWKDNAAERQAYAFNGK AQPVEAGHTFVPGMVCETCGNAHVPDPALLGGDRFSASEAWSAIVAVGLRPCSGALLV MTFSLLNGLYLGGVLSVAAMSLGTAITVSLLATLAVTAKSAAVRLSGRGSTTSIWVGN AIEILGAVLVMLMGALLLGASLQG YP_002977475.1 MSPESRSQATAAGIILLSAALVIYFLPTIVLWIGNFSPTLAIVV GVCLIMAFFAVFWLRARYQRRRGK YP_002977476.1 MRDLANFKGCPAPKPVTLKGRFVTVEPYRRAEHLEALWDGLGGM GINPLLLYFAQDDFSGIDDFANWLETVYTKSGWLTHIFRDNATGKIVGMANYMRADPA NGVVEIGGVAHGAEMKRSPLSTEVHYLMAKHVFEDLGYRRYEWKCDNNNEASKTTAAR YGFSFEGVFRQHMISKHRNRDTAWFSMIDAEWPMINDAFEAWLSPENFDAEGNQIRRL QDIRTDLEKERLA YP_002977477.1 MFDAKKLLDQFLGSQVPGLGGSVRDRAGDAVQTARNNPMKTGAI AAALLGTKTGRGIAGNALAIGGLAAIAGLGYQAYKNYQAGQAPAAPSDAPSANNPVLL PPPVESGFGPASPAGSNEFVLVLIRAMIAAAKADGHIDDAERALIMDKVKAADVSGEA AAFIEHELASPTDIDAFVAAATTEEQRVELYTASRLTIDPDSRAERGYLDLLAGRLGL ADQLVDHIEATVSSAKVTLSQ YP_002977478.1 MGEKTEKLLSILKLQPVVPVLIVDDAKSAVLLARALVAGGLKAI EITMRTPAALEAVRAVAAEVEGAEVGAGTILNVAHWEAAVEAGSKFIVSPGTTQELLD AAADSDVPLLPGAATASEVMALREEGYQVLKFFPAEQAGGAAYLKALSSPLAGTLFCP TGGISLKNANDYLSLPNVICVGGSWVAPKELVAAGDWAGITRLAAEAAALKA YP_002977479.1 MAKEIERKFLVRSDGWRAAVETKSVLRQGYIASMDDRSVRVRIL DGRKARLTIKIGRSTITRDEFEYDIPIADAEELLRNAIGVVIEKTRYRVPHEDFVWEV DVFTGEHRGLVIAEVEMTSETDDPALPTWLGREVTGDFRYSNQALATEYGHDRHGLSH SA YP_002977480.1 MAYRIRPDADFTEAFRSVATKQLEHAVTILDERPDGAHEAIHSF RKNLKRLRSLYRLVARDVPDFQRRENARLRDAARSLSAIRDAAALIGTAQYLQHAARE NEESEALGRIVTILEGRRDWMAEAESGLEQRLAETSGVLKQAIAALDAVSFDGGHRKN ARMLAKSWRRTARKAKAALAACHGGASADDFHDLRKRTYDYRLYHALLRDVWPGAMKA KRDAAKELVEDLGHIHDLTVLSELVEAEPQLFTRNDDLAHLLDIIIFRQQEDRRQALI KAEAVFADDADEEAQRIELLWLMAGN YP_002977481.1 MTDSPTHTSPFLVAALYHFVSVPRFADLQAPLQTLCEENGVKGT LLLAHEGINGTIAGPDAGIHAVLAFLRAQPEFSGLEHKESHASKMPFLRMKVKLKKEI VTMGVEDIDPNKVVGTYVAAKDWNALISDPDTIVIDTRNDYETAIGTFRGALDPKTKT FREFPDWVRANPGLHNKPKVAMYCTGGIRCEKATAFMKAEGFDEVYHLKGGILKYLEE VPQEESLWDGACFVFDERVSVEHGLKQGEHRLCHACRNPITAEEMISPLYEEGVSCSH CYHTRTEEDRLRYRQRQHQIALARRRGQRHIGS YP_002977482.1 MQTAGKSQSKGSGIGRHITVTGVLFSFAVIVAVVTIMVLTALER VAENSNVLDDERSRETTVGALKTFEDQLGATLDDYAAWDDAAVNVYAADGMAWTVSNY GEMSVNSSLFDMAIVIDGEKKAIMAYRDGKPMEEPLTDFFAPSLWTLFDTVKAAGPAD RPQAIGFVTTKRGIAAVGVALVRKKSGALDVPAGQQRYLVFARHLDDDRVTALGQTYV IGGLRLAPPSFAADYLVPIVDPTGATLGKLVWISRSPGDIGYAQVRPMVIQALGLVGL FFVVLLVIGWLAGRRLKAEENSAREEALRDRLSGLSNRDGLGLAVDRFVVEARQTKRN VLLLYLDLDGFKEVNDSYGHGTGDQLIRAVAAGLAVLIPQGAVLARIGGDEFAIAFLS DSENAAALQLAEQILDFLVEPLEIGRRVVVVGASIGIAMSPSGTIGREELVRRSDLAM YKAKEAGRARMTLYDPSMDTDREQRNALELDLRIAIESGDLTLAYQPLIDAATHAMTG VEALVRWNRPGHGPVSPELFIPIAETSGLIESLGLFVLRKACETAKQWPELNVSVNVS PGQFRNPAFTDYVRYVLKQTEIEAGRITLEITEGYMIQNPQRTRQSIERLKGLGVKVA LDDFGSGFSSIGYLRQFGFDRIKIDRSLVMGVNDKRQREMLQATVALARSLDIPVTAE GIETEEQAIAMRLFGCDCLQGYLFGKPVISELITEMLHERREAEPAARRRIDAA YP_002977483.1 MIDPKKLAERFPGDFIFGVATAAFQIEGASKADGRKPSIWDAFC NMPGRVHNRDNGDVACDHYNRLEQDLDLIKEMGVEAYRFSIAWPRIIPDGTGPVNEAG LDFYDRLVDGCKARGIKTFATLYHWDLPLLLAGEGGWTARSTAYAFQRYAKTVMNRLG DRLDRVATFNEPWCIVWLSHLYGIHAPGERNMQAALHAMHYMNLAHGLGVEAIRSEAP NVPVGLVLNAASIIPGSDSPADLAAGERAHQFHNGAFFDPVFKGEYPKEFVAALGDRM PVIEDGDLKLISQKLDWWGLNYYKPERVTDDAERKGDFPWTVEAPPASDVKTDIGWEI YAPGLKLSIEDLYRRYELPECYITENGACDNTDVIDGEVDDTMRLDYVGDHLEIVAGL IKDGYPLRGYFAWSLMDNFEWAEGYRMRFGLVHVDYETQLRTVKKSGKWYRQLAAQFP KGNHKAV YP_002977484.1 MLSSVHSLQPHLLSLLRIVSSLVLFSYGTQKILHFPAAASVPPA GSLPWIAGLLELTFGFLVLVGFQTRIAAFVLSGLMAVAYFIAHASKGIYPAQNGGVAA ILFCFVFLYLVAAGAGPLSVDNLLKRGRTAAV YP_002977485.1 MAIEASSEQTREPRIRLGMVGGGAGAFIGAVHRIAARIDDQYDL IAGALSASPEKAIASGRDLGLDPSRTYSSYREMAIREAKLKNGIEAVAIVTPNHVHYD AAKEFLKRGIHVICDKPLTSNLADAKKLKKIADESGALFVLTHNYTGYPMVRQAREMI ANGELGDIRVVQAEYPQDWLTEAVEQTGQKQAAWRTDPAQSGVGGSTGDIGTHAYNLA AFITGLELDSLAADLDSFVPGRRLDDNAHVMLRFKAKGSEKPAKGMLWCSQVAPGHEN GLMVRVYGTKGGLEWTQKDPNYLWYTPFGEPKRLITRGGAGSGAAAGRVTRVPSGHPE GYLEAFATIYTEAAHAINARKKGKAVDKAVVYPTVDDGVKGVAFVEACVASSKRNGAW IKV YP_002977486.1 MKTIKGPGLFLGQFAGDTAPFNSWDAITKWAADIGYKGVQVPTW ASQLIDLKKAATSKDYCDEFAGKARENGIEITELSTHLQGQLVAVHPAYDEAFDGFAA PEVRGNPKARQEWAVEQVKMALTASKNLGLKAHATFSGALAWPFIYPWPQRPAGLVET AFDELARRWTPILNHADENGIDVCYEIHPGEDLHDGITFEMFLERVKNHPRANMLYDP SHYVLQCLDYLDNIDIYKDRIKMFHVKDAEFNPTGRQGVYGGYQGWVERAGRFRSLGD GQVDFGAVFSKMTANNFDGWAVVEWECALKHPEDGAREGAEFVAAHIIRVTEKAFDDF AGSGTDQAANRRMLGL YP_002977487.1 MRKLMLGLTVAAVTFAGAAHAQDKKFTIGVSIPAADHGWTSGVV FHAERVAKLLMAEHPGLNVIVKTSPDAASQANAVQDLDTQGIDALVILPSDPDPLVNA IKEVKGKGKFVALVDRAPSNNDNSVRDLYVAGNNPALGEVAGKYIKDTTPDAEVVIIR GLPIPIDQQRQDGFDKGIAGSNVKVLDRQYGNWNRDDAFKVMQDYLTKYQKIDVVWCQ DDDMAVGVLQAIEQAKRTDIKYVVAGAGSKDMVKKVMDGDKLIPVDVLYPPAMVGTAM ELTAAALYDQVPVHGSYILDATLVTKDNAKDFYFPDSPF YP_002977488.1 MSVNEETREIRRRSWRDVDLRAVAPFVALALLLIVGALVNPNFI GITNLANVATRSAFIAIIAVGATFVISAGDLDLSVGSMVAFVASLMILLMNSGAIENP ALMLTVAAVFTIVAGALCGLANGLITTVGRIEPFIATLGTMGIYRGLTTWLSQGGAIT LRSADIQTLYRPAYFGNVAGIPVPIVVILAVTAVAAFILYRTRYGRHVVAVGSNSDVA RYSGIAVNRVRTIAFVIQGLCVAIAVLLYVPRLGSTSATTGILWELQAITAVVVGGTA LKGGAGRVWGTICGAFILELVGNIMLLSNFISEYLIGAIQGAIIIIAMFVQRSLVRKS YP_002977489.1 MTVETADTAPVVLSARRICKSFSGVQVLFSVNFDLRAGEIHALM GENGAGKSTLVKVLSGFEQPSSGEILLDGKPVVLPPNGDAEALGIVIIHQEFNLAEHL TVTESLFLGREVTHFGMLDRKYMRSETRKVLDVLGSHVDENALISTLSIADKQMVEIA KAISRDARVVFMDEPTAVLSREETNMLFKQVRKLRDQGTSFVFVSHKLDEVMELTDRV TVLRDGQWIKTSPTSVLDGESIAQLMVGRELSSLYPAKVEPDVDEEVVLRVASVSTGY VKDASFEVRKGEIIGFSGMIGSGRTELMEAIAGLRTRLEGEVVIKGETVPSGDVHAAN RCGLAYMTKDRKSKGLLLRSGMVTNLTLQSLGRHARHGYLSPGSEAAAMAKAKRRFDI RVRDGNIVAGRMSGGNQQKLLLAKVMETEPQIIIIDEPTRGIDVGTKQQIYHFISALA RDGRSIIVVSSEMPEVIGLCTRVAVMREGRIVGVLEGDEISEQEIMRYAAGLKRKAAA YP_002977490.1 MSNSTPATIEDVARIAEVSIATVSRAIHMPEKVANSTRLKVNQA IAITGYTTNAMARSLRLGRSNMILVVAPDIGDPNFSNILVGLENEARAHGYGILIGHT QNDAQRGLEYLKFFNSNQAAGLILFTGILPFGHQTMTARLPPSVGVFEPVFNGGIPYV GVDDTEGARKAVDLLLAEGHRKIAFIGDSRTRLAYTRRRMGYDAGLDAAGIPPDTRIV LEGDGTIESGRHAVEQLFMRDTLPTAFMCVNDQTAIGVMVGLGARGYDIPRDFSVTGF DDVPQAVFISPPLTTIRQPRTAIGKQAMALLLELLSDGRPTETEILLRPDLVVRNSVS APSRSWSKR YP_002977491.1 MSTGFFGDIQKVKYEGPDSTNPLAFRHYQPDEIVMGKRMEDHLR FAVAYWHTFTWPGGDPFGGQTFLRPWFEDTMKAAKLKADVAFEFFSLLGSPYYCFHDA DVRPEGKTFAENTKNLNEIVDYFAEKQAATGTKLLWGTANLFSHRRYMSGAATNPDPD VFAFAAATVKTCIDATQKLGGENYVLWGGREGYETLLNTDIGRELDQLGRFLNLVVEY KHKIGYKGTILIEPKPQEPTKHQYDYDVATVYGFLKKHGLENEVKLNIEQGHAILAGH SFEHELALANALGIFGSIDMNRNDYQSGWDTDQFPNNVPEMALAYYHVLAGGGFKTGG TNFDSKLRRQSLDPADLLIGHIGGMDCCARGLKAAAKMIEDKALSQPLADRYAGWESA EAQKLFRGEYSLDEITHYVESHDVNPQPRSGKQELLENVVNRYV YP_002977492.1 MYLGLDLGTSGVKAMLIDGDQKIIGSANGSLDVSRPHSGWSEQE PAHWVRATEEAVAGLKAKHPKELAAVKGIGLSGQMHGATLIDATDKVLRPCILWNDTR SYVEAAALDADPRFRALTGNIVFPGFTAPKLAWVAKHEPDVFGKIAKVLLPKDYLRLW LTGDYISEMSDSAGTSWLNTGKRAWSSELLAATKLSEEQMPALVEGTEQAGKLRSELA AQWGISGDVVIAGGAGDNAASACGMGTVSDGAAFVSLGTSGVLFAANASYLPKPESAV HAFCHALPNTWHQMGVILSATDALNWHSGVTGKSAADLTGELGETLKAPTGVTFLPYL SGERTPHNDAVIRGAFIGLEHESSRVVLTQAVLEGVAFAIRDNLEALRSAGTGISRVT AIGGGSRSRYWLASIATALGVPVDLPADGDFGAAFGAARLGLIAATGADPIAVCTPPV TAGTIEPVAALSGAYEDAYKRYRALYPAIKSLAH YP_002977493.1 MRPTVHDIAAAAGVSLATVDRVLNQRPGVRHVTREKVETAIREL GYVRDVAAANLAKGRTYPLVFILPASDNSFMHGLNAEIRQAILRSSAERTDIRTIEVP AFDPAALVAVLEGLSREKPCGIALVATDAPDVRAAVDRLVRERFPVVTLVSDLTGSLR HHYAGVDNIAAGRTAARLLGRFLGPRKGEIAVLAGSMLVRDHRERLEGFTAVMAEEFP DLAILPVLEGRDDPEVAHRLVADALGNADIIGVYSLGAGNRGLIRALKEKAVDRVLTV IAHELTAHTRAALIDNTIDAILNQDAGHEVRSAIRVLKAKADGLAVIEAQERIRLDIF LKDNLP YP_002977494.1 MAGSATATAGTIPAAPAHADERMDPKKLIAFFAMVLGMFMSILD IQIVSASLAEIQAGLSAGSDEVGWVQTAYLIAEVIMIPLSGTLARIISTRYLFAISAA GFTMASALAATATNIDQMIVYRVIQGFIGGGMIPSVFAAAFTIFPPSKRSIVSPIIGL IATLAPTIGPTVGGYLSHAFSWHWLFLVNIVPGIIVTIVTWNFIDFDKPELSLFKKFD WWGLISMGVFLGALEYVLEEGNSNDWFNDSSIAIAAVASGVAAIVFFYRAFTVDFPVV DLKAFSNRNFSFGSVFSFVMGIGLYGLTYIYPVYLGRIRGYDSLMIGETMFVSGLAMF FTAPIAGRLSTKMDLRLMMVIGFVSFAAGTFIMMHLTADWDFYELFIPQILRGFGLMM CMVPINNIALGTMPPSRIRGASGLFNLTRNLGGAVGLAVINTVLTNRQDVHYERLREN MDWGNPAAIDQMNNMAANFNTYGMDGASVAIKQMVGLATKQAIILSFSDVFLILTALF LAMILGVAMIKKPAPQGGGGGGGH YP_002977495.1 MSSNQKSNVARIVSENAETEEVKADVAAVEAPTAEARELPSAPA QSAPASVAAAPAAAKKRRSPVLPIVVLALLAGGGWYGYEWWTNGRFMVSTDDAYIEGD IATISPKVTGYVAKVNVVANQEVKAGDVLATLDNGDYQNALDLAQAQIVTEQLSLQRI DAQIEGANASLVQAQAQKVALEAAVRGAEITQKRQSDLQAKSVGTAADLDNANIALDQ AKANLAGGDANITAAQANITILQAQRKEAEGSVRSLEISRDKAVRDLSFTVLKAPYDG IVGNRSVQEGDLVSPGQRLMALVPVRQLYIDANFKETQIQHLVPGSKVNVQVDAYSDH PIVGTVESISPASGSVFSMLPPENATGNFTKVIQRVPVRIALPQEALDSGRLRAGLSV VVDVDTRTAPSK YP_002977496.1 MTLKPDHAAVFSPPAAGGRFAAGEDPAKRQQILAGAKRVFMKMG FDAASMNDVTREAGVSKGTLYVYFTNKEELFSAMIETERAAFVAAVRTALAEHDDPEA GLYEFGISFVTHMTDEKVISAMRTVLGVRDRMPVLCQRFFKGPENLRTIMRDFLERHI AEGRFEIDDIDLAAGQFLDLASGSFFKLRLFGSMEEPPSRDEIERVIRGAIRVFMAAY GARRHETA YP_002977497.1 MSAVGRAIWFIESHFESDISLEEISEAAGLSRYHLSRVFGLVTG YSISGYIRGRRLSRAVPTLVGSSSTILEVALCAGYGSHEAFTRAFRDQFGMTPDAVRR QGHACNLVLLEPIRMDPAHLNDLEPPRFETLQPMLFAGLQEIYPYGGNAAIPSLWQKF NAHFGHISGQKGNVAYGICTHIDGEAEKFRYMAAVEISDAGDLPADFATLKLPGQRYV VFAHRGHVSGIPATMNRIFGAWWPTSGLEHSETPDMFERYDERFDPYTGMGVTEIWLP IRA YP_002977498.1 MLMQEIMTLIQDPAAWVALITLVVMEVVLGIDNLIFISILTNKL PPEHREKARKIGIGLALVMRLALLGTIAWIVQLTEPLFEAFGHGFSWKDLILIAGGLF LVWKATKEIHHSVDPEDHGEDFIASSATTGFASAIGQILLLDLVFSVDSIITAVGMTP HLPIMVVAVVAAVTVMLVAATPLANFIERNPTIVMLALAFLLMIGTTLIAEGMGFHVP KGYVYAAMAFSALVEVLNMFARNARKRKRDAAH YP_002977499.1 MTVSGTATGVRVRIAPSPTGEPHVGTAYIALFNYLFAKKHGGEF ILRIEDTDATRSTPEFETKVLDALKWCGLEWKEGPDIGGPYGPYRQSDRKEMYQPYGQ ELLDKGHAFRCFCTPARLEQMRETQRAAGKPPKYDGLCLNLTAEEVTSRIAAGETTVI RMKIPAEGSCDFTDGVYGDVSIPWDSVDMQVLVKADGMPTYHMANVIDDHLMKITHVA RGEEWLASVPKHILLYRYFDWDQPVFMHLSLMRNADKSKLSKRKNPTSISYYSALGYI PEALMNFLGLFFIQIAEGEELLGMDELSEKFDPANLSKAGAIFDIQKLDWLNGRWIRE KLSEEEFQARVLTWAMENDRLKEGLRLSQTRISKLGELPDLAGFLLKSDLGLQPSDFA KIKSPPEEILEILNTVQPDLEKILEWNVETIEAELRAIADRMGKKLKVVVSPLFVAVS GSSRSLPLFDSMAILGRSVVRQRLKLASQAVAALVGSK YP_002977500.1 MADNKTENTLSSDATEVRTQKLKLLREQIGEVYPAHFHRTMTNA ELIAKYENLESDVETQDVVIVAGRVYSSRNSGMFMDIHDAGGKIQIFSHKDTTPEEAR ALLPMIDIGDIIGVTGIVRRTKRGELTINAQTITMLTKSLLPMPEKWHGLSDIELRYR KRHLDIMTNEDSKLRFQQRSKILSGIRRFMENDGFMEVETPMLHSVYGGATAEPFKTH HNTLKLDMYLRIAPELFLKRTLVSGLTDKVFEINRNFRNEGVSTRHNPEFTMMECYWA YADYEDIMDLVERLFESLALSIHGATEFPFGDKTMSFKGPFKRVPMPDAVKEATGIDF LAIKTDEEARAAAKAAGFAVEKDWTWGECLAFIFEEKVESTLIQPSHVTHFPKDISPF AKEVPGEPRLVERFETYCNAWELGNAFSELNDPEEQRRRMVEQLEQAHARGEKEKQLD EEFLDAIDQGMPPAGGLGIGVDRLIMLLTNAPSIRDVILFPARRNKAD YP_002977501.1 MKIAIITGGSRGIGQSAAQECARRGMGVILTYNSHKPGADEVIA AIKADGGQAFALALDVGDADSFPAFVAEVKRLLSTYWGRDAFDCLVNNAGFGMFNLME MVTTGQFDALMNVHLKGPFFLTQALLPLMVDGGVILNVTSASVRVATAGVAPYAALKS GLETLTRYQAKEFGDRRIRANAISPGPIRTNLADAALDKNPEFAALLASQTALGRIGE AEDVGRVIAMLASDDGAWINAQTIEVAGGYMI YP_002977502.1 MQTMLEEMRRLTAHAENRPTETGIPGILMVKGEIPEHKLGAVYE PMVNLILDGSKTLTIAGQDYYYDPASYFVISIDVPATGMVQQAGPDRPYIGVSLSLDP VKVAALLLDLPPQSYQEGQSGGYSVCRMTPELPGAWLRMLQLMERPQDIPALAPAYER EILYRVLQGPQGWMLRDIAAPDSALSRIRLAIRWVREHYAEAVEVEKLAALTAMSVSA FHRHFKAVTAMSPIQFQKRIRLLQARQLLISQSGNASSVAYSVGYESVSQFTREYARF FGQPPARDASLMRENIRPAA YP_002977503.1 MKALLRIFRPSRKLAIIIGGVALLMGVSGGAAVYVGKDRLIGAA GGGYGLECSDVNLVTIRKQDHVWVRKYIKTEPTDGMTRVKTALRVAQAVYAAQKPDLV QVVVLDENGPTLRADIRGRAIGADVVYIPHPDKTVPGLDDKAYTARYYDGKASENGLF FGERIEMPFDEVAMISAAFKDPEDCVDPVAVGSTKGEGKAAGGGHGAAPETKDPSAQE QAAKDAATPAEDAPAEEPQAKSGH YP_002977504.1 MAFTLRQVQYFVAVAEQGSVTRAAQNLSISQSSVTEALKELETD LGVALFERHPRGLTITHNGHQFLRHATKILASVSDARTSFSGQQSALSGTLNIGVTSL VAGYVLSDLLARYRRACPDIEVSAIEDNGGYLEHLLVGGELDVAVMVISNLRDRMALQ AEILETSPYRLWLPMGHPLVSADIISVADIAREPLIMLTVDEIEENTGKLLSALGARP HVAFRTRSVEAVRSLVATGAGVALLPDLVYRPWSLEGDRIESRDVSGSLPVVQVGMVW RKGSSLPQAARDFVGIAESLRSGRIR YP_002977505.1 MTNLLKSCTAALACLSFATQGIAAEPLKALGKGEGAVSIVAWAG YIERGETDKNYDWVTDFEKETGCKVSVKTAATSDEMVSLMNEGGFDLVTASGDASLRL IAGKRVQPINTDLIPSFKTVDERLQNGPWYTVGGVHYGVPYLWGPNVLMYNTDAFKDK APTSWNVVFEEQTLPDGKSNKGRVQAYDGAIYIADAAMYLMAHKPDLGIKDPYELNED QYKAALDLLRGQRKLVSRYWHDAMIQIDDFKNEGVVASGSWPFQVNLLQADKQKIAST FPDEGVTGWADTTMLHADSEHPNCAYMWMEHSLKAKVQGDAAAWFGAVPSVPAACKGN ELIGDSGCATNGFDHFDKIKFWKTPVAKCTTQSECVPYHRWVSDYIGVIGGR YP_002977506.1 MTPAVRFQQVSRHFGQVRAVDGVDLEIAPGEFFAMLGPSGSGKT TCLRLIAGFEQPTAGHIQIFGETADGVPPYRRNVNTVFQDYALFPHLNILDNVAYGLM VKGVGKAERMKAAGDALELVKLPGYGARRPGQLSGGQRQRVALARALVNKPKVLLLDE PLGALDLKLREQMQEELKSLQRALGITFVFVTHDQGEALSMADRVAVFNDGNIVQEGT PQDIYRRPKNRFVADFVGSSNVIAPDLMASLGGERRWASLRPEAIRLAGDGVAAQVDN ASFLGAATRLTVGLRGARLHVMLPAGADVPVVGAGIRLAWQPDDIHYMDDAA YP_002977507.1 MTAIFITTNEATSILPGRGGLFGRLSDAFWRHPKLLLFLMLTPP LLWLGIIYIGSLLALLLQSFFSIDDFSGLVNYEFTLATYAQLLSPTNFDIIIRTFVMA ALVTVASALIAFPIAYYTARYAQGKWKALFYLGIMLPLWSSYLVKIYAWKLILAKEGI LTWIFEKLYLSWLLDGILNLPVIGGNSLSVSYLGTFIVFVYIWLPYMILPTQAALERV PGNLIEASSDLGATPRQTFRTVLLPLALPGIVAGSIFTFSLTLGDYIIPQIIGSSRLF IGQAVYTQQGTAGNVPLAAAFSVVPIVIMALYLWLAKKQGAFDAL YP_002977508.1 MRSDLKTSPLALKVAAAGGLLFLHLPILLIFVYAFTTEEKSYQW PPPGLTLQWFEVAWNRPDVWSALGLSVQVAVIATAIALVLGTLCAAAVSQTKFFGREA ISLLVILPIALPGIITGIALRSAFSLFDIPFSVWTIVLGHATFCVVVVYNNAVARFRR TSGSLIEASMDLGADGFQTFRHVILPNIGTALLAGGMLAFALSFDEVIVTTFTGGQQS TLPIWMLEELIRPRQRPVTNVVAMVVVLVTFLPILAAYYLTRDGDQIAGAGK YP_002977509.1 MDIQMLIGSRFEKGTETEEHVLNPKTGETVLDLAEASLSQVDAA VDAAEKAFTSWSQTTPGERSGYLLKIADAIEKDAAGFAALEALNCGKPINAVLNDEIP AIVDCYRFFAGAVRNLHAPAAGEYLPGHTSMIRRDPIGIVGSIAPWNYPLMMMAWKLA PAIAGGNTVVFKPSEQTPLTALKMAKLLSEILPEGVVNVILGRGESVGNALINHAKIG MVSITGDIATGKKVLQAAAKTVKRTHLELGGKAPVIVFDDADIDAVVAGIRTFGYYNA GQDCTAACRIYADARVYDNFVADLSSAVSSIRFNQADDTENEIGPLISKRQRDRVESF VARASEHKHMEITAGGKVSGDKGFFFTPTVIAGALQDDEIVRREVFGPVVSVTRFSDA DDAVAWANDSDYGLASSVWTKDIGRGMKTAARLQYGCTWINTHFMLTNEMPHGGLKQS GYGKDMSVYALEDYTAVRHVMINHG YP_002977510.1 MLKWALIFFVISIIAGFFGFSGVSAATATIARVLFGIALLIFLI FLVLALMAGQAIL YP_002977511.1 MIKTLKVGAALMLACSSVAACTAPDPTVYSGLASASQLQPNSED KTGRVPYRYQTKVNWSQYSRIIVDPVAVYNGPDNQFGKVLEKDKAFLANYMQVQFTEK LKTRFAVVSTPAPATLRLHLTLTGAKATTAVLGTVTRIDVAGGLYNTVQAVRGREGSM IGSVNYAVEIYDAASNRLLSSYVTKQYPNAMNIGASFTAMDASMVGIRKGADALLAEL K YP_002977512.1 MRNFRRASIQSQILILATLLVVLVSVAATAMEPYIYGRHDRGFQ NGLFAARAAMVAEQFAQASSAQDEVTVLKRAAALGVGVEKLSLEQPLAGKQQMVSPSE LVARINTMLADNIFAAFHRFVIGQSHPDVLTVGIDDKRALVFQLPVFPSYVWFVPAAV SGFLKIVIPLVLLAYFSSWLITEPLRRFAAAAKRASIEGSWEKPFEPDGAAEIRSLAE SLNVMSDRIQSMAEDRTRMLSGVGHDLRTPLTRLRMRAERSGEPELRGLMLADIATLS FMIDECLAYFKDASTAETDRKVDISSLLQTIATDFSDMGVDVGFTGSRRLAFVCKPQA LTRAITNLVDNASRYAKQVEIDLQESDDGGIKISVGDNGPGLTDEAKAKVLEPFFKVD KSRQIGAQGGSGLGLGLPIAKGIVTKAHNGQLTLLDRTPTGLVVVIDLPAPQASQPEG APPIPSSQ YP_002977513.1 MTMAYEMAAPNQAHILIVEDDPAIADMLVDLVRSSGFEASSVES GAAMDRMMVRQQFDLIVLDAMLPGEDGFSICRRLRAACSVPILMLTALQEDIDRILGL ELGADDYVTKPFNSRELLARIKSILRRASYAHHQEEALGPMMFSGWRIDPKSRQLHDA DGAQVSMTTAEFDILLAFCCNPNKVLTREQLLSMTHAGSAGPVERSIDAHISRVRQKI EPNLKDPTFIKTVRLGGYLFASKVERLP YP_002977514.1 MFQLLGAASASGYIITALFLLVAIGRLARRALDKLTAGLQKRSA LKFYTTAVVSLVFFCLLVVRMCFIATVIIDSAILGDKVEISGDPESQF YP_002977515.1 MSSAQNNQQKPILVFLGKDAACDIVSKLNENGYVAAAVSSVPEL FDALRSGRYFLAVTMRSDIDMVRNIKPIPIINLEVFFHAVQPATKAVHSSKEFDSDAF LRRISALTESRTGRIAAVQRAPAANETVIAGTAKASRWRAATSLLLSRRAAPSAERAD YP_002977516.1 MESASSRPRLADLILLLGRLLLSLIFLHEAWSLAADITATFDIF AKLGLSAPVALATIALQIGAGLSVATGFLSRLGALALALFCLATALLFHTNFASQNEL LHFEKDLAIAGGMFVLAVSGAGSISIDKLLRKRTNKMPPWLRAVLS YP_002977517.1 MADEERANSLAAFAYKHASFIFAVVAGLVVFLALTSREVSAGNI LFGWNVSAGVFVALSWRKMLRATVESIRKRSEDLDFSDTVLLALSIGAALASIAGIGL ELHSIKEAAPDVALARAGVAVLTILISWIFLHTLFTIHYAHYFYGGADEEGGLKFPDG IEEPGYWDFLYFSFTIGVAAQTADVAVSSTSMRKLTLLHAVLSFLFNTTILALAINVG ASLL YP_002977518.1 MTSKLDQLREITTVVADTGDIEAVARLKPVDCTTNPSIVLKALG TPMFADAIKEAVTWGKKQGGNPEAVSSAVADRLAISVGAALVKLVPGRVSTEVDADLS FDTEASLAKARAIIAAYKDRGIDQDRILIKLASTWEGIRAAEVLQKEGIDCNLTLLFS KAQAIACADAKVFLISPFVGRILDWYKKSTGKDYTPEEDPGVISVREIYNYYKANDIK TIVMGASFRSAGEIEALAGCDRLTISPNLLDELAKDEGKLERKLSPESRKPDPKVSVD EKTFRWMMNEDAMATEKLAEGIRAFAKDLGTLRTMVQKELQLAAA YP_002977519.1 MVKLKRGTHTAYSEASSLRLRAAWLYYNEGLTQKDVAEQLGISR TTVIRLLDEAMKRSEVQIWINDSIGDCVELSVKLERAYGLDEAIVVPAPVNGDVNSLA RNVGLALGQFLSEAIPDDYTIGVGWGRTMTASLSSFRPPRRANCKVVSLLGGIVAVHQ TNPIDYTWRLANQLGAECYMFLAPLLVDSIETKRNLIEKCGLDTIYRLAENLDLAIVS CGDIGPHSTSLSEGWISKAELQELIDAGCVCDTMFNFLDRDGNSVDHSINRRVMSVDL DTLKEAKHIVLSSGGAHRAVAIRATIKRIGCNTLITDESAARALLELAE YP_002977520.1 MLPLDNKRWGELSHCYGPAADTPALLRALDLPAGPDLSPDAEPW FSLWSSLCHQGDVYTASYAAVPHIVRIGLATTAPIDFSFYQLPASIEIARMTGRGPEI PADLEEAYHHAITSMTDCVSLHRHETWSRSTLLSAGAAQAVAKGHIDIAEALLNLDDD IIARINNFDFD YP_002977521.1 MNMIANLQTDITPDGPDYDIVRRVIELITEDYRDQPSLEAIAAR LNQSPTQLQKTFTRWAGLSPKGFLQAVTLDHAKRLLRKEDMPLLETSIEVGLSGPSRL HDLFVTHEAMSPGEWKAKGGGLIIRYGFHICPFGVALIMVTDRGLAGLAFSDSGDERA CLEDMTCRWPNAEYVEDLQATVPYAARIFEPGKWSSDQPLRVVLIGTDFQVRVWQSLL KIPFGKAVTYSDIANDIGSPTAQRAVGAAVGANPISFVVPCHRALGKNGALTGYHWGL TRKRAMLGWESAHA YP_002977522.1 MESNADSFNEQPVFAAELFPHRSLGRRGFKVLLALSGAVCLLYG TFFIATGAWPIGFFFGLDFLLLYGAFWLNYRSGKAHEQVTVSRTDVSVRKFAPSGRMV EHHFNPFWARFLVRRHQEIGILSMHIFGEGRRTDIGSFLNPDDRESFAKAFKGALATV KQRI YP_002977523.1 MANPKLKSVTKTPQGTNVIARRKPAAAVRTAYSLTEREEIFRRF SVQRPQPRGELEHTNPFTLVVAVALSAQATDVGVNKATRALFKVADTPEKMLDLGEER LRDYIRTIGLYRNKAKNVIALSQMLIDQFGGKVPETRDELVRLPGVGRKTANVVLSMA FGQATMAVDTHIFRIANRTRLAPGKTPDEVEARLMKVIPSHYLYHAHHWLILHGRYTC KARRPECERCIIADLCKSPEKSWDVPAPLVELPPQVIGEAVE YP_002977524.1 MGRSNRERTEQTRQALIDAGRRLFVEKGYAETATPEIVAAAGVT RGALYHHFEDKKALFRAVIECEAKAVTAAIEARSASYDAPRAMLIAGASAYFDAMMGQ GRTQLLLIEAPAVLGPLASAAIDAENAEATLRAGLAAMLPEAGAMLEPLTSLLSAAFD RAAIAIEAGAERRHYEQAIAVLLDGLADHLRR YP_002977525.1 MKSTSYYPVMMTDDVAGTASFYCTHFGFKALFESDWYVHLQSAE EEHIALAILDGSHETIPAIARGRVSGLLLNFEVGDVDAIYAACRSAGLPILREIRDED FGQRHFITADPNGVLIDIIKPIPPSAEFAAMYDTSALPA YP_002977526.1 MILDAARLSLANLFASETRSVFWKVLGLTVLVLVGLWFALRGAF MAFLFPWLTSFFPDMPDWAGWFALIFAIFAGIGLALMLALLLSPVTALIAGLFLDDVA EVIEKRDYPKDAPGTAMPLGPAMVSSIKFLGVVILGNILALLLLFIPGVNLVAFFLVN GYLLGREFFEFAAMRFRSPDEARLFRAKHASTVFLGGLMIALFLAIPIVNLLTPLFAA GMMVHLHKLVSAKDTGLRA YP_002977527.1 MEDFVQKLRQYAKTGTPAERRIAKYFTEHLNDLPFETAASVADR LDLSPMTVGRFLRSLGYQGLDSVKVEIRETVTTSPAQLQSAMSELHADAAEGKPLAVL VAEQIQALHHIYHLTAQPHWSEAVGLISTAREVSIATHARLASLANHFCQRLTQARDG VRTLDAADNRFAELFARLAVDDALLVIIDCRRFAKARLLARTARRYGYKVVLISPQQA DWMADQSNVMLPLPPARAPDLDNLPPLIALLDCLSESVILQVGEEAALRRRRMMEFAT VLGETANH YP_002977528.1 MRDHVVAVDIGTGSARAGVFDVRGRLLAKAEHPIVMNRPRENHA EHDSEDIWSAACTAVRRAVEQSGIAAASVGAIGFDATCSLVVRDIEGRQLSVSTGGDR RFDTIVWLDHRALKEADFCTATEHRVLEHSGHVMSPEMEMPKLMWLKKKLPATWETAG YFFDLADFMTWKSTGSAARSRCTLTAKWNYLAHLEKGWQQDFLERIGLEDLQARGHLP DETTPVGDSVGRLTEEAAEALGLTVDCRVAAGMIDAYAGALGALGGYAADPVKREHQL ALIAGTSSCIVTFSRERKPSHGMWGPYYEAVFPQSWLVEAGQSATGALLDHIVRMHAA GGEPTAALHQRIVARIAELRAEEGDAFGARIFVLPDFHGNRSPLADPHAVGVVSGLTL DTSFDGLCALYWRSAVGIALGIRHILEMMKQYGYMTDTLHIAGGHVKNPVLMELYSDA TGCKVVVPKMNEAVLLGTAIAASVACGLYKDLAAAGEAMYPGADERLPDKAKQALYDR DYRRLLAMHRHRAELETMQ YP_002977529.1 MADAETRLVIFDCDGVLVDSEPISISVLVGAMNDLGVSITEDQA YERFLGRSLSTLIDTLETEFNVHADEEFLERIRIELYARFRTELKPIDGIAAAIDRLG VRCCVASSSQMERIRLSLSVTGLLDRLPDIFSATMVKRGKPAPDLFLHAAREMQVEPA HCLVVEDSPAGIAAAKAAGMTVFAFTGGSHANFTGYRAELDRLSPDVVFDAMPDLIHL VRNHKLDGTKT YP_002977530.1 MTCKLSLATLSDVARTAAIPGYDRASLKAGIVHFGVGNFHRAHQ AIYLDDLFNAGTDHDWAIVGAGVLPSDAAMREKLAAQDFLTTVVEQDNNKTAARVTAP MIDILPVGDAAAIIARLADPEIRIVSMTITEGGYFIDASGTFNPAHPAIAADGENPNA PKTVFGLIVAGLKARKDKGIGPFTVMSCDNIPHNGIVTANAVVGTAALSDPAFADWIR ANVAFPNAMVDRITPATSQREIDFLRDNFQIEDSWPVYCEEFKQWVLEDKFTAGRPAL EKVGVTFVADVTPYEHMKIRILNGGHAAIAYPAALMDIHFVHDSMEDPLIRAFLAKLE KDEIIPIVPPVPNTSLTDYFALIEHRLLNPKIADTIPRLAQDGSNRQPKFILPSTLNN LSQGRDVVGLALVSALWCRYFAGKTDSGKDIVFNDASAERLHAAALKAKDDPSAFLVF DDIFGEVAKSELFRKRFAHALKTLWEKGTRETLQLYLDGKLAV YP_002977531.1 MGSITLQKVSKVFGEAKVIPSIDLDIKDGEFVVFVGPSGCGKST LLRLIAGLEDVSGGKIVIDGRDATEKAPSERGLAMVFQSYALYPHMSVRNNIAFPLKM AGIDKAEIDRKVSDAARVLNLTDYLERKPRQLSGGQRQRVAIGRAIVRQPSAFLFDEP LSNLDAALRVNMRLEISELHQQLKTTMVYVTHDQVEAMTMADKIVVLNRGNIEQVGSP LELYSRPLNLFVAGFIGSPKMNFITGQNAAALNAHTIGVRPEHVLLSMESGDWKGRVV VAEHLGSDTFLHIDADGIGMLTARGSGDFAAKAGDTVFLTPDRSRIHKFNEGGLAI YP_002977532.1 MARKVTTKRKLIVTAIAWTLGILIFFPILWTFLTSFKSEADAIA SPPQFLFFHWTTENYAEVQSRSNYLSHFMNSVIISFGSTLIGLIIAIPAAWAMAFSPT KRTKDVLMWMLSTKMMPPVGALIPIYLMFRNFGLLDTRTGLVIVLTLINLPIIVWMLY TYFKEIPGEILEAARMDGASLMKEIVYVLTPMAVPGIASTLLLNIILAWNEAFWTLNL TASKAAPLTAFIASYSSPEGLFYAKLSAASTMAIAPILILGWFSQKQLVRGLTFGAVK YP_002977533.1 MIAMATLHTRSSARLMIAPSVLLLFAWMIVPLAMTIYFSLLNYN LLSPGMESFVGFLNYEYFLSDPAFFAALINTLLLVAGVLLITVIGGIAFALLLDQPMY GQGIVRILVIAPFFVMPTVAALVWKNMFMNPVNGLFAHLAKALGLQPIDWLANAPLFS VILIVAWQWLPFATLIMLTALQSLDEEQKEASEMDGAGPISKFIYIILPHMARAITVV ILIQTIFLLSVFAEILVTTNGGPGTDSTNLTYLVYAQALLQFDIGGASAGGIVAVILA NIVAIFLVRLVGKNLEA YP_002977534.1 MTLRTFLLGACSALAFAGMASAETLTIATVNNGDMIRMQKLTDD FKAKNPGIDLEWVTLEENVLRQKVTTDIATKGGQYDVLTIGTYEVPIWAKQDWLLPLD NLGANYDVDDLLPAIRSGLTVDGKLYASPFYGESSMVMYRKDLFEAAGLKMPDAPTWD FVADAARKITNKDKEIYGICLRGKAGWGENMAFLTAMSNSFGARWFDEKWKPQFDQPE WKDTLDFYVKLMKDAGPPGASSNGFNENLALFQTGKCGMWIDATVAASFVADPKQSQV ADKVGFALAPDKGLGKRGNWLWAWSLAIPAGTQKAEAAEKFVAWATSKEYSNLVAEKE GWLNAPPGTRKSLYANADYQKAASFAKMTLDSIESADPTKPTVKPVPYVGVQFVAIPE FQGIGTAVGQQFSAALAGQLSVDQALQAAQQLTTREMTKAGYIK YP_002977535.1 MVKRSETPARLDDAARAGWLYYVAGRTQDEIAAAMGISRQSAQR LVSLAVAERLIKVRLDHPIAACLELGSQLRRKFGLKHVEVVPSDPASSSTTVGIAEAA AAEIERWLKRPEPIVLAIGTGRTLKAAVDQLPAIECPNHRIVSLTGNIAPDGSAAYYN VIFSMADAVKARHYPMPLPVLVTSAEERELLHGQQLVRSTLNMSAQADVTFVGIGELG IDGPLCVDGFLEKDEMMELMRGGAVGEICGWIFDVDGRLIDNPINERVASAPIPSRDA SMVIGLAKGKRKFKAIRAAVVGHQINALITDEETAEFLLRS YP_002977536.1 MAADQSRERISIGDLFDTMGDRAISALMLIFALPNAFPTPPGTS AVLGAPLVFLAVQLTFGLKPWLPKVIANRSMRREDFETIVGRIHRWLAWAERMLKPRL AIFAEPPAEYLAGAACLLLSIVLLLPVPLGNILPAVTISVFAFGILGRDGLFALIGFV MTAVSLVVAGGVIYGLVKAAIYLVVQWFA YP_002977537.1 MIISFDIGGTAIKGGIAHSEIDILPLGRRPTPKDDFAAFVETLR DIIAETGEQPSRIALSIAGVVDPDTQRLICANIPCIHHRTLGADLEVELGLPVLIAND ADCFAMAEAGLGAGRGHRIVFGAILGTGVGGGLVADGRLVNEAGGFAGEWGHGPIIAS AAGNPPVAIPAYACGCGQRGCVDTVGGARGLERLHQTLHDLDLSSEEIIAQWGRGEEK ATRTIDVYVDLVASPLALTINITGATIVPVGGGLSNVEPLLAELDHAVRARILRKFDR PLVVRSECRIEPGLIGAALLGLKAETAA YP_002977538.1 MADYHLEASWSPIEGSFGRLTFMLFNLSTEPLSGFSLAYTSETR VADKHVCDGGSLKRRVAHFQEFLPPEDLSVPPGGRWRFTVEGLTREPKHVTAGVKSAY LTLGDGRHFPVGFGDLMLEGRDGGVAPPLLPPGRAEEPYSLLPWPLALGLKAGELPVV LYPAERTRPDAVKALSLILELYQRLYPADNMPFSLGAVEGGRGIRFVTESSIAAFAYE LRFTAHEIVLSSADAAGRHYGLISLAQLLHGARADPERFKFPNFGAIADQPRYDWRGC HLDVSRQFYPVADVVRLIDILAWNKLNIFHWHLTDDEAWRLEIKAYPALTEIGARRGP DEVLVPQLGDGAQTRSGHYTQEDAKRIVAHAASLHIEVLPEIDIPGHSMATLFSLPEL VDGQEAPDSYRSVQGYPNNALNPAVEFTYEFLGKVFDEMVTLFPGEYLHIGGDEVAHG SWLSSPLCKTLMEREKLAGTAELQSYFLKRIKAMLSDRGKKLVGWNEVSHGGGVDRDG TLLMAWEKPAVGIELAQEGYDVVMTPGQAYYLDMAQAEAWGEPGASWAGFSLPEHTYA YEAEGELPAALQEKMRGIQACIWTENFLSRAYFNRLVFPRLPAVAEAAWTPSARKDWD RFAAIVRMWPVL YP_002977539.1 MDATTEKRPFNLSRWAIGHPSIARFLFGLIIITGVLGLMRMGQR EDPEFTFRVMVVQAIWPGASIQEMEDQVVNKIERKLQETPHIDWVKSYTRAGSAIITL QVKGDTNSQEVADAFYQVRKKVGDISNELPQGLLGPYFNDEFGDTFITLHSISGDGYS YPELKKFAIQARDMLLTTPGVEKAVIIGDQPEKIYIDVSSKALSERGLTILDLQNAIK GQNNVDPAGSVDTGLRSVRISVEGDVKKAADIRELRLRAGGQVTRLGDIATVSSGLED PYQRKYRFNGHDSVQVGVVMAKGFNVTDVGKGVEATYQRFEEALPYGVAVDQIANQPD VVTDAVSEFMHALGEALIIVLVVSFVSIGWRSGLVIAIAIPLVLAATFALMYELGIDL QRISLGALIIALGLLVDDAMIVVEMMERKLEEGLVKIDAASFAYSSTAFPMLTGTLIT TAGFIPVGFAASTAGEYVRSLFYVVGIALVTSWFVAVYFTPWLGYMILKQRHHAGEHH DAFDTGFYRRLRGTVGWAVRHRVIVLLLTLGTFVTSLWAFQFIPQNFFPQSSRPEILV DLWLPEGTSIKEVEVQAKALETKMMDDPDKRFIATYIGEGAPRFFLPLDQQLRNPNFA QLLVMANDEPARERLIVKLRTILAEDFPDIRGKVDRLFLGPPTGWPVQMRVMGPDRQE VREIADQVKARFQANPELGAIHDDWLEEVPAMKLVIDQDRARALGVTSQRVRQMLQTA MSGTALDDFRDGEETVSIVAREPDANRSLLSAVNSVYVPTDFGGFVPVSQVAKVVPVM EQGIEWRRNRLPTITVRATLPDDVQPNDVVMKMYADMKDLRDSLPAGYKVEIQGGAED AAESQMSIAAKAPIMLAVIILLLMVQLQHFGKAMLVLATGPLGIIGAAAALLISGAPF GFVAILGVIALLGIIMRNSIILVDQIDQDIKAGMHRQEAIVGAAVRRFRPIMLTALTA VLALIPISRGVFWGPLAYAMMGGILVATVLTILVLPAGYALFFGREPKAKDEPGEHAD AIQEEADDRHPPALAAE YP_002977540.1 MFSLKTLSHRMPSVSTLVLFGAIGIGVSACSEEKAEVKQVIRPV KVVEIAKAGDTRKLDYSGSVKARTEMNLGFRVAGKITERLVDIGDKVKPGDVLARMDS TDYQLAVKTAEANLAAAEKGVETADLVNKRNQQLFDKNVSPKSQLEQASLSYDQAVSS RDAAVSALDQAKNQVSYAELKADHNGIVTTINADVGQVVASGTPVVAVAVDGEKEVQI AVPENDIAEFKPGKTVKASFWADDRLVLDGKVREVSGSADQQSRTFAVRVSLPNDPQV LLGMTATIEADVSNGNSYVSIPLSALAEKDGKQMVWTVDRDTATVHGRDIKVADFTGD GVHVTEGLDTGDLVVSAGAQFMSENLKVKVPDQQSALAATDQTVR YP_002977541.1 MNDIAENTLDITRQENVTRILDAAERLFRHYGYSKTTVADIARD LGMSPANIYRFFASKVEIHQALCGRMLATAYQIAYDIRHQPISASERLRRYVETQHQL TLDLMLDEMKVHEMIIVAIDRDWHVIEKHIDRIHDLIAEIIAEGIAAGEFAEQDPVVA SRCFGAATNTLCHPQMVAQCLAKTNRASVDELIDYVIRALKK YP_002977542.1 MQINRTASAHWTGGLKDGKGLISTQSGALKDYPYGFASRFEGVA GTNPEELIGAAHAGCFTMALSLILGEAGFTAEHMETSAKVTLESVEGGFAITAIHLSL SGRIPGADEATFTELANKAKAGCPVSKALASVPITLDVKVV YP_002977543.1 MGGRLQGKNILITGAAQGIGLAMAKAFMREDAAVFLVDRDAALL ARAAKELQSSGGRLGYLPADITDAGTITTLVAQANEEIGQLNALVNNAGVNVFAEPLE TTDEEWNRCFDINLKGAWNCCKAVLPGLIEQGGGVILNIASTHAFTIIPHTFPYPLAK HALLGMTKSLGLEYAARNIRVNALAPGYVSTQKVIDYWNGFPDPEAAKAETMKLHPGG RIATPEEIAMAAVFMISDECPFINATCLTIDGGLSVLQHPA YP_002977544.1 MNQGQTGDDIELRHGDLAVRVSRRGAAVTAATYRGMPFLVAAGG PEGMMANFAMVPFGNRVEGNIMSFAGRDYAFQPNTSDPLYRHGDGWLSLWQLEDSSPE HAQFCFSRSADGVSPYAYLTRQEIRLVGDALVLTLSVENRGETALPFGLGQHPFFART PKTRLTIAADRYWSERPDHLPEMPGPVPHYFDFRSEKLLPQRWMNNAFEGWNGRAAMA WPELGIQAALEADGALDRFMLYMPVNRSDFFCLEPMSHLPNGHHLPDFGGLAPLAPGE ALAGTVTILMSALPVRSEEG YP_002977545.1 MNSLKKILLGEQGLVVIFAAAFVIVSLFVPNFLTERNMLGLLQS VVTIGIVACTMMFCLASRDFDLSVGSIVAFSGMIAVMVSNATGSIPVGLLAALLCGAI VGFVNGIVIARFRINALITTLATMQIVRGLALIASDGRAVGINDPAFYQLALSRFLTV PTPIWIMLILFILFGFVLNRTVFGKNTLAIGGNPEASRLAGVNVVNMRVWIFALQGLV CGIAGILLASRITSGQPNAATGLELSVISACVLGGVSLAGGRAAMSGVIVGVLIMGIA ENVMNLLNIQAFYQYVVRGLILLIAVLLDNLRSSAAGRRG YP_002977546.1 MAFLEFSNISKGYPGVQALANVSFTVEKGAVHGLMGENGAGKST LIRVLSGDQAADAGNILIDGEEQRYGSVRDAFHAGVIVIHQELQLVPELTVAENLWLG RFPAKGGVIHTKVLIETVRSKLEEIGIDVDPSAKVASLSIGARQMVEIAKAVMLDARV IALDEPTSSLSSRESEILFSLIDRLKAQGTVILYVSHRLDEIFRLCDSLTVLRDGKLA AHHPQIAETTREQIISEMVGREISNVWGWRERPFGGIRLEVNGLSGPRLRHPISFSVR EGEILGFFGLIGAGRSEMARLLYGADARHQGQVTIDGVAVSPNNPKAAINAGMVLCPE DRKFDGIVQGRSIEENIAISSRRHFSPFGILSPRQEAALADRFIAKLRVRTPSRKQDI INLSGGNQQKVILGRWLSEQGIKVLVIDEPTRGIDVGAKSEIYEILYELAAGGMAIVV ISSELPEVMGISDRIMVMCQGRVAANVARPDFDERSILTAALPDKNAAGTL YP_002977547.1 MRLFKAAILAGTFAILAAGSAFSADVKIGFIVKQPEEPWFQDEW KFADQAAKEKGFTVVKIGAEDGEKVQSAIDNLGAQGAQGFIICTPDVKLGPGIVAKAE ANQLKLMTVDDRLVSADGKPLEDVPHMGISATKIGETVGQAIVDEIKKRGWDMKNVGA VRVSYDQLPTAVDRVEGAMSVLKAAGFPAENIYDAPQAKTDTEAALNAATTVFNKHAD VKYWVAFGLNDEAVLGAVRASESVGIPAANVIGVGIGGAESAINEFKKPAATGFFGTV IISPKRHGYETALNMYDWIANDKEPAKLTLTSGSLALRGDFEKVRKDLGIE YP_002977548.1 METIERQSGAESRRIERRPRVRRNVTAAIAQDICADRYPAGSPL PRENDLCELYGVSRTVIRESLKVLESKGLVRGKPRIGTTVCDKDDWNILDADVLEWMG PYIKDFDLLGCILEARRTIEPAAAEYAAERASAQEIADLDNAWRQMRDSARDPESFTD ADVMFHTVLLTASHNQVFRRLSSAIHAALKYALHASNIGVENREDAVLVHGQLVEALR MRDKAGARECANRMLDLAVRDLAAAEKAIGRTK YP_002977549.1 MKITKLTTYIVPPRWLFLKVETDEGIVGWGEPVVEGRALTVQAA VHELEDYLIGKDPFLIEDHWTVMYRGGFYRGGAVHMSAISGIDQALWDIKGKALGQPI HSLLGGQLRDRIKVYSWIGGDRPSDVANNAKEVVARGFKAIKLNGCEEMQIVDTNEKV EKAVETIAAIREAIGPHIGIGVDFHGRVHKPMAKVLAKELDPYKLMFIEEPVLSENKE ALRDIVNHTSTPIALGERLFSRWDFKQVLSDGYVDIIQPDLSHAGGITECRKIAAMAE AYDVALAPHCPLGPIALAACLQVDAVSYNAFIQEQSLGIHYNKGNDILDYISNKEVFQ YADGFVSIPQGPGLGIEVDEAYVIERAKEGHRWRNPIWRHADGSFAEW YP_002977550.1 MARAIAIIVARIIFSFVFFMAAGFKFADIGATAGYITAAGFPMA TFLTWIAAFFEIALALAFISGAFFTEASLLAAIYVIFLAFAFHGPSHWQQNQAEFGFF IDHFTFLAGLLFAAVHGPERWALSHTLLRRL YP_002977551.1 MELKGKIALVTGAGSGIGKAAALRLAAEGARVAALSRTADEVEK TCAEIKAASGQSIALTADTSDEAQMRGAVAQLTDTFGGLDIVVANAGINGVWAPIDDL KPDEWDKTIAVNLRGTYLTLHLTVPHLKRHGGSIIVVSSINGTRTFTTPGATAYTATK AGQVAMVQQLALELGRHGIRVNAVCPGEIETNIGANTDSRHREETEVPVIWLEGDIPI AGGKTGKSEDVAETILFLASERARHITGTPIWIDGGQGLLR YP_002977552.1 MDYSDVSTIAAWITEQGLKGVSEAELMTGFCSACRDAGLPLDRG LALMDTLHPVHEGRAFRWDSIEEIQTEFEYGPTISGEAASNWQRSAFYHLWSRNEREI RRRLGFGDPIDFSMLDTIAEAGHTDFVAMMHRFSEAGTIGEMDCFFSHFATKHPEGFS DHDLAILRKLVPVLGLAIKCIALGRIARTIAEVYLGEDAARQVMEGKISRGKSERISA ALWFSDLLNYTKISDSVPPEEIIPLLNDYSEAVITAVHESGGNVLKLIGDGVLAIFKG EVPAETCRAALSAESLLREKLTTLNAARAADGRATTDVYIGLHIGDVFYGNIGSQDRL DFTVIGPAVNEVSRIASMCRSVDLNLLISSDFAAVIPEQQRTALACVGRYALRGVQRA QELYTLDSARLNG YP_002977553.1 MAHLFLVKDIAFQAGLSTATVDRVLNGRPGVRRQTEMRVKAAIA ELEKQQAGAMGSGRVLAIDIVMETPQRFSDAVRAAFEAEMATFLPGVFRCRFHFAEVM KPAELAQLLDRIRLRGTHGIVLKAPDVTEVAAAVARADATGIPVVTLVTDLPNSARIA YAGADNRAAGETAAYLIGEFLGAGGGKVLVTLSSGRFRGEEEREIGFRRVIRARYPDI GITEISEGHGTDAATGTLAAAALAADPTINAVYSIGGGNRAVLAAFDAAKRPVRVFVA HDLDADNRALLAARRIGFVLHHDLRTDARSAFRAIMSRATALPRAVTPSLSSVEIVTP YNMPAAD YP_002977554.1 MKTDNQQKLRADRVWLSEDACDLDEFRALAEKTTALADYPSASA VEKNVLIYDSRKVMTTAATPEGRRAVLAEICDAFGEGPGVVVFKRAYEDTGIIDRAST IFDAIIEEQHRTSTGGGDHFAKPGANDRIWNSLEKHCLADPANFAEYYGNAIIALASE AWLGPSYQMTAQVNRVNPGGSAQSAHRDYHLGFQSSKVIEQFPAHVHRLSPVLTLQGA VAHCDMPLESGPTLFLPHSQTYVPGYLALKRQEFLDYFETNHVQLPLEKGDLVFFNPA LFHAAGTNRSADIKRVANLLQVSSAFGRAMETVNREKMSARLFPALKALLGRLSPDEI ANAVAACAEGYSFPTNLDRDPPLGGLAPKTQAQLMHEALKEGWSDELFTAALAEQAQK KLS YP_002977555.1 MSTDHGRLDGKIAIVTGGTQGLGATIARLFAERGAKGIVICGRN EAKGKAKAAEISAATGTRIVYVKSDLGKVEDAQNVVRACDETFGRVDALVNAAAITDR GTILDTSPELFDAMFAVNVRAPFFLMQEAVKVMRREKIEGTIVNIGSMSAKAGQPFIA AYCASKGALETLTKNTAYALLRNRIRVNGLNIGWMASEGEDRIQREYHGAPADWLEKA AASQPFGRLVDPHEVARACAYLSSSESGLMTGSVICFDQSIWGAYDGSPHPVAAL YP_002977556.1 MGDNPIYEIRDERFGAMVIGSAGLEELYSGCRWTEGPVWFSDLN CLLWSDIPNERMMRWTPDGTVSVFRSPSSYVNGNTRDRQGRLVSCEHGGRRVTRTETD GTITVLADSYQGKRLNSPNDVVVHSDGGVWFTDPTYGILSDYEGHKAEPEQSTRNVYR IDPASGAIDAVVEDFIQPNGLAFSPDETKLYIADSGSAKHEVPRHIRVFDVTDGKGLA NSRYFCSLDVGHPDGFRFDVAGNLWTSASDGVHCFSPDGTLLGKIRVPQTVSNLTFGG PKKNRLFITATRSVYSIYIKTTGAQYP YP_002977557.1 MTIKVTIWNEGRHEQVHKEVQDIYPDRIDGAIAAGIAHPDFEVR RGTLDDPDEGLPDSVLNDTDVLLWWGHMAHEEVSDGLIDRVQQRVLKGMGLLVLHSGH HSKLFRRLMGTNANLSWRETPDGDLERVWVVNPSHPIAEGLPPYFEVNASEMYGEPFD IPQPDELVFISWYSGGEVFRSGCTFQRGRGRIFFFSPGHETYPIYHDKTVHKVISNGI RWARQKHTDGRILENWHRAEPLHGRPDKAKA YP_002977558.1 MINLRNVRKFYGALEVIKGVDITVEDGEFAVFVGPSGCGKSTLL RMIAGLEGIDDGDLILNGKRINDVPPDKRGIAMVFQSYALYPHMSVAENIGFSLSLKK VPEAEIRRQVEGVAEILQLTDYLDRRPAALSGGQRQRVAIGRAIIKKPALILFDEPLS NLDSALRVQMRAELQRLHRELKATVVYVTHDQVEAMTMADRIVVLNKGVVAQQGAPMD LYHQPDNEFVATFIGSPKMNVLPMTATRRDGGKVHLESPLGLSIDLSDGNGAVQQGEA KLGIRPEHLKLAPQGQGDFSAEVVIVERLGVETYLTVGSQQQPIVVRAEGDIAVRPGD RVSLTAERAGCHLFDSAGRVIRSATA YP_002977559.1 MAVVSPSETANKGRSDLIAYATLSLISIFCAVPFFWVLLASLDG NAQLFLHWPEQWTFANYIRVFTKEDGAKWLFNSLFVVASATLLVMVLSGLGGYALSRT RAWWKLPFLYAILLIRVLPPTALVVPLYKFLLTLNNAEAAVLRPIFGSYTRDIMRWTG FIDGYLGLILVLATMQLPLALWIMKTFFDGLPRDYEEAALMDGATLTQRIRRVLIPLA LPGLAAAGLFAFMSAWGDFLLPLILLSSPELQTLPLGLFRAFLRINEIDYGLLTALAF IYLLPAVVAFGFARRFLVQTFSGGVKG YP_002977560.1 MARNSHEKRAERQWLLILLPSLVLLLAFVIYPALYSIYLSFTNE ALTGAAALRPRFVGFRNYTRLFNDAKFWNSLFVTFVFVIGSAVIGQFVLGLISAIALR RPIRFRRVFSSIILLPNAAPEVVAGFMWISMLAGGDNATLSRIVSFFGITPADWLQVF PLSMIIVVNTWRGIATAMILLTAGLSTIPAEIYEAARMDGATPSQMFRRITLPLMMPT ILLYMLISAVSTIAVFGLVYALTRGGPGGATEVVSIYIYNQSFTAFQLGYGAAVAVVA LVISLILGIAYVRAMKVEV YP_002977561.1 MKKMVLGGLCAILLSAVSTLAQAETIRIANHGQAGIDAMKSTVE RIEKKYGVTVEVIEYPAPDKDYLTKLLTELGAGNAPDLFSIPTTAAVADMVEAGYLAP VTKEFKAWDGYANLYDVAKELAVSPDGETYVMPFMLGIQEIYYRKDVLEKAGISTEQP KTWQELLDRAAEIKQKTGAYGLLFPAGVSWGSGAFDEGFQHLLVGSKTPQLVDADGKL DLNGEGIKDVFNVYKELIDKDLMPTQPLLGPEPWVVPKYQMFPEGKLAATTCGSWCYI FDWGRESKNPIPDVTKVVGTWTVPGQSSGQYVLANLAAPWAVNSKSANTELAIKALME IGSIETQVSYAARIGNIPASKDAADNAEFQKLTELVPIHAAAGNGVFLKQASGFGTVS EGVARATEALLRKETDAAGAQKILVDYVKETLGDDMVK YP_002977562.1 MSEKLRLGVIGAGLKAAEYAESWVKMPEIEFAALADTTAASRQR LIDVCLAAGAPEPRSFEDYRQMLAECRGELDIVYVSTPHAFHAEQATAVAEAGLDLFL EKPMVTTVAEAERLIAAQKNSGVTIVTAFQGGLSPLVLDTRRRALTGEFGELIAISGM IWESWSSNYDGHWKQQPDISGGGFMFDTGAHMMNTVCLLANSDFDSVSAYMNNHGRQV DITTAVCARLKNGALATLTAAGEGPPGCASYITFFYSKAIVRIDAWGGWREISIGRIA EPREEAEILGNPMKNFLAIREGKMENSGSVEMGLRFARLWDAIKESAAADGASVRIVA Q YP_002977563.1 MSGMNRRRITSKELAKLAGVSSATISRAFSPDSRIGSATRDRIL AVAREHGYQPNAIARSLNNQRSRLVALVVNAIGNPCEAEEQQLLVHRLQARQLLPIIL CCADHSDRLQLMRLASTYQVDHVVVFSDMVSMQDAVDIFHTTKPIIVSFEPLDNENVS SIRIDGAEAADEIVDKIVRDGKKRFAYLSGTNSSWIDKLRRKWFVDALAKRGLAFEAQ AFGDYSYDSGFKEAVLLLHRDKVDAIICGNDVMAIGARDAARRVLGKNTPDDIAIVGQ DGIAMAAWDCNDLTTLSLNHVAFIDAVVELIERHDAEIEGPHSITLTCTARWGSTA YP_002977564.1 MRSVVSFNEGWSFHEGFGQRLLEAFDAAKSVSLPHTAVELPFSY FDETSYQRAFTYQKVLRWLPEFEGREVSLVFDAAMADSVVYLNGEEIIAYKDGYTPFE ARLTGKLVKGENLVTVKIDGSENPDIPPFGGRIDYLTYAGIYRDVWLKVTDPVSIRNL KIETTDVLRPEKSATIRVDIANPEGRSFSATVTATLKQADGTVVATAATETIGSRTTL SFGGLTGIALWDITDPTLYDVTVELRTEHGSDRISTRFGFRTAEFTPEGFLLNGKPLK LRGLNRHQAFPYVGYAAGRSAQERDADIMKTVLKCNIVRTSHYPQSKWFLDRCDAIGL LVFEEIPGWQHIGDADWQQESIENVRRMIERDWNHPSIIIWGVRINESQDNHDFYAKT NRLARELDSTRQTGGVRYLTESELLEDVYTMNDFILGNEELPGANRPRTALRAQQENT GLSHKVPYLITEFNGHMHPTKIYDQEQRQAEHVRRHLEVLNAAHGDPDISGAIGWCMF DYNTHKDFGSGDRICYHGVMDMFREPKFAAYAYISQCDPSEEIVMKPVTFWARGERNI GGVLPLIILTNCDEVELQYGGLSKRIGPDRENYPHLPHPPVVLDHRHFTADELGTWGL EWIDGTFTGYIGGEPVASLTLVADPLPTTLEVVADSSTLKARERDSTRVIIRALDQRG QRLPFLNDSISLKVHGPARIVGPTNVPLQGGTAGFWLEATGFTGEITIEAVSTRFASV TLGVTAA YP_002977565.1 MAFLEISGLKKRFGAVDILKGIDLELEKGGFLVLVGPSGCGKST LLNTIAGLETITSGDIKIDGRDISGLHPSKRDIAMVFQSYALYPNMTVAGNIAFGMEI RGVPKEERARAIAQVSDMLQIGHLLDRKPSQLSGGQRQRVAMGRALVRNPQVFLFDEP LSNLDAKLRVDMRTEIKRLHQRMGTTFVYVTHDQIEAMTLATKIAVLKDGVLQQFGTP AEIYNSPSNIFVADFMGSPAMNLLNATVENGAGGLEVSLERPNAAPLRLPVISGNDGL TAYTGRQVIFGIRPEALTDPDGADRKARSLTEGDCLIEVVEPAGSDTFAVTKLGGKSV VARLRADTGIAPGQNTRLAFNLDKAVFFDPSSQLRIG YP_002977566.1 MSSVTSPTAISQNSTNTRWIGRTVIYGLLLIFAVLYLMPLFVML TTSFKTMDEIQNGNMLALPQSPTFDPWIKAWGETCVGLTCAGIKGYFWNSIKMVVPAV AISTIMGALNGYVLTKWRFPGHTLVFGLMLFACFIPFQSVLLPMATILGSLGRFGMTL QNATGWNFGFGNPTVNLVFVHVVYGLGFTTLFFRNFYEAFPTELVRAAQVDGASFFQI FRRIMLPNSLPIIVVTVIYQFTNIWNDFLFASAYAGTGDTMPMTVALNNVVNTSTGVV EYNVNMAAAMIAAVPTLIVYILAGRYFVRGLMAGAVKG YP_002977567.1 MSTVATTDPVLTPRQSTGISLRGRLQDALPKIVLAPSFVITIIF VYGFIVWTAYLSFTNSKTFPSYALTGARAYQRLWRWTFESDPPSSWYTSITNMAIFGF LYIGICLALGLFLAILLDQKIRGESVLRPIFLYPMALSFIVTGVAWKWFLDPGLGLEQ TLHHFGWTSFHFDWIKNKDFVIYTVVIAGVWQASGFVMAMFLAGLRGIDGEIMKAAQI DGASAFQLYRRIVIPLLRPIFLSAFIVLAHMAIKSYDLVVALTSGGPGGSAWLPSNFM YEYTFKRNEMAVGSASAIIMLMTISAIIVPYLYSELKEKAR YP_002977568.1 MNKFLSSAAVAVVMMAGLSAAHAADVKEVQMLHWWTSGGEAAAL NVLKQDLSKEGFAWKDVPVAGGGGDAAMTALKAMVAAGTYPTASQMLGYTVLDYAQAG VMGDLTETAKKEGWDKSVPAALQKFSVYDGKWVAAPVNVHSVNWLWINKAVMDKIGGT QPKTFDELIALLDKAKAAGVIPLALGGQNWQEATMFDSIVLSTGGPEFYKKAFNDLDE ESLKSDTMKKSFDNLATIIKYVDPNFSGRDWNLATAMVIKGDALVQVMGDWAKGEFVA AKKTPDTDFLCYRFPGTDGSVVYNSDMFGMFNVPDDRKAAQVALATATLSKSFQSAFN VVKGSVPARTDVPDTDFDACGKKGIADLKAANEGGTLFGSLAQGYGAPPAIANAYKDV VSKFVHGQIKTSDEAVKQLVQAIDDAR YP_002977569.1 MTEPSRPQRGENLDITHKRGKPTLRTIATIAGLAVTTVSRALSD APQISLETRQRVHRIAREIGYLPDRAAQRLKTGRTNVIAILLDSHEEVVGFSTSIMYG IAKALKETSYHLVVAPNFLSTTDIEAAEYIIRNHLADGLIFTRTEPLDARVRLLLETG FPFICHGRTEFSTPHPYVDYDNFTFAYEAARRLIAKGRRKVAVILPPKRLTFCQHILH GFMTAVREAGIAYEVPEAVDLDTPADVLRDFIRSRAAAPDAPDGFICPGEVSALAVIS GMSDAGRILAVDYDIVAKETSRLLTQLQPKVDTIHEDLTAAGEDLGRMLLQRINNPDA EDLQLLLPPQINFPIG YP_002977570.1 MKTIVVCSGGLDSVTLAHKVAAEQQLIGLVSFDYGQRHRKELDF AARCASRLAVPYHIIDIASIGGHLSGSALTDNVEVPDGHYAEETMKATVVPNRNAIML AIAFGLAAAQKADAVAVAVHGGDHFIYPDCRPGFIDAFQHMQNEALDGYANVKLLAPY VDASKAAIVVDGEKHGTPFSETWSCYKGGELHCGRCGTCVERREAFHLAGVPDPTEYE DQDFWRAAVSRYSATEVH YP_002977571.1 MYRITKEFHLSASHQLDHLPADHQCARLHGHNYVVVVELAAESL NDDGFVRDYHDLSPLKRYIDETFDHRHLNDVFGHSKVTSEFLARHFYDWCKQHFPETS SVRVSETPKTWAEYRP YP_002977572.1 MSAGTIRVSEIFGPTIQGEGALIGLPTVFVRTGGCDYRCSWCDS LHAVDSAFRNQWIPMSTEAVWHKVTELSGGKPLTVSLSGGNPAIQPLRPLIELGHSRG YRFALETQGSIAQSWFRDLDVLVVSPKPPSSGMLTDWDQVDNCLQLAAGGPEVALKIV VFDDADYEFAQQAGQRYPQIPLFLQPGNHTPPPPDNDDARIDIDGVMDRMHWLVERVT ADQWFEVRVLPQLHVLLWGNKRGV YP_002977573.1 MSSFLNKAGTAVEAEEGVWPIRRRRILDWLVNDTRGERFIDNIL VDMCEKLRAAGVPVARVTLHFRTNHPQWIGARILWKEGLAEAKINTFAYGVENTPEFL KSPVNAIHQGSEEVRRQLEGTADGDEDSFYQELRDDGLTEYIAWPLEHTFGKRHVATF STSRPGGFTSEHVDFLRDLLPALTLVSEIRLKNIMARTLLQTYVGPHASEQILSGVTT RGSGATVGAAIMICDLRDFTAISDLWPRDDVIHLLNDYFDAMSDPIERHGGEILKFMG DGLLAIFPLAKETACLDLLQAIREGQALMAQLNQQYAGNGREPLRYGVGVHVGDVMYG NIGSRRRLDFTVIGPAVNVASRLETLTKEVKRPVLLSRAFVEMAGCAKDMDSLGTFPL RGLGEPVDVFAFPEHVAA YP_002977574.1 MNMQLKPASRVRAVNWNRIEDDKDLEVWNRLTGNFWLPEKVPLS NDIPSWATLTAAEQQLTIRVFTGLTLLDTIQNGVGSIRLMEDAATSHEEAVLSNVSFM EAVHARSYSSIFSTLCSTPDVDDAYRWSEENEFLQRKSALIMEQYRSGDPLKKKVASV FLESFLFYSGFYLPMYWSSRAKLTNTADMIRLIIRDEAVHGYYIGYKFQRGLERLGDE RKQEIKDFAFELLLELYDNEAKYTEALYDGVGLTEDVKKFLHYNANKALMNLGYEALF PAEACKVNPAILSALSPNADENHDFFSGSGSSYVIGKAVATEDEDWDF YP_002977575.1 MDTGILERPLKAAETLDYHALNAMLNLYDDQGRIQLDKDRMAAK QYFLQHVNQNTVFFHNLREKLDYLVTEGYYEQEVLDQYSFNFVRDLFDQAYAKKFRFP TFLGAFKYYTSYTLKTFDGKRYLERYEDRICMVALALARGDESLARDMVDEIISGRFQ PATPTFLNAGKKQRGELVSCFLLRVEDNMESIGRSINSALQLSKRGGGVALSLTNIRE AGAPIKHIENQSSGIIPVMKLLEDSFSYANQLGARQGAGAVYLNAHHPDIMRFLDTKR ENADEKIRIKTLSLGVVVPDITFELARNNEDMYLFSPYDVERVYGVPFTEISVTEKYR EMADDARISKKKIKAREFFQVLAEIQFESGYPYIMFEDTVNRANPIAGRVSMSNLCSE ILQVSEASEYNDDLSYKHLGKDISCNLGSLNIAAAMDSVDFGKTIETSIRALSAVSDM SHISSVPSIEKGNDESHAIGLGQMNLHGYLARERIFYGSEEGVDFTNIYFYTVTYYAI RASNLLAVERGASFKGFENSKYASGDYFDKYTDRLWEPATERVKALFETSGIHIPTQE DWVALKKAVMASGLYNQNLQAVPPTGSISYINHSTSSIHPIVSKIEIRKEGKIGRVYY PAPFMTNDNLDYYQDAYEIGPEKIIDTYAAATQHVDQGLSLTLFFRDTATTRDINKAQ IHAWKKGIKTIYYIRLRQMALSGTEVQGCVSCTL YP_002977576.1 MALIVYYSSRSENTHRFVAKLGLRAARIPPNGADAFHIREPFVL IVPTYSGDGGKGAVPKQVIRFLNDTENRGHIRGVIAAGNSNFGETYGLAGDVISKKCQ VPYLYRFELLGTEADVANVKHGMERFWTREFSNAL YP_002977577.1 MTITVYSKPACVQCTATYRALDRLGVDYDIVDISQNAEALDRVR SLGYMQAPVVIAGEQHWAGFRPDMISALS YP_002977578.1 MMAESETRYRVGGMDCAACATKIDTAVRRVAGVADVSVSVMAGT MTVRHDGSSDLKVIEKKVTGLGYSVAPLAGNAAPAHTQGSQHRHDHGHGCGDHAGHDD DHAVHDHEGHSHDHPHDHGEKEIEGLHGHDHAPMAGPWWQSRKGRLTILSGGALVAAY TVGHLVPAIASYAFIVAMLIGLVPIARRAIMAAFSGTPFSIEMLMTIAAVGAVIINAG EEAATVVFLFLVGELLEGVAAGKARESIQSLTALVPKTSLLEDNGQTREVPAESLSVG AIIMVRPGDRISADGIILSGESAIDEAPVTGESTPVRKGVDAVVFAGTVNGDVVLRVR VTAAAADNTIARVVKLVEEAQESKAPTERFIDRFSRYYTPGVVVVAALVAVVPPLLFA GPWGEWVYKGLAILLIGCPCALVISTPAAIAASLSSGARRGLLMKGGAVLETLGKVTM VAFDKTGTLTEGKPQVTDIISFGLTEAQVLSRAAVLEQGSSHPLALAILNRAKADGVP VPPAFELEALPGKGVSGKVGGETLDLLSPPAARERGTLSAEQDARISALNDEGNSVSV LLVNGVVAGLIAMRDEPRQDAEAGLAALKSAGVKAIMLTGDNKRTAAAVAGMLGIDWR GEMMPEDKQRVVGELKRQGFIVAKIGDGINDAPALAAADIGIAMGGGTDVALETADAA VLHGRVGDVARMIELSKLTMRNILQNITIALGLKAVFLVTTIAGITGLWPAILADTGA TVLVTINALRLLRIKI YP_002977579.1 MKKITIGEAARQSGVKVPTVRYYESIGLLAAPSRSEGNQRSFEP ADISRLAFIRHARELGFEIDAIRTLLTLQDDPHQSCASADAIAKARLIEVEQRIRSLM ALKAELETMVEGCGHGRVDQCRVIEVLADHGQCTHPHH YP_002977580.1 MNQKRIAIIGGGPAGLAAAELLSRSGHAVTVYDAMPTFARKFLL AGKSGLNITHSEDYARFTTRFAAASARLRPALDAFTPDDIRNWASELGTETFIGSSGR VFPKVMKASPLLRAWLRRLEAQGATLRTRHRWTGFAEDGYVFETPEGRSIVHCDAALL ALGGASWPRLGSDAAWVPWLVGRGVEIHIFQPANCGFVVGWSGNFSERFAGEPVKSVT ATSEAGTFPGEFVITESGIEGSLIYAHTASLRDRLLIHGSAALTLDLAPGRTIDRLSR DLARQDAKSSFSNRLRKGAGLDGVKAALLRELAPERDRTVPERLAGMIKALPVPVIET RPIAEAISSAGGIRWSSINDSYMLKALPGTFVAGEMLDWEAPTGGYLLTACLATGRTA ARGIEAWLQR YP_002977581.1 MLNRRLLLTGAAFGALAVHLDLAGKAIAAETFAVTHTDEEWRKL LTPDQYVILRQAGTEQPFTSALLHEERKGNFVCAGCDQDLFSSTTKFDSGTGWPSFWA PLEKAVGTTNDTTYGMVRNAVHCSRCGGHLGHVFDDGPKPTGLRYCMNGLAMTFRPAS A YP_002977582.1 MHEHSAHAEHVYTSAQRDSAAASSPVVASWRRCMTMHQLAPEDQ RAPLRLTDQEFRRAREQSEQLIASATEELDRLFTTVGRAGCCLLLTDKNGIALERRGA AGDDKEFRELGLWTGSVWTEASIGTNGIGTALADERAVAIFRDQHFFSSNTSLSCTTA PIRDHHGQVAAALDISACREDVNEMTLAILTQTVRDAAMRIELNLFRSAFAGARFLMV PAGANSAAALLAVDRHDLVLGATRAARIALQLDDKRIAAGIPAADALHEAGVSQQDEI VEAEKAALLRALSRAGGNVSQAAIALGISRATLHRKMKKLDLH YP_002977583.1 MLHQKIVESPFKLKYGNYIGGEWREPVEGKYFENLTPVTGGKLC DIPRSNEKDINLALDAAHAAKEKWGRTSVAERSNILMKIAQRMEDKLELLAQAETWDN GKPIRETMAADIPLAIDHFRYFASCIRAQEGSIGEIDHDTVAYHFHEPLGVVGQIIPW NFPILMATWKLAPALAAGNCVVLKPAEQTPASILVWAELVGDLLPAGVLNIVNGFGLE AGKPLATSPRVAKIAFTGETTTGRLIMQYASQNLIPVTLELGGKSPNIFFADVMAEDD DFLDKAFEGFAMFALNQGEVCTCPSRALVQESIYDRFMEKAVKRVEAIKQGNPLDSAT MIGAQASTEQLEKILAYLDIGKQEGAEVLTGGSRNDLGGELANGYYVKPTIFKGHNKM RVFQEEIFGPVVSVTTFKNEKEALEIANDTLYGLGAGVWSRDANRCYRFGREIQAGRV WTNCYHAYPAHAAFGGYKQSGIGRETHKMMLDHYQQTKNMLVSYSPKALGFF YP_002977584.1 METTVNGEPRVLATDAALDLIAEIKRDHPDILFHQSGGCCDGSS PMCYPANEFMIGDSDVKLGEIGGVPVYISAGQFEAWKHTQLIIDVVPGRGGMFSLDNG REKRFLTRSRLFGGGEACAVPDVKVRAV YP_002977585.1 MPASKILMITGDFTEDYETMVPFQTLLACGYTVHAVCPGKNAGE TVATAIHDFEGDQTYSEKRGHNFALNATFESVRAEDYDALVIPGGRAPEYLRLNADVL KFVRHFFDAGKPVAAICHGAQLLAAAGVLKGRTCSAYPACRPEVELAGGIYADIAISD AVSDGNLVTAPAWPAHPSWLRQFMAVLDAAALLETNAA YP_002977586.1 MCELFIKADARLWESATRSLRIDGMVTSVRLENFFWAKLEEIAR RDGMNVVQLITRLHHESIDAGHDLGNFTSFLRVCCARYLDLQLTGDIPADVTRPIAGL DAPVILDRERAKYH YP_002977587.1 MKDQKAVIVTGAGGNLGSAVVRELAGAGAKLVCMNRSSHELEAL AGDLPASTEFLSIAGTDLTDYASCAAAVARAVKRFGGVSALVNTVGGFQMGLVGPEAP AQWETMMTANARTALTISAAVLPTMKAAGYGRIVHVAAAPGLKAGAKQAAYAASKAAV IRLTEAIAAECRDDRITANCILPGTIDTPENHAAMPDAKTDGWVSPQSIARLIAFLIS PAAAVVTGAAIPATGRE YP_002977588.1 MTEGPALRAVGATSLVREEWFLGVSVVTSLTFLAFQEQLFGRLA DPLWFTVVFIWLFAVVLGSALSVVRHADHLAERLKEPYGTLILTLAITSIEVMAISAV MIHGENNPTLARDTLFAVVMIILNGMVGLSLLLGAWRRPEQQHNLQGANAYLGVIVPL ATLSLVMPTFLAGPDGQHPSAPRQLILGIISVGLYATFLFLQAGRHHDYFADDSNRHE HHSEHAPPRGPVWPHAILLFAYMGPVVFLVEQLARPIDYIIETLHAPTAFGGVVMAIL VATPEAISAVRASVANNLQRAVNIFLGSVLSTIGLTVPAMLVISRLYGHPVTLGLEHG DLVMLLLTLAVSIITFASGRTHLMQGAVHLVLFLAYVLLIFQQ YP_002977589.1 MGSLLVGGLVFVFLSMATSIGLLVRCRLPDHHLNAESKDVIRLA TAVVGTLSALALGLLIASAKSSYDTAEVEMRTAAARVLLLDRVMAQYGPETNKARQLL RELIEKRLSRGWTAETTDETSGNAPGEYQDIEAVQADLRSLAPRDAVQHSLQARALEV SGMLAETHWLLVESGKEGLPWAFLTVLVCWLSLLFATFGLQAPANPTVLSILLVCALS VAGAIFLVSDMANPYVGLIRVSDAPLQFAIERLGKP YP_002977590.1 MRVRLYQGLHLSVMLAGMAILAGCEESGNTYVAPPPPPVRIAQP VQQPVTLYFELTGNTAPLNSVDIEARVQGYVQSIDYQDGMTVMKGTKLFGIERNTYQA QLDQAKASLASQQASQVGAKQEYDRQLNLSKQQVTTQTAVDSAKATLDEANASILNAQ ANLDLATINLGYTEVLAPFDGTVTDHLVDIGALVGVSGPTKLASIVQTDPLYAYFNVS ETQVLMIKETLARQGRTFKQTDLPSIPAELGLQTEEGYPHKGHLDYVSPQLDASTGTL QVRALFDNKDHAMLPGLFVRVRVPVGHNDKALLVRDDAIGTNQLGSYLLVLGKDDVIE QKQVKTGQREGALRVIDSGLDPADWVVTQGIQQAIPGGKIAPEKIEMNPPAASAGDAK AKTTTQ YP_002977591.1 MISRFFIERPILANVLALVFVLIGAVALFQLPVAQYPNVVPPTV QVTTRFPGASAQTLVDTVALPIEQQVNGVQDMLYMQSTSASDGTYSLTVTFAIGTDPD QAQVLVQNRVAIAMSSLPEAVQLQGVTTQKKSTAILGFVSLTSPDSRYDSLFLSNYAV INLQNELARLPGVGNVTVFGAGQYAMRIWMDPNLLQARGLTPQDVVSVVQQQSQEVAA GQIGIPPVPKGQVFQYTLNVNGRLNEAADYENIVVKVESGQGGRVTRIRDIGRVELGA QTYRQSFMQNGRPAAGIGIFQLPEANAIAVAQVVNTKMQELSKSFPPGLEYHVPFDTT KFVEASIDEVYVTLIEAGILVLIVILVFLQDWRAMLVPATTVPVTIIGAFAAMAALGF TVNLSTLFAIVLAIGIVVDDAIVIVEGVARHIEAGMSGRKAAEKAMEELLGPVIGITL VLMAVFIPAAFLPGLTGQLYRQFALVIAATALISAINAVTLKPTQCALWLRPPVPPEK RNIFYRGFNKVYDRGERSYAGLIGSMTRHSGIMVIAALALIGVAVWGLARLPTAFLPI EDQGYVLISAQLPDGASKERTDAVMEEVGKIAEATPGVDQVLTISGISVLDNNASLQN AGVAYVVLKDWDERGKEKGQDLLSIYQHLNGTLQSVLAAKTLVVVPPPIQGVGNASGF TMQVEIRNGISDYPLLQSLADTIVKNGGAQSSLQRLSTPFRSNVPQLAVSVDRIKAET LGVTVGQVFSALSSYVGSSYVTQFNKFGRTFQVYAQAASDFRVSAEDIRNLKVKAGDG TMVPLGTVVDVTATQGPSLISLYNLYPSATIVGGPAAGFSSGQSLDVMEQIADQTLPP GTGFEWTALSYQEKAVGGQIYFIFALAMLLVYFVLAGQYESWILPLAVLLAVPLALLG TVAALMAAGVANNLYTQIGLILLIALASKNAILIVEYARERRAEGMEILDAAVEAARL RFRPILMTSFAFILGVLPLVLATGAGASARKSIGISVFSGMIASTCLAVLFVPSFYVL LQRLEEYWKGRTKTAGLAETEISKVQ YP_002977592.1 MRKWASSLLTAPHGRRRLLFGISGLPALCAVVLFAGVASAQAPT EEQRNAIRAECRSDFIAQCSGVTPGGIEALTCLQQHNATLSAGCRKAVSAVSKPKSTS AEPVPAPPATTGVTAPAPAPATGTPAHQPTQAQRNAVKSACQRDFMAQCSGVTPGGTE ALSCLQQHNAALSAPCQQAVAALGGSTGGGSAGSTPAGGGAAATVATTPAPRAMMPAF SPREELMVLRETCGSDFRALCRMVPLGGGRGIACLRDNLQRVSPACHRVLTSGL YP_002977593.1 MVSGLMSRVLIQTKVLVLLAPFVFSLCAVGLTGYYASSLLEGRM EISNHVLQSLNGFKHVSSSMTGFLMKPSQEARDTALADARKQLENLKQTIERLRPTTD VGLLDQALDQSQIIPQKIEAIWQIETGQQKILSDVDAASAALLDLQGQVGKRSFMLMA SAKKMENTNKSGLSNSVSISAAASVATKLRNDYTNATTPADKVGLLAKYGPDLQKAKE QLSPAVATEALAAQYAAAVDAIANASKVSPDTLDVPATDTAVANLAATGDSLKTIGDD LMRTSVLALAASDKDISQATNVGNELRAIVNSNNEIRVGFAELAGNPDDARVKKVQQS IYMYQTELGRLAGVVNDDPLFVEIPKKAQPVLDLLAANAAALSEGAARKLGEFDSAAG QIDNTWNLLAQFAETQRQNAGQDRQQANRISGGAIVIGILIAMTAGAALVVTLKGPIT QITAAMRKIAEGRLDTAITGEARGDEIGEMARALSVFKQNALSNVEMEQQAEIARSDA ESERARNEFERRSAKVQVDAAIEALAEGLTRLSRGELNFSIDKLFAPELDRIRTDFNM SVAGLRETLCDIRETSSLISDNGRQMAEAVDDLASRTEKQAAALEETAAAVEEISSAV NTSSGRAAAALALVQRAKQGADASASVVQNAVSAMGRIEDASGKIVQIVSAIDSIAFQ TNLLALNAGVEAARAGEAGKGFAVVAQEVRELAQRSARAAKEIGELINNSVREVASGS EFVGRTGDALMEISSEIVHIVGHIELIASSSRDQATTLHSINASVNDIDRMTQQNAAM VEETNAATRQLSSEALALTDMIARFRLEGHESPASRGYEAAA YP_002977594.1 MIRSLGNEPPLLADGRRAPDRREVSLRWLSGTFLTGITSSVLMG VALFAALDGRQQLAIPAEAYASVAADAHEDTTVVRGGRLIAPAIAAKPSDRAIMEVST VVHDGEKEVVRRQPFAHVKMTLAANHVATEDYPDFDPLAIFSADEPQPAPQSRTGALY GSDVESEVSLKTIPFPTGKTSMQMASGLSLEEVEENVRSNGSVLTDGNTQLAALYYVD PRRFSNEDADVDLTAGLSARVLEQNMTVSASESITPQTEEFADDILPVRVDTPIAKAL TDSGYPQQYADGIAGYIAQQLGSGDLDKGDVLRIGIIQKGEQAKIIRASVYRGTRHLV TVAVDDKGRYVPGSEPPMLDAIATAFDDNSFAPPPGQNLPRVYDGIYRAALSYGMTKD MTALIIKLLASNVDFQAQLRPTDSLEAFFSVADSAGQATEDSELLYVNARFGDTQTRF YRFQDPEDGTVDYFDENGKSIRQFLLRNPVPNGIFKSGFGMRRHPILGFARMHTGVDW AAPRGTAIIAAGNGTVEKAGWDSGGYGNQTIVRHANGYESSYNHQSAIAKGVVPGAKI RQGQVIGWVGTTGESTGPHLHYELIVNGTKVDPLRIRLPGGKSLQGEALAKFEDERKR IDTLLNNQTPDQVASK YP_002977595.1 MNIEKYSERVRGFIQSAQTYALAQGHQQFTPEHVLKVLLDDDQG MAASLIERAGGDAKAARLANDAALAKLPKISGGNGNIYLAQPLAKVLSTAEEAAKKAG DSFVTVERLLQALAIESSASTFSTLKNAGVTAQGLNQVINDIRKGRTADSSNAEQGFD SLKKFARDLTAEAREGKLDPVIGRDDEIRRTIQVLSRRTKNNPVLIGEPGVGKTAIVE GLALRIVNGDVPESLKDKKLMALDMGALIAGAKYRGEFEERLKAVLNEVQAENGEIIL FIDEMHTLVGAGKADGAMDASNLLKPALARGELHCVGATTLDEYRKHVEKDPALARRF QPVVVDEPTVEDTISILRGLKEKYEQHHKVRIADAALVAAATLSNRYITDRFLPDKAI DLMDEAAARLRMQVDSKPEELDELDRRIMQLKIEREALKKETDVASADRLKRLETEVT DLEEQADALTARWQAEKQKLGLAADLKKQLDDARNELAIAQRKGEFQRAGELTYGVIP DLEKQLVDAEKQDGDRGAMVQEVVTPDNIAHIVSRWTGIPVDRMLEGERDKLLRMEDE LAKSVIGQGDAVQAVSRAVRRARAGLQDPNRPIGSFIFLGPTGVGKTELTKALARFLF DDETAMVRMDMSEYMEKHSVARLIGAPPGYVGYDEGGALTEAVRRRPYQVVLFDEIEK AHPDVFNILLQVLDDGRLTDGQGRTVDFRNTMIIMTSNLGAEYLTQLRDGDDSDTVRE QVMEVVRGHFRPEFLNRIDEIILFHRLKREEMGAIVDIQLKRLVALLSERKIIIDLDE EARHWLANKGYDPVYGARPLKRVIQKFVQDPLAEQILSGQVPDGSTVTVTSGSDRLQF RTRQTVSEAA YP_002977596.1 MRPGQQNKRGRGRGNNNNGGGGNNNNNNFNRKGGNPLTRTYDSS GPDVKIRGTAQHIAEKYAQLARDAQSSGDRVIAENYLQHAEHYNRIIASAQAQMQERF QRDDRGEYNDRDGADRDGDDMDNNDNENDNDGDDVVIVQPPQSRPHQPQQSRQHQPQA QPKPVAAQAPAPAPQSEVIDGTGPQPEIEGIPAEVAMDEEGAGGQPRERQPRRRSTGS RPRRPRRGAEGEEAAAEGEGASAEAPVLADVTSE YP_002977597.1 MSSTVADMLADARRRFTEAGIVDPATDARLLVAGLLKLSPTELL TRSAERLSAEQAEAIFRALERRLGHEPVHRILGEREFYGLPLRLSAETLEPRPDTEIL VDTVLVYLKDLAKVQSRLHILDMGTGTGAICLALLSDCPDASGVGSDISADALLTARS NAERNGLQDRFQVVQSSWFENIQGSFHAIVSNPPYIASNVIHDLAPEVTKFDPAAALD GGPDGLDAYKAIAKDAARFIRPDGVVGLEIGYDQRNDVTAIFEAKGFRCLKSVKDYGQ NDRVLVFALA YP_002977598.1 MAKLPVEKMRELERRFGEIEARMSAGPAADVYVKLASEYSELQP VVTKIRVYEKAVAELADLETLLEDRSVDREMRELAELELPEVKEQIEVLEQEMQILLL PKDAADEKSAILEIRAGTGGSEAALFAGDLFRMYERFAAEKGWKVEVLSASEGEAGGY KEIIATITGRGVFAKLKFESGVHRVQRVPETEAGGRIHTSAATVAVLPEAEEIDIEIR AEDIRIDTMRSSGAGGQHVNTTDSAVRITHLPSGIVVTSSEKSQHQNRAKAMQVLRSR LYDAERQRADSERSADRKSQVGSGDRSERIRTYNFPQGRVTDHRINLTLYKLDRMMEG EIEEVVDALMADYQASQLAQLGEQQ YP_002977599.1 MRDLSGGPRVLLRRLRELMAEPLEPQDRLDRIVRQIAGNMVAEV CSVYVLRADGVLELYATEGLNREAVHLAQLKMGQGLVGTIAASAQPLNLSDAQSHPAF RYLPETGEEIYHSFLGVPILRTGRSLGVLVVQNKASRNYREEELEALETTAMVLAEMI ATGELKKITKPGLELDLTRSVTVDGDTYNDGIGLGYVVLHEPRIVVTNLLNEDAEKEI RRLAEAMGSLRISIDDMLSRRDVSMEGEHREVLETYRMFAHDQGWVRKLEEAIRNGLT AEAAVEKVQSDTKARMMRLTDPYLRERMHDFEDLANRLLRQLTGYTGRTSGEGFPSDA IILARAMGAAELLDYPRANVRGLVLEEGAVTSHVVIVARAMGIPVIGQAAGVVALAEN GDAVIIDADEGHVHLRPMADHRRSYEEKVRFRARRQEQFRALRAVEPVTKDGQRIALM MNAGLLVDLPQLSESGAEGIGLFRTELQFMIASTMPKAEEQEQFYRNVIKQAAGRTVT FRTLDIGGDKVVPYFRGHEEENPALGWRAIRLSLDRPGLLRTQLRALLKASADTELKL MVPMVTEVSELKMVRELLQKEVQHLSRFGHGLPRKLQFGAMLEVPALLWQLDELMEAV DFVSVGSNDLFQFSMAVDRGNARVSDRFDPLGKPFLRILRDIVRGADRNKTPVTLCGE LASKPISAMALLGIGFRSISMSPASIGPVKAMLLGLDVGALTKAMDEVLDDHHALMPM REVLARFAESHNIPL YP_002977600.1 MKFGGTSVADLDRIKNVARHVKREVDAGHEVAVVVSAMSGKTNE LVGWVQGTPKVIGANSPFYDAREYDAVVASGEQVTSGLLAIALQAMDINARSWQGWQI PIRTDNAHGAARIMEIDGSDIVKRMGEGQVAVISGFQGLGPDNRIATLGRGGSDTSAV AIAAAVKADRCDIYTDVDGVYTTDPRIVPKARRLKKIAFEEMLEMASLGAKVLQVRSV ELAMVHKVRTFVRSSFEDPDAPGMGDLLNPPGTLICDEEEIVEQEVVTGIAYAKDEAQ ISLRRLADRPGVSAAIFGPLAESHINVDMIVQNISEDGSKTDMTFTVPSGDVEKAIKV LGDHKEKIGYDVVQNESGLVKVSVIGIGMRSHAGVAATAFRALAEKGINIKAITTSEI KISILIDGPYAELAVRTLHSCYGLDKN YP_002977601.1 MTEGARSTIDQGEVDRFSAMAAEWWSPTGKFKPLHKFNPVRLSY IRDKACENFSRDPKSARPLEGLRVLDIGCGGGLLSEPVARMGASVVGADPSEKNIGIA STHAKASGVSVDYRAVTAEELTEAGETFDIVLNMEVVEHVADVEFFMTTCAKMVRPGG LIFVATINRTMKAAALAIFAAENILRWLPRGTHQYEKLVRPEELEKPLVASGLEISDR TGVFFNPLSNQWNLSKDMDVNYMLLAKRSA YP_002977602.1 MIRYSLTCDNAHEFEGWFSESADFDRQVETGFLTCPVCHSAAIS KLLMAPSVSTARGKDERQTLAMDAMRREALQKLKEAVAAVKANSEDVGTQFPEEARKI HYGEADARGIIGHATVDEAQALLEEGIEIAAIPVLPEDVN YP_002977603.1 MSFKAAAVQMCSGVDPVKNAAAMARLVREAAGQGATYVQTPEMT GMLQRDRTAARAVLADEAHDIIVKTGSELAIELGIHMHVGSTAIALADGKIANRGFLF GPDGRVLNRYDKIHMFDVDLDNGESWRESAAYTAGSEARVLSLPFAEMGFAICYDVRF PALFCAQAVAGAEVMTVPAAFTKQTGEAHWEILLRARAIENGVFVIAAAQAGRHEDGR ETFGHSMIIDPWGTVLASAGATGEAVIVAEIDPAAVKAAHDKIPNLRNGREFSVEKIA GAIAGGVAA YP_002977604.1 MVRVTIYTRQFCGYCTRAKSLLEEKGVEYVEHDATFSPDLRQEM IGKSNGRTTFPQIFIGADHVGGCDDLFALDRAGKLDPLLAA YP_002977605.1 MGLINIEKPSEFLRTHLLRPFSALADFFYPPACSVCGISTGGHR GLCAKCWSGIRFIERPYCEVLGIPFLHDLGAGILSAEAIANPPPFDRLRSAATHDRAV RDLVHGLKYRDRTDLAPMMAAWMLRASDGTVESCDALIPVPLHRSRMLTRKFNQAAEL ARHMARLSGKPLLAATLMRVKRTSQQVGLGAKAREDNVRGAFAIAKGCENDIFGKRIV LIDDVYTTGATVAAASRTLRKAGATDITVLTFARALSEPI YP_002977606.1 METIFDRALIAAHRRRALVNNDPKAAFLLDIAAEEMAERLSVVE RTFETAVELHGATGAAARAALATGKIGTMIRVESDKAYAGPGENLIEAPLEDVPLEPQ SVNLILAPLSLHLTNDTPGVFIQIRRALKPDGLFLAAIPGAGTLQELREVLLAAEVEM TGGASPRVIPFADVRDVGNLMQRAGFTLPVIDAENYTVRYDSLFPLMRDLRAMGMSNP LAARGRMPLTRAFFLRAAEIYAERYADPDGRIRATFSIIYVSGWAAHESQQKPLKPGS AKARLADALKVDEHKLRQ YP_002977607.1 MRLLKAFVADNRGATAIEYGLVAALIGGALVSALGIFSGSLQDV FNVINNNLTVN YP_002977608.1 MDDDFWKAVREKERASSASRRTGALNIALLFGTAVVALTLILTP MLADSSKKSVFASAPADFDTITTGSIPKSESGKRYTIRRSVLQDTPGSVCVVQGYGVG AGC YP_002977609.1 MSEAGRKILLVAACALIDADGRILLAQRPEGKSLAGLWEFPGGK VEPGETPEETLVRELEEELGINTKIACLAPLTFASHSYETFHLLMPLYICRRYEGIPQ GREGQALKWVRPQALRDYPMPPADEPLIPMLQDLL YP_002977610.1 MATIGADRSLAQASISSHNLPLVRRLEAVGFRAWPAASVQYDGS WQVRLTAGHPSNRLNSIVPLDPSDHRDVEIRLEKASRKFEAYGRAAVVRQTPLASPVL IELLRAQNWTRFDDTVVMTCDLAEAELPDTLDHLPTHDVGRFVDANLAVDQAPPRLKP ALAEIISAIKPPSGLFMIENAADGPLATVLCVQDNDLAGIMSLSVSEARRREGLGIEI LTSALRWARMRSARSAWLQVKLSNRPAIALYERLGFRDAYHYCYWQKEPR YP_002977611.1 MSGSVSPLAPKSFVSMPPLRGVRMATASAGIKYKNRTDVLLMVF DRPAAVAGVFTRSKCPSAPVDFCRANLSHGSARAVVVNSGNANAFTGLKGRQATALTA KSAAGAVGCAENEVYLASTGVIGEPLDATKFAGVLDGMQGEATGDFWFEAAKAIMTTD TYPKVSTRSAEIGGVAVTINGIAKGAGMIAPDMATMLSFVVTDADIAPAALQALLSDG VGPTFNSMTVDSDTSTSDTLMLFATGAAAEDGQARIERADDPRLAAFRAALNEVLKDL SLQVVRDGEGATKMLEITVTGAESDAAAKRIALSIANSPLVKTAAAGEDANWGRIVMA VGKSGEMADRDRLAIWFGDIRVAVNGERDPDYSEAAASDVMKAQDIPVKVDIGLGAGT ATVWTCDLTKEYVAINGDYRS YP_002977612.1 MLSTNKLAVLAFATFVALQAPAYADDAVIAKVGTLEIHQSELDL AVANLDPQLAQLPDDQKKVAALSAAIDVKLLAADAAAEKLDQTDEFKKRMQYLTDREL HNAYFKKHVVDIVTPDEVKARYDKEVAALPKQEEVHARHILVKTEDEAKDIIKQLDAG KDFAELAKEKSTDPNKSEGGDLGYFSRGRMVKEFEDAAFALEKGTYSKTPVKTDFGFH VIKVEDKRDAPPPPFEQVQDQVRQLVMRDKYLELLNKAKASAKIEITDETLRKGYDQA NKQPEPGSEPVAPAPKQ YP_002977613.1 MVSFGGIARKLFGSSNDRRVRSYQPNVTAINSIEEKTKALTDEQ LAAKTVEFRALLAEGKTLDDILIPAFAVVREASRRVLGLRPFDVQLVGGMILHSNAIA EMKTGEGKTLVATLPVYLNALSGKGVHVVTVNDYLAQRDAATMGRVYGFLGMTTGVIV HGLSDEERHAAYACDITYATNNELGFDYLRDNMKYEKNQMVQRGHNFAIVDEVDSILV DEARTPLIISGPLDDRSELYNTIDAYIPLLVPSDYEIDEKQRSANFSEEGTEKLENLL RQAGLLKGNALYDIENVAIVHHVNNALKAHKLFQRDKDYIVRNDEVVIIDEFTGRMMP GRRYSEGQHQALEAKEKVQIQPENQTLASITFQNYFRMYDKLAGMTGTAQTEAEEFAN IYNLDVIEVPTNLPIKRLDEDDEVYRTFDEKFKAIIEEILDAHKRGQPVLVGTTSIEK SELLAERLRKQGFDDFKVLNARYHEQEAYIVAQAGVPGAITIATNMAGRGTDIQLGGN LDMRIERELGEVEAGPERDARIQAIIEEIKELKQKALEAGGLYVIATERHESRRIDNQ LRGRSGRQGDPGRSKFYLSLQDDLMRIFGSDRMDSMLTKLGLKEGEAIVHPWINKALE RAQKKVEARNFDIRKNLLKYDDVLNDQRKVIFEQRLELMESTNISETVSDMRREVIED MVEKHIPERAYAEQWDAAGLKTGALNILNLDLPIEDWVKEEGIGEDDIRERLTEATNA AFTEKAERFGDDIMHYVERSIVMQTLDHLWREHIVNLDHLRSVIGFRGYAQRDPLQEY KSEAFELFTALLNNLREAVTAQLMRVELVQQAPAEPEPPLMQAHHLDPTTGEDDFAPA IYQASEVIVSPENRNPDDPATWGKVGRNETCPCGSGKKYKHCHGAFEQV YP_002977614.1 MAVIETAEKMLPAGLRPIGGRTLRLLAAVLTERGERAAAQRMAL TAFSIRILSAALAFVSQIVLARLMGEYEYGIFVFVWVLVVVFGDLSCLGFHTAIVRFL PQYRAAGAFEEIRGLTGTARIFALLSGTAVLAAGMLGLHFFGDMIQVYYLVPIFIGLL AMPMIALGDILEGTSRANHWPVMALSPVYIVRPILIILFMLIAIAIGADHTAVTAMQA ALVATYVTALGQYAATLYRLRRHYDDGPRKVDFLAWFSVAFPIFLIEGVSFLLTNSDV VVVGIFLEPHDVAIYFAAAKTMALVHFINFSVKAASGPRFSSIIAEGNHGELAAAAID AARWTFWPALGVGLVVLAAGHLLLSLFGGAFTSGYLVMAILLAGILAKSLVGPAETLL MMAGKQNLCVALYAGALTANVGLNLALIPHYGIEGTAIATASAMAVEAILLHLAVRRT LGIVLFAFASPSAATPEMRVR YP_002977615.1 MVRIPPVTESTDSAANRMVHDLAALHFEAPQAEARAEIGRPGRE LCLYPGKLGYELQDELDFLSNRAMEPNVFFSGRFLAPAMPRLEDRQVNFALIRDHSAG RSRMRFLLPFSVDKPGFAVGPSIIRGWSNSFGPLGTPLVDGEDAAETLDNLFEGLTAR DLNLPGILVLPDLRLNGIFVRMVKAVALSRNLPVTVTNPYLRPMLQSEEEAPAYLSKT IASSHMREMRRQWRLLEERGTTVYTVARQPREIHIRFEEFLAMEAGGWKGKRRSALVT DRYHTAFAREAVSNLAAVDAVRIHTIDLNGKAIAAIVVLMMGGEAYTWKTAYDENYAR YSPGKLLMSELTEWHLDDANIIRSDSCAVSDHPIMSRFWQEREEMGTLVIGLTQNSDR DMRQVTAQLHMYRSTRNMAKMLREKIMSLAGRG YP_002977616.1 MQLPSHDRYDDLYCNFSWQITEDFNIGRAVSDDWAAREPERVCL EHFSPDGNHRAMTYRALADRSSAFANALVSLDIKRGDRVALLLPQSFETVIAHVAIYK TGAIALPLALLFGVEALEYRLKAAGAVAVVTNGFGLERIRQIRGRLPALKHIVSIDGA SGDATAFAELVDGYPPVFDIAKTGPDDPALMIFTSGTTGPPKGALHGHRVLPGHIPGM QFAHEGFPRPGDKVWTPSDWAWAGGLLNALLPSLLLGIPVVSSPAQKFDADMAYRIMA EMKVRNAFIPPTALRLMRSVADPRSKYDLALRTIGSAGEALGRETYEWARHVLGITVN EFYGQTECNFVLASSAAYGVTKAGAIGRAVPGHRVAIVSETGDELPVGEPGQIAIASP DPVMFLGYWDDTAATERKFVKGWLLTGDIGRQDAEGYVTFEGRDDDVITSSGYRIGPA EIEDCLIGHPAVQLAAAVGKPDAVRTEIVKAYIVLSPGHRPSETLATDIREWVKTRLS MHEYPREVEFIDALPLTTTGKVIRRLLRERAAGEG YP_002977617.1 MEYTKFGKTGLEVSKICLGCMTFGDPGRGNHAWSLREEESRTMI KQAIDLGINFLDTANTYSNGSSEEIVGRAIKDFAKREDIVLATKVFNRMRPAPNGAGL SRKAIFDEIDNSLRRLGTDYVDLYQIHRFDYTTPIEETLEALHDVVKSGKARYIGASS MYAWQFAKALYVSRLNGWTEFVSMQDHLNLLYREEEREMLPLCEDQKIAVIPWSPLAR GRLTRDWEETTARSETDEFGKTLYTQSIDADRKIVGAVAEIAKARNVSRAQVATAWIL QKSAVTAPIIGASKPNHLRDAVASLSVKLTAEEIAALEAPYIPHAIAGFK YP_002977618.1 MRVSREKFAENRERILSVAGVLFRENGFDGVGVADIMKAAGLTH GGFYGHFGSKDDLALEVSRKLIDRVEERWKEHIAESPDRPLAALLDHYIHWRTVDDPG GSCVFATLIQEVSRSRGAVRAVFSDGLSVLVDTLADIVPGETEEERRANATTTLSSMM GAVILARAVEDKALAEQFLVTMRRQLDPESPK YP_002977619.1 MVSTALASTLARRNIHYGWVVVAATFLTMLVTAGAMGAPGVLIK PLQDEFGWETSQISSALAIRLILFGFMGPFAAAFMNYFGVRKVIVFALALIGAGFVGS LFMTTLWQLLLLWGIVVGFGTGLTAMVLAATISSRWFAKHRGLVVGMLSASSATGQLV FLPLMAELTERYGWRSTVFFVCAMIMVAALAVLAFMRDRPADLNLPAFGETQVTPPPA STSLGAALMTPITVLKEISKTSTFWILFATFFICGLSTNGLIQTHFVTLCGDFGIVPV AAASVLAVMGIFDFFGTIGSGWLSDRFDNRWLLFWYYGLRGLSLLYLPFSDFSFYGLS IFAIFYGLDWIATVPPTVKIAADRFGREKTGLVFGWVFAGHQLGAATAAYGAGLSRTE LSSYLPAFFVAGAFCLLASILAITLKKSGLSNPASAAAH YP_002977620.1 MSLQSQHLFTLFIALHPTLELGQTPAGGRRIFPVSGGHFQGERL KGKVSSLIGSDLLLARGDGTFQQDVRLLLVADDGELVLMTYRGVRRASQAVDERLVCG ETVDASEYYLRTTPYFETAAPAHAWLNSIVAVAKGGRCPGGVEYEVFEVL YP_002977621.1 MRPSLESLRVLEACVSAGSFARAAERLFLTPAAVSLRIRTLEAE LGQPLFIRAGRRVVPTAAASVLAKRVREALTGIGEALAEFQAATPPLRLTAPPTFASR WLAPRLARYPTPGASVIEVDVSAEIRDPGAFDVAIRTGRGGWAGLEEYRLAPVEVTPM LSPSLLETRTLAAPEALADFELLPHPDWEQWFKEAQCSAPQDLRFLAVDYPTHELDAN AALAGVGVALLSPSLFRPLLTEGRLIAPFPYVLSGPAWHFALIRANDPRQAPRQLCAW LRAQAGEAA YP_002977622.1 MIELTPSQIAALKLARDGDLYPQPANKWTHENATVTYAKTDRWK ERPQKIKSVTAKTLGELKEPGFLERRHLDDDATKDVYGITMAGKMWLLKNK YP_002977623.1 MRKMILNCTVAALAACSFAAPVLADSVYVRERSYDDGYRHERVR PGITISERGVTFGAVREREHRRYRDSGCETRSVTRQTDEGEVTKTVRRCN YP_002977624.1 MHQEVGLIATVAVSFVFAAILGYGADRLRLPPLVGYLMAGILMG PFTPGFVADTALAGQLAEMGVILLMFGVGLHFSASDLLAVRGIAVPGAIGRIILATLL GIGLCKLWGWSLGAGIVLGLSLSVASTVVLLKALEERNLVNAASGRVAVGWLIVEDLV MVLALVLLPALAELLGGNASTTTNHGLGDLPLALTIGLTLLKVVAFAAMAIFLGPRIV PWLLTMIARTGSRELFTLTVLAIALGIAFGSAAIFGVSFALGAFFAGVVMSESQLSHR AAADSLPLQNAFSVLFFVSVGMLFDPSILVRQPLAVIGALALVILGKGIITFLIVILL RYPISMGLTLAGGLAQIGEFSFILAGLGVSLGLLPHEGQDLILAAAILSITLNPIVIF ATDGLKKYIHSKWPSLWESYGRSRQKALGKELEKIRALGEERERQHQLKMQQLIETFP LFSEVDEDAQEELLLLFKAKSAPPGERVIRRGDRGDSMYFISSGAVEVRLASGAIRLE PGAFFGEMALLTGARRTADVIAVDFCQFEVLERRDFNMFMSHHPHLRAAVSEMAQKRT EMNVLRQQWEKSMDLS YP_002977625.1 MFKVIEGGRGRAVQMDDWSEERRGPSKEDVRREAARRISESGYH LSRIREFATGVPMLAPLKYLSLQIDFAAETLSRLDPIPEDFRSDGYWPAG YP_002977626.1 MLRRLYDWTMSLAARKSAEIWLAVIAFVESSIFLVPADVLFLPM ALARPERSYRYALVATVASVFGGIAGWALGFYAYETVARPVLEFYGKLDAFEQMKAYV TYEWILLLLVTSGLAHLPPIKIVTILSGVIHVNLGLFIVSAIIARGARFLFLAWLLRR YGEPIRDFIEKRLGQIVGIGAATVIVLYVGYRSFAH YP_002977627.1 MTISSPLARPGFVYSILLAIGMAAAVGTALGFQYIGGYIPCALC LLQRQPYYYAIPIAILAAISELVGLPNWITRALILAAGILMLVTAGMGVYHAGVEWHF WPGPSTCSTTASSMTTNAGDLLGELNTIKGPSCTDAALRVLGLSFAGWNVIAGILLAA FAFVGVRKAAS YP_002977628.1 MTIAVSPQALPALVLNADYRPLSYYPLSLWSWQDAIKAVFLDRV NIIAEYEHSVSSPSFSMRLPSVVCLKTYVQPSRNPAFTRFNVFLRDRFECQYCGAHDD LTFDHVIPRAHGGETTWENVVAACSPCNLRKGSKLPKQASMFPAQKPYQPTVQDLHNN GRLFPPNYLHESWLDYLYWDTELQP YP_002977629.1 MQIIRNEDDVRQGLEALLRLDPRLAPVVTEAGPIPLRLREPGFA GLAHIIVSQMVSRASAEAIWRRMLPADGSLTAEGYALLHAEAWREFGLSRAKAETLSL IAEAVASGRLDLSGLCLKPPGEALGELTALKGVGPWTAEVYLMFCGGHADVFPAGDVA LQNAVGAAFGLAARPQAKALAELSEVWSPWRSVAARLFWAYYATRMRRDMVPIG YP_002977630.1 MTTSERQKPVFRFAPSPNGPLHLGHALSALMNRDMAEAEQARLL LRIEDIDQTRCTPEFEAGILRDLEWLDIGWASPVRRQSEHIPEYQAALHALIERGLVY PAFLTRGEVKARIAAYEAAGEPWPHDPDGTPHYPASDRERPADERRDMLTSGKKHAWR LDMRKALDLIGELLFWTETGDGRTGEIAAEPDVWGDVILSRSDAPSSYHLSVVVDDAL QGVTHVVRGLDLFHATSVHRLLQVLLGLPQPVYHHHRLILGADGRKLSKSQGDSGLGE LRAQGMSGADIRRLVGL YP_002977631.1 MPKVLRTIYDVVYDAICHMVEDDGFAMASHVALSSLLAVFPFLI FGTALASFLGADQFSSTAIHLIFDTWPEAIAKPLADQVLQVLTIPRGGLLTISVLAAA YFASNGVEALRISLNRAYRVQETRAWYFTRLASLGYVLIAVIIFTAISILLVALPLAL DYSRKWFPLFADTLDIVFSWRIYGTLVVLTVGLLVMHLWLPAGKRRVFDVIPGVLLTL LLWLAGALIFAYYLATFANYTATYAGLASVMIVLIFLYMVGVIFIIGAEINAALIKFR VFRMFSRTLSIVGRERVERQSEPDKAANIRP YP_002977632.1 MAGARTIVVTGCSSGIGAHCARALKADGWRVFATVRKPDDLKGL EAEGIEAFLMDYARTETISELVGTVLERSGGRIDALFNNGAYGQPGAVEDLSTATLRA QFEANFFGWHELTRQVLPPMRKRGQGRIVQCSSILGVVPYRFRGAYTASKFALEGLSI TLRMELQGSGIHVSLIEPGPIASRFTANALAKIKEHIDLENSPHAVDYISQLARLDGS GPVNRHKLGPEAVYSVLKHALNSKNPRPHYPVTTPAKQGMFLKRLLPADLFYRLMRWT D YP_002977633.1 MSTVTYILAIIVMGVVALVLIRGLFNMMKGGDPNRSNKLMQLRV LLQAIAVILIMVTLWLTGGGRPT YP_002977634.1 MVKLNKIYTKTGDDGTTGLVSGPRRLKDDLRVEAYGTIDEANSA IGLARLHTADLPELDAMLMSIQNDLFDLGADLATPDTGEPPAYEPLRIAETQVDRVEH DIDQLNAGLESLKSFILPGGSPAAAHLHLARTIARRAERLMVALARTDGEIVGEPAMK YVNRLSDFLFVAARHANDQGHADVLWVPGKNR YP_002977635.1 MFIPLHDANTLKHIKVQWVTLALIALNIAVWLLTSLESEQAAQA TTVGLGYIPAIAFGHAMLAQGLEIVPEPLTYLTYAFVHTGFWHLAGNMIFLWVFGDNV EDAMGHLRFLIFYFVCAAAGALCHGLLTMTSEAPLVGASGAVSGVVAAYVLLHPRVRV WVLVFFRVPLPLPAFVPLLLWIGQQFFMLAIAPDGDVSWGAHVGGILAGAFLILVLRR PGVPLFDRQIVTPRAVRNDPGAGPAIAAGTDGRTAQRFPWGRR YP_002977636.1 MKILVPVKRVVDYNVKIRVKPDGTGVELANVKMSMNPFDEISVE EALRLKEAGKAEEVVVVSIGPAKAEETLRTALAMGADRAILVETDDQIEPLTVAKILK AVADAEQPGLIIVGKQAIDDDSNQTGQMLAALMGTAQATFASKIEIGDGKAQVTREVD GGLQTIEIKLPAVITSDLRLNEPRYASLPNIMKAKKKPLDKKTPSDFGISTTPRLKVL KTEEPSGRKAGVKVKSVAELIDKLKNEAGVL YP_002977637.1 MTILLLADHDNASLSDQTAKALTAAAKIAKEQASDIHILVAGKA AKAAADAAAKLAGVSKVLLAESDELANNLAEPLADLIVSLAGSYDTILSAATSVGKNV LPRVAALLDVAQVSEIIEVISSDTFKRPIYAGNAIQTVQASDAKKVITVRTASFASAP EGGSATVEAIPAVSDPGLSTFVRDALSASDRPELTSAKIIISGGRALGSAEKFREVIL PLADKLGAAVGASRAAVDAGYAPNDWQVGQTGKVVAPQLYIACGISGAIQHLAGMKDS KVIVAINKDEEAPIFQVADYGLVADLFDVLPELQKAL YP_002977638.1 MNAVLKNIGIIGAGQMGCGIAHVSAAAGYRVHIYDLSQDRIESG LATINGNLARLVTNGKMTEEERKSTLSLITGSSDVNDLAPSDLVIEAATEDETVKRKI YTQVCPVLKPEALLATNTSSLSITRLAAATDRPERFMGIHFMNPVPVMKLVELVRGIA TDEKTFSAAKQFVGTLEKTITVAEDFPAFIVNRILLPMINEAIYTLYEGVGTVDAIDT AMKLGANHPMGPLQLADFIGLDTCLSIMQVLHDGLADSKYRPCPLLVKYVEAGWLGRK SGRGFYDYRGEVPVPTR YP_002977639.1 MTTRKPLGLPSLKWIAMAAVAGVVAGAAAVYVKETGIGNGGGET ASAECKLARDRVASVTLLMKGQVAAMVAAYEPRKLTAVSFNGPDGKPLTLDHFAGKTV LLNLWATWCVPCREEMPALNALEKEMGSDRFQVVPVNIDSGDDEKPKTFLAEIGVDAL QLYRDNTISVFNNLKKAGLAMGLPVTLLIDDKGCLISAMNGPAAWDSEDAKALIKGAI GS YP_002977640.1 MADDTTDTKSSNQMWGGRFASGPDAIMEEINASIGFDKKLFAQD IRGSIAHATMLAHQGIISADDKDKIVHGLNTILSEIESGNFEFSRQLEDIHMNVEARL ATLIGPAAGRLHTARSRNDQVALDFRLWVKEELQKTEQMLTGLIAAFLDRAEEHAESV MPGFTHLQAAQPVTFGHHCMAYVEMFGRDRSRVRHAIEHLDESPIGAAALAGTGYPID RHMTANALGFREPTRNSIDTVSDRDFAIEFLSIAAIAGMHLSRLAEEIVIWSTPQFGF VRLSDAFSTGSSIMPQKKNPDAAELVRAKTGRINGSLVALLTIMKGLPLAYSKDMQED KEQVFDAAESLELAIAAMTGMVRDMTVNTARMKAAAGSGYSTATDLADWLVREAGLPF RDAHHVTGRAVALAESKGCDLAELPLSDLQAIHPDITDKVYNVLTVEASVASRKSFGG TAPSEVRRQIAFWRARN YP_002977641.1 MKSLPHLVRLTAVLAVIGLAVAGCGRKGDLDPPSAAATKEGDVS KPTKRPGTVDKPFLLDPLL YP_002977642.1 MNHFEYRDGVLHAENVPVPEIAKAVGTPFYVYSTATLERHYRVF SEAFADMDSMVCYAMKANSNQAVLKTLGRLGAGIDVVSEGELRRALAADIPASRIMFS GVGKTPSEMDFALEAGIYCFNVESEPELEILNQRAVSAGKKAPVSFRINPDVDAKTHS KISTGKKENKFGISWERARAIYAHAAKLPGIEVTGIDMHIGSQITELQPFDDAFKLLH DLVATLRADGHTIHHVDIGGGLGIPYKDDNNPPPLPDAYAAIVKNQLRGLNCKIITEP GRLIVGNAGILVTEVLYVKDGGEKTFVIVDGAMNDLIRPTLYEAYHEIRPVTISAANA PRIRADVVGPVCETGDYLALDREMAMPKPGDLMAVSTAGAYGAVQAGTYNSRLLVPEV LVRGSDFHTIRPRRTYAELISLDSVPAWLD YP_002977643.1 MTSPSRQKKGAFAYRPSLARLVTTKRLLARIVLFFEQLLPPLMP VLSVIAFYLSASWFGLFRSVPDWLRILLLIAFAAAFLISLLPFRTLRWPRIAEADRML EERNGLPHQPVTVQEDEPAFDTPFGRALWREHQARMAEKIAALDTGLPRPDIAAHDRF ALRAVPALLLVTAFGYSLSINGGSIRDALQAAPEQVVSDPAVRIDAWVTPPSYTGRAP IYLTADGSEQAPIGIPQFSGLTVRVSGGKTAEKVVFRKANGEAQDIAVQADTKPQQTA ASGSEQQTAAPASQALVAQTHMMKLQENGALEVNGRRWSFDVLPDKAPEIAFDGLPKP SVNGALEIGFTVKDDYGVQEAHAEIVPVETDPTATPLYPLPEYRLDIPRRNARDAKGV TSRNLTEHPLAGKRVRITLVAKDAAGQTGRSPPHEMVLPSRPFNEPLAAAVAEERQVF ALDTRKMPQAIALNEALTIRPEETIPKLTNYLLLESALTRMKLARGEDALKDTAQYLW EIALGMEDGDLSLAERKLREAQQKLADALDRNAPDAEIKELMDELRKAMQDYMTELAQ RMQNAPMQPNQNAQNILRQQDLERMMDQIENLARSGNRDAAQQMLSELQRMMNNLQAG RPQRGQQGQENSEARKQIDKLGEILRDQQKLMEQTFRLDQQLRDRMQRGEPDMGENDP LLDEMNPGENGEPRDQQQGQQGKEGQQPSDQMTAEQLREALKQLRAQQDALGKQLGEL QKSLGEMGMKPGPGFGQAQREMEGAGRELGQGRGQPAVEGQGRALEALRQGARDMMNQ MMQAQQGQQGQGPNGQVGQGDQNGRDPLGRPRRPQGPEFGDNSVKVPDEIDVQRAREI LDAIREKLGNNPPQEMERRYLERLLDIQ YP_002977644.1 MARILITEDEDSLRSFVARALRLDGHETDEAADGAEGLEKLKDG VYDLLLSDIRMPVMDGIELAHQAKDAFPSLKILLMTGYAEQRERADDLAEKIIDVVAK PFALPEIRKAVARALVA YP_002977645.1 MPVVRGKNIEPLFTAEQIAERNHSMAREIASGPTKDLLVIAVLK GSFIFAADLIRALHDSGLAPEVEFITLSSYGIGTVSQGVRIVKDIDSDVHGRDVLLID DILESGRTLLFAKELLFERGARNVTIAVLLDKRVKRKEKLEADYVGFECPDYFVVGYG MDVAYAFRELPFVGVVTGDA YP_002977646.1 MEASSFSRRTPGQAYDFLPPEPANRQYRSARPADISDAEFVTLG KVRPREFNARTYNDNRKRMAAANAAPPPFMLAIANSVLQTAESWLQRASMRSFAALVL ALVVLVFGLTGGFSGVSGERATSGASLHFTHVTVTPRDANGMRVLVINGIIENDSGTT QTVHPIRADLVTDERLTASIVINPPADVIYGGQSRGFSARVQHAGGKMPEVRLSFLPQ T YP_002977647.1 MIHFENVGLRYGMGPEILRDLTFDIPKKSFQFLTGPSGAGKTSL LRMLFMSLQPTRGLIRMFGRDISEIPRPELPLLRRRVGIVFQDFRLLDHLTTYENVAL PLRVRGKDESSYKTDVLELLKWVGLGERINVLPPVLSGGEKQRAAIARALMDRPEVLL ADEPTGNVDPPMAKRLLNLFLELNRLGTAVVIATHDLALMEQVEARRMILSEGHLDIY D YP_002977648.1 MTEPPSRNPEKASAKTQQKRPELRVRPTAPILPPSNIQGSALMV VISIMAFLACLTLGGVSMVRSTAASWESQISREITIQIKPDDNLDMEKALTQARDLAL TFVGTKSGQIVDEAATARLLEPWLGPGLDLKDLPVPRLVIITIDESNPPDFDSMRALL KDSIPQASLDDHRTWVDRLVSMAHTTVMIGTGILLLVFTAMVLTVVFATRGALSGNRH VVEVLHFVGAESSFVATEFQKHFLKISLKGSAIGSALAALFFASAGFWQSRTLATPET DQATALFGTFSVGVLGYAGIFATMIVIALLTTFTARLTVMRTIYEIDTLRSDPTRTDG IGS YP_002977649.1 MTMGHTTPNPIHQDPELDRPAGLPPRRGPIRRLLRWGGFACLLA IALVFGGFLRFADSVTTLKPPAEPKADAIVVLTGGYQRIDQAVELLQKGAGKRLLISG VHPTTTPAQIRKMTQGSADLFSCCVDIGYDAIDTIGNAEEASNWIHTKGYRSVLIVTN NYHMPRSLAELSYVDPDIEFIAYPVVNSDLKSRNWFTDPNAMRVMLAEYVKVLLTGAR NITGFGRHTGLRSANASGQQ YP_002977650.1 MIALRSVLFNTIFYANLIIRMIVLSPYYFVVPRKIAYAIPKNWA RSNHWLMRVIVGTTFEIEGLENLPDGSYILAPKHQSFWDTYALLPWLKDPVYILKREL MWIPLFGWYAKKQRMIPVDRGARGKVMVEVLKRTKEELSTGRQLIIYPEGTRRPPGAE PLYKYGIARMYRDLNLPVVPVAMHPGLFWPRRSIRRYPGHFKVKILPPIMPGMDPDAF FAHLIEVSERASDELLLETVARNPHLPLPPTAVERLVELRKLNAATG YP_002977651.1 MDEFWVFGYGSLMWNPGFEFMERAEALVYGYRRSLCVRSFVHRG TRDNPGLVLGLDRGGACRGMAFRISPEKWDEVIDYLRARELVTNVYLERRVRLQLAGR RRVEAVAYIIDREHEQYAGALDALAAARVVNEANGQSGPNDAYVFNTLTHLKQMGIRD HWLEQVVNEVERLRAA YP_002977652.1 MAASSQSGSSQSGSGKKFWLLGGGVLLVIALYTGGWFYAASALK NTVLKAIAPRDQAGVSGECSDIEFRGYPFRIGLFCSKIDVDDNVNGVSATFGALRSAA QVYAPGNIVWELDSPAEIRTSNGLSISAQWTNLQASLTTRLQGIDHSSTVIEGLKAMA FSSYTGQTMSFDAARTEIHLRQNGADLDGAISVQDANAAIKDWPQIFPKFSASIDLTV AGKAGLIDGSDRNGLNGATGDLRRIVADIGDGKVMTLTGPFSFDEQGLLSGKFKLEIE QLGPWGDSLKQAFPDIASTVNTATKLLKSLAGGGDKVSVDLVVNRGNATVSGFIPLGR IPPI YP_002977653.1 MVEVARAGRADIDWLAREDASAGEAWVSRCVALGEYLVAREDDE IVGFLRFSRFWGRVPYMEMIRVLPGHRRSGVGTALFLAWEEAMRGDGARLLMTSSECD ESRPQDWHRRNGFSETGAIELPGLQSVPEVFFIKRIA YP_002977654.1 MSVQFDRIALIGIGLIGSSLAYDIRRLGLAREIVVATRSPDTLK RAEELGLGDRYTTSSQDAVKDADLVIVSVPVGASESVAKEISASLKPGAIVTDVGSTK ASVIAQMLPHMPDNVHFIPGHPLAGTEKSGPDAGFPGLFEGRWCIFTPVADTDETALK RLRSFWEALGSKVDEMDAEHHDKVLAIVSHLPHIIAYNIVGTADDLETVTESEVIKYS ASGFRDFTRLAASDPTMWRDVCLHNRDAILEMLARFSEDLAYLQRAIRWGEGDKIFEL FTRTRAIRRSIVQAGQDVDAPDFGRHALDKK YP_002977655.1 MSVEMSKPVPRPGILDIAAYVPGKEHAPGVARVYKLSSNETPLG ASPKAIEAFKTVADNLGRYPDGQAIELREAIAAVHGLNPANILCGNGSDELLGLLCHV YLGAGDEGIITEHGFLVYKIQILGAGATPVVVKEKDYTVDVDAILAAVTEKTKIVFIA NPGNPTGTYVSVSEIRRLQAGLPKHVVLVLDAAYAEYVRRNDYEAGIEVVSSNANVVM TRTFSKAYGLAALRVGWMYAPAEIVDALNRVRAPFNLNAPAIAAAAAAIRDQAFIQQA VSFNQMWVETLTQALEAIGLKVTPSVANFVLIHFPEIDGKRAADADDLLTSRGYILRA VRGYGFANALRMSIGPEEANRGVIAALTEFMGHQA YP_002977656.1 MHADIVDLRQFYHSELGRLAEQSIAMALSSLWVRLPQERLVGLG YAVPFLDRFQADTERTFAFMPAGQGAVNWPMGSLSTTALVFDEELPLPDSSIDRVLMV HSLEFAESPRETLKELWRVLAPGGRLVIVVPNRRGVWARMEHTPFGSGRPYSRGQLTN LLRETNFTPGATAEALFFPPSKLRTILRLRRAFERIGRTLWPAFSGVIIVEAQKRLYQ GLPVAARASRRVFVPVLAPHGVPTTRSR YP_002977657.1 MKPLEIDVFLCRTDNFGVLVHDPETGFTAAIDAPEEAPILEAAT RRGWKITHIFTTHHHTDHVTANLALKEQFGCEIIGPINEAVAIPGLDRTMADGDSFLF GDHTVNVIETPGHTAGHICYHFVDDKLLFAADTLFALGCGRLFERPAADMWHSLQKLA VLPDETAIYFGHEYTLSNARFALTVDPDNERLKSRAAEIEALRADGKFTIPTTLGLEK ETNPFLRAADPAIRRNLLMEGKTNEEVFAEIRKRKDHF YP_002977658.1 MSPEDIIRELGMQPHPEGGWYVQTFRDTAGGERGHSTAIYYLLT RGQRSHWHRVHDAAEAWHYYAGAPLSLHRSEDGTASQTLTLGTNLSAGERPQAIIPAN WWQSAETLGDFTLVGCTVSPGFEFSSFEMAPPGWKPGG YP_002977659.1 MKLRATLIGFTAILMWSFLALFTAASGKMPPFQLSAVCFAIGSI PGLVVLILNPARLALLKQPAKVWITGIAGLFGYHFLYFTALRNAPAVEAGLVAYLWPL LIVVGSALLPGERLHWYHAAGALAGLCGTFLIVGRNGIDFDGAYAVGYGAAFLCAFTW SGYSLLTRRFDAVSTDVVTGFCLATSMLSLLCHLGLETTVWSATSFEWVAVAGLGLFP VGAAFYAWDYGVKNGDIQILGAASYAAPLLSTLILLLFGFAEPSWRIALACVLVTGGA VLAAQDMFRRKSQAQPAAAE YP_002977660.1 MAHSGRRIFISAIAALLAIPASAAEIQHRTEYRVALAGLPIARA AFLTQIEDDHSYKIAGSINSAGLADLITTISAKTSVSGVVRNDRLQAQKYSLYYKSGK RKARVYEVSYRNGNIISATTTPMPKRPKNWIDVTPRDMRSVLDPISGLVFTGDTKVCS QTLPIFDGETRMDLVLSPKGDEDFSTNGFKGKATVCSVRFVPRSGYKKGRKDIDYLSK SDRMEIWFAKSDAANVYAPVYVRIPTEYGMVTITAVKYGSNS YP_002977661.1 MSRVCELTGKAVLTGNNVSHANNKTKRRFLPNLCQVTLISDALN QRYRLRVSAAALRTVEHRGGLDAFLLKASENELSMRARLLRRQIAKKTAEAAAA YP_002977662.1 MLKTRYTIAYVALMTLVVVASNFLVQFPLNAEVAGINLADILTW GAFSYPIAFLITDLTNRQFGPQAARKVVFAGFVVGVTLSFFTSVPRIAIASGSAYLAG QLLDIAVFNRLRRQAWWRAPLVGSLIGSALDTVMFFSLSFAAFFVFLGPNDPFALEAA PVLGVFATEAPRWISWAIGDFVVKMIVGLVMLLPYGALMNVLRPMQAARAS YP_002977663.1 MVHIHVMGASGSGTTSLGHALAERLDIAHLDTDDFFWMPTDPPF TTPRNADERIRLLLEEAARHDGWVLSGSALKWGGPLEPLYDLILFLRIEPGLRMARIR VREIARYGNRIGPGGDMEVKSGEFQEWAASYETAGPEGRSLAAHEQWLDTQTAPVLRL DSSRDIDDLVAEALLHPAITAGAVRRRP YP_002977664.1 MTVKRLCRAASIALGIAAGASSSWAGDDVPVISRQISDFRIGSS EMQFGSLEFLGGLEMVSSRALFGSLSSIRFRPDQKHFVVVLDTGQWLTGSIERDVKGR LSGLSDVEITPMKNSAGRSFEGKGHMDAEGLALDGDRILVSFEQAHRVDVYPDPGFAG SGAIATLPILIPRKMLSDNRGIETITVAPASSPLKGGVVIISERGLDSDGNRMAAILS GPLKGRFSVERDGSFDITDGAFLPNGDLLLLERRFNMAEGIGMRLRRIKGADIRPGAV VDGELLLEGNFNSQIDNMEGLDAFQAADGTTHIILVSDDNHSILQRNLMLEFRLSEEA AWAAPSSQKRN YP_002977665.1 MAARGDNSKAKPGAPVDVEPLRRAITGCVRSIAGDGDVEVTFAN ERPGMTGERIRLPELSKRPTAHELAVTRGLGDSMALRLACHDEKMHATMAPQGSDARA IFDVVEQARVESIGALRMEGMASNLRSMTEEKYSKANLTGIERQEDAPVGEAVAMMVR EKLTGQRPPASAGKVLDLWRDFIEDKAGSELDNLSSAINDQQAFAKVIRNMLSAMEMA EEYGDDDSDADNDDQSEQEDQPSGDEQDQDEVDEDAGTDAAPVEDSEVADEQMEDGET EGAEISDDDMMEEGEDDSETPGETRRPNTPFADFNEKVDYHVFTEEFDEIITAEELCD AAELERLRAFLDKQLAHLQGAVGRLANRLQRRLMAQQNRSWDFDLEEGYLDPARLQRI IIDPMQALSFKMERDTQFRDTVVTLLIDNSGSMRGRPITVAATCADILARTLERCGVK VEILGFTTKAWKGGQARESWLAGGKPQTPGRLNDLRHIIYKSADAPWRRARANLGLMM REGLLKENIDGEALIWAHNRLLARREQRRILMMISDGAPVDDSTLSVNPGNYLERHLR AVIEQIETRSPVELLAIGIGHDVTRYYRRAVTIVDADELAGAMTEQLASLFEDQSVQP RGGRIRRAG YP_002977666.1 MSKIDLDISELPDTTVSVREAFGIDSDIRVPAYSKGDAYVPDLD TDYLFDRDTTLAILAGFAHNRRVMISGYHGTGKSSHIEQVAARLNWPCVRINLDSHVS RIDLVGKDAIVVKDGLQVTEFKDGILPWAYQHNVALVFDEYDAGRPDVMFVIQRVLES SGRLTLLDQSRVIRPHPAFRLFATANTIGLGDTTGLYHGTQQINQAQMDRWSIVTTLN YLPHDHEVNIVAAKVKSFGKDKNGRETVSKMVRVADLTRAAFMNGDLSTVMSPRTVIT WAENAEIFGDLAFAFRVTFLNKCDELERPLVAEHYQRAFGVELKESAANIVLGA YP_002977667.1 MRLDSKYFDRIRTRRKREPETEQAPPTCQWDGCDKKGAHRAPVG RNAEGQFFLFCFEHVKEYNKGYNYFSGLSDGEIARYQKEAITGHRPTWTVGVNKAAKD SPLHSEIRSGAYTRVRDPFGFVKEGGKGSGPRFPQARKLKSLETKAFETMSLDANATS AEIKSRYKELVKKHHPDANGGDRGSEERFRAVIQAYQLLKQNGFC YP_002977668.1 MMTLRTRIEEKLVEAFAPERLSVIDESHLHAGHQPDITGTGETH MRVRIVSGKFAGLSRLARHRAITDLLKPELDAGLHALAVEPAAPGEPTRW YP_002977669.1 MSVEGALAFLSTYWPEILSITALVLMSAFFSGSETALTAVSRSR IHTLEVNGDERAGLVRQLIERRDRLIGALLIGNNLANILSSSIATSLFLGLFGSSGVA LATLAMTVILVIFAEVLPKSWAISAPERFALAIALPARLFVAVVGPVSSFVNAIVRQI LSLFGINLSRETSMLTAHEELRGAVDLLHREGSVVKADRDRLGGVLDLSELELSDIMV HRTAMRAINADDAPEAVVRVILESPYTRMPLWRGTIDNIIGVVHAKDLLRALAEPNME PQNLDIVKIAQKPWFVPDSTNLEDQLNAFLRRKQHFAVVVDEYGEVQGIVTLEDILEE IVGDISDEHDIEIQGVRQEADGSVVVDGGVPIRDLNRALDWNLPDEEATTIAGLVIHE SMTIPEERQAFTFYGKRFVVMKREKNRITKLRIRPAGEDGAKPA YP_002977670.1 MNAITSASAIRTVHVPLGERAYDILIGPGLIARAGAEIASRLKG RKAAVITDENVAPLYLKALVASLDEAGIASAEVVLPAGEKTKSFEHLITACDKVLEAR VERNDYVIALGGGVIGDLSGFAAGIVRRGVRFVQVPTSLLSQVDSSVGGKTGINSRHG KNLIGVFHQPDLVLADTDVLNSLSAREFRAGYAEVAKYGLIDKPDFFAWLEANWKAVF TGGSARIEAIAASCQAKADVVVADERENGQRALLNLGHTFGHALEAATAYDSSRLVHG EGVSIGMVLAHEFSARMNLASPDDARRVERHLKEVGLPTRMSDIPGELPPAETLMDAI AQDKKVKSGKLTFILTRGIGQSFVADDVPASEVISFLREKHA YP_002977671.1 MSEQLLTVADSLKDRARAALGSRNLILVGLMGAGKSSVGRIVAS QLSIPFIDSDLEIERVSRMTIAELFAAYGEQEFRALEARVIKRLLKSGPRVVSTGGGA FINDRTRKHIKKGGLSVWLKADLDVLWDRVAKRDTRPLLKTENPKQTLEGLMNARYPI YAQADLTVLSRDVRKEIMADEVLKALIEAQKESAAS YP_002977672.1 MPTLFRFLFVCAILAGTVYGAMLALVTFVEPQQRDVTIRIPSER VNPPATGAIDTTRK YP_002977673.1 MVDHGRVHVESFLEMMSAERGAAANTLQSYERDLDDVRSFLTER SIRLTEAASADLAAYLSSLAREGFKPSSQARRLAAMRQFYKFLYAEGLRTDDPTGILD APKKGRPLPKTMGVEEVGRLLSQAEAEAEDTAPGQLQRLRMLALLELLYATGMRVSEL VSLPARVLDQEGRFLMIRGKGNKERLVPLSHSAISALKSYGRLLAAENAAVKERQESP WLFPSASKEGYLPRQVFARDLKNLAIRAGLTPSLISPHVMRHAFASHLLANGADLRVV QELLGHSDISTTQIYTHVLEERLQQLVQTHHPLAKQAKKHE YP_002977674.1 MHNYLDFEKPISDLEGKIIELKKLATEDESIDTTDEIGRLEVRV REAIVEIYSKLNPWQKTQVARHPQRPHFIDYAKTLFQEFTPLAGDRKFSEDAAIQAGL ARFRGQPVAVIGQEKGNDTKSRIKHNFGSPRPEGYRKAIRILEMADRFGLPVISLVDT AGAYPGVGAEERGQAEAIARSTEMCLGVKVPLVSVVIGEGGSGGAIAIATGNKVYMLE HSIYSVISPEGAASILWRDSTRAREAATNMKITAEDLKSLGVIDGIISEPLGGAHRDP DSVIAATGDVIASALAEMAPRSGEQLRNDRRQKFLAIGRNL YP_002977675.1 MRIRHFAYISLMALALSGCNDALETAQVDLSKVKNKVEQPLPSH ILAQMSAKGMDRNSPIMIRIFKEEGAMEIWKAKTDNRFDKIADYKICAWSGRLGPKVK TGDRQAPEGFYDLTRANLNPNSKYYLAINTGFPNRYDAANGRSGSDLMIHGACSSSGC YSMTDQQVLEIYAFARDAFKGGQATVQLQAFPFRMTAENMVKHRLDGNYDFWKMLKVG YDNFEVTKRPPEVNVCEKKYVFNQQATDGSAFNAAGKCPAMSTPPALTAALASYGKTY DADYAKAMSKFDGMAWYDPTEAERKAVVAKTRKGRELAYAPTGTSLEAGRMVKVAELE DMMAKRTAQGLAAKSAPGATPLAPAQPQAVAVAAATPAVVPVPMQNPLAFAAPEPQET AEATAKKPFWKFWARN YP_002977676.1 MNPVLYDLRGLKCPFPVIKTRKKLAAMASGTLIRVDTTDPLAVI DMPHFCNEDGHELIETEKTENGHRFLIRKR YP_002977677.1 MSALNDRIPVTILTGFLGAGKSTLLNRILKDPVMKDAAVIINEF GDVGIDHLLVESSGDSIIELSDGCLCCTVRGELVDTLANLMDAVQTGRVKPVKRVVIE TTGLADPSPVMQAIMGNPVIATNFELDGVVTVVDAVNGLQTLDNHEEARKQAAVADRL IVSKKSMAEVTGGLEKRLRALNPRAVMMDADSAEAGSAAVLVNGLYDPATKIADVGRW LQDEDAHEAHHNHDHDRDGHHDHHHDGDHHGPHHHDHAADQDPHDVNRHDASIRSFSI IEEKPIDPMALEMFIDLLRSAHGEKLLRMKAIVSVSDRPDRPLVLHGVQSIFHPPVRL PAWPGEDRRTRMVLITRDLPEAFVKDLFDAFLGKPRIDMPDRVALSDNPLAIPGLRI YP_002977678.1 MSTRHFRLFAALRPLSFVSAATAVFLGSFSLAQANPHILVDVQT GRVLEHEEAFRKWYPASLTKLMTVYTVFDAIRAGQISLDTPIVMSKRAAAQPAAKMYF KPGQKLTLDSALKILMVKSANDIAVAVAEAIGGTQEGFVTRMNGEALKLGMTDSHFVN PNGLPGKGQYTTARDLAVLTVALRRDFPQYAGYFSLEGFTNGQQNVPSLNMLIGRFAG ADGMKTGFICASGFNQIGSATRNGRTLVSVVLGTDSLAARADATANLLQKGFTTQPAS NDTLGSLRPYGVGQDQVTDISADICSAKGAKVRSETRDEVGRMKVQSPYIQPMDHDPQ FVFAGLIPGQDPQPAAQPEKMARGDTAGAIANVPVPMPRPTSF YP_002977679.1 MPILNRAAELQDEVAEWRRHIHARPELLFAVENTAAFVAEKLKE FGVDEIVTGIGRTGVVGLIKGKGEGSRTVGLRADMDALPLTEITGKPWASKTPGKMHA CGHDGHTAMLLGAAKYLAETRNFNGNVAVIFQPAEEGGGGGNLMVKDGMMERFAIEEV YGMHNLPGLPVGQFATRKGAIMAATDEFTVTVKGRGGHAAQPHKTIDPIAIGAQIIAN LQMIASRTADPLRSVVVSVTKFNAGFAHNVIPNDATFAGTVRTLDPEVRTLAETRFRQ IIEGLVAAHGAEADISFHRNYPVTVNHPDETEHAVATASAIAGEGNVNAEIDPMMGGE DFSYMLNARPGAFIFIGNGDSAGLHNPAYDFNDEAIAHGISYWVRLAEQRLGL YP_002977680.1 MDGGGVEKPFYPNFNMIRLVAASMVIFSHAFLISEKTEANEPFV RLLGEGKLLGIFGVYVFFITSGFLVTQSAQFGSVGGFLWRRALRIYPALVVCTLLSVY VLGPFFSPLGISGYLLKSLHVKTTVHSLLDPSFGMTLPHVQFYDPAISSLAISINGSL WTIGQEILCYLIVAGLMAIACLRAPFMALALAVGVTWELFFDHPWPDRRLLTDFTFIA PYFFCGSFLWFVMDRRQPNIVLALIFVALGVLCLVFWPAYLHGPMLFAYPLAYIATSP TIRLPTLDRLGDVSYGTYLYGWPVEQVMNHALGQYSTWWMVFALSLPTSLLLGWLSWH LLEKRALRLKRISSFQRQPFSPLS YP_002977681.1 MGPIARIITVVAGLAGGTVFSQAPEFAQQYRQRIGGAIDELRVI VEDFNAQAAAHHLDRQQALNAYALSSDDFLRDRGVSMQSTISRYETLLSQQFHLGTAA PVAKPFVLLGNADDVVFANTWRDFVPGVPVSFAGLVWGAIGFVGGWVVAALLGLGARR WTRTTRPSPRPWKNGSG YP_002977682.1 MKQIILACTLAAASIFSAMPSQAASVTITTDDARPTYRHAERPY YRHHMRPRHVSQDCFTKTEKIRRHGHTVVKETRICR YP_002977683.1 MRIKMILLAIAYVAVSAVLLAIAYQPQGSVAAQKTDRLAGSSFL VERFAG YP_002977684.1 MVFKEQTFHGLEPEMEIEIANRAAVEAAVANALAIAGGIDASDV EVTMEYDQIVLSGTVGTVGEIERATAVAQAVEGVHVVQNRILLGGASLDGTH YP_002977685.1 MDGLAVIPHRVRFERFHVRCCIDSAQQPCGRSKRFTFMTTFKLA CILFAILPSLTAAAKADDLVIWSPAKLSEQSYKATIGFRLPAEWETSAGADIALASTK GGALLPDSEQAMLWGRIIRASVTPASRSQQGASVSVDTLRGSGALTLSRSRSWILSDS LDMQSSRSISVQYDAVEARQASVTASQALKLIHPWTGTSLSAGTGISNASGDFSSTVG VSQAILPNLNLDASVSNPFSGGEAGSVNLRYRVNW YP_002977686.1 MMTGKSAPRRLSIFGSTGSIGQNTLNVVDHLGGRENFEISVLTG NGNVELLARQAKSSGARLAVTASDRHYESLKSALSGTGIAVASGKSGLMDAADREADW VMAAIVGTAGLAPTLAAARRGADIALANKECLVSAGDLFIAAIRAGGGKLLPVDSEHN AIFQVLEENQRHAVERVILTASGGPFRAASLRDMANVTVETARAHPNWSMGLKISIDS ASMFNKALEMIEARHLFGLRPEQIEVIFHPQSIIHSMVGYTDGSVLAQLGAPDMRTAI GYALSFPRRPNLPVERLDFAKLARLDFEAPDDVRFPALRLARLAMTRGGVQGAVLNGA KEVALEAFIEGRLSFLAMAEITERVMDDLAGLPPASGMDDVFAADRQARQRAAELMTL AIAE YP_002977687.1 MTNGMGNKSVVLQDVRKSYGNLQVVHGIDLTIAEGEFVVFVGPS GCGKSTLLRMIAGLEDVTDGEVEIKGRVVTDLDPSERGIAMVFQSYALYPHMSVRDNL AFGLKMARTNAAEIETRVKAASAILKIDHLLDRRPGQLSGGQRQRVAIGRAIVRKPDV FLFDEPLSNLDAELRVSMRIEIARLHRELGNTMIYVTHDQTEAMTLADKIVVLRDGRV EQAGTPREIYENPSNTFVAGFIGSPRMNLLNARWGEGGLVEVAGRQIESGLPPANRPV GGAVTLGLRPEHLKVASDPSGSLTARVDFSEYLGGTQYLYCQLADGQSLTVEHRSPIS IAAGEQVSLLFEPSDCRLFDEGGNRLR YP_002977688.1 MILEKVEPMTKPSNDRQFRPVAVPDVELGGFWGKWQDAVCNSTA ETLLDRCVEAGMLKAIDVSQPSPGVVIPIQPWGGTTQMFWDSDLGKSIETIAYSLYRR PNPKLEARADEIIDMYEKLQDEDGYLNAWFQRVEPSRRWTNLRDHHELYCAGHLMEAA VAYYQATGKRKLLDIMCRYADYMIKIFGHREGQISGYCGHEEVELALVKLARVTDEKK YLELSKYFIDERGTEPHFFTAEASRDGRDVSEYHQKTYEYAQAHQPVRAQTKVVGHAV RAMYLYSGMADIATEYKDDSLTAALETLWDDLTTKQMYITGGIGPAASNEGFTDYFDL PNDTAYAETCASVGLVFWASRMLGRGPDRRYADIMEQALYNGALPGLSIDGKTFFYDN PLESAGKHHRWKWHHCPCCPPNIARLVTSIGSYMYAVSDNEIAVHLYGESTARLKLAN GAEVELEQTTNYPWEGAVAFTTRLEKPAKFALSLRVPDWADGATLSVNGEMLDLNANM RDGYARIDREWAAGDRVALYLPLALRPQYANPKVRQDAGRVALMRGPLVYCVETTDNG EDLNAIVLPRELSTAETVVLKDLNDAVALDLKVEREETSNWGTALYRKAPAERQVATA RFVPYHLWDNRAPGEMLVWVQSDR YP_002977689.1 MTTSRERRARKAIRTKSAYHLTGIAISIFFLAPFVITLLSSFRH GTEASLPPLPPWPTSGVSIDSYALLDTFGAGIWRHMINSLFVSVATVVLTVAVSLLAG YGFSRYRFPLKNALFVLIIATLMIPFQSILTPLFIILAKLGLNNSLLGLTLVYVTLQL PFSVFMMRNAFDAVPKEIEEAARIDGARDLRLLVRVLLPLVLPGVATVAIFAFLNAWN EFLAALVLLSSNEKYTLPVLMTAVRAGRLGAINWGAVQAGVVVMTIPCLIVFLLLQRY YMRGLMAGAVK YP_002977690.1 MTGSGSEILLPRRKRRRRSNWRGLVYIAPAMALVIVFFIMPVLF TGWMSLHNWPLMGASRWVGFNNYVRMANDTRFMTALNFTAYYTVIVTIAIFAIAFPLA IFVEKERQFVGAYRTIIFLPVVVGLATASLLWVWLANVDSGFIGPALKALGLVEKSPN LLATFDTAFLTVVVMVVWKIAGFTMIILLTGLQAIPSELTEAARIDGAGRWQRFRHLT LPLMRKTIALALIVSVTGSILAFDQFYIMTSGGPQNKMISVVYYIFNQSFVSFNLGYG AALSIVLLAILVAISIVQLWLLRVGEERP YP_002977691.1 MIKRLLAATSIATLCLVSAASAAENVEMWVRSGIGDAFKKVVEA YNSGHENKVVMTEVPFSELVQKYATAIAGGQAPDALSMDLIYNPAFAAAGQLEDLTDW AKSLPYFNSLSPSHVRLGTYQDRIYGLPLSVETSVFAWNKDLYKKAGLDPEKAPANWD EITANAEKIRALGDDTYGFYFSGGGCGGCMIFTFTPLVWGAGADILSADSKTATLDTP EMRKAVDIYRNMVKKDLVPAGAASDNGANFLTFTNGKIGQQSLGAFAIGTLVTEHPDI NFGVTLIPGVDGKPSSFAGGDNFVITKGTKKIDAVKEFLEYIYSMDGQKIMAKYGSLP TRGDIADKVLEGLDPRMQVGLKAIGVAKTPYTLQFNDLINSANGPWASFTNASIFGDD VDGAFSSAQSEMQSIIDSGQ YP_002977692.1 MAAAAAVSISTASKALNDTGRMGSETRERVKRIAAEIGYRPNAL ARGLLSKRSFTIGLLTNDTYGRFTLPVMAGISDALVDHGVSVFLCAIEDDPALAQIHV DAMLDKQVDGIIATGKRLDRRLPVDLSNLHVPVVYAFTEGAQNSVTFRSDDEQGAKLA VEWLMKIGRRRIAHITGPQDFFSVRERAGAYHEVAGHREPVLYGVWSESWGHDAVEQL WKRPGEKPDALFCGNDQIARGAVDALRERGVKVPQDVSVIGFDNWEIVAAQTRPPLTT VDMELKELGRQAGLTVLALAEGRPVEPGVRKLPCRLIVRQSCGGKPPRE YP_002977693.1 MDFEAFFKNELDGLHTEGRYRVFADLERHRGNFPRATRYTADGE KEVTVWCSNDYLGMGQHPKVIEAMKNAIDHCGAGAGGTRNISGTNHHHVMLERELADL HGKEAALIFTSGYVSNWAALGTLGAKIPGLIIFSDAMNHASMIEGIRYAKCEKVIWKH NDVADLEAKLKAADPKAPKLIAFESVYSMDGDIAPIKEICDLADKYGAMTYLDEVHGV GMYGPRGGGIAEREGLMDRLTVIEGTLGKAFGVMGGYIAASTALCDFIRSFASGFIFT TALPPALAAGAAASIQHLKVSQFERARHQDRVRKLRALLDQRGIPHMPNPSHIVPVLV GDAAKCKWISDLLLDNCGVYVQPINYPTVPKKTERLRITPTPLHSDADIAHLVEALHS LWSRCALARHVA YP_002977694.1 MKKVVILALIGLSIASCTPTQQGAGIGAASGAVIGGVVTGNVRG AAVGAAIGGVSGALIGSVAEQPGQCYYRDRYGRRYIDSCPR YP_002977695.1 MVVAAAAAFSPVLIGDAYAFKLFGITIFGKEEDEGEQVPDPVRY QVDLKADTADPDLKEALENSSRLVSDQKQPVSGDLGVVVKARDDRERLIATLYEKARY GGLVTITIDGKNIDDLPPNPTFDRSGPIPVTVDIAPGPVFKVREVQFGGDAANHNPAD YDLAPGAQAGSLAIIRAGDKIVQQLKSEGRPFAKLTERKVVADHNSDTVDIVLAAEGG PIAPIGDVGVTGEKTVRPAFIQRYSRLNKGEAYSPEALKKAGERLRALGVFSSVTIHQ GDALAPDGTLPMTIEVSEGKRRYFGVGAQYSTTDGFGVQGYWGHRNLFGEAETLRIEG AVSRLGETTDVGSLDYSAGILFTKPGAFFPAATLKAGIIAKTENPDAYNATLVTASLG LSYELTDQDTISASGEVSWERDDDAFGTNDYLTFTLPIQYDRDARDDKFNPTEGYRAT LSAKPGYEIFNATPYAAFEGSISGYLPFGQEDGVVLAGKVAAGVLIGGDSIGDIPATQ RFFAGGGGSVRGYSYQEISPYNDNGDPTGGRSYVTGSLEARIKITDTIGIVPFIDVGT VSDSTFPGFSDIRAGAGAGIRYATPFGPLRLDFAMPLNKYEDGTDYGIYAGIGQSF YP_002977696.1 MQTLTKIVNWIVRLTGYAVGATLILAVVALAIFGFTSFGARIVT EKIASTLSNRDMTIEVREPQGLLTGGLRAAEISISDTRGVFAEIHGVAIDWNPFALLT GTFHAKRFEIEAINVLRKPVRTLPSRPQAENSGGFSLPIKVDVDRIALPDIKLAAPVA GRAFALAAEGSLSANGDGGEAVVNVSRHAVPDARLAADIAFAPAENRLRLKAQLSEPK GGLLAGFLGLPDSPAVNIDLDGQGPISDWKGKLQAALDGQQRAAIEARHQITEDGLHH LDLKGGGDLSSLLPSAFRPLFAGQTNIDLATTFDNHGKIDIQTGNIATGSVVIAASGT LDPAGNNSLNANLLGTSGPVDFRWPLAEGDARFLISGLNLALTGDAQTARLNVSGSLD TATLPQATIGNIKLTAKSDAFNLAARSGSVQLRLVAGDTTFTEPNLNRAVQGPVTIAS PLQISPSGIGFNGTTVESANINGGLNGSYRLADKALTGNLKLTINPAALPAAVTSRFD GPISLESQVVGTIPSKFALSNLVLKSGTLEAAGNVALDGGMLNADLSGRLPDIGKLIP GASGGAGYALRVGGELPAISVTANLKAASLEMADRTLGNLNIDLSGLADPKAPQGRIA ATGTIDGQPIGINGDISSQDGKTSIPALTADIGGNRLTGNVEFSPTLEPTAALTFDFP NVGLLAALGGQKADGDLKGSLGVSSDGGRIALKLLATGGSIRRDTLAIVKPDIDVTVS DLKALAANGTVKAEEVSAGTNRLAGLSLRFTKQQDHTDFDLNAAYDGNPVLAAGNIEA ADGTMHLNLDRFSASPRNIPIELAAPTQVAVGGGAASLNELTLKTGTGSVTVTGSAGE TLKLDADIRELPAALANGFVPNLSAGGTISGTIAVTGTPAAPVADFKLDWKDATTGQT KGAGLAPLGITAGGKFADNKLDFNTTVGSNDGLSLKAAGNVALADPKAPVLDVNADIL NLPARIANGFVPDLAAEGTITGKVAASGSLAAPTANFDLDWKGAATSQTKRVGLADLG LKASGKFADSKLDFDTVIGGANRLSLKANGNVAITGTTIGNVKVDAVLANVPANIANS FVADLAAEGTISGKISATGSLSAPSADFDFNWKDAATSHTKRAGLAALGLTASGKFAE NKLDFNAAVSGDKDLSLKAMGNVALAGTAIDSIKVDAEIAKLPASLANAFVPDLAAGG TISGTMSAAGTPAAPKADFKLDWADAATSQTRSAHLSGLALAASGRFADDRLDFDANL GGRDGLSLRAAGNIAISGTSVRNLDVKADLANLPAGLANGFVPGLAAEGTVSGTASAS GALPKPAVDFKLDWKNATTAQTKSSGLSGLSAAASGKFANDRVDFDANLTGKDGVLAK ATGGVTIAGTAIRDLSINADIPALPANIANAFVPGLGAEGTLSANAVTSGTPANPVVD FKLDWKDAATSHTKAAGLSRLALAATGKYAGDRLDFDAALNGGGIALKAAGNLAIAGT SIRSLDVTANTTNLPAGIANGFVPGLAAEGAVSATVKATGALSAPSVDFKVDWKNAAT SQTKGAGLSPFSIDASGKLAGNRLTVDTSLAGDAGMSLKGGGSVVITGNRAMDMRFTG NLPFAVLGAPLAQQGLVADGVATVNLQIGGTAVAPVINGTVSTNGAKLVDVRRNLAVN NLTATVTFNGSQAVISRLNGSLGGGGTISASGTIGIQPAGGFPADISIKLDKAVYVDG TLVVSTVNGIVGLRGPITNATLSGKLRLDKTSITVPEKLPTSLREIDIRHKNAPRAVL AQLRDDGERKPGEKSSVITLDLEIDAPSHIFVRGRGIDAELGGLVTIRGTAAAPIVTG GFTMRRGRMTILNRRLDFSDKSRITFAGDMTPALDMEATSASGTTTLTVDVAGLATDP AITFSSSPQLPQDEVLAQLIFGQSMSKLSPVQIAQLADAVSQLAGNRSTSLFEGLRNQ LGVDDFDVSTDSKGQTSVSVGRYLNDRTYFELQQGGSAGAKAVINLDVGRGVKLRGGA GGNGEGEAGIVYEREY YP_002977697.1 MISVDDLDTELLSALRHNARISVSSLAAMTGASRATVAARIDRL VAGGIIVGFTIRTGHETRSAGVRAIVMIEVLGKLADRVADQLRGLPQVRALHSTNGKW DFIAELEDRDLASFDETLRRIRLVNGINSTETNILLKTSKTGF YP_002977698.1 MNAQSRSVTLIGAPLEEGSGRRGAAMGPAALRIAGVDQTLIELG HDVADLGDLRIVPAMDLPNHPKAHNLRIVGAFTRALESSVHDVAASGRFPLILGGDHS LSMGSVSGMARYAAGKDRPLFVLWLDAHADFNSPATSPSGNIHGMPVAFFCGQAEFAE ILPKGRPLVDPKNVFQVGIRSVDAREREEIHEHGVNVFDMRAIDEQGIGATMREILDV VTKANGLLHVSLDLDFLDPEIAPGVGTTVPGGATFREAHLVMEMLSDSGLVSSLDLVE LNPFLDDRGKSARILVELTASLFGRRIFDRPTRAA YP_002977699.1 MNTSEKLIATEQRLGAHNYKPLDVVLTRGEGVYVWDTDGNRYLD CLSAYSAVNQGHCHPKILAAMVEQAGRLTLTSRAFRNDQLAYLYEELAALTGSHKILP MNSGAEAVETAIKAVRKWGYEVKGVPEGKAEIIVCADNFHGRTLSIISFSTDPEARSG FGPYTSGFRIIPFGDAEAFAAVINRNTVAALIEPIQGEAGVIIPPAGYFTRIRELCTA NNVTLILDEIQTGLGRTGKLLAEEHEGIEADVTLIGKALSGGFYPVSAVLSNSEVLGV LKPGQHGSTFGGNPLACAVARAALKVLTEEGMIENAAVMGDYFLEGLRSIRSNIVRDV RGRGLMMAIELEPEAGGARQYCHALKERGLLAKDTHDHTIRLAPPLVISREQVDWAVS QIEETIS YP_002977700.1 MATINSTNFSGDTLEIIAFRLHDQEFCVKTTTIREIRGWAPSTP IPHAPADVIGVMNLRGSVIPIIDLAFKLGMKSTVANERSAIVVAEVHSMVIGMLVDRV SDILTISSSQVQPVPEVTASFDRAYCEGIIASENGMICFLNLAKMFKENETDELAA YP_002977701.1 MFGLSSDSNYILDAISKSQAIIEFDLKGNILKANENFCKALGYS LSEIVGKHHGMFCERAYTATAAYREFWARLGRGEYDAGAYKRFAKGNREIWIQASYNP VFKGGKPYKVVKFAADITAAKKKAVEDSGKLAAISRSQAVIEFAPTGEILTANENFCN AMGYSLAEITGKHHSMFCDRAYTDTADYTNFWKRLAQGEFIANEFVRFGKGGRQIWIQ AAYNPILDADGKVYKVVKFATDVTQRMSAISLLGTALRNLSEGDLTRTVDAPFVPSME QLRHDFNTAVKGLSETMKTIGQNASAIAAGSSEIGASADSFSKRTEQQAASIEETAAA LEEITTTVNDSSRRADEAGRLVAVTKQGAEHSGVVVRNAVAAMGQIEQSSREITNIIG VIDDIAFQTNLLALNAGVEAARAGEAGKGFAVVAQEVRELAQRSANAAKEIKALINTS GEHVKNGVGLVGETGKALEAIVAQVGDINGNVAAIVEASREQATGLKEINQAVNTLDQ ATQQNAAMVEESTAASLSLAREAETLRVLLARFRLPGQTQSTSGAGPRQTGSPALHLV SRVAKAHGAAAATAQSWEEF YP_002977702.1 MTAAETRTDGALAGVGLLDRERIVARPGFNRWLVPPAALAIHLC IGMAYGFSVFWLPLSKSLGITASTACPDLTLASALFTTTCDWRVADLGWIYTLFFVLL GSSAAIWGGWLERAGPRKAGVVSACCWCGGIILAAIGVITHQLWMMWLGAGVIGGIGL GLGYISPVSTLIKWFPDRRGMATGMAIMGFGGGAMIGAPLANLLMNAFKTDSSVGVWQ TFIVMAVIYFVFMMGGAFGYRIPPAGWRPEGWTPPAAKSTMITTKHVHLSNAHRTKQF WLIWAVLCLNVSAGIGVIGMASPMLQEIFAGSLIGLPDVGFAQLDAGQKASIATIAAG FAGLLSLFNIGGRFFWASLSDKIGRKNTYYCFFVLGIVLYALAPTFAGMGNKALFVLS FGIILSMYGGGFATIPAYLADIFGTQFVGAIHGRLLTAWATAGIVGPVVVNYIREAQI AAGVAPGPTLYTGTMYILAGMLALGLIANALIRPLSDKWFMSDDEVAALQAKSAAVNA GPTGSFGIGTGGLDAKAMLAWAVVGIPLLWGVWVTLRATFALFG YP_002977703.1 MSHDTKTKLVYMANQIATFFKSQPQSEAAQGVATHINKFWDPRM RRQLFEILENEENGLDALVLQAVPLIRKPEPVTHQVP YP_002977704.1 MTFTVTAHAPETACRNGILQTGSRIVPEEVPIAFSYGGSSHAVM MATPADIEDFAVGFSLTEGIIAGPAEISGIEVVEGEQGIDVQVSLVDDVADRLRARRR SMAGPVGCGLCGIESIEQAVRPVPDVSTSPLALSHADIVRAVSLLNEAQPLHRETRAV HGAGFYLPGRGLIAVREDVGRHNALDKLCGAVIGASERGGDGAVVVTSRLSVEMVQKA AILGSPVLIAISAPTALAIRTAEEAGMTLVALVRGEDFEIFTHPHRISPGSIADVA YP_002977705.1 MSLIHEIDYGTPASKSEVMVKLTIDGQQISVPEGTSIMRASMEA GIKVPKLCATDMVDAFGSCRLCLVEIEGRNGTPSSCTTPVAANMVVHTQTGRLKDIRR GVMELYISDHPLDCLTCAANGDCELQDMAGAVGLRDVRYGYEGDNHVKARSNGDINLK WMPKDESNPYFTYDPSKCIVCSRCVRACEEVQGTFALTIEGRGFGSRVSPGMHEHFID SECVSCGACVQACPTATLTEKSVIQIGQPEHSAVTTCAYCGVGCSFKAEMRGEELVRM VPWKDGQANRGHSCVKGRFAYGYSTHKDRILNPMIREKVSDPWREVSWDEAFAHVALE FRRIQYQYGREAIGGITSSRCTNEETYLVQKLIRAGFGNNNVDTCARVCHSPTGYGLG QTFGTSAGTQDFDSVEHSDVVIVIGANPTDGHPVFGSRLKKRLRQGAKLIVIDPRRTD IVRSPHIEASYHLPLKPGTNVAVMTALAHVIVTEGLFDEAFIRERCDWSEFEDWAAFV AEPQHSPEETEIFTGVPAADLRDAARLYAKGGNGAIYYGLGVTEHSQGSTTVIAIANL AMATGNIGRPGVGVNPLRGQNNVQGSCDMGSFPHELPGYRHISDDATRDIFEKLWGVK LNNEPGLRIPNMLDAAVDGSFKGIYIQGEDILQSDPDTKHVAAGLAAMECVVVQDLFL NETANYAHVFLPGSTFLEKDGTFTNAERRINRVRKVMSPRNGYGDWEVTQKLAQAMGL DWNYTHPSEIMDEIAATTPSFAMVSYDYLDKMGSVQWPCNEKTPLGSPIMHVNGFVRG KGKFIRTEYVATDERTGPRFPLLLTTGRTLSQYNVGAQTRRTENVVWHAEDRLEIHSH DAEQRGVRDGDWVKLGSRSGDTTLRALITDRVAPGVVYTTFHHPTTQANVITTDFSDW ATNCPEYKVTAVQVSPSNGPSEWQLEYDEQARQSRRIAGKLEAAE YP_002977706.1 MTVRIYVPRDAAALALGAEKVAKAIAQEIAARGFDAEIVRNGSR GMFWLEPLVEVEVAGKRIGYGSVKAKDVPDLFDAGMIDGGEHRLCLGEVEDLPFLKEQ TRLTFARCGVTDPLSLGDYEAHGGLAGLRRAVSMTPVDIVKEITDSGLRGRGGAGFPT GIKWKTVLEAAGERKYIVCNADEGDSGTFADRMIMEGDPFVLIEGMAISGLATGATKG FVYTRSEYPHAIAVMTEAVGIAREAGILGPSVLGSGHTFDIEVRAGAGAYVCGEETAL LNSLEGKRGIVRAKPPLPAHKGLFNCPTVINNVISLASVPVIMEKGAAFYRDFGMGRS RGTIPLQIAGNVRYGGLFETAFGLSLGDIVDRIGGGTVTGRPVKAVQVGGPLGAYFPR ALFDTPFDYESFAAKDGLIGHAGIVVFDDTADMLKQARFAMEFCAVESCGKCTPCRIG STRGVETADKIAHGIEPEKNRALLADLCNTMKFGSLCALGGFTPYPVMSAMTHFPEDF SPAPLVEAAE YP_002977707.1 MTIHIAEGDIAARTRAIIADLRFLEGPLLPILHEVQQEFGYVPQ EAMPVIAEELNLSRAEVHGVVTFYHDYRDHPAGRHVLKLCRAEACQSMGGDALAERVK ALLGIDFHQTTLDGGVTLEPVYCLGLCACAPAVMLDGEVYGRVDDQTAAELVAEARR YP_002977708.1 MIDKLEFFIALANEKHFGRAAEECGISQPTLSAAIRQLEDQLGV MLVQRGSRFQGLTPEGQRVLEWARRIVGDARTMREEMRAARRGLSGHIRLAAIPTALA MLSRITTPFQERHPGVTFSIVSRNSLQVLSMLENLEIDAGITYLENEPLGRVTTVPLY AERYNLITAAGSPLSDRDNVTWREIGDLRLCLLTADMQNRRIINRHLTEAGATAHPTL ESNSMIVLFSHVRTGRWASIMPRNVAKSFGFPVEIRMIPIVEPEAHHLVGLVAPYREP FTPLVSALLHEARVLVQDEEL YP_002977709.1 MPLPKPEPGMTGQELHTLAGKAHEASDLLKALAHQTRLLILCIL ANEERTVGEIENILGIQQAMVSQQLARLRLEGLVHTRRQGRLVYYSIGNVSVLAFLES LFDLFPVAENS YP_002977710.1 MLRANDTTGGTVREEDGFVFHPRLFENRNVVVTGAGRGIGLEVA RQFLDCGAKVIVHTGRKPGRDLPDFLLTAESERRALLLNADFSAVGGAAQFAEDTLAF FDKVDVLVNNAGTMLGRFPAATLTDAEYEAVVRLNQTSVVALTRALLPALKAAEGAAI VNTVSISALTGGSPGSSIYSASKAFVATYSKALARELAPDGIRVNCVSPGTIETDFHE RYSSREKLEQTRKSIPLQRLGTAEDCAPAYLFLAAPSLSGYITGQVIEINGGQLIC YP_002977711.1 MDTIPAAPLGKLLTVGEVAARSGLAVSALHFYETKGLISSIRSR GNQRRYGRDVLRRLGIIKVAQRVGIPLLEIQAAFESLPQGRTPTVADWQTLSALWKDD LDARIRRLSLLRDRLTGCIGCGCLSIESCPLRNPCDRLGKEGPGARLLEAEN YP_002977712.1 MQTPTRLAVIYGSTREGRICDRVVKWLTQELLRFPQFDIDIIDP LDFALPANRDVTHLEVDRLAGRLGMADAFIIVTPEYNHSFTAPLKSIIDFFFEPWQGK PVAFVSYGGISGGLRAVEQLRLVFAELHAVTIRDSVSFAAPWNRFDPDGRLDNPADGM RLLDRMMARLEWWTQALVTARSNRPYADIERRASFQTR YP_002977713.1 MFSGAQVSLYPMSDNFVGIILDAVTALDPYRDRLRIETDDISTL LVGPPDILFAAMHDLFVAAAKTGEHCVLSAAISRGCPGEPDDAICGVNPSLGQAEPLA ERITAALAAVETTAETGQPTAAQFSLYVMGTGTHMDEIYGCIDFLKRSGTFDRAKNFC TRLSGDAGAVFSTIREAFLRFGDPEGHVTLDITVSANTAPRVFSDAPRTL YP_002977714.1 MPDESLKRTLMTLPQPSDSRLAALRAALYRGIPALLAGCVFLAA WELYVDLSGIKPSILPAPSRIVMQGWLNREGLIANTWPTLGATLGGFALSLAFAFAAS ILMDFVPFMRRALLPVFIASQTLPLVAIAPLVVLWFGFGLLPKILLVALVTFFPLLVA LLQGYESTDRDIAELLYSMKASRWRIFRLARLPSSLPYFFAGLRISITYAVVGAIFAE YAGAARGLGIYILNAKNNFRPDLVLAAVIVSAVLTLCLFGLTLMIQRLVMPWQPSGEQ RR YP_002977715.1 MSDAMVELSNISKSFDGMKVLDDISLAVASGEFVSIVGPSGSGK STVLRLLTQALRPDSGTMLFKGAPLEQAPHSFAFMPQRDALMPWRRIIDNAALGLEVK GMSRRAARAAVAPLFERFGLAGFEQHYPSALSGGMRQRAALLRTVVQTQDMLLLDEPF GALDALTRTQIQEWLQGMWTEHRWTALLITHDVREAVFLSDRIYVLSARPARIIREFR VPLPRPRSIADLGSPAAQAIETEILQTLLHPLEQDDFRSVGLKSESCSNLKS YP_002977716.1 MLLLTRRQTIFAAIAASVAGRTAFAQSAPAKVRIALDWTPNTNH IGIYVAKAKGFYADAGLDVEILPFTDTSAGTLVSNGVADFGISSEIETLTQRAGGGDV KMVYGVVQTETARLIFKGGRDDIKSPKDLDGKTYGGFGGTWESALISAMIRNDGGKGD VKTVTLGTSAYEALDNGSIDFTLEIYTWEGIAAELENRKIGRFHYSDYGIPDEQTTVI VSSDAYLSASRDHARAFIQATRKGYAYSVDHPDEACDLLISGSNGALMNTELVKASQK ALIEGHFLKSEAGVIGKLDPAKAEALGGFLIENGILVDANGAALKEKPDFSTYYTNEL LD YP_002977717.1 MRQQERLAGADFLRATACLLVLAHHFALRLDMRRIPDELGPAAN ILRFGNFGVAIFFVLSGFLLAYPFWRALDAASDMPSLRHYTIRRMARIAPGFWFAATV GFALSLTLLALPLTPELVLRYVSGLLFMSQWHWRTLFPVEADGPLWSIPFEVTSYVLL PVCFLVLFRLPFLRQRPLLARFAWLCVITGVLLAHVLILTFLPLDDIGRGWQYGLQGG AKEWMPRYNPIGFFAVFALGALAAGVEVMLPRRRSSWFDAAALLALAIAAYRLVISPG GSAEAYGWLEIPYGFPVFPLAVATALVSLSHSQRLGVLLDNAPVRYIARISFGIYIWQ EIILILIQRLDPGSFGVSSENVVTGWLQSCGLAAALILLVATLSYALLEKPAIHFGSR LTSRQPNRATPFKV YP_002977718.1 MNAFTSKTNPRFDPSQRQDKTKTLVIANSNIRQPDSFSLIERKM AVRLGVKRLIDIVASVSALIVLAPLFLVIALFIKLDDGGPVFFRQIRWGLNGRKIMVF KFRSMRAEACDPSGIQQTVKGDSRVTGIGSVLRKTNIDELPQLFNVLRGEMSLVGPRC HAINMRAAGRLYEELVPDYHHRHIMRPGITGLAQTRGWRGPTARPLAARARIACDIYY VRNFSLLLDLKILFKTVVIELRGGTGF YP_002977719.1 MADNFNFELVSPERLLLSEMVTEVVIPATEGEMTVMANHAPTMT TIKPGVVSVRSASGKKQDYVVFGGFADILPTGCTLLAESAVPVEELHKDELTRRIEAA RKELEHAELHEHKSKLEHFIMELTHLRGVVQQD YP_002977720.1 MSDALDEIFCCDSLKGVVADLPEPAAPTVYRADNGVLMMVVGLV QSEEGLGYLDQAIMHCPFCGTKLQDANAIAEKVSH YP_002977721.1 MAEAATPKIGSVGRVTQVIGAVVDVAFEGELPKILNALETSNNG NRLVLEVAQHLGENVVRTIAMDSSEGLVRGQEVADTGAPIMVPVGNETLGRIMNVIGE PVDEAGPLVTAHKRSIHQEAPSYVEQSTESQILVTGIKVVDLLAPYARGGKIGLFGGA GVGKTVLIMELINNVAKAHGGYSVFAGVGERTREGNDLYHEMIESNVNKHGGGEGSKA ALVYGQMNEPPGARARVALTGLTVAEHFRDQGQDVLFFVDNIFRFTQAGSEVSALLGR IPSAVGYQPTLATDMGQMQERITTTTTGSITSVQAIYVPADDLTDPAPATSFAHLDAT TVLSRSIAEKGIYPAVDPLDSTSRMLDPMVVGEEHYDVARKVQSTLQRYKALQDIIAI LGMDELSEEDKIAVARARKIERFLSQPFFVAEVFTGSPGKLVALEDTIKGFKGLVNGE YDHLPEAAFYMVGSMEEAVEKAKKLAAA YP_002977722.1 MPSLKDLKNRVASVKATQKITKAMKMVAAAKLRRAQEAAEAARP YSQRMGVVLANIAKAVTDADGAPTLMTGTGQDKVHLLVVCTAERGLCGGFNSQIARFA REQVRKLLAEGKTVKIFTVGKKGHDILRREFASLIIERKELRDVKRVGFENADQIGKR IIEMYAAGEFDVCTLFYSEFKSVISQIPTAQQLIPASTGAVQAEDAAHAGAVYEYEPD PASILEDLIPRNISVQIFRALLENVAGEMGAKMSAMDNATRNAGEMINKLTLSYNRQR QAQITKELIEIISGAEAL YP_002977723.1 MDIRAAEISAILKDQIKNFGKEAEVSEVGQVLSVGDGIARVYGL DNVQAGEMVEFPGGIRGMALNLESDNVGVVIFGSDRDIKEGDTVKRTGAIVDVPVGPE LLGRVVDALGNPIDGKGPINATRRSRVDVKAPGIIPRKSVHEPMSTGLKAIDALIPVG RGQRELVIGDRQTGKTAILLDAFLNQKAIHDNGPEGEKLYCVYVAVGQKRSTVAQFVK VLEERGALKYSIIVAATASDPAPMQFLAPFAGCAMGEYFRDNGMHALIGYDDLSKQAV SYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKMNDDKGAGSLTALPVIETQGNDV SAFIPTNVISITDGQIFLETDLFYQGIRPAVNVGLSVSRVGSSAQIKAMKQVAGSIKG ELAQYREMAAFAQFGSDLDAATQRLLNRGARLTELLKQPQFSPLKTEEQVAVIFAGVN GYLDKLPVASVGKFEQGFLSYLRSEGSAILDAIRTEKAISDDTKGKLNAALDSFAKSF S YP_002977724.1 MPVADTSQLTSGVAERYASSLFELALEQGAVDSVTADLDHFQAM LDESADLKRFVASPVFSAEDQLKAIVAISEKAGISGFFANFLKVVARNRRLFALPGMI KAFRIIAANHRGEISAEVTSAHALSQAQETELKVALKSVTGKDVTIAVTVDPSILGGL IVKVGSRQIDTSLRTKLSTLKLALKEVG YP_002977725.1 MEFYFPTELGEQLAFCSAAFTALAGFIMMFAPGHTFRLLGLQAQ EGRPEGFGEGRSMGGFYLGFGLSAIMLAQDWIYMALGASFAMAAFARVISILSDKGSN LVNYLLLVVQIALAALPLLYVFGFTQT YP_002977726.1 MSTDSSDLFGALFEAPPANRTVPVLVPMPAPKPYSYSVPDGMAV EPGSVVQVPLGPRQVIGVVWDGGEDGVDPKKLRPISHVFDCPPLAREMRDFIDWVATY TLSPPGLVARMALRAPNAFEPEPMVEGLKLVGGEPERMTPARARVLDTASDGFSWTRS GLAHAAGVSTSVVDGLITLGIFEAIFLPPPPVVAMPDPDFAAARLEGPQKQAAEEIVA DVRKGEFSVSLIDGVTGSGKTEVYFEAIAETLKRGKQVLILLPEIALTASFMERFQDR FGAKPAEWHSDLAPRMREKVWRQAVTGEVRVVAGARSALFLPFEDLGLIIVDEEHDPA YKQEDRVFYNARDMAVVRGRIGDFPVILVSATPSVESQVNGQSGRYSTVHLPTRFGDA AMPDLHLVDMRRHAPERGGFLSPVLIRAIGKTVDKREQALLFLNRRGYAPLTLCRVCG HRFQCPQCSSWLVEHRFRKQLQCHQCGHAERTPEACPECGTLDHLVACGPGVERIAEE VERHFPEARTIVLSSDIMGGVKRLRLELEAIAKGEADIVIGTQLVAKGHNFPLMTLVG IVDADLGLANGDPRAAERTFQLLSQVTGRAGRTGLKSHGLLQTYQPQHPVMQAIVSGD SDAFYEREITERERAILPPFGRLASIIVSAETRHDAENHARGMRNAAPQVSGISVLGP AEAPLALVRGRHRFRLLVHGRRNSDMQGFLRAMLSQSPKERGSVQVQLDIDPQSFL YP_002977727.1 MSSNLLELDHVTKLFPIGGFFSREKMKAVDDVSFALAADKPEIF TIVGESGSGKSTLAKMILGSEKADRGSIHFDGTDVKAVRSRRDREAFMAKVQPVFQNP FEAFNPLTRIDEYLLATAHRFKGAKSRTEKEALADVALQRVGLSMAEIKGRFSHELSG GQLQRIAVARALIPEPKLIVADEPVSMVDASLRMSIVNLFRDLRDALNVSIVYITHDL ATAYYISDRVVIMRKGVVVESGDARDVLEHPKHAYSIALKNAVLPPDPREASAILRLR QRNAETNEATSGSGDRLAR YP_002977728.1 MQNLMRTQPLTLAENTMDALTIDGLKCYYVNDYFGVRREIRAVD DISLTIRKNEIYGIAGESSSGKTSLIKTLAGAIRPPMRVVGGTVKFNFADGPIDVYGE PAKMKAARWRHLSYIMQGSMNVLNPVRRVKHAFTDFASRHMNLDPAAFRARVEAHLAR LHLDPHVLEAFPHQLSGGMRQRLTIALATVCEPEFIIADEPTTALDVLVQQDVLALIK EVQTRLQSSVIFVTHDMSVHAAITDRLAIMYAGRLAEEGPTQAIFDNPQHPYTVHLIG SLPRIGDVSTRKSLKGKPPALSDPPSGCRFHPRCPIAIDKCAREVPAMLPSATGGRVA CFRAGEYQIQ YP_002977729.1 MFKVLRDLLRYNKEFLLGTILISIILALIVASFFSPYDENAIYV VAPDMPPSAEFWLGTTSRGQEVFWQIAASMRNTLFFGIIVALVSRIIAIAVGLISGYI GGRTDQIIMAVNDTLGALPNIPILLLLVFVLRDQMTWFMLAITAALLGWTHDSRLIRS VALSLRQREFTRHAVFSGMRTPQILIREHLPYVMPIVFFTTMNNMIWAIGLEVTLSVL GFSDINRPTIGGMIYWANAHSAMVSGIWWWVAFPMLFVVILFLGLFMLAMSVNEYIDP RSRLARMGA YP_002977730.1 MNGFLIYAAKRFLQFLFVVFTGITLAFLIAHFSPVDPIEQTVSL LTSFGSTDPQAVEILRESLRELYGTGGPLLEQYFTFWGRVLTGDFGPSLSSFPTPVMT VIGRALPWTVGLLTLATIISWIIGNFLGALAGYFRSSKLLKVAGIVIMALQPIPTYII GLSLVILFGFIWPILPISDGAQVNLAPAFNWAFISSVIEHGILPALTLVLVGIGGWFI SMRSLVSNIVTDDHVVYAELGGVRSRSIFSQYVARNAMLPQVTGLALSLGNVFGGAVI VEFVFNYPGIGKLLISGIYSGDYSLVLGVTTIAIIAVAAAVFVIDIIYPLIDPRVKLG YP_002977731.1 MKFRKYAAFALLSLMALPAFAQDFIAGIPRNETLIIQGTPQQNA DWFNVWAPGGGAAANLNGLQQLTTDTLWFINPEGGKDAWQNALASEPPSYNADFTEMK VKLRKGIFWSDGVEFTSDDVVYTVQTQIDHPGMAWSAPFTVNVASIENPDPQTVVFHL KKPNSRFHTLFTVRWNAAWIMPKHVFEKAADPLSFNNNPPVSLGPYQLQSYDKGGNWT IWKLRDDWQRTSIGLAAAQPPEVKYVVYRAAGNPEARVIEQRNHNLDVINDMAPEGMF SIMRDSKSTASWLKGFPFAHPDPTLPSVLFNTKKAPFDNKDVRWALALLIDIREVALG SYRGAANIAALATPPTGSAPDDYYAPMQDWLTNFELDTGSRKIKPYDPNISAQIANMV RSQWADQIPTDPAKLQRTFGFGWWKKDVQAATELLQKAGFKKSGRQWVKPDGTPFTIR LQVEGDAIPTLARAGTVIAQQWSQAGIATKVDVAGPTNGQRLSTGDFETAIYWSIETW GGHPDLSFFLDSYHSEFIKPVGQIQPPRNLQRWQDPRLDQLIERNRSIAFDSPDVAKL GQDFLKLAVEEMPMIPLMAYNKFAPLDTTYWTNYPSADNPYSASGPNWSNIRYMVVGL KANPDAPKP YP_002977732.1 MTVQEDTRMSSPYDVTISVHANRPTGKYQPLWNWFGYDEPNYTY SPHGRKLLKELTELSPEPPRIRAHNLLTSGDGLPALKWGSTNAYTEDANGNPVYDWTI IDKIFDTYVEAGNIPLIQIGFTPETLSDFDGPYRHQWEPADKYATITTGWTAPPTDLK KWGDLIEAWARHLAERYGEDVVSSWPWEVWNEPDGHYWTGTIPQFCEMYDVSAKALKR ALPNARVGGPHTCGAFANEKAQTFLRAFLRHVVENKSPIDFLAFHAKGNPVIYEGHVR MGLHKQLRDIETNLAIINEFPELRHLPVVIGESDPEGCAACSARVHPQNGYRNGPLYG VYVVESMIRTYELARRANIHIEGAVTWAFLFDDQPYFDGFRDLATNGVDKAVLNGFRM LGKLGGEWLESESDYRRDIEDIMSHGVRGKPDVNVVATRDDKGVSILVWHYHDDDEAG PSANITVNLDGWDGKFASLKHFRMDEEHSNAFGVWKAMGKPQNPAGEDYARLEASGKL AEIDGQASVKVDDGKIELKVSLPRQGVSLLRLDW YP_002977733.1 MQTLNESREDGIEHDDLSIARALEEDIIFGRLAPGTRLTEDTLL ARFHVTRHFARQAIVQLETMGIVVRERNKGATVRSLTARQVQEIYDVRELLQRQAALW IKLPAPEALIEELLALHEEHGRHIESGYLRGVHEANDKFHLTLFSACGNAHLVQSIEL YMRLSLPVRANSMSSKESLRISHEHHRLMIEMLRGGDNWVLAQLCVDHLQPSKKRYLA YVSEN YP_002977734.1 MMGTERRRIGIIGAGWVSAYHLPAWMRQSKRAEVVAIADPSLSA VEARLAAFDIARSYASAQAMLDAEQLDAVDICAPREFHVELVRLAAAKGLDIICQKPL APSYGEAVDLLEGLSGRGRLMIHENWRFRAYYRRLKAWIDEELAGEIRQVQFEFLSSG MIADAEGKRPALVRQPFFRTQQRLLVMEVMIHHLDALRYLLGELEVVSARLERSNRDI VAEDVASVVLRRTSDGVLVTINANLAVHGAAPAPRDHLRIFGALGTLELNGNLLSAEG AKQRRESFDPDETYQGAYDATIAHFLDSLDGKVAMETSPDDNIKTLALVEDIYRLSRF DPERNPL YP_002977735.1 MSNGLRRKLTSYGDQGFSLFLRKAFIKAMGYSDDALDRPIVGIA NTYSDFNPCHGNVPQLIEATKRGVMLTGAMPMVFPTISIHESFASPTSMYLRNLMAME TEEMIRAQPMDAVVLIGGCDKTLPAQIMAAASSEIPAIFLPTGPMAVGHHKGERLGAC TDCRRFWGRFRAGEIDEAEIAEVNNKLASSIGTCTVMGTASTMANLTEVMGLCLPRAG SAPAVESERVRLAEETGRVAARLAMDEAAPTVRDILTPQAVRNGLVALQAMGGSTNAV VHLTAITGRLGLRLDMAELDRLGRSIPLLVDLQPSGQHYMEQFHEAGGVPALLKAVRH EIDGSAPTVYGKTIGEIIDAVVDEPGQTIIRTVEKPLKPIGTIAVLHGNLAPRGAVIK QSAASKDLLQHIGRAVVFDSVEDMTLRIDSDDLDVHADDILVLRNAGPKGAPGMPEAG YLPIPRKLARQGVKDMVRISDARMSGTAFGTIILHIAPEAADGGPLAIVRTGDRIRLD VEGRRIDLDIDQAEFDRRMLDVVNRPSPAPARGYARLYQERVLQADEGADFDFLQSEE FDAR YP_002977736.1 MSAADIEAPLCLPHRPLTRLPRAALPQGTVDTHFHVFRTGAPLN TPRSYTPDIATISDWIEFSGSLGIVRGILVQPSVYGRDNRVLLEALAAYPDRLRGVVV IDPETTETEIERLDRLGVRGVRINTRNKGGLPLAAARTLAESIAPLGWSLQLQINPEQ LSDIAATLSGIRLPIVIDHLGFIPLARETRSLHVDALKRLMDRAEAYVKVTAPYRLTK DVNYDGFAEVGRALATSHAERLLWGSDWPHTELWDGMPDDTELVETMQATIDDPAVAE KIFVRNAEALFFGR YP_002977737.1 MARLTEDAKGVYVIAVTPFTDDGALDLASIDSMVDFYEGAGVTG LTVLGQLGEAPKLTAEESRIVVERVLKRLDGRLPVVVGVSAPGLAPMSELAEAVMGEG AAGVMVAPPWTVKTDDQAFAFYQSVGEALGDTPFVLQDYPLTTNVTIAPKVIERIVNE VPNCVMLKHEDWPGLSKISALRAASDKGATRRISILCGNGGLFLPEEMGRGADGAMTG FCYPEMMVGVVEAYAAGNPDRAHAIFDAYLPLARYEQQQGIGLASRKYVLAKRGVIKS ATLRKPGAKLSALDIADVERLLARQAIRLKEIGA YP_002977738.1 MTIDIRRLRDRLPQEIADLESEARREGYRHVARLIDEWSAGAVR FERDGERLLGAYVDDALVGIGGMTVDTAMSGALRMRRFYIRPAMRGRGIGRMLALALV DHARSFCTVVTVHAGNDGAAKFWESLGFQPHGRDGYTHLLALQRHSDDPAALHLRRCA TPRSP YP_002977739.1 MARRDSMTAFGQLAEAIALVSQGKPGHIVDTLIAERGQRIVKNP LWPVMRPFLYTLLRYNKALEFANAVAKMPGFQSFEYLSDVLRLDIGITNGERIPDTGG FILVSNHPTGIADGVAVFDLLKTRRPDMMFFANRDAIRVNPRFAEMIIPVEWREEHKS KLKARETLQLTNHAVKEGKATVLFPSGRIAYWANGRLNERPWKTSAVGLARKYNLPIL PVHMTARNSGLFYWLAKWSTELRDMTVFHELLNKRGDRFDFVIGNLIPAEQLDGDLNE VTKALEKHTVQDMARDGDASFVPVGLPVAATRREIPVPAI YP_002977740.1 MNELLVIADPRLMTERAAWLENLASERRLSEHTLDAYERDTRQF LTFLTGHLAGPVTLGDIRELRSADFRAFLAARRKQGSGARSLGRNLAGLRSLLRHLEK KGLVNAAGAAAVRSPKQPKSLPKPLSDTQAITVVSDDAQLHDEPWIAARDAAVMTLLY GCGLRISEALDLTPADLQKGATTLRITGKGNKTRLVPLLSVIFDAVEKYRTLCPYHLE AGEPLFRGARGGKLQAAIIQRTMQKMRSAFGLPETATPHALRHSFATHLLAGGGDLRT IQELLGHASLSTTQVYTGVDASRLLEVYDRAHPRA YP_002977741.1 MTTSIGRRTSYLAVPANLLLWLIAAFHMLLLAALFAAFLTARPA AAEDVACTGRNLMVELQQNDPARYAEALKEADATPNGKGIFWKIEKPGLAPSWLLGSM HVTDPRVLALPPRAQAAHDAADTIIIESDEILDERKATAALLAKPELTMFTDGTTIDK LLSPEDYKRLETGLKQRGIPISTVSRMRPWMISSAVALPACEIARKAKGAQFLDQKIA TDAIAQGKQVKGLETLAEQIQAMADLPVEFHLKSLIETLELGDKMSDVVETMTDLYLS GDIGMTMPMLKTVTPEEEGENSDYAAFEQRVILDRNKVMAERAAPILDSGNVFMAVGA LHLPGKDGVIELLRQQGFTVTDVN YP_002977742.1 MLGKVGLVHRMGGVKTSSGSGSELISPEMETINRPNFLPPPRKH KLKAIRSLRMVAILAASAIPCIATATQSNRFPLGKYCGELLSNGIMAEAQTTFGPSVT DGKIRGSYVFFEEGQTVTGLLAEPSDDGDGNDLTRNLVWQDKYGHGNLVITFTSDFSE FQGNWSDGGKASFPWNGMRCEPTIS YP_002977743.1 MQQPSIVSYSLSQRFLHWAVALLIFFNLLFPDGMNIWHRLMRRG QVPTPEQISSANIHAYVGIAILLLAVLRLGLRFTKGVPDEIAQEPAIFRLAARLAHAG LYILIFAMPLSGIAAYYFGINPAGSFHADVLKIILWALIAAHVAGALVHQCYWKSNVL RRMTLG YP_002977744.1 MSYDVIIIGTGPGGYVCAVKAAQLGLKVAVIEKRATYGGTCLNV GCIPSKALLHASEMFHQAGHGMSALGIDVPAPTLNLGNMMAHKDATVKSNVDGVAFLF KKNKIDTFQGTGKIVSAGKVSVTAEDGKVQEIEGKNIVIATGSDVAGIPGVQVEIDEK TIISSTGGIALEKVPETLIVVGGGVIGLELGSVWSRLGAKVTVVEYLDTILGGMDGEV SKQFQRMLAKQGIDFNLSAKVTGVEKADKGAKVTFEPVKGGDKVTLDAEVVLIATGRK PYTAGLGLEEAGVTLDNRGRVEIDGHYKTNVAGIYAIGDVVKGPMLAHKAEDEGVALA EILAGQHGHVNYEVIPSVVYTQPEIASVGKTEEELKAAGVAYKVGKFPFTANGRARAM LATDGFVKILADKETDRVLGGHIVGFGAGEMIHEITVLMEFGGSSEDLGRTCHAHPTM SEAVKEAALATFFKPIHM YP_002977745.1 MSDTPVVLITGGSRGIGAAAARLAARQGWRVAVNYAANRQAADA VVAAIVEDGGEAVAIQGDVGKAADIVSMFTTVDRHFGRLDGLVNNAGIVDYPQRVDEM SAERIERMLRVNVTGSILCAAEAIRRMSSRHGGQGGAIVNISSMAAILGSATQYVDYA ASKAAIDTFTVGLAREVAAEGIRVNAIRPGVIETDLHASGGLPDRPRELAPSIPMQRA GTPAEVADAILYLLSPSASYITGAILNVSGGR YP_002977746.1 MSGRIRITARRKGVAAIAAAAFFVVPASTFGAACKQEQAVYVDR DGAYELRFAPLNSPSAAASNQFKVSALKTPVVMEGYVMPSEDPVRAIGILMFNCPEGD ATGADLNACTVWQGAVYGMDAEGEMDNLQPEGAEAAEKLVLPGLGPAIRESSAWGEGK AVVAPWDVLTFKECAT YP_002977747.1 MRNRIMRAARLLLCAAAAHIPVAASAAGWDIGKASSNFDLVALS DAELSGRSIGVIHMGNVELTSGRIVAADPLAQPDRPALARTVAPGEYPVTLYQAFGRV AAASMRFAEGKPDRWELAVLPGQDPATLKDGEIFGYPVDAGLGCYMDADTLDLIGERE KQVQAQKPDSDVNYYDDVLASDLEANKDIYALHRPVAGKKGNVAVFWSGWGDGFYPAF WGLDRDGRALVLLTDFSVVENADGRREPKLQ YP_002977748.1 MASEIRVPTLGESVSEATVGTWFKKVGDAIKADEPILELETDKV TIEVPAPASGTLSEIVVAAGETVGLGALLGQIAEGAAAAAAPAAAAPAAAPAQPAPAA AAQPAPVAAAASSSSASVSTMPPAPAASKMLAENNLSADQVDGSGKRGQVLKGDVIAA VAKGISAPAAAPAATPAAARGPSTVEDASREERVKMTRLRQTIAKRLKDAQNTAAMLT TYNEVDMKAVMDLRNKYKDIFEKKHGVKLGFMGFFTKAVTHALKELPAVNAEIDGTDV IYKNYCHVGMAVGTDKGLVVPVIRDADQMSIAEIEKELGRLAKAARDGSLSMADMQGG TFTITNGGVYGSLMSSPILNAPQSGILGMHKIQERPVAIGGQVVIRPMMYLALSYDHR IVDGKEAVTFLVRVKESLEDPERLVLDL YP_002977749.1 MAYFFLRLQPPRPTFPHDGTGEEMAAMKRHAEYWHRIALAGSAI VVGPVFEGEGAWGMAIVEVEDQAAAQLLADGDPIIASGFGFRFDILPMPSIISRPPAI YP_002977750.1 MARQEANEQFQITSFLDGANAAYIEQLYALYEEDPASVDDQWRS FFKALEEDPSDVKRAAKGASWRKKNWPLQASGDLVSALDGDWGIVEKVIETKVKAKAE AQGKPADSTEVLQATRDSVRAIMMIRAYRMRGHLHAKLDPLGIAAPVDDYHELSAENY GFTAADYDRKIFIDNVLGLEYATIREMIEILERTYCSTLGVEFMHISNPEEKAWIQER IEGPDKGVAFTPEGKKAILAKLVEAEGYEQFLDVKFKGTKRFGLDGGESLIPALEQIL KRGGHLGLKEAVFGMAHRGRLNVLSQVMGKPHRAIFHEFKGGSAAPDEVEGSGDVKYH LGASSDREFDGNKIHVSLTANPSHLEIVDPVVMGKARAKQDMNATVWDGDIIPLSERA KVLPLLIHGDAAFAGQGVIAEILGLSGLRGHRVAGTMHVIINNQIGFTTNPAFSRSSP YPSDVAKMIEAPILHVNGDDPEAVVYGAKIATEFRMKFHKPVVLDLFCYRRYGHNEGD EPSFTQPKMYKVIRAHKTVLQLYAARLVAEGLLTDGEVEKMKADWRAHLEQEFEAGQH YKPNKADWLDGEWSGLRTADNADEQRRGKTAVPMKTLKEIGRKLSEIPAGFNAHRTIQ RFMENRANMIATGEGIDWAMAEALSFGALCVEGSKIRLSGQDCERGTFSQRHSVLYDQ ETEERYIPLANLSPTQGRYEVINSMLSEEAVLGFEYGYSLARPNALTLWEAQFGDFAN GAQVVFDQFISSGERKWLRMSGLVCLLPHGYEGQGPEHSSARLERFLQLCAEDNMQVA NVTTPANYFHILRRQLKRDFRKPLVLMTPKSLLRHKRAVSTLAEMAGESAFHRLLWDD AEVIKDGPIKLQKDNKIRRVVMCSGKVYYDLLEEREKRGIDDIYLLRVEQLYPFPAKA LINELSRFRNAEMVWCQEEPKNMGAWSFIDPFLEWVLAHIDAKYQRVRYTGRPAAASP ATGLMSKHLSQLAAFLEDALGG YP_002977751.1 MSILVNKDTKVLVQGLTGKTGTFHTEQALAYYGTQMVGGIHPKK GGETWTGAKGESLPIFATVAEGKEKTGANASVIYVPPAGAADAIIEAIDAEIPFITCI TEGIPVMDMVRVKARLDRSKSRLLGPNCPGILTPEECKIGIMPGSIFRKGSVGIVSRS GTLTYEAVFQTSNEGLGQTTAVGIGGDPVKGTEFIDVLEMFLADEATQSIIMIGEIGG AAEEDAAQFLKDEAKKGRKKPMAGFIAGRTAPKGRTMGHAGAVVSGGKGDAESKIAAM ESAGIKVSPSPARLGKTLVEVLKG YP_002977752.1 MAFQSTPSAAHFRLHAFAGVWEGDERVAASAWTSEGKASAEFSG EALFGGFFLEQRYRQTRDGAVSFEARNVFGFDASDKAYKLYQFDTAGFAPPVPASGEW NGNELVLMKTSPRGSQRTIFTFENEDCYRMGVSFSPAGSDTWQEVVSGVYRRASPTSS NLS YP_002977753.1 MNIHEYQAKALLKGYGAPVAEGVAILKVEEAEAAAKSLPGPLYV VKSQIHAGGRGKGKFKELGPDAKGGVRLAKSIDEVVAHAKEMLGNTLVTAQTGDAGKQ VNRLYIEDGADIARELYCSILVDRSVGRVAFVVSTEGGMDIEAVAHDTPEKIHTIAID PEAGVTAADVVAISKALQLDGAAAEDAKTLFPTLYKAFGEKDMALLEVNPLIVMKDGH LRVLDAKMSFDGNALFRHDDVKTLRDETEEDAKEIEASKWDLAYVALDGNIGCMVNGA GLAMATMDIIKLYGKEPANFCDVGGGAGKEKVAAAFKIITADPKVEGILVNIFGGIMK CDVIAEGVIAAVKEVGLKVPLVVRLEGTNVELGKKILNESGLAITAADDLDDAAKKIV AAING YP_002977754.1 MARNKIALIGSGMIGGTLAHLAGLKELGDIVLFDIADGIPQGKG LDISQSSPVEGFDVNLTGASDYSAIEGADVCIVTAGVARKPGMSRDDLLGINLKVMEQ VGAGIKKYAPNAFVICITNPLDAMVWALQKFSGLPANKVVGMAGVLDSSRFRLFLAKE FNVSVQDVTAFVLGGHGDTMVPLARYSTVGGIPLTDLVTMGWVTKERLEEIIQRTRDG GAEIVGLLKTGSAYYAPAASAIEMAESYLKDKKRVLPCAAHLSGQYGVKDMYVGVPTV IGAGGVERIIEIDLNKTEKEAFDKSVGAVAGLCEACINIAPALK YP_002977755.1 MQPMPDYALSVCEQLKALTASGALQADSAQLDVAKSLDRVLAGL KQRRPAAKSSALGWLFAAKKKSADGIKGLYIHGSVGRGKTMLMDMFFAMAPCRKKRRA HFHEFMADVHNRIAAHRRKLKNGETRQADPMPPVAAALYDEAELLCFDEFTVTDIADA MILSRLFSELFARGCVLVATSNVEPDNLYADGLNRGLFLPFVALLKQYVDVVTLDSST DYRMEKLSSQPVYLVPINEHNDMAMDASWTQALHGRKAQPLDIPMKGRHIHVPLAVDR MARFSFADLCDKPLGAVDFLAIAERYDTVFVDHIPLLGPEKRNQIKRFIIMVDTFYDH AVRLYISAAAMPEELLLQRRGTEGFEFDRTASRLFEMRSAEYLALHHQKRAAE YP_002977756.1 MQLRYAMTGLVVVLSLAGCQRTAYDYSSNASAGPAPLTAQPVPS VQGGQLPPPTGGSQFPAAPTTTAPMPGAEPGAMAANALDITKESMVGSWRVNGSCDMF LTLTNLGSGSRGGTRGCVGELTAMGSWEVAGKQVLLKDRSGNQLGSVYKTADNRFQGQ TSTGQSISLSR YP_002977757.1 MVELALPKNSQMREGKVWPKPAGAKNTREFRVYRWSPDDGQNPS IDTFYIDVDDCGPMVLDGLLYIKNKIDPTLTLRRSCREGICGSCAMNIDGTNTLACTK GLDDIKGAVKIYPLPHLPVVKDLVPDLTNFYAQHRSIEPWLKTVSPAPAKEWKQSHED RQKLDGLYECILCACCSTSCPSYWWNGDRYLGPAVLLQAYRWLIDSRDEATGERLDNL EDPFRLYRCHTIMNCAQTCPKGLNPAKAIAEIKKMMVERRV YP_002977758.1 MAPTSPAQNGKAYKYVDHSYDVIVVGAGGAGLRATLGMAEQGFR TACITKVFPTRSHTVAAQGGIAASLRNMTPDSWQWHLYDTVKGSDWLGDVDAMQYLTM EAPKAVYELEHYGVPFSRNEEGKIYQRPFGGHMQNYGEGPPVQRTCAVADRTGHAILH TLYGQSLRNNAEFFIEYFALDLIMSEDGSRCTGVIAWCLDDGTIHRFAAKMVVLATGG YGRAYFSATSAHTCTGDGGGMVARAGLPLQDMEFVQFHPTGIYGSGCLITEGARGEGG YLVNSEGERFMERYAPSAKDLASRDVVSRCMTLEIREGRGVGKAKDHIFLHLDHLDPA VLHERLPGISESAKIFAGVDVTREPIPVLPTVHYNMGGIPTNYWGEVLNADGANPERI IPGLMAVGEAGCASVHGANRLGSNSLIDLVVFGRAAAIRAGEVIDRAAPIPHLNVAAC DKIMDRFDGLRHASGGTPTAELREKMQRAMQEDAAVFRTQESLESGCQRISAIWGEMK DIKVTDRSMIWNSDLVETLELQNLMANAITTIYGAEARKESRGSHAREDYTEGAFAGR DDVNWRKHTLAWVNEAGDVKLDYRPVHTELIAEGIDPHKIEPKARVY YP_002977759.1 MDMRTPLGKVRGLGSAKDGTDHFWRQRLTAVANVPLILFFVFFM LAYAGAPYADVVRALSNPFVAVVMGLMVISGVIHMKLGMQVIIEDYVHGEFGKIVLLM LNTFFAILIAGLCLFAILKIAFVG YP_002977760.1 MANVTNNRPLSPHLQIYKPIPTMVMSIVHRITGGALYVGTLLVA WWLIAAASGQGSYDWANWVLGSLLGKLVLLGYTWALLHHMLGGFRHFMWDLGYGFGKE FSTKLAIANIIGSLCLTVLVWVIGFLIRF YP_002977761.1 MFRSLSAVALLALSVLAALPAEAGDRRNDHRFPGHRHAFHGGLL LGERAIWRDRGIRFDNAYRYRNRKERMPFLKQFSSPATNRIARNNLIVVLPQTQGGDG GGTYAGSSYVYQVDGGTYVGGNGYGYPTARPELLAPKAKVIDIAVRDDPCSYEANVCV IRP YP_002977762.1 MMGQAKVDETLLADAAYLPGQVANLTRGASVTAQTNEILERIND MLLREGTDKSEIILANIWLRDLASFEEMNRAWDAWMPDSGTPRRATFEDKNLPPMCAI RIDVAASRKSGAGQI YP_002977763.1 MLDLDSYLLRAFLTVAEIGTVNGAAVKLNRTQAAVSMQIRKLEE LVGVTLFSRSSKGLELTSHGQIMLAYAREMVALSDEVGKRLTGKMIEDRIRLGVVEDF AAGHLIDILSAFRAQNPKVEIDIIVEPNRRLASLFEDRKLDLVVCDITCLSRKPTLIW TEYLMWTVRSDFVADTEKPLPIIMFEEECPWTLPTIAALSQRNIKWKTACVASTLVAM ATAVRVGIGIAPMMLTTKPEGCRTLDRSADLPGPVRIEIGLYAQSESAEGARYLVDFI SRHTAILSS YP_002977764.1 MISAVEFQGVSKRFGETVALGDISFSVNPGETIALLGPSGCGKT TILRLIAGFERPDKGSILIDGEPMAGLKPYERNVGLLFQHYALFPHMTVEQNIGYGLR HRNHPPGQIPRRIAEMLELVRLVGFGQRRPHQLSGGQQQRVALARALATHPSLVLLDE PLSALDAKLRHELRTELKDVLAAVGSTAIVVTHDQEEAMSLGERIFVMNRGAIMQSGK PDDVYWRPDSRFVADFMGRTNWIHGSISAAGGTARKFRSESGWEFPVASTANLSGAAE ICVRPESVEISSGAPAAGAMTGRVADVVTLGAFRHVVLDLVSGDRIISACPNRPDIAF ARGQEISVSIPPEACVLFAR YP_002977765.1 MSMQSLAARTGDRIAGSLAYGVIGLGLAFLAIPLVITCVMAFDA RTYLGPMPPPALSLHWFERLVSQADILTSLRTSLILAVLTTVLSVTIGTAAAVGLARG NFPGKAALTSAFLSPLIVPPVVIGFGLLLFLSKAGITNGMARLLLGHVIVTLPYCIRT SLASLLGSDQRLTEAAMVLGATERQAFWTITLPLMRTGVVTGAIFAFAISMDDVSISL FLSDPSATTLPVTLVSNMRAAFDLTIAAAAVVLIAVTALLIVVLDRVVGFDTVVGQGL FRS YP_002977766.1 MTKVRRQPVAISIPDRRDAALTLGPALLVFAFGFLSPLINLAIE SLKEFTPGRVGSVENAAATVQNYYELANQAFASVLLTTFWIGGVAAIIGQLVAFPLAY FTVRRISPRMRTLTLGFLITLVLSSVLIKTYAIELTFGSVGIFRPFLLTLGLSPNSRE YINIVVIAGLVHSIIPVATLTLIGAMQSIDPRLLDAAQSLGAPGWKAHLSITLPLSFP ALISSTLVSLTFAISAFVIPMVLGRGRVLFMSNVIYTRFSDIANYPSGAAISLVMLAA ALAVVAVITFAQARRVSR YP_002977767.1 MLHDMTRRRFLGSAAAAGIASTMTGFARSAAAGSGNLTAVEWGG DVVEAMKQIAADQNAATFNWVLHQGGAGAILPKIKAVWPNVDYDYVAGWEGSFNSMVK EDWLETINPADVPNLANIPEKIIIRDGKGNIKAVPRAVGGMYFGARTDTAPITVKTID DLLSPDLKGAICWPGPTQSMMLQIVALALHAGGSETNMEPGWKLMTELAKSGNIGRVA VTDIDFTNSLTSGETSVGFFAEPGWAAVAKNFPVTRFTKDSNFKAFLYQSGFGVLKNR PSTKATLDFVNFAISPDMNSLYAKVAGEAPLNSKAVTPDNLKHLSFTSDEFSSFVYVP DYNVVLEQQDAWSKRWEQDIAPLL YP_002977768.1 MEDPHFRAVAASVFKDGDSRKWPFADPATFLDARFIENGLRPEV LEALDVALIGVPMDLGVTNRAGARLGPRAVRAIERIGPYEHVLRVAPMGGLKVADVGD VPMRSRFGLAECHADIEACYRMIAATGVIPLSVGGDHSISGAILKGLAAGQPVGMIHI DAHCDTAGPYEGSKFHHGAPFREAVLAGVLDPKRTIQIGIRGGGEYLWEFSFVSGMTV IHAEEVAEMGLKAVIAKALEVVGAGPTYLSFDVDSLDPAFAPGTGTPEVGGLQPREAL TLLRGFKGINLIGGDVVEIAPQYDNTTNTAQIAAQVLFELLCLAMFSPAVRTKLT YP_002977769.1 MTALMKLRYTATAAIAVFGILAGAAQADELADIKAAGEINIGIF SDFPPFSSASADMSIKGYDVDVAQKIADGLGVKLNLVSVTGQNRIAYLNDDRVDLLMS VGYSKERAEVIDFAAPYAPYYIAVIGPAALKVSGKEDLADKTVAVNRGTLEDTSLTAV APGSAAIQRFENYNSVIQAFISGQTQLMVVGNDVGAQVLARQDELKPEQKFQLLSSPS HIALRKGEEGLKKVVNDSVAAMISDGSLDASSKSWLKAPLNPENLKD YP_002977770.1 MRYSLDFNWLWDGMGALAYGACTTLALTVSTSVLGIVLSILGAA ARRGPYPWLRKVVGLYVEIMRNTPFLVQLFFIFFGLPSLGIRLDPITAAVLAMTLNMA AYTIEVVGAGLDAIPRGQKEAAQALGLRPRLVFFKIILPQAIAIIFPALTSQIIIMML ESAVVSQISVRELAQEADLLQSRTFRSFETYLVATLIYLSMSAALRRLLVAGKRRFLG AGLT YP_002977771.1 MIEFTFWDILRNLVFATRWTLMLSLAAFAGGAIVGLAILSARIS KTRWPRSFASGYIALFQGTPLLMQLFLMFFGLPMLGFRIESWTAAVCGLTFYASAYLA EIWRSGVEAVPRGQWDAAASLGLHRLLELRLIILPQAFRITRAPTVGFLVQLIKSTAL ASILGFDELLKTANAINNATFEPFKVYGLVAVIFFALCYPLTQYARFLEKKAAFG YP_002977772.1 MTDTQIRILDARTTGELLPFGPLVATLRQAFAEGCVVPVRHHHT IANSGEPDATLLLMPAWHETHRPERYLGIKIVTVFPGNTVRGIPGLTSTYMLYDGRTG MQLALLDGNTITARRTVAASALAADYLARKDARRLLVIGAGRVASLIPDAYRAVRPIA HVDIWDIDPASAERLAQSLRQQGLQVAAVTDLEAAVRQADIVSAATLATAPLIRGEWL RPGTHVDLIGGFTPAMREADDEALRRSSVYIDTHEALHEAGDLVQPIGARVISADAVR ATLDELCRRDVPARTSNDEITLYKAVGTALADLAAATMVYEAVLIAG YP_002977773.1 MITNPPRIEIQQLAHFVLACQSPTLAETARELGIAPSALTSSLR TLENELQLKLFIRKSGHLSPLPAAFWLFQQATAILHRERFVRRMRNGDTDHLRINIRL DLSFSIGRFSKAIGRTVEDMERERPDLLIDVMFADARGKSLVEEGAAEIPGNTGSMEI EVGYVTGVPSANLPTMTPFYDEVWFSVGTAEAAVDLRSPNQKFVVLKMRQALRDAVTR YADEHGIRDRMILMDEEPADLHRLLNEFPQMRFLMPRSMVADRLGLARLHLEPLDPPL SSTLGVRANRPDQEVVSALLCNLKKNLEATEANIVFRPQLTARQLHYFNLAHLSGGIS AAARAAHITQPSVSTQIQKIEAVVGQPLFERRRNGAESTKAGKALLPFTLEIEERIDS LLRASLDIAAHTQATISIGMLPSSGHDSVMTDKVAQALTATRLGHPEYRLRIIEGSNA ALHDQVRAGELNLAIVGAVQTQMARIHLGPSERLSVVANPALDLAGRTEIPLAEVCGF PLVLGIKHLSIHQAFMAAASARHLCVEPVMDVGSLPLAIAMVRRLPVCTVLPVSSVQQ DIDSGRLTAAPITEDVIAGNLSVIFSGERTLSEAERTMIQSLAAVFGRQA YP_002977774.1 MNDHPDPLENPAMTSGTAGAAMPRSESDQSCRGILQELDRRGQL LVISEEVDPIHDVSAILSIVDEKAAVRLDCIKGHDMPIFGNILSDLDRVALALDVAKS DIQEKLLSSIASPVPPVFVDDAPVQQQLFQDDILTRLPVPTFFSKETGPYITAGLIVA RDPETGLGNASYARIKVLGPNEAMIGIAPNHHLAIMARKAGAKGEPLPFAVVLGAHPA IQLAACFYLGLGDDEMHCAGSLLGEPVRLVRCKSIDLAVPAEAEIVLEGHIHIDEPIL EGLVSEYHGMYEDYGSGVRVRFECMTCRSDAMLQVIEPGYHMEHLYLGAVPIAASLKA VIRRSVLNVGEVAVTASGSGRNNVVVQIDAPRPGQARRIMSICWGAVSIVKNITIVDS DVDPWDLDAVELAKMTRMRAERDILIVTDLPADRSEPQEDGGVIAKVGYDATMKPGDR REGFDKALPPPDSYERMRKLLLRVKPEMLI YP_002977775.1 MRRLIIGISGASGAIYGLRALEMLRDFDDVETHLVISPAAIRTA LAEGTGKTADEIRGLADRVYSHGDIGASIASGSFRCDGMLVAPCSIKTLSGIAHCYAD DLIVRAADVCLKERRRLVLMVRETPLHAGHIALMDQATRNGAVIMPPVPSFYTLPKSI AEMVDQTVGRALDQFGLHHPTVRRWTEDHND YP_002977776.1 MLSDNRSRRLQSLFMRGAAVLLLSLMAAAPVMLAASQAAAQTEK PVSGGAMTIINGSDIKSWDPAISAGTYPGGPMDVLDAVYGFIVYVNDKGVVTGGMAES LTSTDAVTWTLKLRKDMKFTDGTPYDAEAVKYNWDRAADSATLSPAQPFISSWNKAIT VVDPQTLTIKLSSPNANFAAQVAELCPFIASPAALKAAKEKTDIKPVGAGAFTLTEWN QGISMTMARNPGYWDQPRPYLETIKFAIIPETNSRIATVVQGGATMMAGYPYQFGSNA TAPGVATREIPIRGINRAYLNQAKGIFTDVRAREAFYSAIDRARLMQAFTQMPGYKAP SNYFGENSPYFDSASSLPAYDPKKAQELFDALKADGKPFSIKIVTYTNSDLKRLAAYI QQVLTGYEGASAEIVEVDQASLIQRCKTQLDFDICVEGGVLVSNGAEPNISNLLSSGG AFNWGQYKSAEMDAALKEASSTLDPAAVKAAYVKVQKLVATEMPLYIFGEQTRSLLLR DNTGGVVPSNGGILQKQFLYVCTDVCQK YP_002977777.1 MIGNREKVKFRDLVSRKQVFTPCVWDCYSAKAAEMAGFEAILLS GASLGFSMSGVPDLGLHNQEELVYATDRIADYSPLPLVIDADDGFGDVVQTFRTCYRL AKAGAGAILIEDTPNERGYARFGRAMEAATLAGKVDGNVPHEVVSQELWLAKIKASIE ACQGTDCVVIARTESKLEKGLDDAIERCVRAAALGAEMTYVHGLRTLEECRKVAKELP GWKMFGDVATVNGVPFVRLEEIEALGFNLVTMHYLEKGSMYGMMDYGRHVFKDRSTRY ADEHSMGGMTPAEQKASLERDSGWLDAEDRWKAL YP_002977778.1 MRIANLSYLKAVGVRLLAGIPVFLLVTFSATALSSLMPGSAAQL ILGENATQAQIDALNSRYGYDLPVWERYLGWLGRLLQGDLGQTMFSQQSVAKLLVDRA AVTFEIALLAMFVSLLIGVPLAMYTASRPGGIVDTVLRAVSSVMLSIPTFVIVVLLGF VFAIVLRWLPATGWVTFSDDPIGNLYYVALPVMCLSVHQAAYFYRVSRSEFVAVLQED YVLVARAKGLPTRYILMRHVLRPASPQVLTVMGLSMTYLLGGSFIVESYFAVPGIGWT VLNAVSSHDLPVMQAILSLTVVIFVVIFILVDLGYALIDPRVDVS YP_002977779.1 MSRLQPTQTDLVPGEAVALAETLNAAMPKTARFRMPSGHPMEWF AFVFLTVLIISSVFVEFIPGLVRASFPYGDFSQGPEWSLNGLFGTDALGRSILSRVLY GARTTLAIVSVATLISLVIGMILGMLAGFYRGPVERIVDLYANSMASLPPILVILALI SVTGNSVFTMMLALGLLDIGTYARIAKGGVIAQNDRDYVLAARAMGASDMRLLLREIL PNLVPALTAIVPPLMAGLIITEGSLSFLGYGIPAPAPSWGGMIASSTDLLSRFPLLIF GPIVAIVLTVYSLNTVGDCLARRMNHRGREL YP_002977780.1 MNEAANTTPVLDCHELSCHFRSPFGPIRVLHDISFSIPPRTTLG IVGESGAGKSMLVKTIMGIAPEGMKTSGRILVDGTDLSTLNETKRRAFLGRKFGMVFQ NPMTSLNPFVRVGRQIEEASRFHLRLGKAKAKALAIELLASVGIPDAAECYGHYPHQF SGGMKQRIMIATALACQPDLLIADEATTALDVTVQKEILDLLQLIQQQRQMSMILVTH NLGIVAGRTDDILVLYGGHVVEYGPTDTVFSHPRHRYTEALLSAMPRMDQPAHTKLRT IPGRPPDLANPAPGCPFAPRCPAAEARCHVSMPPMTTSADGKHRFACYVPVQTGDAAD TAVERRALS YP_002977781.1 MNDEASGQAVDTGAATHAFAAFLRDDALLKVRDLSVRYRRGGKI FVAVDGVSFDVAPGETLGLVGESGSGKTTIGRALLKLLPKADTRVDGHVEYDGLNVAD LSASDLRAIRSKLQMIFQDPISSFNPRRKVQDIVGEGLEIQGIHKAERLERVDRALND VGMSRTMVEGRRPHQFSGGQCQRIAIARALAVGPELIVCDEPVASLDVSVQAHVINLL QDIRQKRNLALIFISHDLAVVRNVSDRVAVLYMGRIVEIGTGDAIYQRPAHPYTRMLL EAVPVPDASRKIVPSTTPTQALSRSAPPSGCRFRLRCPRAQAVCAEQEPKLASMPHGQ FAACHFPHDEPAPGMKTAEQA YP_002977782.1 MEKAPDALAEKTLASGNARSGQDSGAARRAAFCANVFDVIVRLY GEPGIASWCLEAQTRHAVDVPSLLFFALADSDGHGTDDGEMQRLLERAGEWRSHFVLP LRHLRLTLRQGRRNTAEIEFYEKIKAAELEAERLQVRRLADDFLPLEGPGGLAARYLE TISMPEPEAAELVERLRDATKAVCHGFPIMRTEDLKTS YP_002977783.1 MSDFDAVMTGTIATEEGVAENYVAVTGGITAAIETGCAPGARVR FRHLLSHTYRASRTSR YP_002977784.1 MNAIILDGDSLTIKDTVRIARQGAKVALADAARAEIIKVRNYIE ENWLTENAPPTYGFNTGVGKLKDYAINQADNDRFQRNIVLSHCSGIGEPASEEIVRAM MAVRINAFCLGVSGLRIEVVDRLVEMLNRGVHPVVPIQGSVGASGDLAPLAHMVSVLI GYEEAEAYYQGERMPAPQALEKAGIFPIAFDLKAKDCLALINGNSLCAAMAVLNLHDA EMLMKTADAAGALSLEAIRGEQAAFDPRIHLVRKQPGQIATAENIRRIIEGSRRTTEA ARAVRLEDDILHPKHTARIQDQYSFRCLPQVHGSCRDQLEHAKELITRELNAATDNPL VFWNELGALEFLSGGNFHCEPIAFAMDLLTIALVEIGNISERRLFSLCDTTLNYGLPP NLAGKPIGLNYGYGIISTAAASVASENKTLAFPAVADTIPTKSSQEDHVSMATWACRK TRQVVDNMPKILGVECLLAARAIFLTEEALGGYKLGTGSQALYDALRDAIPFQQEDSY MPKQTTPALEIVRSGAFLETIENKIGALK YP_002977785.1 MFDDLIMPPADKILSLMPIFRQDSRSNKIDLGVGVYRDASGTTP IPRAVREAEKRIHTAQTTKAYVGPAGDPVFCDLIGRLVFGEAAPWERIRGIQTPGGAG ALTVLAGLISLARPGAAVHVPDPTWVNHVSILEDNRLRVVTYPYLDRRTGEVDFDALL DHFSRSERGDIVLLHGCCHNPTGADPSRSQWQALAEIIAERGLVPLVDIAYQGFGEGL EDDAFVVRLLTGMVPEMLVSSSCSKNFGIYRERTGAAFILAANADRADAAKAQLTVRA RLVYSMPPDHGAAIVRTVLEDPALSADWRAELDDMRSSILSLRQGLAASFRRFTNGSD YDFLAKNKGMISLIGLTPGEAVMLREQHAIYIVEDGRINVAGLQASQIDTFAEAVLAV RGKR YP_002977786.1 MSTLTRFSVQPLSTMTRRLADVASARREPDLVIQGARVLSTYSE RFLDGREVWISGGRIAAVKPAGSYRGASAKLYDARGGIIAPGLVDPHIHIESSMVTAC AYAEAALLNGTTTIFCDSHEIGNVMDVAGVEAMLEDARQAPLSIFLTVPSTVPATTPD LETAGGDLTPDKIAALFDKWPEAVALGEKMDFVPVAMGDERSHAILAAALERGRPVSG HVYGREFVAAYAASGVTDTHEAIDRDIADDLLEAGVWIFLRGGPPTTPWHSLPQAIKT ITELGASHKRVAVCTDDRDAEDLLAFGLDWVTREAVKYGMRPEQAWAMGSLHGATRFG MEGEIGGLGGGRRADLVLLSDDLTPVSTWYGGELVVDGKKITPILDEALSKPYRYPDA AYHTVKLPKNLKLTPDLPIETVVAHTIKTELPGITLGHVTVTLEPANDWQAHFDKHDL CFVTVVERHGKSAGNVAHGLLNGFGLKQGAVASSVGHDSHNIIVAGTNAADMQVALDA IEEKQGGVCVVMDGKVTAMVPLPIAGLLSDKRVHQVADEVKALKLEWEKAGCTIAYMG FNLIPLSVIPEIRITDKGLVLVPEMVISPLFE YP_002977787.1 MTIEELIDLQEAGSRARVLGLKAHENPYLAAHRMPTGDTSALGD WLARHDAWKFGWEAEDASREGRIAAHFKELISIAKRGALDA YP_002977788.1 MLEFNIMRAHAGRLSMSTIAGIMLLCTGQANAETLRLAHASSSK SLIQEAVVMFADKLAGETKGGLTVQIFPDGQLGDEGPIADGVGSGSIDIGLGGVADAI DPKLNVVTLPFLFSDANAAHTFLDGPVGKKVFDTGADNGFKMLGALDSGFRQFATVSK SIATPEDIKGLKLRTPPNPVILATIEQLGALPQSIPFGEVYTSLQSHVVDGVEPEIRD FADQKWYESAKFLSVSNYIWTPNYWFMNKERFDALSPENQAAVTKAVEETTIWYRGKL DEVYAQVIEDLKSKGVTVTTVDTTPFRAMVDPVYVKFGAEWGDDLVSSVRSAAAGK YP_002977789.1 MKRLASSAVFVMTIVGVLVVVALILSTGLGAVGRYLGLSGITWS FEMVGILFLWTTAIGAVLSEVAGENVSIDGNTSTSGRNRWFRIYHNLILLTVAAAFLW SGKAMLARTGFVPTPVMRAPSWIVQSIIVFMGATLAIIAIARIVSAFRERVR YP_002977790.1 MTLLVLFGVFAITLYTGVPVAWSIAFSTLVVIYFGLVPLPPSWF AQQVYMGADSISLASIPLFLVAGGIMNEGGLTRRIIDLANDMVGWVRGGLGVVNVATC MIYGGITGSATADTGAVGAIMIPAMAERGYPRDFAAAVTAAAGTLGIILPPSVVMIMY GVITDTSIGGLFAAGIIPGLMLAVTFMLTAWWVGVKENFPKSETRPTVTSFSKHLLRA LPALMMPIAVLSSMLSGLATTTEAAFVAVVWALLVGGVLYREITLAGLWKIGAETVRM TGAIMIIMAVSVPFSWILTVEQIPQWTAGLLHAWGAGPTITILLILALLTFVGTWADL GPSLIILAPIVHPIGVEAGLQPYQLGLIFTMALGIGLFTPPVGTNIFVVCNVAKVGVN AVTRRLIPFFITSNICLLLVAFIPETTEWLPRYFGF YP_002977791.1 MTKHLVGGISSAGRALPPMDGKPFVARRSQGAYIWDDRQRQYID TALGFGATVIGHANPLVVEAVTAALRNGPMPAFAHDGEEEAAGVLTAATQHLSRAIFT NTGSEAVHLACRLARAATGRSYIAKMAAGYDGWYDDVTLGQAGSADAAMLTNARPVHE RTTLLRFNDFDDVDQLFAENSDIAAVILEPMLANAGCIPAAPGYLAHVEATARRHGAL VILDEVLMGFRTRFGLTGHSMEVEADLATVGKAIGSGVAVAAVVGRPDLMALAEEGTV NRAGTYSGNPVATAAVTATFQVLRDLDYAALLARGERLRHGINSAFASYGRAVTTSGE GSVFTIWFADSPPSNYAETLDRANAVMTLKLHEALRRRGLLIMPFAFGRLYLSNAHTD GVIDEMIGIFEDAARDMAA YP_002977792.1 MQHFDTDALATFVAVADAGGFTAAGTRIGKSQAAVSLIIARFEE QIGRKLFDRTRRGVHLTETGEILIGYARRIIAIEDEALAALDPGAMRGYVRLGMPDDY IELFGKPLIEEFSRANPRIQVEIQCDFSRSLEAMVEHGTINLAIITRAPDSIVGELLR REPLVWCGPPDGQIERQRPLPLALFPEKDCRARPHIVHALTQAGIGWRAAWTSSHLPS IQAALDAGAAVTALPASVVASRHRRLTAEADGLPSLKPLEIALLVPHGARAAVRTVAS FVRSHFQQL YP_002977793.1 MTIYDELRKAPFNLDDAAISWIRDVYASLDLDDKIGQLFTLIMI GTDEEDFKRIASLRPGGVTRFFTADLEFERRVISDLVAKSKVPPIISADLEGSRHSFA FGTPVLGQLGLAAVDDVQATEKSSEILAREGRAMGVRWSFTPVIDINNAFRSPIVGTR SYGSDVNKIERHAVAHVHGLQRNGVAATAKHWPGEGYDDRDQHLVTTTNPLSMDEWKE TFGRLYGTLIAEGVLAIMSGHISLPAYVRSKMPDAGLEAFRPASVSRLLNVDLLRDEL GFNGIIVSDATPMGGLSAWGHHLDTLPDIIANGCDMILFSDEPEQDMAAVKGAIEDGR ITPERLEEAVLRVLALKAHLKLFQPSDVLPDAAEARHLLAHPDNVAASREYIGRSPTL VKDVNGIFPLDPAKTKRVLLVDGGIIHPLMPQPLEFLLPALLRREGFEVTIDRPDIVP TPDDFDLVLYALGDESLLVRGRIFVDWHRMGGGGLFKAMYRPWTNIPSVMISFGHPYH LYDAPRVPAYINAYSTMDSVQEAVVDCMLGRKPFLGTNPVDPFCGLEDARY YP_002977794.1 MSQAIQGELKRPSLRRPLLKRLLKPTLDIQNVPDELNLTSPRWL VAG YP_002977795.1 MKTDPQAFIRANTSLMPPPHVPEISLYLANEAHELWLKTEEELE AIGLPPPFWAFAWAGGQGLARYVLDHPEAVRGKRVLDFASGSGLVGIAAVMAGAREVM ASDIDPWAETAVRLNAEANHVSLGFTGADLIGQAVDTDIVLAGDVFYDRAFADALVPW LAKLAADGKRVLVGDPGRSYLPRDQLEFCAVYEVPVTRALEDSEIKKTTVWRFAS YP_002977796.1 MAHWLYKSEPASWSWEQQKAAGEKGTEWTGVRNYLARNNMRAMQ IGDKGFFYHSNDGLEIVGIVEVCALSHPDSSAKGDPKWDCVDIRAVMDVPKPVTLKDV KASEKLAKMALVTSMRLSVQPVTEEEYLEVCRMGGLDNPPR YP_002977797.1 MLFALLCKDKPGHLNVRMDTRPTHIEHLNKLNAEGKLKIAGPFL DDDGKPCGSLIIVEAESKEAARALADADPYAKAGLFESVDVKAYNWVFNKPEA YP_002977798.1 MRENIAVVGSGAFGTALAAVIALAGRSAVTLVGRNPALIADLKS ERLHDAVLPGILLPDTLEFSAEADAIAGASIVLFAMPSQAQADAARQYGPYLTKDAVV VTCAKGIERATGNLLTDMLQRELPDHSVAVLSGPGFAADIAKGLPTAMAIAAADMEIA ERLAQAISGRTFRLYASNDRIGVQLGGALKNVLAIACGIVEGRGIGDSARAALIARGL AEMSRFVVAKGGQADTVRGLSGLGDLVLTATSHQSRNLRFGIALGRGEKTDPLQGALV EGAFAASVASRLAAELKVSMPITDAISAIIDGKLDISEAIEQLMTRPITTE YP_002977799.1 MVPFLRILGIETSCDETAAAVVERDAEGHSNVLSDVVLSQLDEH SAYGGVVPEIAARAHVEALDELIEEALNRANVSLDDVDAIAATSGPGLIGGLLVGLMT GKAIARAAGKPLYAINHLEGHALTARLTDGLSFPYLMLLVSGGHTQLILVRGVGQYER WGTTIDDALGEAFDKTAKLLGLPYPGGPAVERMARDGNPDRFDFPRPLVGEARLDFSF SGLKTAVRQAAQDIAPLSDQDVADICASFQKAVSRTLKDRIGRGLQRFKTEIPATFPA TGPATGEKPALVVAGGVAANLELRGTLQALCDKNGFRFVAPPLHLCTDNAVMIAWAGL ERMATGAAPDTLDVQPRSRWPLDSNAETLIGFGKRGAKA YP_002977800.1 MQTKPFRIGTRGSPLALAQAHEARDRLMAAHHLPEDMFEIVVLT TKGDRITDRSLAEIGGKGLFTEELEQKLAAGELDFAVHSAKDMATKLPEGLYLSAYLP REDIRDAVIGRTARKLIDLPHGATVGSSSLRRQALIRRMRPDINVITFRGLVETRLRK LEQGEVDATLLALAGLKRLGKVDVLTDILDPDTFPPAPAQGAICIESRIGDARVDDLL APVNDGPTFDTVSCERAFLAALDGSCRTPIGGYAVCEGDLIRFSGLIITPDGRSQHAV TTDGHRRDAAALGTRAGQDVRARAGSAFFDDWH YP_002977801.1 MRVLVTRPAHSAERTAQRLRDLGHEPLLLPLRQPLHDSAAAAGA LAATGSAIAVTSAEAIRVLSALGEQLHPHLARPLFAVGETTAEEARSLGFRSVTSSQG NGRDLADLVATQGADGLLYLAGMPRAETFEAGLRELGIRFSIAECYRMQPIVPGQPEI EAIFSRSRPDAILFYSRQTAEDFFRVPELRSAVPEHSGIRILCLSEAVAEAIPAALKK SVEISPMADEKSLLSLL YP_002977802.1 MVSGNPPRHSKSADEPVTIDLDAQEFAAAADTEKPVNNETADAD STAAADVGLPPETETASHAEYEEKPVMEAPEEEPAAPEPSFTPPPEQPEPKSAGTSGL IAAGIFGGLVALLGAGAIQYAGYLPGSSAPQTTSPETANLAGEIDGLKQSVANLAANP ASTDNGELAKRVAALETAAKAPAAGAPADSANVEALNQKIAELTGQVDQLRSTLTQSS EQQTTNGADIAKRLEEAEKKLNEPREDVAVARAIAAAALKAAIDHGGPFLAELDTFAG VAPDDPAVADLRAFAETGIPSRTELVGEVPDVATAIVEAVNQPDPNQSWSDRLMSSAK SLVSVRPVGNIEGESVEAIAARMEEKVKNGDLPGASAEWNNLPALGKQASAAFKQTLE ARIRVEELVGGALSKAVSGTGKEG YP_002977803.1 MLIRLVVFALFVLLLAYGFSWLADRPGDLSLIWEGRIYQTKLIV AASAIIALVAAVMIAWWFVRLVWTSPHSVTRYFRARKRDRGYQALSTGLIAAGAGNAL LARKMAARSRGLIRADQEPLINLLEAQAALIEGRHDEARAKFEAMANDPETRELGLRG LYLEARRLGANEAARQYAEKAADNAPYLPWAAQATLEYRSQAGRWDDAIRLLEQQKAA RVVEKAEANRLHAVLLTARAGEKLESNPTGARDDALQALKLAADFIPAALIAAKALFR EGGVRKAASILEQAWKSAPHPEIGQAYVRARSGDSTLDRLKRAERLEGQRPNNVESLL VVAQAALDAQEFAKARAKAEAAARMQPREAAYLLLADIEEAETGDQGRVRHWLAQALK APRDPAWVADGFVSDKWLPVSPVTGRLDAFEWKAPFGQIEGALEDGSAPASIETALKT LPPLRDVRPESPVNDHRIIELERAATIAEAVRPTPAPAPAPAPTSAKPKPVEPAVSDK APAPSEAKPFFGGLPDDPGVRDPRVEPEPKTRLRLF YP_002977804.1 MFERFQAFFQNLTADHPKKGFAPDDPRIAVAALCMQVMEADGQI KASEKKRLRKLLKEQYALDGKQLDALIAAGLEAESSAVDYYRFTADLKRHLNTEQRLE LIGVLWDIVYADGERSEMEDHVIWRIADLLGVSSRERIQKRQEAAARVTDVQVAQDDT D YP_002977805.1 MIPTEQNLNRDGRPILIVLHQERSSPGRVGQLLVEKGYRLDIRR PVLGQPLPTTLEDHAGAVVFGGPMSANDPDDFIKKEIDWIDVPLREKRPYLGICLGAQ MLVHHLGGKVQSNADGSTEIGWYPLHPTEKGRLLMHWPKMVYHFHREGFELPRGADLL AEGDAYPNQAFRYDGNAWGLQFHAELTRVMMHRWVVHGAHRFILPNAQQGREHLEGRM LFDAPLKAWLTEFLDIVFEGKTAKATSSIALRA YP_002977806.1 MSFSPTGDRFAAFRHSSYTRFFFARFLLSFSQQIVSVAVGWQMY DQTGSAIYLGLIGLVQFLPSLLLILVTGSVADRYNRRAIAALCSLVSALCTLALLVMT LMGSFTPLPVFAVLLIFGIERAFMSPAVQSLAPNLVPEEALSNAIAWNSSSWQLAAIT GPVLGGLLYGVSAPTAYTVAVIFSVLGAALLYMIPKPVQKTTGETKSWAMILGGFSFI RAEKVVLGAISLDLFAVLLGGATALMPIFARDILTLGPWGLGLLRAAPGLGAIVMAIF LAAYPLRHRAGIYMFIGVALFGVGTIIFGISTNTEVSIAALALMGAADMVSVYVRESL IALWTPDQLRGRVNAVNMVFVGASNELGEFRAGTMAALFGAVPAVVIGGIGTLVVAAI WASSFPKLRGIDTLDAPSASSKSI YP_002977807.1 MFALFQTIDLALNIYTWILIGSAIFSWLYAFNVINSSNQFVNSV GTFLYNVTEPVLKPIRRLLPNLGGIDISPIILLLIIFFLRTLLWTTLYPLVA YP_002977808.1 MSRPWSLFDDHLRLAVRLTPNGGRDALDGIEADGEGEAFLKARV TAVPEKGKANKALILLIAQSLRIPKSSVSLISGDTARKKILRIDGDPEDLVKKLEIFL G YP_002977809.1 MRIDAIAIGNNPPEDVNVIVEVPVGGHPIKYEMDKEAGTLVVDR FLYTPMTYPGNYGFVPHTLSEDGDPIDVLIASTRPLVPGCVINVRPIGVLKMEDNSGK DEKIIAVPSPKLTLRYEKVKDYTDLPEITLKQIEHFFEHYKDLEPGKWVKIFGWGDSK EAGELILEAVERAKKKG YP_002977810.1 MKTLSIDVRRAEPQDARAISETHRLAWQHTYAGIIPHRALTQMI ERRGESWWRKATRGPATLLVLDVAGTVAGYATLGLNRARALPQEGEIYELYLRPEYQG IGLGRMLFGEARRLLKSLGCNGLVVWCLEDNETASHFYRNHGGVDFCEGMESFDHRQL KKIGFIWS YP_002977811.1 MSLRNIAIIAHVDHGKTTLVDELLKQSGSFRENQRVAERVMDSN DLEKERGITILAKATSVEWKGVRINIVDTPGHADFGGEVERILSMVDGAIVLVDSSEG PMPQTKFVVSKALKVGLRPIVAINKIDRPDGRHEEVINEVFDLFANLDATDEQLDFPI LYGSGRDGWMNVNPEGPKDQGLTPLLDLVLKHVPEPTVHEGPFTMIGTILEANPFLGR IITGRINSGSIKPNQAVKVLHADGKTIETGRISKILAFRGIERTAIDEAHQGDIIAIA GLSKGTVADTFCDPSITIPLPAQPIDPPTVTMSFIVNDSPLAGTEGDKVTSRVIRDRL FKEAEGNVALKIEEAEGKDSFYVSGRGELQLAVLIETMRREGFELAVSRPRVVMHKDE NGQLLEPVEEVVVDVDEEHSGVVVQKMSERKAEMVELRPSGGNRLRLRFYAPTRGLIG YQSELLTDTRGTAIMNRLFHDYQPYKGVIGGRVNGVLLANAPGEAVAYAMFNLEDRGP MIIEPGEKVYAGMIIGIHSRDNDLEVNVLKGKQLTNIRAAGKDEAVKLTPPIRMTLDR ALSWIQDDELMEVTPKNIRLRKMYLDANDRKRFEKTKAAL YP_002977812.1 MSSSHDFNPALVTWDGLHGLPRFDALNDGDFAAAFEAALAAHER EIDEIAGNGDAPTFDNTVVALEIAGDALSRVSSLFWNKAGAHTNDVIQALEREISPKM SRHYSKIGMNAALFARIDTLWESRDSLGLTLEQTRVLERHWKGFVKSGAKLEKAEQEK LATINEKLAGLGTQFGQNVLADEKAWALVLSDGAELEGLPEFLRDAMAGAARERGEEG KYAVTLSRSIIEPFLTFSERRDLREQAFKAWVARGENDGETDNRAVIRETLALRHQVA TLLGYGNFAELKLDNTMAKTAEAVNGLLRAVWARAVKRAGEEEIDIAAMIAEEGRNHE VMPWDWRHYAEKIRARKFDFSETELKPYLQLEKIIEACFDVAGRLFGIRAVEKKGVAA YHPDVRVFEIRDREDKLVALFLGDYFARSSKRSGAWMSSLQSQHRLELKNGRHGELPI IYNVCNFAKPAEGKPALLSLDDARTLFHEFGHALHGMLSNVTYPSVAGTGVSRDFVEL PSQLYEHWLTVPAILKRYAVHVETGEPMPQALLDKVLAARTFNAGFNTVEFTSSALVD MAFHTRTAVEDPMAVQAEVLAEIGMPKSIVMRHATPHFQHIFSGGYSAGYYSYMWSEV LDADAFAAFEETGDAFNGEMARKLKDNIYSVGGSVDPEDAYKAFRGKLPSPDAMLVKK GLSTFEELTGSDA YP_002977813.1 MEIFSVRSSQSLLFKNNPNNAKSSKTSDIQIETRAPAPASFQIE DDVGEGPDFTAVSPGELRNYARQSFDSGLIDQNTYAAISEPLPMHAIDPLGNIVDLSS VTDGTSFNFLDYYKNQLQVAMSIGDADEVQTLKSIVNFLDG YP_002977814.1 MTQSLLFGAFLAALFYVLIPGPAFLQLLGIGAGQGRKAGAFFMM GHLVGDLIWSSLALIAIVGAKTIGTFVFDLLGLACGFYLAWIGWSAVNAKPKAEGQAL VVVERPFRRGLIFGVTNPKGYPVALATFTALVAGSAGALDFEALPVLLVVSFVGFVTA DIILIGIIGAGTVRRFYRAHERLIVRCSGVLFMGFAAQALWHATPGLLGWRKA YP_002977815.1 MNIGGDVKAEELSFGPFRLSVGQRLLAKDGVPINLGARALDLLV ALTLAPNVIVSKQDLISRVWPDVIVDEGSLRFHMTGLRKALGDGHDGARYITTIAGRG YCFVAPISRSGLLRQVSAELDFRYAILPGRPDRMVGREQDVLALTEKLMASRMVTIVG VGGVGKTTVATAVAHHLAPTFKGAVLFADYGMLSDPALVAAGIASMLGLSVSSSDVRP SLIAYLRDKQIMLILDTCEHLIDAIADLVAAIVEAAPQVFLLATSREALRIEAESVYR LDTLAFPPDDLELTTDTILAFPATRLFVERAAASGANLNLSDQDARVVASICRKLDGM ALALELAARRVESYGLLQTAKLLDQHLTLGWAGSRNAPPRQRTLQATLDWSFGLLTDL ERMVLRRLAVFVGDFTLDAALEVISTADMAPSAIFEALDNLVAKSLLATRPAGATMRY RLLDTTRAYALHAQTDEDRAGLNARHATYCQRWLEQFGPDWPTLSTGPERLPYFVSIN NVRAALEWAFGEHGDIDVGIRLAAAAVPVFQVMSLFPECQRWSKRAVLALDEAFRGGV EEMHLQAGLGISRMYLQGGRETPQIALGRALHIAEDRGNTLDQLRILGPLHMFSLRIG DFNAALDYARRCSAIAATLDDAATVELAHFFLGNSLHFTGDLRHARTELEAATRSEHQ PQRTPASYVGFEGKHLAGGILARNLWLQGYPEQADVQARQAISDAAKLDHSLTLCIAL LGGIAVFLWRGDVPSAEEHIEWLVSRAGLHNLSPYVSVARGFEGELAIRRGQVKLGIE TLRRCIEKLHASTYEVFTTMLELSLAKGLALIGEREEGMARINKTIELVERNGDLCYM PELLRVKAGLLSINSATDAEACLVSSLERSASMGAHAWELRAATDLAALMASDGRLRE ARVLLTPVCERFEEGMDTTDVMAADTLLQNLS YP_002977816.1 MNNTMHLIYTAITETTGGRQNGIARSTDGVLDIRLSEPGSARIG TNPEQLMAAAWSASFASSMAKVARETGVNLPANVKIHAEVDLSSDDDQSILGVRLAIQ LPGLERDVASSLIEESRRICPFSRATRGNVEVVFRIG YP_002977817.1 MTAIKTDVIDEDRRRLLAAAASGIAALGIASLLPAGSTAATESD AIRPFRVNVPEADLADLRYRLAHTRLPEKETVSDFSQGVPLKTTKQLLDHWQNKYDWR KVEARINAVPNFITEIDGLDIHFIHVRSKHENALPLIVTHGWPGSIIEQLKIIGPLTD PTAYGGSASDAFHIVIPSMPGYGFSGKPDATGWGPERIATAWITLMRRLGYKQFVAQG GDWGAVVTDMIGVQAPPELLGIHTNMPGAIPNDINNASFVGAPAPAGLSDEEKASYHQ LVSFYKNVYYAFLMGTRPQTLTGLSDSPIALATYMLDHDRASLAMIARSFDGQDEGVS PDDVLDNVTLFWLTNTGVSAARLYWENKLVFFASKGVKVPVAVSVFPDELYQTPRAWA EKAYPNLVHYNKLPKGGHFAAWEQPKLFTDEVRVGFRSLRKSG YP_002977818.1 MTSKVLFTGKTHNTNGRDGGARSADGFLDIKMKQPHPAAENLFG AAWSACYMGAIELVASRKQIKLPAGISVDAEIDLNNDDGNFFLSARLNVSVPGIDPVI ARELLDAAHGICPYSKATHGNIDVVTNLI YP_002977819.1 MFRFSTKRHAYHDFAELLDTTIYQPLPDEWLVGITDVVNSTSAV NAGRYKDVNYAGASAIAALGNAWNSFDFPFVFRGDGAAFAFHPDRLETATAALRQTVG HVRDVFQLDLRAGLVSEREIRAGGKDVRTARFSASETAIYSMFAGGGLNWADRQIKSG KYGIADNVTDTSANLSGLTCEWAPIPSRSGEILSLLVEPCDHSRSTMFAKLAKQIVAV FDTGERHSHPVPNDIVITQDVEDYLSGAAWADVVANSDYRRYDDVLRLTLDCTAEQIG VVEALLVRARNRGDIRFGLHRQSHALMTCLVPSGNKGSHLHFLDGMGGGYTKAAEMLE AQ YP_002977820.1 MASYKDVKKVVLAYSGGLDTSIILKWLQTELGAEVVTFTADLGQ GEELEPARKKAEMLGIKEIYIEDVREEFVRDFVFPMFRANAVYEGVYLLGTSIARPLI SKHLIDIAKKTGADAIAHGATGKGNDQVRFELSAYALNPDIKIIAPWRDWAFKSRTDL LAFAEQHQIPVAKDKKGEAPFSVDANLLHSSSEGKVLEDPSKEAPEYVHMRTISPEAA PDKATTIKVGFEKGDAVSINGVRMSPATLLAALNNYGRDNGIGRLDLVENRFVGMKSR GVYETPGGTILLSAHRAIESITLDRGAAHLKDDIMPRYAELIYYGFWFSPEREMLQAL IDKSQEHVEGEVTLKLYKGNVMVIGRESDKSLYSDKLVTFEDDQGAYDQKDAAGFIKL NALRLRTLAKRNLVK YP_002977821.1 MTNIQDIFKKPNVAVITGGASGIGLAAARYFAGRGMSVAIADLG GDRLADAANELKAIAGEENVMAVETDVASRDSLESLERAVLQRFGRVHVLMNNAGIGP ETSIFSPQANWDNIFAVNLMGVINGTRTFGPKMLSHGEPGLIINTGSKQGITTPPGNP AYNISKSGVKVFTEALQHELRNTEGGKISAHLLIPGFVFTGLTKGDRAEKPAAAWTAE QTVDFMVESLERGDFYILCPDNDVARPLDERRMLWAAGDIVENRPPLSRWHKDYADKF KAFLEQK YP_002977822.1 MDFLPSLPTLLAFAAATLLLAATPGPDMTLSISRALAQGKKAAL FVVVGTSLGIVVHTMLVAFGISALITASPTAFLILKTGGAAYLLWLAVQAIRFGSKLT VAKVEEQKGTPLSNISSGFWVNLLNPKVIIFFMTFLPQFVSAGDPAVTQKLLFFGFCF ILIGMPVNASVVFAADWLASWLQNNKKVLRGMDYTFAGVFSVFAAKILLTQAR YP_002977823.1 MFAFRNIITAGVVALTFAATSLTATAPALAHGGGGHGGGGFSRD GGHFGGGAHMGGGNFADRGFGAHHFGGAGHFGGHRDGRFAFHDRNRLFFGDDDYDPEQ CQPEWLNRYGHRVLVEVCS YP_002977824.1 MINLKNIKVVFGRGTPLQKQALSGVSLTIEEGSFVTVIGSNGAG KSTLLGVLAGDVLASEGQVLIGSADVTRKTTAARAGLVARVFQDPLTGSCGALSIEEN LALAARRGERRGLVSALGAKRRDHFRERIAELNLGLENRMKDRMDLLSGGQRQAVSLV MATLAGSEVLLLDEHTAALDPGMAEFIMGLTQKIVSERKLTTLMVTHSMRQALDYGHR TVMLHGGEIVLDVAGDSRKTLQVEDLIAMFRKMRGQTLDDDALLIG YP_002977825.1 MSQIAFWGAVELGLVYSFVALGVYLAFRVLDFPDLTVDGSFPLG AAVTAVLIIAGVNAWLAALIAMAAGAAAGMVTALLNVRFKILNLLASILTMIALFSVN LRVMGKPNVALINADTMISPFFGHGLRDFYVRPLFVGVLVVIALILVWRFLESDAGLA MRATGANARMARAQGVDTSRQIYLGMAISNALVALGGALFAQTNGFADVTSGVGTIVV GLAAVIIGETLLGRRGLLIALIGCVLGSILYRIAIQLALSSDVIGLQASDLNFVTAVL VTVALILPRLRGGAAS YP_002977826.1 MRPILLALAATLVLSLPAKADDVTVAVTAIVEHPALDAARKGVL DALTAAGYKEGENLKFVFESAQGNPATAAQIARQFAGDEPNVIVPISTPSAQAVVSST RDIPVVFTAVSDPLGAQLVKNMDKPGGNVTGLSDMSPVAEHLALIKEILPDVKTIGYL YNSGEANSVSLLAVLKAEAEKAGLKVVESAATKSAEVQGATRALVGRADVIYIPTDNT IISALEGAVAVAEESKLPLFTADTDSVSRGSIAALGFNYYDVGKQTGEIVVRVLKGEN PGDIAVKTAAGSDLVVNKAAAEKMGVTLPQSVLSRATKVIE YP_002977827.1 MSVMDAIDVITSQAPRAASGVEKPSLIGLSREEMGAALREKGVA EKQIKMRVSQLWNWIYVRGVSDFDHMTNVAKDMREMLKQHFTIERPEIVEEQVSNDGT RKWLLRFPARGAGRPVEIEAVYIPEEGRGTLCLSSQVGCTLTCSFCHTGTQRLVRNLT AEEILSQLLLARDRLGDFPDREAPQGTIMPAEGRKVSNIVMMGMGEPLYNFDAVKQAL LIATDGDGLSLSRRRVTLSTSGVVPEIFRTGEEIGVMLAISLHAVRDDLRDLLVPINK KYPLKELIEACRTYPGLSNARRITFEYVMLKDVNDSLEDAKGLIKLLKGVPAKINLIP FNPWPGTNYQCSDWEQIEKFADFINSAGYASPIRTPRGRDILAACGQLKSESERMRKT DRLAFEAMMIANHGADD YP_002977828.1 MFVKSIVSALALTLVMAGVATAQQAAPNRIQQFQAWGAYSYKSG SSTVCYVLSVPTAKQPASVDHGDNFFIVSQRPGQNISYEPQAMMGYTVKENSKINVVI DNKTFVMFTKDKAGWVENAAQEPALVAAMKTGHSMTVNAVSRKGTGTSYSYSLSGISA ALKQIETCK YP_002977829.1 MDAEERQRFAALAKAVANDRDQQAFSILFDYFAPRLKAWLMRQR MTSGEAEELVQEIMIVLWHKAELYDATRSSLSTWLFRIARNRRIDMQRRANTRIFDET DPALQPPADIGAEEIIANDDRDAKIRAAVGQLPEEQRDMLRAAFFLGQSHSEIAEATG LPLGTVKSRIRLAFGKLRKVLEREIA YP_002977830.1 MDEVKFGEILLPGDEDTQSRREKTVRQKFWPTFRRAVRQIPFSR DVVAGFYCALDPQTPTKVRGVLLAALAYFVMPIDMIPDIFAVIGFSDDIAVLSAAFAM VRGHIRPGHYEAADRVLADRPEEAMKTI YP_002977831.1 MKMEKLERTAVEAELTELSGWALNDAGSSISKTFKFANFVEAFG FMTQAALAAEKLNHHPEWFNVYSRVDVTLNTHDAGGLTELDFKLAKAMEKAAARRVA YP_002977832.1 MKRISILFVCMGNICRSPLAEGIFGHLVAEAGLTGDFTVASAGT GSWHEGDPPDRRSIATAKNHGIDISGQRARRIQSRDFMDFDLILAMDRDNLAALKKSA PHGANIHLFGDAALGTGENIPDPYYGGPEGFELVYTRLLTGCCRLLETLGVERASCNG NTSSVR YP_002977833.1 MPRLFTALEIPRNAAMSLSLLRGGLPGARWIDVENYHITLRFIG DVDGRTADEIVERLDRIDRPEFQFRLEGIGSFGSKKPHSVWAGVSQSPEMYALQGEIE RICQRIGLPPDPRKFMPHVTLARLKSSRLDDVVQYLAGRGNFHTATFTAPRFVLLSSR ESVGGGPYLTEEVFPLHEARSTPSVSSSLQQPVKSLV YP_002977834.1 MRFKVAALQFTVIAVALILATAADARTINLVGFGDSLMAGYQLP PGDGFPEKLQAALKAKGLDVAIANAGVSGDTTTGGLARIDWSVPDGTDGVILELGAND ALRGIPPEESAKNLDQMITRLKERGIAVLLAGIIAPPNMGADYAARFNPIYQKLSEKH GLPLYAFFLDGVALEAGLKLDDGMHPNTKGVDVMVEKMEPAVTNFVETISSVKK YP_002977835.1 MAKTIIELKGADLTLGSAAASVHVLKGIDLDITAGESVGIVGPS GSGKSTLLMVLAGLEKLDSGEININDTPLHSLSEDQVADFRGRNIGIVFQSFHLIANM TALENVAVPLELANVSNAFEIAHRELKSVGLGERLNHYPGQLSGGEQQRVAIARALAP SPALLIADEPTGNLDTETGRQIADLLFSKQAERGTTLLLVTHDVSLANRCSRQIRVRS GRIEGDSAARRSEAAIA YP_002977836.1 MRIITPRVSLAFRLALRELRGGIRGFYIFLACIALGTGAIAAVN SVSQSITDTIASQGQELLAGDVRFELNNREATPEELGFLEGLGTVSVSTGLRSMARKP DGSDQALVEVKAVDDAYPLYGKFVAEPDYSLAALLSGQGGTYGAVAAPLLLDRLGLAV GDELLLGNVKLSITGTVKTEPDALSEGFGFAPRLLVSRQALQASGLIQTGSLVEHAYK IRLGDKGAMSGIQARASKEFPSAGWAIRTSDRAAPSLTENITRFSQFLTLVGLTALIV GGVGVANAVRAFLDSKRTTIATFKCLGAPAQVVVLIYLFQIAIIALGGILIGLVIGAL SPMLAAQFLAQFLPVSTVPTLYPGALLLATFFGILTTLAFAILPLGHAREVPATALFR EQGFEARHLPSWPYILLAALFMAALAGLAILTAYDRFIAVVFVGAIVFAFVVLRLVAA LIAWLARRSPRVNSPALRLAIGNIHRPGALTPSVVLSLGLGLALLVTLTLIDGNLRRQ LTGRMNEGAPNFFFVDIQSAEVGAFRDLVQGQAPQGKLVEVPMLRGRIIAFNGEDVTK MNVPAAGRWVLNGDRGITYAETLPDNAALTEGKWWDKDYSGEPLVSFSSEEAHELGLK IGDKVTVNVLGRNITAKIANLRRVEWESLSINFVMVFSPNTFRGAPHAWLATLTDPSS TPAEDAAILKTVTNTYPTITSVRVKDAIDIVNQLVAQLATAIRAAASVALFASILVLA GALAAGNRARTHDAVVLKTLGATRAMLIRAFSYEYLILGLATAIFALIAGSVAAWFIV ARIMRLPSTFLPDVAGLTLVTALVLTVGIGLIGTWRILGQKAAPVLREL YP_002977837.1 MADLRNYQSRAQTGEMIDQGLRAYMLKVYNLMALGLAITGVAAY LSFQFAFANGELTAFGQAIYVSPLKWVVILAPLALVFFLSFRINTMTVAAAQTTFAVY AALVGLSLSSIFLIYTGQSVVQTFFVTAASFGALSLYGYTTKRNLSAMGSFLMMGLFG LIIASIVNIFLASSAVQFAISVLGVLIFAGLTAYDTQRIKELYLEADDVAVAGRKAIM GALTLYLDFINLFMFLLQFMGNRK YP_002977838.1 MVLESPCRPYGYAAEMSFLLRDASNADIPAIADIYRESVLNGVA SYEIVPPSEAEMAQRFAAIVGQQYPYIAAIGTDGALLGYAYASAFRTRPAYRWLVEDS IYLAPQARGRGIGKALLAELIIRCTTLGFRQMAAVIGGASPASIALHRTAGFEEVGLM KGTGYKHGRWLDTMFMQRALGEGMSADPDPSTYPGTLFNG YP_002977839.1 MRLMTDQPDLRHGESRSVDHSSSVVVDLREYKQSKDPLPVTFHR RELDAILWIYGRMVGDGEWRDYAIDHLKDRAVFSVFKRSGEMPLFRIEKNPKLAAKQG AYCVISVNGTILKRGHELNQVLKVFDKALKLVDK YP_002977840.1 MSPRFLIPLIAGVVLSGPLQAEDGTPKGVVELFTAQGCSSCPPA DAAFRKLVNQGDVIALAYHVDYWNYLGWADTLSSKENTERQYGYAKTMGRSNVYTPQA IVNGRGHLAGADLNGINSQIDTYSSEGNGLTVPISAAMRGDELEIKIGAGQGKANVVM VYFDKEKTIDVEKGENSGQKISYLHSVTNVETVGMWDGKATSLTLPASVLQRPQLEGC AILLQSATADGDPAAILGATVVMAGKNI YP_002977841.1 MSKSLDSFNCRSTLSVNGKDYVYYSLPKAEANGLAGVSKLPYSM KVLLENLLRFEDGQSVTKEHILAVAEWLNNKGAVENEIAYRPARVLMQDFTGVPAVVD LAAMRDAMVSLGGDPEKINPLVPVDLVIDHSVIVDEFGTPQAFARNVELEYQRNGERY RFLKWGQQAFKNFRVVPPGTGICHQVNLEYLGQTVWTKEEDGETIAYPDTCVGTDSHT TMINGLGVLGWGVGGIEAEAAMLGQPVSMLLPEVIGFKLTGKLKEGVTATDLVLTVVQ MLRKKGVVSKFVEFFGPGMDNMPLADRATIGNMGPEYGATCGFFPVDGETINYLTMSG RTHDRIALVEAYSKAQGMWREGDGSELVFTDTLELDLNDVVPSMAGPKRPEGRISLEN IATGFAGSMDADYKKPGQLNNRYAVEGTDFDLGHGDVAIAAITSCTNTSNPSVLIAAG LLARNAVAKGLKTKPWVKTSLAPGSQVVGEYLAKSGLQADLDKLGFNLVGFGCTTCIG NSGPLPAPISKTINDKGLIVSGVLSGNRNFEGRISPDVQANYLASPPLVVAYALAGTV QTDLTKEPIGEDQSGNPVYLKDIWPTSKEVQEFILKYVTRELYESKYADVFKGDVNWQ AVQIPPGQTYAWDDNSTYVQNPPYFVGMGKKGSGVSDIKGARVLGLFGDKITTDHISP AGSIKAASPAGAYLIDHDVAVADFNQYGTRRGNHEVMMRGTFANIRIRNHMLGPNGKE GGYTIHYPSKEETSIYDAAMQYKQEGVPLVIFAGVEYGNGSSRDWAAKGTNLLGVKAV VAQSFERIHRSNLVGMGIIPFVFEEGTTWQSLGLKGDELVTIEGLEKIKPREKKIARI TYGDGTVKEVPLLSRVDTLDEVVYLNNGGILQTVLRDLAA YP_002977842.1 MHLTAENLAARRGEDLIFVNISFHLAAGEALVLTGRNGSGKSTL LRVVAGLLRPEKGTVKFHDGESGADRHAGEVSHYLGHRNAMKNELTVAENLDFWRSFL GNTGSAAALSVEDAADAVGLSGITHLPFGYLSAGQQRRIAFAKLLVAHRPVWILDEPT AALDANADGLLADLITAYLARGGIVLAATHQPLGLRNSQELKMTGFAGVDHGVWG YP_002977843.1 MTALFLRDLKLSIRAGGGALIGVLFFLTIVAVIPFGVGPDLKLL SRIGPAIVWIGALLAALLGLDRLFQAERDDGSLDLMLIQETPLVLTVLVKCLAHWTAT SLPLVIASPLLGLFMNMDETAIGATMLTLLVGSPAITFIGAVGAAVAVALPRGGLLVS ILVLPLTIPVLIFGVSATYAAVEDPAPFLPPFLILIALTLFFAVIGPAAAALALRNTA D YP_002977844.1 MSETSLAISKFSDLANPTRFLALAARAIPWLAGITALCFAVGLY LSFATEGDYQQGETVRIMYVHVPSAWLSMMCYTIMSVSAIGTLVWRHPLADVSAKAAA PLGAAFTLLALVTGSLWGKPMWGTWWVWDARLTSVFVLFLMYLGLIALGRAIDDPSKA ARVSAVLILVGFVNIPIIKFSVEWWNTLHQSASVLRLDGPAIDPEFLRPLFVMAIAFT LLFFTLHIMAMRNEIWRRRIAAQRRLAARMASREE YP_002977845.1 MTHAFYVYASYGFAALVTIAVTAWTWADGRARRRELAALEAAGI RRRSARPKDSVGDGE YP_002977846.1 MNDTPENTAAKPRGLSRYALALLPLIVFGGIAATAAKMLYDQDF HGKNIAEIPSALIGTKAPALNLPPLDGANLPALTDAAIKGKLTLVNVFASWCLPCRDE HPVLKELAKDGRLNIVAINYKDQSANALRFLGELGNPFRAIGVDPNGKAAIDWGVYGI PESYLVGPDGTILYKRVGPFDDISLKEGLFPAMEKALGKPAS YP_002977847.1 MSAAEAEYRVRHQTFWFAACLAVLIIQIAAEYMMGRVPICACGY VKLWEGGVNTSGNSQHLSDWYTPSHIIHGFLFYGLAHLILRGKPLAARLLLALAIESG WELLENSPLIIDRYRTATIALDYYGDSILNSAMDTVFMCVGFFFARRAPVALTVAIAI FFEIFTGYVIRDNLTLNVVMLIWPVEAIKVWQSGL YP_002977848.1 MSTESDITPSAADRHHPLLKLALELGPLMIFFFANLRGQWLVET FPALSELGGPLFVATGLFMAATIISLIVSKVVLGHLPIMPFVSGIVVVIFGSLSIWLQ NETFIKMKPTIVNALFGVALLGGLAFGKSLLGYVFNAAFQLDAEGWRKLTIRWGIFFL FLAVLNEVVWRNFSDGTWVAFKVWGTMPITIIFTLAQMPLVLKHSINLETEGEK YP_002977849.1 MALSFIKKVFTFGKPAEEPVPAAVERELEPRSRDEDLPVADDPV LAEEMDTAGGPAADIDEGGVEAAVAEDDVESSILPAADQLNDMGVVPLSLLEAEAAAE AEAEAEIQAVAEPVAEIPASVPLEHLPHEAADPVETGALEQPADLEEIVEKLPEEVPA EPEEIPTEDDAGLDSPSQPISPPVGEMPGRAEGDSPAAEPEIGSPILPRGFATGPKVV EPEPVVLQPKLSWFQRLRNGLARTSSQLTGQITALFTKRKLDDETLQDLEDLLIQADL GVETAMRVTDTLASERYGKDVTGEDVSRIMASEIAKVLKPVAKPLQLDLSHKPHVILV VGVNGTGKTTTIGKLAAKLSGAGLKVMLAAGDTFRAAAIEQLKIWADRTNSEFIGTKL GADAAGLAYDAFEQAKAKKCDVLIVDTAGRLQNKAELMAELEKIVRVLGKLDPDAPHT VLQTLDATTGQNALSQVEIFRNVAGVNGLIMTKLDGTARGGILVAISAKHKLPVYFIG VGEGVEDLEPFEAEDFAHAIAGLGQ YP_002977850.1 MSGIEVITFGCRLNTYESEVMKAQAEKAGLNNAILVNTCAVTGE AVRQARQAIRRARRDNPHARIIVTGCAAQTEKQTFAAMAEVDAVLGNEEKLTTASYRG LPDFGVSAEEKLRVNDIMSVKATAPQMVRHIDGHVRAFIQVQNGCDHRCTFCIIPYGR GNSRSVPMGAVVDQARKLVDGGYREIVLTGVDATSYGCDLPGAPTLGLLAKTLLKQIP DIRRLRLSSIDSIEADAHLMDLIADEPRFMPHLHLSLQHGDDMILKRMKRRHLRADAL RFIEDARRLRPEMSFGADMIAGFPTETEEMFDNAVRLAEEANIAHLHVFPYSLRPGTP AARMPQLDRSLVKDRAAKLRAAGHRLHQSHLDRMIGTRQWLLVENNGLAHTENFTLVA APGLRPGELVPVTITGHNGKHLDMQLTAAAAA YP_002977851.1 MSATVEFARMNGLGNKILVVDMRGRPDKVTPAAAVALNADPQTE FDQIMAIHDPKAEGTDAFIDILNSDGSKAQACGNGTRCVVQALAAETGRKAFTFQTVA GILNAVEHEDGTISVDMGRPVFDWDRIPLAEEFHDTSRIELQIGPIDKPVLHSPSAMS MGNPHAIFWVDRDVMSYDLARFGPLLENHPMFPERANITLAQVTSPTSVTTRTWERGA GLTLACGSAACSAAVSAARTGRTGRKVTINVASAKPPATLSIEWRERDDHVIMTGPAE WEWSGRVDPSTGLWSREGTREAEAQ YP_002977852.1 MLNADIESWALARAHHIVLNEGLNLAKAAQDLDRKRSRSLVYEL RKVITAAIVEAHAASFDPDGAQR YP_002977853.1 MFENLQDRLGSILNGLTGRGALSEADVSAALREVRRALLEADVA LDVVRSFTDRVREKAVGAEILKSIKPGQMVVKIVHDELIEMLGGEGVGVDLHAAAPVV IMMVGLQGSGKTTTSAKIAHRLTTREKKKVLMASLDTRRPAAQEQLRQLGAQANIDTL PVISGQSPTDIAARAVQAAKLGGHDVVILDTAGRTHIDEPLMVEMADIKKRSNPHEIL LVADSLTGQDAVNLARSFDERVGITGLVLTRMDGDGRGGAALSMRAVTGKPIKLIGVG EKMSELEEFHPRRIADRILGMGDIVSLVERAAENIDAEKAAAMAAKMAKGKFDLDDLA DQLRQMQKMGGMGGIMGMMPGMAGMKDKMAAAGLDDKLFGRQIAIIQSMTKAERTNPD LLKHSRKKRIAAGSGTDAAAINKLLKMHRQMADMMKMMGGKGKGGMMKQMMGGLAGKM GLGGGMGGGMPDLSNIDPRQLEALQKQAEAAGLGKPGGGMPGLGGMPGGLSGLGGAKL PGLGGGFPGLPGLPKKK YP_002977854.1 MIDPNVKSQLASYRQSIDNIDAALVHMLAERFRCTKEVGVLKAK YNLPPADPAREEYQIERLRQLAKAANLDPDFAEKFLNFVIKEVIRHHEQIAADHAEQS AAAR YP_002977855.1 MALKIRLARGGSKKRPYYHVVLADARSPRDGRFLENLGSWNPML AKDDEKRVQLNAERIKHWIEHGAQPTDRVLRFLDEAGVAKREVKNNPVKAKPGKRAQE RAAEKAQKVTDAAAAAADAAE YP_002977856.1 MTKLENPVLMATIGGAQGLRGEVRAKAYTADPGALGDYGHLHSM DGRSFEVLEIREMKNVVVVRFRGVNDRNAAEALNGLELYIERDNLPDEELEDDEFYYA DLEGLEARDDKGVSYGTVTGVFDFGAGDLLELKGPGKRPVLIPFSEASVLEIDLEAGT LLIDPLAAGLVEDPEELSKFTPDKPKKKK YP_002977857.1 MAFRASVLTLYPEMFPGHLGFSLAGKAMERGKWSLDTVQIRDFA TDRHRTVDDTPAGGGAGMVLKADVLARAIDSASENDTRPRLLMSPRGRPLTQERVREL AAGDGVIIVCGRFEGVDQRVIEARGLQEVSIGDYVLSGGEPAALIVLDAIIRILPGVM GNDLSGLHESFEGGLLEHPHYTRPQEWEGREIPAILTSGNHGAIEKWRHQEAVRLTRE RRPDLLEKAGASAPKSGSNIGKRDA YP_002977858.1 MNIIQQLEAEQAAKIEAKRTLPEFSPGDTVRVNVKVTEGTRTRV QAYEGVCIARSGGGLQENFTVRKISYGEGVERVFPIYSPMIESVDVVRRGKVRRAKLY YLRDRRGKSARIVEDTGVRARKLNDAERAAIAEEKARIEAEKVAAAQALAAERAAAEA AEAKAAAEKAAAEAAAAAAEPAAE YP_002977859.1 MLFRRTVLASFAALALLPLAASAAELPDLGGKSVVVVTENAYPP LQFVDPKSGKAIGWEYDAMNEIAKRLNFKVEYQNTSWDAMIQAVSDGQYNIGMTGITI KEDRKQKVDFSDPYMRSQQFMLVRGDEKRFTDAKSFGEFKDGLVGAQPGTTPFYTAVY EVLDGNEQNPRIKLFETFGATVQALKAGDVDVVLTDGTAGKGYVDASNGALKLIGEPL GTEDFGFIFPKGSDLVAPVNAAIAALKADGTLDGLNKKWFLDYKMGE YP_002977860.1 MALRPSPDRHVKDDYPWWLVALVTIGIVLAVVIVANDIYAQVFR TVVNGVGVTVFVTLVAFVLATVLGLGICLLGMADSQVLRQIARFYIEIIRGIPILVLL FYVAFVGAPALVAAYNFLISPLVTSGMAEPILVRDLSLMWRAIIALTVGYSSFIAEIF RAGFQSVDLGQIEAAKALGLSRYRRFRSVVFPQAIRVIFPPLSNDFVSMVKDSSLVSV LGVADITQMGKVYAAGSFRFFETYSIVTYIYLILTIGLSLALRRTEKWMKSR YP_002977861.1 MTAPISLFLAVFVLISTISFSTKAAELPVFQGYCEEVSQHPIKP AQRFLAYMQCNNFENRFKEQLQKHWSLVTDDDMKICMKYKGTKNETKSYQQLMGCLSL AVGEKWYSGKLTCRGKTL YP_002977862.1 MRISLLEFSVASLLLVPVVATSNSLQAAELPEYDGSFCVRLTSK MLNADEKNVETNKCLQDEADAKKKLAEHWAIVSEKSFGYCRTLGPGSYRSLLRCLAGN VGYSCFQDELSCSWN YP_002977863.1 MQAMESKFRCIGAHIFVLQDHYRLPARFFACVSGALNSRLR YP_002977864.1 MSAPRTLYDKIWDDHLVDEQPDGTCLLYIDRHLVHEVTSPQAFE GLRMTGRKVRAPEKTLAVVDHNVPTSPDRHLGIKNEESRIQVEALATNAAEFGVEYYS ASDKRQGIVHIVGPEQGFTLPGMTIVCGDSHTSTHGAFGALAHGIGTSEVEHVLATQT LIQKKAKNMLVRVDGLLPPHVTAKDIILAIIGEIGTAGGTGHVIEFAGEAIRALSMEG RMTVCNMTIEGGARAGLIAPDEKTFEYIKGKPRAPKGEALEQAIAYWKTLQTDEGAHY DRVVVLDAASLPPIVSWGSSPEDVISVQGIVPNPDDIQDETKRTSKWRALDYMGLKPG TKMTDITLDRVFIGSCTNGRIEDLREVAKVVEGKTVASTVDAMIVPGSGLVKEQAEAE GLDKIFKAAGFDWREPGCSMCLAMNDDRLKPGERCASTSNRNFEGRQGFKGRTHLVSP AMAAAAAIAGHFVDIREWN YP_002977865.1 MRQKMAGRLPQTILGLFVSLASIDAAAAAPIHPAAPPITISSSL WQQVAQNKAPSLNGYRGYSSARPGYIKSSNGYWYPSRAFASDDYTGSIGRPQRLNNAC NFGFTPTNGSSKCNY YP_002977866.1 MTAQPIITFHDGHSIPQVGLGVWQTPQDIAAPTVRTAIAAGYRH IDTASGYDNEEGVGEGIRSSGLDRKDIFVTTKLRNTDQGYDNTMRAFDGSLKKLGSEY VDLYLIHWPSPHRGLYTETWKAFARIREEGRARSIGVSNFNPEHLERIIGETGVVPVI NQIELHPDFQQKVAQEAHKKLGIATESWSPLGQGKFISNAVIGEIAKKHRKTPAQVII RWHIDTGLVVIPKSVTPLRIEENFQVFDFKLDTDDMAAIAKLDSAGARMGPDPITATF YP_002977867.1 MTPSTMVVRAAPGRKNRAIVRLGAITVPAAIGRSGRTVMKREGD GATPIASMRLISGFRRGERNGRLVTPLSIHRIRPDMLWCDQSDSASYNRLVRAPFCAS HEEMRRSDGLYDICLVMDWNISSRARNRGSAIFFHLIRPGYEPTAGCVAVSLRDMRRL LPHLRKGTIVRVV YP_002977868.1 MTARTILLVDDDDDLRQTLTEQLSLYEEFTALQEANAGKGVATA RATPIDLLIMDVGLPDMDGREAVKLLRKGGFKAPIIMLTGHDTDSDTILGLEAGANDY VTKPFRFAVLLARIRVQLRQHEQSEDATFTVGPYLFKPSQKLLTTDNGQKIRLTEKEA AIIRYLYRAEQKVVTRDVLLEEVWGYNSGVTTHTLETHVYRLRQKIERDPSNAEILVT ENGGYKIIP YP_002977869.1 MALTDDIHMLAQLPLFKDMNEDQLRLIAFGADRRMIAAGQMLFR QGSPAESAYVILGGSLELSATSSDGMQRTEGIAGPGTLVSELALVTLVERKFTAVARE DTSIIRITRALFHRLIEEYPDAARLIENRIRDNLAELAAKAASQFYRFN YP_002977870.1 MGFSITTWNINSVRLRMPIVEQLVLKHRPDILCLQETKVTNDLF PAAPLRALGYTHIIIHGQKGYHGVAIASRIPLTEDHRQDYCGVGDARHISAIFERGNR RVRLHNFYVPAGGDEPDRTINPKFGHKLDFIEEMKLLKANGEANTSAILVGDLNIAPL EHDVWSHKQLLKIVSHTPVETEGLLEVMKRGAWLDLMRQHVPASEKLYTWWSYRAKDW EAADRGRRLDHIWSSSDLGPHLKRIEILKEARGWDRPSDHVPVTAHFDF YP_002977871.1 MSHSDTFLSGLTVTRRDLLGAFAVAAIASAIPLGAYAQAAAPAS GTAQAIADHFSGVTTMQGEFVQFGPRGEQTGGKFFIQRPGKLRFNYDDPSPMRVIADG KNVAIGNMKLKTWDLYPLSKTPLSLLLAQHIDLSAGMVKGVKEESDLTTIALGNNTVF GNSTITMMFDPKTYDLRQWTITDNQGKDTSVMIFNVKTGMQFEDRVFRVPYETIPGTP QSRD YP_002977872.1 MDGRPDRFSFSAFMLRQIQALIGFAIFLLLAFCVAALATWNVAD PSYSYATANLPTNILGYSGAAFADIVMQFLGLASVVSMLPIVAWALTLISGRRFSRIP ARGGAWLAGSVLSCAIIGCFPPPLTWPIPNGIGGVVGDMILRFPALFVGAYPTGTFAM VVGCIFAAPTAWMMLFASGLVGRSDADEEVEEEYVDTRSSKARVVGDEDEEEDESRWV ALSGAVTHAWYMSQGRLRRLFGMGPRKRRQGDYESPYDFNDDEFGTLNEPVRAKAPTA RGERMEPSMEPSMGARTASPRRVVSAPSLSIDDDDDNDDDLPFDTDMPPRPADILPDD DDEDWMIRAPAKAAGKPEPRVIPVVARPKPSARIEREAQGSFIRPEGFQLPSMHLLAE PKNVVRDSTLSADALEQNARMLEGVLEDFGVKGEIIHVRPGPVVTLYELEPAPGIKSS RVIGLADDIARSMSAIAARVAVVPGRNAIGIELPNQTRETVYLRELIASRDFEGSKAK LAMALGKTIGGEAVIADLAKMPHLLVAGTTGSGKSVAINTMILSLLYRMTPEQCRLIM IDPKMLELSVYDGIPHLLSPVVTDPKKAVVALKWTVREMEERYKKMSKIGVRNIDGFN TRVEQALSKGEAISRTVQTGFDRHTGEAMYETEEFDLRPMPYIVVIIDEMADLMMVAG KDIEGAVQRLAQMARAAGIHVIMATQRPSVDVITGTIKANFPTRISFQVTSKIDSRTI LGEQGAEQLLGMGDMLYMAGGGRIQRVHGPFVSDVEVEEIVSYLKTQGSPQYLDAITA DDDEDGDYGGGGGPAGTSNLSESEDPYDQAVAIVLRDGKASTSYVQRRLGIGYNRAAS LVERMEKEGIIGPANHAGKREILVPTEGDILDR YP_002977873.1 MSISKFSSTFARLCAASAALLAPAVAFAQEAAPAAATAAAAPAF TMDKGDNAWMLVSSALVLLMTIPGLALFYGGLVRAKNMLSVLMQVFMITAVVALIWVT YGYSLAFTDGGSLNSFVGGFSKAFLAGVNTSSLAETFSKGVAIPEYTFIVFQMTFACI TPGLIVGAFAERIKFSAVMLFVVLWVTFIYFPMAHMVWFWGGPSSYTSPAGLIFSYGA IDFAGGTVVHINAGIAGLVGAIMLGKRTGYKKEIMAPHSMTLTMVGASLLWVGWFGFN AGSNLEANSYASLAFINTFVATAAAAVSWCIVETLTRGKASMLGGASGAVAGLVAITP AAGFAGPMGSIVLGLIVSPVCYFFVDVVKNKFNYDDSLDVFGVHCVGGIIGALGTGIL VNPALGGAGIVDYSTADFAASYAGTATQVWAQAKGVLTTLLWSGIGSAILYKIVDVVI GLRVTVEAEREGLDLSTHGEAAYHSS YP_002977874.1 MGNQMKIVMAIIKPFKLDEVREALTAIGIQGLTVTEVKGYGRQK GHTEIYRGTEYAVSFLPKLKIEIAVASELVDRAVEAIAASAKTGQIGDGKIFVYSIDH AVRIRTGETDSEAL YP_002977875.1 MTRETSEPSAMETLLSTLDLEPIEVDIFRGRSPKVGWQRVFGGQ VIGQALMAAQRTIEGERFVHSLHAYFMRPGDPSVPIIYQAERIRDGSSFNTRRVVAIQ HGKAIFALSASFQVEEPGFEHQITMPDVEMPEALLGEQQIKEQYLAHAPEAIRKYWQR ERPIEIRPVSLTHYFSDRKLDPKQDVWVRATGPVPDNRLYQAAVLAYLSDMTLLDTSL YAHGTSIFDQSLQVASLDHSMWFHRPCKLDDWLLYTQDSPSASGARGLTRGSLFTRSG ELIASVAQEGLIRKKANE YP_002977876.1 MLDMLVVGGGYVGLSAAVAVKQAAPHLNVAVVEAAPEHVWKNDT RASAVIAAAAKMLEVFGIWNEIEPEAQPITKMIVTDSKTSDPVRPVFLTFDGQVSEGR PFAHMIPNVAMVAALRGACERLGIDIRHGLGATELKTHDTHVTVTLSDGGTLETKLLV ACDGVRSKLRDLAGIRTVTWDYGQSGIVATVEHERPHDGCAEEHFLPAGPFAILPLKN NRSSLVWTERTPDANRLVAADDLIFEEELERRFGHKLGSLKVIGDKRAFPLGLTLARS FVAPRFALAGDAAHGIHPISGQGLNLGFKDVAALAETIVDADRLGLDIGSINILERYQ TWRRFDTFRMGVTTDVLNRLFSNDATPIRIARDVGLGIVDRLPRLKSFFIGQAAGTTA KDNPRLLAGETI YP_002977877.1 MDEKLSRVDPKLLDLLVCPLSKGRLSYDREQNELVSEKARLAYP IRDGIPIMLVSEARRLDE YP_002977878.1 MQVGNARYLKPGDLPDAIAVFPLTGALLLPAGQLPLNIFEPRYL AMLDAALTGNRLIGMVQPAFGEHEDKGGEPNLAAVGCLGRITSFAETGDGRYIVSLTG VCRFRLLEEKATSDPFRIFRIAPFIADLSAANEEEAVDRAALLTAFKAYLDANKLEAD WESVERASNLTLVNSLAMMSPFGPAEKQALLEAPDLKTRAETLIAITEIVLARVFGDS DTVLQ YP_002977879.1 MSGSDNPYNASFGNQMTATTNFGAAPEPAAAAGSYITETTTANF AKDVIEESRKQPVLVDFWAPWCGPCKQLTPVLEKVINEAKGRVRLVKMNIDDHPSIAG QLGIQSIPAVIAFVNGRPADGFMGAVPESQIQQFIDRIAGPAGADEAAEIEAVVAEAA ELLATGNIDEAAQLYGAVMQADPENAKALAGMAECMIAANQHHRAREILTELPEELAK DAGIQAVLMKLEQIEEARKLGDPVALERELAANPDDHEARIKLAKIRNVEGRRDEAAD HLLLIMRKDRAFDDDGARRQLLQFFEVWGFKDPATVAARRKLSAMLFS YP_002977880.1 MSENAPKTREELFAFLDGLGIAHKTVDHAPVFTVAESVSLRDEI PGGHTKNLFVKDKKDRYFLLTVEENAAVDLKQVHNAIGGSGRVSFGRPEKLMEYLGVA PGSVTAFGAINDTAENVTFVLDAELMAYEIVNCHPLSNDATTSIASSDLIRFMEATGH KPLVLKVTS YP_002977881.1 MRIAVGGIHIECSTYNPVLNEEKDFRVLRGAALLEAPYFAFLRD YAAEFLPTIHARAIAGGPVSRATYEAFKGEFLERLKPMLPLDGLYLAMHGAMYVEGME DAEGDWISAARALVGKDCTVSASYDLHGNVTQRIIDALDIYSTYRTAPHIDVEETMRR SVSMLVKSLKTGERPVVLWVPIPVVLPGERTSTVDEPAKSLYDMLPGIDAIDGVWDAS LMVGYVWADEPRATAAAIMTGTDRTVLEREAKRLARAYWDAREDFVFGCKTGTLEECV ERAIASPTAPVVLAESGDNPTGGGVGDRADVLAELIARGATGVVFAGIADKAATEACY AAGIGAELELSVGASLDTQGSKPVHGRFTVKFLHETSDPTDRQAVVSVSGIDLVLSAK RRPYHNIVDFTRLGLDPHKASIIVVKSGYLSPELAPIANPNLMALSTGVVDQFVERLP RLRKQRPTYPFDKDFAFEPQVFLSARSTLA YP_002977882.1 MPMDETQGNSNYALERLRALLRSDSLDADGKLPTERTLSEMLGV GRRAVRRALEVLEAEGRIWRRQGSGTYAGPRPDGWSDHVGSIVAGTDLMEVMEVRLRI EPQLAQLAAMRAKAADIERMYDLVKKIYESTDADGRELWDGALHRQIAQCAGNGFFLT IFDVINRVRQDEAWQTIRERARSASRTRPVTFGQHTAIVDAIAARDPARAGEAMRQHL LTLQESLIRITSLDHSETEVDKTLA YP_002977883.1 MKIAKLLTATVAGALFALPAFAVDLKIGLQDDADVLDPAQSRTF VGRIVYTAMCDKLVDVSPDLKIVPQLATEWSWSADGKELTMKLRQGVKFHDETPFNAE AVVATIERNITLPESRRKSELTSVAKVEATSEYEVKFTLKAPDVTLLAQLSDRAGMIV SPKAAKELGAKFGDHPVCAGPFKFVERIQQDRIVLEKFQDYWNKDKIFIDKLTYLPIP DTTVRLANLRSGDLDMIERLAATDAEAVKADSSLVYADAVGTGYMALYTNIGNGARAD NPFGKDKRLRQAFSLAIDRDAVNQIVYEGTAVSGNQPFPPSSPWFDKDIPVPARDLDK AKALIKEAGFDRVPIELQIPNNPVAMQMMQIIQSMVGEAGFDVSLKSTEFATLLSEQT AGNYQLSRSDWSGRVDPDGNIHQFITCKGGINDTKYCNAEVDKLLNEARASTDDAVRK QKYDAAAVILNDDLPIIYLGHQSWIWALHKNITGFVPSPDGMIRLVGVKKAG YP_002977884.1 MYTYIAKRLLVAIPTLLIISVFVFSLQKLLPGDPILAMAGEERD PQVLEFLREKYRLNDPVPYQYVRWLGAALQGDLGISLRTNQPVLQLIAEKLPVTIQLA IMSMIFAFVIGVPMGILAAVKKNTMIDYLANIIALTGLSIPNFWLGIMLILLVSVNLG WLPASGYEPFFSNPLRSLETMLMPSFVLGNALAATLMRHTRSAMLSVLSTDYIRTARA KGLAESSVVLEHGFRNAMLPIVTLTALLFGELLAGAVLTEQIFTIPGFGKLIVDAVFN RDYAVVQGVVICTAIGFILMNLLADILYVLLNPRMRAAL YP_002977885.1 MTAIGETVIPSAIDRSPSRAWRKLKANKGALVGLAIIAFFAILA IAAPLLPIPDPNATSWSAIRKAPSAAHWLGTDDIGRDILSRMIWGAQASLMAGVFSVA IAVVIGVPFGLISGYFGGWIDMVISRITEAFLAMPFLITAIALAAFLGPSLTNAMIAI GLSAMPVFVRLTRGQVLSVKIEEYVEGARSIGLRSSSIITRYILPNVFAPILVQATLT IATAIIAEASLSFLGLGQQPPAPSWGSMLNVAKNFLSQAPWMAMWPGAAIFLVVIGFN LLGDGLRDALDPREA YP_002977886.1 MTAFTTRPEILGTFGVVTSTHWIASAVGMSILEKGGNAFDAAVA TGFVLQIVEPHLCGPGGDMPAVIYSKKKDKVEVICAQGPAPAGATIEHYTAEGLSLIP GDGLLATVIPGSFDGWMLMLRDYGSMSVRGVLEPAIYYAEHGHPMLPRVSATIKGLAA FFEKEWPTSYETWLPGGSAPEAHANFRNPVLAETWKRVIAEAEVKSGREAQVQAARDA FYRGFVAEKIDDYLKTAEVMDASGSRHKGVLTAADMANWSATIEEPLTYDYHDWTIAK IGPWGQGPVFLQTLSILKGFDLAAMDPAGADFVHTVVEAMKLAFADREVYYGDPDFSE IPIAHLLSETYAAERRKLVGTDASFDLRPGIVPGFEAQHDLTMKMLGADSKTGAVYEP TMAHLSEKRGDTVHIDVIDRHGNIVSVTPSGGWLQSSPTVPGLGFCLNSRAQMFWLKP GLPTSLAPGKRPRTTLTPSLGLYEGRPTLAFGTPGGDQQEQWQLSFFLRYINHKLNLQ AAIDQPLFHTSHFPGSFYPRTREPGSLMAEANFGPDVLDALRRKGHKLTVADPWTIGR LTAARRDADGLLRAAATPRLMQAYAIGR YP_002977887.1 MTWSIVARDPSTGHLGIAVASRFFAVGALVPHIRGGIGAVATQA FVSPLYGVDGLSLLAAGKAPEEIITQLTGRDAGRNQRQLHLIDAKGRNAAFTGPACID WAGHLIDENVSVAGNMLAGPDVVAETLATYNKAMDKPLAERLLLAMQVGEDAGGDKRG RQSAALIIHRDQDYPWLSLRADDHPDPLAELVRLHAVAGERYLHVAETMATRQNPNGM TDRREIDEKIAALEAARIAEGRPSASFATPLKT YP_002977888.1 MLDVSSAPASPVLSVRNLTTSFLVDGDWKPVVRDVSFDVMPGET VAIVGESGSGKSVTSLSIMRLLAKGSSRIDGAITLNGKDVLALSEKEMRRVRGNDAAM IFQEPMTSLNPIFTIGRQISEALTCHGDIGKAEARAETVRLLEKVRIPNAASRFDEYP HQFSGGMRQRVMIAMALASRPKLLIADEPTTALDVTIQGQILDLIKMLQEEEGMSVLF ITHDMGVVAEIADRTIVMYRGEAVETGTTDDIFHRGRHPYTRALLSAVPRLGSMADRK WPLRFPVVDTTTGERREPIEVADTVDRRRTPILEVKNLVTRFDIRGGLLGRKTGAIHA VEDVSFDLLQGETLSLVGESGCGKSTTGRSITRLVQPNGGSVSLDGYDVLSLDAASLR RMRRSIQMIFQDPFASLNPRMTVGAAVAEPITEHGLGTGAQARDKAADLLERVGLKPD MMKRYPHEFSGGQRQRICIARALALDPKVIVADESVSALDVSIKAQVCNLLMDLQQSL NLAFLFISHDMAVVERVSHRVAVMYLGEIVEIGPRAELFSNPQHAYTKKLMAAVPVPD PSRRGIKRNLGTDELKSPVRPVGYVAPPRRYREVTAGHLVRVDEAA YP_002977889.1 MLQRIEDIDAALVDRLQHSAFKYFLKYTNPENGLVADTSIGGVP CSIAAVGFALSSYPVGVERLWISREEAAERTVNTLRFFAEARQGEERHATGHRGFFYH FLHMDTGHRAWNSELSTIDTALLVAGILTAAQYFNREDDETETEIRELATFIYERVDW RWALNKGDTIAMGWKPSSGFLRWRYHGFDEAIILYTLALASPTHPIPQSSYDAFTSSY SWMLHGEQSYLYAGPLFIHLFSHAWIDFRTIQDKPMAERNWDYFRNTQVMINVQRDYA ERNPGQFVGYNRNIWGFSACDGPPPTRRMRGGRQPKVLGYAARGAPLGPDDGTIAPWA ALACLPYDKQAALDGTKALLTTYPNLLLEGGFPGGFNPTVKTKRPEGWVDDRTVGIDQ GLVVMTIENDRSDFIWKLMRQSPVIRLGLERAGFTGGWLEGIEPEEVVRKVG YP_002977890.1 MTLLTIENISKRYGPVQALKDISLEVSAGSRTAVVGPSGSGKTT LLRIIAGFEQPDVGRVTLDGDLLADGPATVPAHKRGIGIVSQDGALFPHLSVAENIGF GFERRAADREKRIVELLDMVELDRGMLARRPHQLSGGQQQRVALARALGRKPRLMLLD EPFSALDTGLRENMRKAVARVLQAAGITTILVTHDQEEALTFADQVAVLREGRLIQAG SPQSLYLHPRDRETALFLGDAVLLPAIIRNGLADCALGHVAVEGSRQGKAEIMLRPEQ IRVVADEGDRNYGGRVVEVEFGGAVCTVAVSLEGVALPPILIKTSSVALPARGDLVRL DIAGKAHVFEN YP_002977891.1 MLASGNQAPVAPRATRMALPRGRMPHASVILLATVVAIFSLVPL GFIGWVTYDVGWETVKALVFRPRVGELLVNTILLESITIPVSIALAVTLAWLTERTDI PFGRLWAWLAIAPLAVPAFVHSYAWVSLVPGMRGLQSGVFVSVIAYYPFLYLPVAAAL RRLDPAIEDAAASLGLNPWRVFFRAVLPQLRLAICGGSLLIALHLLSEYGLFVMIRFD TFATAIVDQFQSSYNSPASNMLGGVLVACCLFLLGFEVLVRGNERYARVGAGSPRPTD RRRLGWLVVPAVLLPVLLAVLTLGVPLVTLGRWLYLGGTDIWRIEVGSAFLQTIVLAV VGGVLATIAAAPMAWLSVRAPGRLQRVLEACHYYVGSLPGVVVALALVTITVRLVLPL YQTFATLLVAYVMLFLPRAMVGLRASIAQAPVELERAAMGLGRTPGQAVRQITMRLAA PGAAASVALAALGITNELTATLMLSPNGVDTLATKFWSLTSEIDYVSAAPYAFMMVVL SLPLTLMLYTQSKRTAGQ YP_002977892.1 MKIALNRFSLALAASLLSATALAGSAQAQDEGLVVYNAQHESLG REWIDAFSKETGIKVTMRQGSDMQFANQIIQEGDASPADVFLTENSPAMTLVDGAGLF APIEKETLDQVPDQYRPADGMWTGIAARTTVFAYDKTKLTEDKLPKSMLDLADPAWKG RWGAAPAGADFQAIVAALLQLKGEDATKAWLKGLKDNATPYKGNSVAMKAVNSGEVEG AVIYHYYWFGDQAKTGENSKNVGLHYFKNQDPGAFVSVSGGGILKSTQHMKEAQAFLK FLTSKAGQAVLKAGDSYEYAIGKDAPSNDKLTPLADLNAPKVEASTLDSKKVVELMTA AGLI YP_002977893.1 MTIAVLDLATETAKLLAELGVDAGRYHGGTLSVTSPVTGKEIGK LREHSVSETKAAIEAAHQAFLEWRAVPAPKRGELVRLLGEELRASKAALGRLVSIEVG KITSEGLGEVQEMIDICDFAVGLSRQLYGLTIATERSEHRMMESWHPLGVIGIISAFN FPVAVWSWNAALAMVCGNSTVWKPSEKTPLTALAVQALFEKALKRFVAEGGSAPANLS TLIIGGREVGEVLVDHPKIPLVSATGSTAMGRAVGPRLSQRFARAILELGGNNAAIVC PSADLDLTLRGVAFSAMGTAGQRCTTLRRLFVHESVYDQLVPRLQKAYGSVTIGNPLE TGTLVGPLIDGQAFKNMQAALGEAKSAGGTVTGGDRVESGSTEAFYVRPALVEMPDQT GPVEHETFAPILYVMKYSDFDEVLALHNAVPQGLSSSIFTNDMREAETFVSARGSDCG IANVNLGPSGAEIGGAFGGEKETGGGRESGSDAWKAYMRRSTNTINYGRTLPLAQGVK FDVE YP_002977894.1 MLNDPRSHGLWEKTAPEAPTTSPLDGAVSADVVIVGGGYTGLSS ALHLAEAGSKVVLLEAKEIGFGGAGRNVGLINAGMWVMPNDLPGVLGPVHGERLLDLL GNAPKLVMELIDKHQIACELERNGTLHCAVGAGGLKEIEDRAAQWSARGAAVTLLDAA ETAKRIGSDAYSGSLLDKRAGTLQPLAYARGLAHAAVKAGVAIHTSSPVIATERNGSR WTVKTASGEVSAEWIIVATDAYSTGPWEQVRSEQVHLPYFNFATVPLGHNLRQSILPG REGAWDTKEILSSFRMDQAGRLVFGSVGALRNTGLAVHKGWAKRALKRLFPVIGDVEF ECEWYGQIGMTDNALPRFHKFAPGVVGFSGYNGRGIAPGTVFGRTLAEHILGRLPEAD LPLPLTSPTEPSFRALKEIWYEAGAQVAHFADARF YP_002977895.1 MPQAFVSPDRIRSLFTESMSQMYRAEVPQYGTLIELVADVNAGC LENDPDLRDRLARAGELERIDVERHGAIRLGTADELFTIRRLFAVMGMQPVGYYDLSV AGVPVHSTSFRPIDEAALNVNPFRVFTSLLRLELIEDEGLRGEAEAILAKRRIYTPRA VALIERHEQNRGLTEAEATEFVAEALETFRWHGEATVSADTYKRLHDAHRLIADVVSF KGPHINHLTPRTLDIDAVQARMPERGITPKAVIEGPPRRHCDILLRQTSFKALEETIA FSDDDGAIQGTHTARFGEIEQRGVALTAKGRVLYDQLLASVRGEVQVGAGGAKAGAYD HELAARFKALPDSWDELRRAGLAFFRYSATPAGIVAAAGSTLPGDPEALIAKGYLAFT PIVYEDFLPVSAAGIFQSNLGTDQQQNYATRSNRDAFEAALGAAVQDELALYAERQAA SLDSALAALGLAGLPLKTVA YP_002977896.1 MLTSRRFLPSISHLAAFEAVARTGSVTAAARELDLTQSAVSRQV SALEEQLGVELFLRERQTMLLTLAGDGYAREIREALRRISSASLNLRANPHGGTLNLA ILPTFGTRWLAPRLGRFLVANPGVTINLVTRLSPFDFRLDSIDAAIHFGHPHWPGAEL ALLMSERTVPACSPDFLKRYAIKAPEDLLAAPLLHLTTRPDAWEQWFAGNGVSFENVH GMLFDQFATAAQAAIAGLGVALLPTFLMQEEIRRGDLVAAVDREMESRERYYLAFPPE RADYAPLAAFRDWIIAEAAANLTA YP_002977897.1 MKPIFVQLQCAPGKTYDVADAIYQTELVSELYSTSGDYDLLLKV YIEEGQDIGKFINDNIANIPGIIRSLTTLTFKAF YP_002977898.1 MSIVEADWARAKPQLETAPLIVHVVRQFLPNRGGLEDVVANLAR QTVRRGYRVRVVTLDSLFTAPEDKLPPREGIDGIEVVRIPWFGTSRYPLAPEVFRHLA DADLVHVHAIDFFFDALAWGRLLHGKPMIVTTHGGFFHTRKYATIKKIWFRTLTRASA MAYRRVVCCSASDLKQFSEIVPDSLLIENGADIAKFADTASRRAKRRIVTIGRFSVNK RLDHLLDAMAKLKTRDPEWHLDIVGAESDLNRADVEGAIESRHLSGRVTLHVSPENDT IRRIIAEASLFASASEYEGFGLVALEAMSAGLLPVLNANDAFATLAARHPAIMLADFT NPESAATAIEAAYEGLSRQPETVRTELLDAARGYSWDIVAGRYIDLYRSLDVVAAESS YP_002977899.1 MSKGIIANSVMNGAAGMLLLLTGFVSSIITARLLGPEANGIVAF SLWLVVTGASIAELGSSITLLKTLPQLSAEGFDARRRRGFAAILVSFMMFSTVVLLAL YALFFLTSEKMHWADTAPSVALVTGVLFFVQAIGSFVKFYLIGEKKLGAFFKLTVAVS IVQLAGVAVGAVFYGVEGVLVGYALGQLVLFFATLPILLARRDWCGVSLKYLASSSVI LSIQFIIDSIFLNRLELLFLQQFWSVEMVGYYAVGLSIANIALQLPIQMTGSLLPYYS ERRHSSDDSTLPVEVFTAVTRSMAYIVLPMSLGLAAISSELVLVVFGEAFRRSGTVVA LLALVAPAYTFMQILSLYLLSMDRARSRLNISVIGGLLMVAGCLLIVPRLAAEGAALV RILVFVAMSVMMIRQTGFGSQLSGLYASLTKVTLASVLCACGAISVLEFVHGPAGLVG AIIAGAFAYFAALRVLRAVPGEDVEVMRSILEKMPSLLRRPVGQAINFIAPRLPGDPD RAKVAPGEFSLEPAEGAGRSAALPVVFDGTIGLFMPENPLAKKRSAAVLFVSPWGFEE MCSRKFFRVAAEHFSDIGVPSLRFDYRGTGDALNFDALPARLETWEDSIRAAADKLKS LSGCDRIILIAQGLGATLAHRVGSSIEGVDSLVMLAPVLSGRAYLRELNMWSKIIDAD LGLGKEHVQTTKVQIAGLVMPEEIAAELGKLNITSPQGLATSRYLILERPAKADDTGF ADALKALGADVEQKAFEGYDELATNPLFAKTPMAVVGLLTTWLETTTTQTSAAHSPAA IDNPLLAGDDFAETPVRFGSHNHLVGVVSRPLGEIKGNAVLFLSTAYDRHAGWGRTTV DMARELARQGVVSLRFDSANVGDSPPRPDAPEQVLYSDTQTGDAVAALDLLESVVAGP VMVAGRCSGGYVAFRAGVADERLKAVVSINPFVYYWDPDMPVRREHVVSVPRSLDDYS QRLARLDTLKRLLRGQVDVVSALQNIVIAAGRRLSPWIAPVLELLPDRRHIAREVRHS FALFGKRKVPLTLIYSEGDVGLDHVYFHFGPRGARLSRYPNVRLLMLPDADHNLTPPQ SRKFVLDEIIRLARA YP_002977900.1 MRTIYRFLRAHVLQRLIPRSRLAFNPRRPVEIVGYLSMAVGVGE SARLCAGALTEAGRAISLSDVSTHPDENSFAGWTPSHLSAEPAGSRIWHLNPPMLPRA ILKKGVANFTRAFNIGYFAWELEVVPAEWRNAMHYMNAVFVPSEFTRRAIAPLTAAPV IVVPHPVTEKPATEGMRQKFGIEEDAFLVSFIFSAGSSINRKNPQAVIEAFRIFAAEC PSAFLLMKASGNIDKDEGLRELIGSVAGDSRIRIVTDRLSDSEINGLIRCSDAYLSLH RSEGFGLTVAEAIMQRTPVVSTAWSGTVDFCDPDNSWLVASPLIPVVDTHPEFAGLEG AVWADPSPEAAAGHLKDIFLAPERAREKAEKAREFLLRYLAENSYDKALKALEAMQTA YP_002977901.1 MRGRLASIGAEESLLSEGWNLILTEPGACAVPHDIPLSAQFIPA PVPGTVAAALEKAGLFDRENPEPLNTRDAWYLCRLFDAEPGDAILRFAGLATLCHVFL NGQEILFSESMFTAHEIPVTLSGGDELALCFRALGPRLSEPGPRARWRPQMITPAGLK NFRTTLLGHMPGWCPDIHAVGPWRPISMVRRHPVSIDNVSIRAVLEESGVGRLSVSLH SNAEDPAMLLRCGGMEQPFEKVGDSHYSAILKLSDIEAWWPHTHGAPRLYALTLVSDG VEYPLGRTGFRRIDVDRGADGDDFALLVNGERIFCRGAVWTTADIVRLPGGRADYEPL LRLAAAGGMNMIRIGGTMAYETPDFFALCDELGLLVWQDFMFANFDYPRNDKAFLGHV HAEVEEFLHGVQASPSLAALCGGSEVHQQAAMLGLPAEFWSGPVTDEIIPAVVARMRP DVPYVPNSPYGGAMPFSPNAGIAHYYGVGAYMRPIADARRADVRFASESLAFAHVPQQ RTLQRHLDVPAVHSPLWKARVPRDRSASWDFEDVRDFYLQLLYGFDPAELRREDPERY LDLSRAVTGEVIEETFAEWRRKGSACNGALVWTLQDLLPGPGWGVIDSTGEPKPVWYA MRRAFRPVQVVFTDEGTNGLDVHVVNETDAALDVELEVVCLRGGKQQVVSGSRAFKLA ARDTERLACTALFGAFFDTTYAFRFGPPAHDASVARLRSLADGAILAESFHFPCGRGK ALHDAGIEASFTRDGDDWFVDLRTDRLAQSVHIDVEGYRADDDWFHLAPGAMRRVQLH ALSGVESDTPPAGEIRSLGSSHRVAIEG YP_002977902.1 MTSLFSGVFSGIDPETYRAHALHSGERAWPETNCYVDLWIEVLA TSGVAPEAMLGFTLTQDFEGDQFTFFKVPLEDLEALYGIRATELAIYDRVERHVEVQI ARARLCLIEMDSFYMPDTRGTAYRQEHGKTTVAINRLDVAAKRVDYFHNAGYFRLEGE DFDGLFQLHLTENDAPFLPYTEFARFPERPADDAHLRATARRLAGFHFARRPRENPIR AFASVFPQQVEAVAERPFGFFHKYAFNTLRQVGANFELAADYLAWLSPGEFAAAAEHA GRISEVAKSVQFQLARAVTRRKFEPLQAALDPAADAWDSMMASLAGRI YP_002977903.1 MDMQTSFLDRLFESGLLIDTGIDGLYGRSGQFEDVIAAFERLID TFGGADGAEAMRFPPGMNRAFFEKSGYMKSFPQLAGTVHSFCGSELDHVSLLQCMEVG EDWTKGQEATDIVLTPAACYPLYPTIAKRGNLPKTGGLFDLQSYCFRHEPSKDPARQQ LFRMREYVCMGTELHVTDFRQRWMDRGVEMMKAVGLEVTIDVANDPFFGRAGKMLANN QRDQNLKFELLIPITSAANPTACMSFNYHQDAFGTKWGLNLEDGSVAHTACVGFGLER IALALFHHHGLDVKQWPASVRKALWG YP_002977904.1 MNFPVKIMQDGLVARVARVAEIAAKHADAVDAEARFPREAVDAM KAERLLGIQVPRHFGGESASITEIAELCSMLGQACAASAMVFAMHHIKLSSLVEHGAD SEWHRSFMRRIAAEQLLIASATTEGGIGGNLRNSICAVEVDGDTCRLEKDATVISYGS HADAILITSRAHAQAASSDQVLTAFLKDQYTLEKTHVWNTLGMRGTCSDGFLFKGEAP ACQILPKPFAEIAAQSMLASSHLLWSGVWYGIAVDAVVRAQAFVRAAARKAPDAQPPG ALRLAEVSNLLQMVKSNVVAGLKAYEDAKADADRLSSMGFAVAMNNVKIASSEMILEI VNHAMLICGIMGYKNGTPFSLGRHLRDAHSAQLMISNDRILGNTSSMLLVHKQDTSLL G YP_002977905.1 MNKAIRDLVAKFGKLPGSIDQVADDADLYAAGLTSFASVQLMLG IEEAFDIEFPDNLLNRKSFASISAIARTVDLIRDSRKVA YP_002977906.1 MNVVKPIKSVDDLVKAGLVAPADRVALEEVAARYAVALTPAISK LIDRADPDDPIARQFVPDAAELTIAPEERADPIGDHAHSPVEGIVHRYPDRVLLKAVH VCPVYCRFCFRREMVGPQGLGTLDAAAMRAAFDYIRGHEEIWEVILTGGDPLVLSPRR LGEIMEALAGIAHVKIIRFHTRVPVVDPEKIDAALIGALKASGKTVYVALHANHVREL TPEARAACARLVDAGIAMVSQSVLLKGVNDDPDVLAKLMKAFVEIRVKPYYLHHPDLA PGTSHFRVTIEEGQEIVEALRGRISGLCQPAYILDIPGGHGKAVISGSAMRATGDGCY SVTDYRGGEHSYPPAD YP_002977907.1 MNSSAKASPWWTPSVHADRRPFLIGRNAIQAALRGFFAREDFIE VDTAVLQVSPGNEAHLHAFATEALTTDGQKAPFYLHTSPEFACKKLLTAGEERISCFA HVYRNRERGPLHHPEFTMLEWYRAGESYESLMMDCVRILALAAETVKTGKLAYRGGES DPFAGPERISVAEAFQRHAGIDLLASVAADGSTDRDHLAAETKRVGMRVADDDGWADL FSRVLVEKIEPHLGFGRITILDEYPVSEAALARPSARDARVAERFELYACGVELANGF GELTNAAEQRRRFEIEMAEKARVYGETYPIDEDFLAALSLMPEASGIALGFDRLVMLA TGASHIDQVLWAPVAEYGR YP_002977908.1 MVKVIASSVRKGNVLDVDGKLYVVLTAQNFHPGKGTPVTQVDMR RIVDGVKVSERWRTTEQVERAFVEDVSFQFLYEDGEGFHFMNPASYDQVVVDVATMGD DKAYLQEGMSCILSMHEGIALALQLPRHVTLEIMETEPVVKGQTASSSYKPAILSNGV RAMVPPHINAGTRVVIATEDNSYVERAKD YP_002977909.1 MTDITDAYFSNLIGRLEELKQTLAQPMAQAAAVILDAARGDKRV YVFGTGHSHMLAEEVHYRAGGLAFTVPVLVGSAMLHEGAVISSVYERTQGLVRPMLER YGMQPGDVIIIASNSGVNAAPIEAADYAREIGAKVIAITSIAYSSAIANGRRRLADVA DVVLDNGLPPGDAVVDLEGTGLRVGPVSTAVGVTVINAIFAEVASELSKSGDAPIYLS ANMPGAAEINQKLVKKYRPRNPHL YP_002977910.1 MVRKIFLGARIFDGEHFHDDKALIVAGGRVEAIVARNDLPDGEV VTLAGGVLSAGFIDAQVNGGAGRMLNDEPSAASMDIIAGGHRPYGTTSLLPTLITDTS EASIAAIEAAKEAVKMNRGVAGLHLEGPHLAPARKGAHLAELMRPVEDRDVKAFIRAR EAIGTLLVTMAAEQVTVAQVRELAEAGVTVSIGHSDCSSEAAEDRFDAGARGVTHLFN AMSQLGHRAPGLVGAAIDHPSTWCGIIADGHHVDPKALRTALRAKRGEGKLFFVTDAM SLVGSEKDSFTLNGRTVRRERGGFCSKLVLSDGTLAGSDVDMISTIRYGVTYLDLTLA EALRMATLYPARFLRLADRGHLSPGARADLVHLTDALAVTATWLSGEAA YP_002977911.1 MNDNQSLMLQEAGQSPEVVAMLLEKEKPVFAEIARLFSSARPSV VTTAARGSSDHAATFFKYLFEITCGVPVASVGPSIASVYGAALHLKGGVHFTVSQSGA SPDIVALQDAAKKGGATTIAVVNVTDSPLAKQADIVLGLNAGAEKSVAATKSFIASVA ALSGVTAAIGSASDLAAALGKLPEALSATAGIDTAAAEEVLFNATSLYTAGRGPAFAI ALEAALKAKETSGLHAEAFSLAELMHGPMRLVQPGFPIVAFAPDDAAFANNVQALERL QKLGATTVGFSTQPLSGVHLRAPTTGNGLVDPLVSLLVYYRLIESVTRRKGFDPDKPA NLLKVTETV YP_002977912.1 MDRTSLIAELNSRGLRDEALTGPLYKRLAQTLTGLIQEGLLKPG TALPGERDLAEALKLGRVTVRTAYRDLMASGALESRHGSGTFVSSRVERMEQSLWRLS SFSADMRSRGRLPAARILSRAVNTPSPEESFLLGLGGDEPVLRLDRLRLADGLPLAIE RAVVPIKFLGHDAGGEGSLYDALTASGHRPVRALQRLTAVTLDPSSAAILNVKSGAPA LLIERVSRLEDQRVVEYTRSHYRGDAYDFVAELRIGDDL YP_002977913.1 MSDKLERDVFGQTQAGETVYRVVIKGGGLTAKIISWGAVIQDLR LEGHDAPLQLGFDDFDSYPLYSSYFGATPGRCANRVGGGRFTLDDKDYQLELNENGVT HLHGGSDNIAKRNWTIVEHDVDRVVLKIVDPDGRAGYPGNCTIQATFWVHGNGELSIT YESTCDQPTLANVCQHAYFNLDGREDALGHDIMIAADRYLPTDEKQVPTGEICSVEGT EFDFREMAPMKRFVGSEQAFYDHNFCLSGERTAKRSVALARSLYSGVSLEVRSTEPGV QFYAGFKLDTAAPGIGGRKYGPFAGFCLETQVWPDAINHQGFPNAVLRPGEVLRQETD YIFTKN YP_002977914.1 MPIKIPDTLPAFETLVQEGVRVMTETLAIRQDIRPLQIGLLNLM PNKIKTELQMARLVGASPLQVELSLIRIGGHKAKNTSEDHLLAFYQTWEEVKHRKFDG FIITGAPIELLPYEDVTYWPEMQEILDWTETNVHSTMNVCWGAMAAVYHFHGVPKYEL KEKAFGVYRHRNLKPSSIYLNGFSDNFEVPVSRWTEVRRADIEKSESLEILMESSEMG VCLVHEKRGRRLYMFNHVEYDSTSLSDEYFRDVNAGVPIKMPHNYFPHNDPALAPQNR WRSHAHLLFGNWINEIYQTTPFDVEEIGTDL YP_002977915.1 MADKIEGRPGIRRQDRVGYDLSLTYRLGVMFSAFWNSEVRGKVL FLATVLILVILATSYGQVILNEWNAPFYDSLERRDLGEFFHQLEIFAMIAGTLLLLNV LQAWLNQMTALYMREGLSRDLVDQWLKRKRALRLASSGLIGVNPDQRLHEDSRNLAES TTGLVLGLLQSTILLVSFIGVLWELSSGFIFRISGHSFSIPGYMVWAAIFYAASASVL SQVVGRKLVKLNADRFSKEAELRFTLMHANENMPAITVARGEENERRRINTDISSVLT VVKRLAMANTNLTWVSAGYGWLVIVIPIIVAAPAYFSGGLTLGQLMMSVGAFNQVNTA LRWYVSNFGPIAEWRATLMRVTDFRQALVEMEEDFDLKDSIAYENAAPGTLTLKDVVI VAKIGEDIEECGGFRLRETDVVIKAGEKIMINGDHSVNRKLLFQAMAGLWPCGSGTIG LPPIDDMLFVPQLAYVPGGSLREALAFPERPEAYEKADVEAALDKVGLHSLIARLETR ARWDKLLDSDQQKAIGFARLLLVRPRWIIFDEVLEGMEPELQETMAKLLTSMPESGMI YIGRSEAYLEALKPRVLHLQALPSASEEPPAVQTGASASAGAAAVPAPAL YP_002977916.1 MSRKPHSRFLTALTAGSLVALALSQAAQAQDAQRIRVRGAIESL SGDTLVVKTREGSDATVALKAGWKVGGIKKASVEDIKPGDFVGVASLPKGTGPDGAIE VLIFPASMKGTGEGNRPWDAQPNSQMTNATVSNAVKSVDGHTITLTYQGKEKTITIAD GTPIVTLAPATKDDLKAGAGVVVTGEKAADGSISASQIAVGLNGVIPPM YP_002977917.1 MAPPILKLDDIFLSFGGAPLLAGAGLQIEPGDKICLVGRNGSGK STLLKIAAGLVEAQSGELFRHPSSTVRYLEQAPDFAGFNTVQAYAEAGLGPGDDPYRV TYLLSHLGLSGDEDPKMLSGGESRRAALARVMAPEPDILLLDEPTNHLDLPTIEWLEG ELQKTRSALVLISHDRRFLEKVSTATVWLDRGTSRRLERGFAHFEAWRDQVLEAEELE QHKLGKSIEREEHWLRYGVTARRKRNMRRLGELQTMRSNYRGHKGPQGTVQATVSDAQ ESGKLVIEADKITKSFGERAIVTPFSIRVHRGDCIGLVGPNGAGKTTLLKMLTGQLSP DSGIVKLGTNLEIATLDQKREDLDPEDTLANYLTDGRGENLLVNGEQRHVTGYMKEFL FQPEQARTPIRNLSGGERARLMLARILSRPTNLLILDEPTNDLDIETLDLLQEIVAGF PGTVILVSHDRDFLDRTVTSTIAPAVPDAPDGRWIEYAGGYSDMLVQRKGALEERKRA EKAAEKPKTQQATASGNSKVKLSFKQKFALENLPKEMAKAEAEIAKREQVMADPNLFT RDPAAFNRLAGEMEKLRASLTDMEEEWLELEMLREELEG YP_002977918.1 MSQSTFTILLGGELSLTERLRDAIENSRFIAADGGMRHAAALGI TPELWVGDFDSTPDDLAGAFPDVPKQPYPAAKAATDGEIAVAEAIARGARRLILAGAL GGERSDHALQHLLSAVSLAEEGFDLLLTSGKEEAVPLVAGTIELDLPKGSLFSVPGFS ELRGLSLENARYPLTDFHLPFGSSRTISNVAEGKVRFSLRSGRAIVLARPYDLSGV YP_002977919.1 MSDVLTKTPSVEPAEPDRENVPRIGRSMARMRLMTGRRLIGRLA IQSAAPGLELSHLDVLDAVRRAQPAGEVTVGMIAEMLRIDPSRASRVVADMVGRNVLR REASQADARRIVVVMTEVGQDLLAEIVAQKLAIISEIVSDWRQEDVERFAALFERFIG GYEAVFLSRDKDMPG YP_002977920.1 MDMQLAPAPLVTDPRRRLILFFFLMTAMFMATLDNQIVSTALPT IVGEFGHLERFGWIGSAYLLSLSAVMPVYGKLGDLFGRKYVMMTAIMIFTVGSTVCGL AVSMNTLIAARVLQGLGGGGIMVSIFAVNADLFEPRERARYQSYSSLVLMASGAIGPV LGGTMSDLFGWRSIFLVNVPIGFIVLTGLAFMLPYRKPHRRPKIDYAGALLLAMTTTS IVLATDSSELFGALISPESIGIVAFGVVCAVTWVFVERRAPEPIVPLQLFRNSTFSLL LVISIMGGAIAIGMVNYLALFLQTTTGLSPSAAGLLFILLTGGLVCGSLSAGRIISKT GRYKPFAIASLTCSAIAFALMSQIHAGTPIAFIGAVMMLHGIGIGLAQQVPVIGVQNA APARDVGAATGSVTLSRMGGASIAISIYGAIIASELGKVGVSIPGVADIKQLTPKMMA ALPEASRQAVADTYAAAFSPLFMTSCAIALIGLAAAIMLKPVQLPRAGETIKPQPATA EAAE YP_002977921.1 MMLLSAVAMALNGCQTLIDQSYQPSVSPSSNPQIVDEVQKNDPR AAMGAREHPRIVASYGGEYKDAKTERLVARIAGALTAVSENPSQSYRITILNSPAINA FALPGGYLYVTRGLLALANDASEVAAVLSHEMGHVTANHGIERQKREEAEVIASRVVA EVLSSDIAGKQALARGKLRLAAFSRQQELQADVIGVRMLGEAGYDPYAAARFLDSMAA YSRFMSVDPEADQSLDFLSSHPNSAQRIELARTHARAFGQEGSVGDKGRDYYLDGIDG LLYGDSPEEGYVRGQTFLHGGLGIRFDVPPDFHIDNKVEAVMATGPNDIAVRFDGVAD NQNQSLTNYISSGWVTGLDPSTIQPITINGMEAATARASADRWDFDVTVIRNNSQIFR FLTAVPKGSGALEPTANVLRASFRRMTPAEAASLKPLRIRVVTVRPGENISTLAARMM GTDRKLDLFKLINALPTGAAVSIGDRVKIIAE YP_002977922.1 MKNMSADRRMIKIAMAAPYLARQEEHDLATRWKDHDDRGARNQI AMAHMRLVISMAGKFRNFGLPMSDLVQEGYVGLLEAAARFEPERDVRFSTYASWWIRA SIQDYILRNWSIVRGGTSSAQKALFFNLRRLRAKLAKGDTQLTLQSIHQEIAAALGVS LSDVQTMDARLSGNDASLQAPSVSGDAESAEKMDFLVSDDPLPDEQVSNMIDGERRRV WLASALKHLNEREMKIISARRLAEDGATLEELGADLGISKERVRQIESRAMEKLRSAL VSADPHMAAYA YP_002977923.1 MTTQQKKPSTARHGFKTGESIVYPAHGVGTITAIEEQEVAGMKL ELFVIDFEKDKMRLKVPVAKAMSIGMRKLSETDFVERALKVVQGKARVKRTMWSRRAQ EYDAKINSGDLISIAEVVRDLYRAENQPEQSYSERQLYEAALDRMAREIAAVNKMSET EAVRLVETNLNKGPKRGKAIEEDDSQDEAA YP_002977924.1 MTYVVTDNCIKCKYTDCVEVCPVDCFYEGENFLVIHPDECIDCG VCEPECPAEAIKPDTEPGLDKWLKINTEYATIWPNITVKKEPLPEAKDMDGETGKFEK YFSEKPGSGD YP_002977925.1 MSGETQPTSGSRQRIDKWLFFARMAKSRSVAQSHIQSGHVRING ERCSQPSQMVKPGDRIELTLERRDVVLVVRLAGERRGPYEQARLLYDDQSPPPDEAKR LTPYEQAIRATGTGRPTKKERRAIDRLMSDED YP_002977926.1 MTLISQPMILSGRGVTAVLGPTNTGKTHYAIERMVAHGTGVIGL PLRLLAREVYTRVVEKVGAQNVALVTGEEKISPPNARFSVCTVEAMPRETRAAFVAID EVQLAGDLERGHIFTDRILHLRGREETLLLGAATMRPILQQLLPGITIVERPRLSHLF YAGQKKITRLPQRSAIVAFSADEVYAIAELIRRQRGGAAVVLGALSPRTRNAQVALYQ AGDVEYLVATDAIGMGLNLDVDHVAFAQDRKFDGYQFRNLNPGELGQVAGRAGRHVRD GTFGVTGQVSPFDDELVQRIEGHEFDNVKVLQWRTTEMDFSSIASLRASLEVGPRVPG LTRALPAVDQQALEQLSRYPEVIDLADRPARVEKLWEACALPDYRRITPAQHADLIAT LFSDLVRYGTVNEQFLAEQVHRSDRTDGEIDTLSARIAQIRTWTYVSNRPGWLADPTH WQEKTREIEDRLSDALHERLTKRFVDRRTSVLMKRLRENAMLEAEISVNGDVFVEGHH VGQLSGFRFTPVAGTDGPDAKAVQAASQKALGLEFEARAARMHAAGNSDLVIGSDGLI RWLGDPVARLSGSDHIMRPRVILLADEQLTGNARDHVAARIERFVNHHISTVLKPLDD LSRAEDLQGLAKGLAFQLVENLGVLFRREVTEEVKSLDQEARASMRRYGVRFGAYHIF VPALLKPAPAELITLLWALKNDGLDKPGYGDLIPVLAAGRTSVVTDPTFERMFYKLAG FRFLGKRAVRIDILERLADIIRPLLQWKPGQNNRPEGAYDGRRFTTTTAMLSILGATL EDMEEILKGLGYRADAVKAEEASVHLATQDAASVPAATAEMPAEETAEKADHDDADGA AEETVAEASATEAAPAVADAPVAEAVQPPAPVEAIETSAPVEASGEAVHAPEEAAPAP GEAGEPVEPKPVLLWRLGGRNDNQRQARGGHGERRGGQGEQRGQNQERGDRNRRPGGG EGGEGNRNGDGRDNNRQNRGKEGGGRDGGRPQQARGDRNNQPRGDQPRGDRQDRGDRK DRGDRNDRNDRNNNRGGSQPLRFEAKPPRKEKPIDPDSPFAKLAALKEQMKK YP_002977927.1 MLGTILLVILILLLIGALPNWGYSRGWGYGPSGGLGLVLVIIII LVLMGRV YP_002977928.1 MMKKIVLIGALVGALASCTATEQGTAIGAGTGAVIGGVVTNSWG GAAVGAVAGGLTGALIGQSVERRGYCVYRDRYGRRYEARCR YP_002977929.1 MSRVALVTGGTRGIGAAISMALKNAGYRVAASYAGNDEKAKAFH DVTGVPVFKWDVSDYLACGEGVARVESEIGPVEILVNNAGITRDAMFHKMTQQQWHEV INTNLTGLFNMTHQVWTGMRDRSFGRIVNISSINGQKGQMGQVNYSAAKAGDLGFTKA LAQEGANKNITVNAICPGYIGTEMVLAVPEKVLNERIIPQIPVGRLGEPEEIARCVTF LVSDDAGFITGSTLTANGGQFFA YP_002977930.1 MSNPSIVIASAGRTAVGAFNGAFATVLAHELGAAVIKGALERAG VDAGEVDEVILGQVLAAGEGQNPARQAAMKAGIPQEATAWGVNQLCGSGLRAVALGMQ QIATGDAKIIVAGGQESMSMAPHAAHLRGGVKMGDMKMIDTMIKDGLTDAFHGYHMGI TAENVARQWQLSRDDQDQFAVSSQNKAEAAQKAGRFVDEIIPYVIKTRKGDVTVDADE YIRHGATIEAMGKLRPAFDKDGTVTAANASGLNDGAAVAVLMSEAEAVRRGVQPLARI VSWATAGVDPQIMGTGPIPASRKALEKAGWSVNDLDLVEANEAFAAQACAVTKDLGWD PSIVNVNGGAIAIGHPIGASGARVLNTLLFEMKRRGAKKGLATLCIGGGMGVAMCFEA L YP_002977931.1 MAKHEGQIVIKKYANRRLYNTGTSTYVTLEDLAEMVKKGEEFNV QDAKSGDDITHSVLTQIIFEQESKTGNTLLPISFLRQLITYYGDQMQMVVPSFLEHSM RSFTEQQSQMREQVNRAFGETPLGKNLQLPMQMVEDHVRRNTELFQQAMQMFSPFMTP PAKESRKAEAKDIDELKEQLRALQNKLDNL YP_002977932.1 MIAAMPLMIIPFILYNLAMLGLMGNGGIPALQHDIIVLSMISGA IWSMALGDLFIVIALVVLFFEILKATRTGSGNLVNHMLSMLVFIAFLVEFLLVRDAAT QVFFILMTIALIDVIGGFAVSIRSAGRDVSIGL YP_002977933.1 MAVPKRKTSPSKRGMRRSADALKAPTYVEDKNSGELRRPHHIDL KTGMYRGRQVLTPKESA YP_002977934.1 MDRPTLYIANKNYSSWSFRSWMALTGAGVDFEEVLIPFDYPGGN RDIKAVSPTGRVPLLQHGALKIWESLAIIEYVAELYPDAALLPRDRAERALARSVSME MLSGFRALRGACPMNIRRPKGKIALPEGVDADISRIETIWRDLLQQSGGPFLFGAFSG ADAMFAPVVNRFEIYDLVSQNDTLAYMETMKAHPAWRKWEEAARAEPWIVPEDEV YP_002977935.1 MTITTFSPELLFYSKRHNPTPPAHLGSRYRKVGGFLPEAGNTIV CHIEKGSQTQAVLIEAREKYLAMPEAPQFLFTPISSIHMTLFEGVIETRRRQDCWPGD LPLDTPIDDMIALMAARLEGFSMADPFKVAIVEARPSGLLVDGATERDRKVMRAWRNA LAELLGYRQPNHEDYKFHMTFAYAIERLEDEALPRWQAMLDNVADDVRRKAPVFELTP PAFCAFEDMNHFHELLIFDFEA YP_002977936.1 MLKRIVLAVLVLLILPYALIFFYLLPFIHPVSTLMLRDLVLLRG YDRQWVSLDKIAPVVVQSVMMSEDGQYCFHGGVDWAEMRMLVEDTLKGQATRGGSTIP MQTAKNLFLWNGRSFVRKALELPLAVSTDFVLSKRRLMEIYLNIAEWGPGIYGIEAAA QHHFKVPASKLTRRQASLLAVSLPNPIDRNAGKPGRGLRRLAGVIERRAQGSGDYIRC IYD YP_002977937.1 MDANRDTFETRLKNNARDIEALLDALLSPSALSDEIARPETLRD AMHYAVLNGGKRLRPFLVVESAALLGGDAEAALRVGAALECVHCYSLVHDDLPAMDDD DLRRGKPTVHIKFDEATAILAGDSLLTYAFDIIAAPETTLADTSKASLVLALARAAGL GGMAGGQALDLAAEKQAPDEAGIIRLQAMKTGALIRFACEAGAVIAASRPEDRRRLRA FGEKIGLAFQLADDILDLTSDAATMGKATGKDAARGKGTLVALRGMEWAEAQLREHVR DAEALLAPYGPRASTLIAAAHFIADRKS YP_002977938.1 MSRYWSPIVSKLRPYVAGEQPRIANMVKLNTNESPYGPSPKALE AIRDAADDRLRLYPDPTAAELRETIAAHFGLTAEEIFVGNGSDEVLAHTFQALLKHER PLLYPDVTYAFYSTYSLLYGVEAIEVPVDDGFRIGLEDYDRPCGAIIIPNPNAPTGIG LPLASIEALLAAHPDAVVVIDEAYIDFGGESAAGLVSTYPNLLVIQTLSKSRSLAGLR IGFALGQRPLIEALERVKDSFNSYPLDRLAQLAATAAIKDEAWFETCRRNIIASRESL DSELEVLGFEVLPSQANFVFARHQSRSGAALQAALRERGILVRHFAKPRISDFLRISI GTGEECARLVSALKEILTA YP_002977939.1 MLSAADFDPKPRRASVAVDVGGVIVGGGAPVVVQSMTNTDTADI DSTVAQVAALHRAGSELVRITVDRDESAAAVPKIRERLLRLGMDVPLIGDFHYIGHKL LADHPDCAEALAKYRINPGNVGFKDKKDKQFAEIIEMAIRYDKPVRIGVNWGSLDQDL LTALMDRNAEAGSPLSARQVTREAIVQSALLSAALAEEIGLPRNRIILSAKVSQVQDL IAVNSMLAERSNHALHLGLTEAGMGTKGIVASSAAMGFVLQHGIGDTIRVSLTPEPNG DRTREVQVAQEILQVMGFRQFIPVVAACPGCGRTTSTVFQELAQNIQNDIRKNMPVWR EKYPGVEALNVAVMGCIVNGPGESKHADIGISLPGTGETPAAPVFIDGRKALTLRGAN IAADFEALVVDYIEKRFGQRTAAE YP_002977940.1 MDSHVSAPGARSGFITRSRAAVSLLFLMNGFVVGCWAPKIPDFA ERLALTKFELGLMILVFGVGSLVMMPIAGAQIAKHGSRVVVQVLAVSVLPLLLALTLA PNVLTGAISLFLFGGFIGAMDVAMNANAVSVEKSMRRAIMSSCHAFWSLGGLIGSGLG GIVISKLGILGHAQLATVLAAIFLAVAWPMILADPPHPDAKKEKTKLPMVPLPWLLGL MALFSMVPEGAVLDWGALYLRQEMGASVALSGLGFAAFSATMAIMRFAGDLVRDRLGG VKTLRICTLFAIVGMLLAGLAPNAELAILGFAFCGIGISNMVPIAFSAAGNIPGLKPG IGISVVTTMGYSGMLVAPSLIGFVAEHIGFAVVFMALPVLLIVVLLLSNLAHYADETS GGGH YP_002977941.1 MRERQGVISERLRLNGRVLATELALEFGVSEDTVRRDLREMAAA GLCERVYGGALPVSPAHGSLTQRIGFAADRKQALARAAAKQIAAGSTVFFDAGSTNLA IAHALPAELALTAATNAPAIAAALIDKPAVNVILIGGMVDRQTGGSLGAKALRDMEQI SPDLCILGACGVDLEAGITVFGFEDAEFKRFATSRSKRVLVAATSEKFDTAAPHSILP VAHCECLVVEHDADPAILARYRERGCRTVLAEKTN YP_002977942.1 MTGPGGGNIDWSFPIPAGISATWSVLPVKNSVSLGILLTSLAYM AFTFHDAIIKILASSIPVWQILFFRSLTILVGCLAYGRGKLVHQTMTSPIIKPMIARS ILLLCAWLSYYSAASRLQLAEVTTLYYAAPVVGTLLAWFILKEKVTPARWLAVGVGFV GVLIACNPVGLTISWPVYLALQAAVLWASAMVLLRKTSLHEKTIIQLTVSNVFFLAMT GVAVIWTWQTPDMTQLALLIATGIVAGCAQFALFEGMRQAPVSVLAPFEYSSLIWAFL LGYLIWADIPTQNVVVGAAIILGAGLIIIISEKLRRRITA YP_002977943.1 MNWLKTVAAAALIQAAALLPAHAGENLAAIKSAGVFKIGTEGTY APFTYHDESGKLVGFDVEIGEAIAAKLGVKAEFVEGKWDGLIAGLDAKRYDTVINQVG ITETRKQKYDFSEPYIASKAVLIARDSDDSIKSFADLKGKKSAQSLTSNFGKLATEAG AELVGTDGFDQSIQLVLTKRADATINDSLSFLDFKKHKPDAPVKIVAEQENADYSGVI IRKNEPELLAEINKALADIKTDGTYKKIADKYFGQDVSK YP_002977944.1 MAHWLQLMAESLPSLLWAGLIFTIPLTLLSFVFGLALGLATAIA RLFGPMPLSAVARFYVWVIRGTPLLVQLFVIFYGLPSLGILLDAFPAALIGFTLNIGA YSSEIIRAVISSVPKGQWEAAYSIGMSWRQAMSRTILPQAARVAVPPLSNTFISLVKD TSLAAAITVPELFQAAQRIVATTYEPLILYIEAALIYLVLSSVLSQLQVRLERRFARY GGMLEANA YP_002977945.1 MIELSNIEKRFGDAVILKDISIRIPEGSVTALVGPSGGGKSTLL RCINLLEIPTAGSIRLGEEKLAFVPGKRTSWPAIQKIRRQTGMVFQNFQLFPHQTAIE NVMEGLVTVLRWPREKAHERAMELLTKVGMTDKADAWPSTLSGGQQQRVAIARALAPS PRVLLCDEPTSALDPELSAEVVDVLGQLASEGTTMVMATHDLRLASKIANDVVFLEAG IVVETGSARAIFSAPERERTKRFISTINAAHTYDI YP_002977946.1 MSKLKIAVIVGSTRIGRFSEHPAKWIAAIAAERQDIEVEVLDLL DYPMHFFGEQRATTAESETAERWKKKLREFDGFIFTVAEYNHAPTAVLKNAIDLGEFI HKPVGFVGYGGVGGARAVEHLRLIFVEMGAASVKTGVHIALGEYLGVLKEGKSLSDYA HLNEAAKNQLDQLIWWGNALKAARAVVTAS YP_002977947.1 MPISKILVANRSEIAIRVFRAANELGIKTVAIWAEEDKLALHRF KADESYQVGRGPHLSKDMGPIESYLSIEEVIRVAKLSGADAIHPGYGLLSESPEFVDA CNKSGIIFIGPRADTMRQLGNKVAARNLAISVGVPVVPATGPLPEDMAEVAKMAEGIG YPVMLKASWGGGGRGMRAIRDPKDLAREVTEAKREAMAAFGKDEVYLEKLVERARHVE SQVLGDTHGNVVHLFERDCSIQRRNQKVVERAPAPYLSEAQRQELAAYSLKIAAATNY VGAGTVEYLMDADTGKFYFIEVNPRIQVEHTVTEVVTGIDIVKAQIHILDGFAIGTPE SGVPRQEDIRLNGHALQCRITTEDPEHNFIPDYGRITAYRSASGFGIRLDGGTSYSGA IITRFYDPLLVKVTAWAPNPSEAIARMDRALREFRIRGVATNLTFLEAIIGHPKFRDN SYTTRFIDTTPELFQQVKRQDRATKLLTYLADVTVNGHPEAKDRPRPLENAAEPVVPY ANGNAVKDGTKQLLDTLGPKKFGEWMRNEKRVLLTDTTMRDGHQSLLATRMRTYDIAR IADTYAHALPNLLSLECWGGATFDVSMRFLTEDPWERLALIREGAPNLLLQMLLRGAN GVGYTNYPDNVVKYFVRQAARGGIDLFRVFDCLNWVENMRVSMDAIAEENKLCEAAIC YTGDILNSARPKYDLKYYTDLAVELEKAGAHIIALKDMAGLLKPAAAKVLFKALREAT SLPIHFHTHDTSGIAAATVLAAVDAGVDAVDAAMDALSGNTSQPCLGSIVEALRGTER DPGLDPEWIRRISFYWEAARNQYAAFESDLKGPASEVYLHEMPGGQFTNLKEQARSLG LETRWHRVAQAYADANQMFGDIVKVTPSSKVVGDMALMMVSQDLTVADVVSPDKEVSF PESVVSMLKGDLGQPPSGWPEALQKKALKGDKPYTVRPGSLLKEANLDAERKVIETKL EREVSDFEFASYLMYPKVFTDFALASDTYGPVSVLPTPAYFYGLKDGEELFADIERGK TLVIVNQAMSATDSQGMVTIFFELNGQPRRIKVPDRAHGATGAAVRRKAEPGNAVHVG APMPGVISRVFVSPGQAVNAGDVLVSIEAMKMETALHAEKDGTISEVLVRTGDQIDAK DLLVVYAG YP_002977948.1 MNINSLIQLLVILEECSNPEAVITELEQILRGCGFEYYGLPHHL PQSPLQQNPEPWAAALAGRWPEQWPQIYAAKKYALIDPMVRYLAHAQRPFRWREAMAA FRKDAYHRRMEQMMVDAFGHGLEDGYIFPIHGRSGILGSLSLAGKPIELSPVEIALLE AVARKAFWRLLDLKGEAQALETVLPADTPLTRREMEILHYLAEGMTSMEISKMLKISN HTVDWYMNGLQDKLKAKNRQHAVALAFRHGLIR YP_002977949.1 MTLFKVYARALRYLGAYKLRVSLVVVANIVLATITIAEPILFGR IIDAISGKGEVKPILFMWATFAVFNTIAFVLVAREADRLAHGRRATLLTEAFGRIISM PLGWHHQRGTSNALHTLLRACETLFGLWLEFMRNHLSTVIALALLIPTAMSMDLRLSA VLMVLAIAYWLIGRVVMSRTKDGQASVENHYHTVFSHVSDSISNVSVLHSYNRIEAET RALKSFADRLLEAQYPVLDWWAIAGALNRMASTIAMMVVLIIGTMLVQAGQLRVGEVI AFIGFANLLIGRLDLMRQFATQIFEARSKLEEFYALEDSVREREEPAGNGEIKDVKGA IEFRDVSFGFGNSSQGLHNVSFSVKAGQTVAIVGPTGAGKTTLVNLLQRVYDAQGGKI LVDGTDITKVTRKSLRRHIATVFQDAGLLNRSISDNIRLGREGASEEEMRRAAEAAAA ADFIETREDRYDTHVGERGNKLSGGERQRIAIARAILKDAPILVLDEATSALDVETEN RVKAAIDNLRQNRTTFIIAHRLSTVREADMVLFLDDGRVVEQGSFDELSHSNGRFAAL LRASGILTDEEVRKAHTTEAA YP_002977950.1 MGVKRYELNEAQWSRIAPLLPDKSSDPGRTGVDNRTFVNGCLWI LRSGAHWQDLPGRYGKRKTVHRRFSRWCHAGVWERVFDALTADRDNQYLMIDSTIVRA HQQAVSGKGGPRIRRWGVPEVD YP_002977951.1 MKVLRIVANIQAADITPARRFYQDILGLDVIMDHGWITTFGAAR SMNIQLSIASEGGSGTEVPDLSIEVDDLDDAFEAMSASGFPIEYGPADEPWGVRRFYV RDPFGKLVNILMHKN YP_002977952.1 MASTPTQAIVTGRSSLSAAAPVRDTRLDVLRGVALIMIFINHVP GQIFEYVTTKNFGFSDAAEAFVLISGIAVGLAYGSRFQPGNRLTVAIKAVKRAFTLYL AHMITTFMTLALFICGAWLFHRPGLLVEINILAVLMNLKEGIPALLLLGHQIGYNNIL PMYGALMLMVPIILLLNARSPWLALGVSATVWLLAGIYEVAPHNMLIESYWFLNPLSW QFLFSIGIVSMLHIKRGGTIPQHPLLLTVAAGYVVLSFIWVTGHLWIFGNSLAALGLP TVITGFDKTFLSLPRLLHVLALTYLVISIPALSRILRRPVGHPLTILGRHSLNIFVAG TILAMIGQVVLYITNKDQLVGPLFVIVGIAIQFAYAYYLERKRQQGKVKGKLVTDTAT IPVPVRIGGTANAYRQNDRK YP_002977953.1 MSISHLSPSLPREPDMKQIDHNGSIRSTYLSIEDIKAMGDAVAR NGVDQLPAFAPFDFFARHKENEKEILRVYRTTATDVEAGETITPAAEWLLDNHYIVEE AIQEVRRDFPRRFYRELPTMVVGGVEVPRTLVLAWLYVAHTHSTISQESLTALVDGFQ ASETLRIGELWALPSLVRYVLVENLRRISSRVEASRRLRRRANEAADELVRLTDPAGA AAYLKTLEPLAEDNTFSTQFLYRLRDGSQTSSLAITWLDERLEELGRNTEEATTAEHS RLSSGNVTMGNIIRSLREIDDAEWSVWVEQVSHVDKLLWEHSDYGILDSGSRNKYRKQ IEKLAKRSPLSEMEIAQLALDMTDAAKASDEPQPHEPNVGGFLSGAQRPKLEARANYR PTVTQHFVRAVRRFNWLAIAVPVMLLTVIAMAIVGKFMANAGMGAVEIALLLIMFSVP ASEGATGLFNTLLSFFVTPARLVGYEFKDGIPEDARTLLVVPCLISNRDSVDDHVRNL EVHYLANPRGEIYFAMLSDWPDSEVEETPADLEVLDYARREIANLSARYAYDGKTRFY LLHRRRLYNPSEGVWMGWERKRGKLHELNMLLRGDRDTTYLPGANTVPANVQYVMTLD ADTRLMRDAVTKLVGKLYHPINRPVINPKTGRVESGYGVLQPRVTPSLTTGKDASVFQ RVFSINRGLDPYVFTVSDVYQDLAGEGTFTGKGLYHVDAFEASLKGRIDENSVLSHDL LEGSMARCALVTDLELVEDFPIRYEVETSRQHRWARGDWQLLPYMFNPKYGVTALGRW KMFDNLRRSLTPIAWFFASVLGWYFMGPLGALIWQILLIFCLFVAPTLSLINGIIPRT SDIIARAHLYTVWADITAANAQVALRIVFIADSACMMADAIGRSLYRLFVSRKLMLQW RTAASVQAGGQGTLISYYKAMWHAPALALLALGFAALPGDNAFLVGIPFALLWILSPV VAWYVSQSAETEDRLEVADSVSSELRKIARRTWRYFETFTTAEQNYLPPDNIQETPHV IVAARTSPTNIGVYLLSVVSGRHFGWFSFEETLERLEQTIATIDKMEKFRGHLFNWYH TDTLQTLGPRYVSAVDSGNLAGHLIAISSACRNWAEAPSAHMQGNLDGVGDTAGILRE VLADLPDDRKTVRPLRRRLEERIVGFQNALAAVKREHEFASIRIINLAVLARDIEKLA ANLDHEVKSKQSEEVTQWAASLVKVCEAHISDSTFDLSKVDALRPRLVALRDKARDLA FSMDFGFLFRPERRLLSIGYRVESGELDQACYDLLASECRLTSLFGIAKGDLPTEHWY RLGRQVVPVGSRGALVSWSGSMFEYLMPPLVMQERGGGILNQTNNLVVVEQMNYARKL GIPWGISEAAFNARDHNLNYQYTNFGVPTLGLKRGLGHNAVIAPYASLLASQYDPPAA LENLQRLRKLGALGKFGFHDAVDFTPTRVPEGKKCAVVYNYYAHHHGMSIAAVANVAF NGHLRELFHADPVIEAAELLLQEKAPRDIPVMSGKHESDTPASIQDDLLRPELRKISD PASRDRELVFLSNGHYSVMLTATGAGYSRWNNLSVSRWKPDPTEDRWGSFIFLRDTAT NEWWSATSEPKGVEGEKTMVEFADEKAQFTKIVGDLTSEVECIVATEHDAEARRVTLL NMGTEDRFIEVTSYLEPVITSDDTDNAHPAFARMFVKTEIGKRGDVIRAERNKRDPNE PNISIAHLIVDNAGDTRHTEFETDRRKFIGRGRSLADAAAFDPGATLSGSDGFMLDAV MSLRRTVRVPAGKKVSVIFWTIAAPSREEVDKAVNRYRHPDAFTHELVQAWTRTQVQM RHVGVTSQQAAAFQHLGRYLVYPDMQLRKDEATVEAGLQSQSALWPLAISGDFPIFTL RINDDMDLDIAREALLAQEYLRSRGVTADLVIMNERASSYAQDMQHALDAMCENVRRM GQADGLRQHIFAVRKDLMEEATYHALIAASRVTLHTKNGKVVDQINRAVALFAPSKEE LQEMERAERNKAPVKRIAPVPPPVVPAVVIEEEGDLDSWNGIGGFARDGREYVVRLPG GHATPQPWINVISNDSFGFHVSAEGSGFTWSVNSRDYQLTSWSNDAVVNRSGEAFYLT DLDSGAVMTPFAALSRRPDIRFEARHGLGYSVFSSVQHDIALELTQTIDREKPVKLQR LRLRNTGSTSRKLRLYGYVEWILGSNPGRTVPFILSSHDEETGALFATNPYSIDFSNR TAFFAASETLSSFSASRREFIGKAGTIQAPQAVISAAALSGATELDGDPAAALAIDIE LGAGEERDFTFFLGDTPTEEEARTVIADIRKASFDETVEANRAFWRDFTGRLQISTPD RGMNNLVNTWLPYQSLGCRIMARTAFYQASGAFGFRDQLQDTLAFVLHEPSLARRQIL NAASRQFREGDVQHWWLPGTGAGVRTLISDDVVWLAYAIHHYCSVTGDKNVLDEEIAF LEGPALLEGQHDSFYKPEISEDKASVYEHAALALDLAIARKGANGLPLFLGGDWNDGM NRVGIGGRGTSVWLGWFLAGALRSFIPYAEERGDTARMERWAAHLTELKKALETAAWD GGYYRRGTFDDGALLGSRESPECRIDSIAQSWSVLSGEGDPDRAVTAMEAVLDQLVDE DARIIRLFTPPFVNSARDPGYIKAYPPGVRENGGQYTHAATWVVMALAELKRGDDAFR CFQILNPITHALDKASAEQYRVEPYVVAADVYGNEPYTSRGGWTWYTGSAGWLYRAAV EGILGIRLKDGRLYVRPSLPSEWDGFAAEVEQGGGKYRISVSKASNDSGYTLAINGCE VTDPEEGYPLG YP_002977954.1 MADLGISHAHVNQYGSVPTKKPLTTRHRLQTMLHGAIFTAAFLF VVAMVCGVVG YP_002977955.1 MFFLGGMTMGYLDPPVKADCREQISASIPAEKDRRLIETSSNPP QTENAVERSLIWAWRTLC YP_002977956.1 MSAALDIDDLSVVYDHFHALKDVSIAIESGESFGLVGESGSGKS TLLRAVAGLAPISGGAINIDGEPLKGSKRSKAFYRRVQMVFQDPYGSLHPRQTIDRLL LEPLAIHGIADSEKRIARALDEVGLGNGFRFRYPHQLSGGQRQRVAIARALIVEPSIL LLDEPTSALDASVQAEVLNLLEEIRRDRKLTFVMVSHDLGVVTHMCERLAVMRNGAVV ERLSSQALAEGAVHEDYTRNLMIASKGFVKA YP_002977957.1 MTTLLTVDNLKVSYPTRTGVIEAVRGVSFTLGKERLGIVGESGS GKSQTGRAIMGLTPKHGIVTADRLNFNGIDLINASAGERRRLRGKRIAMILQDPKYSL DPVMSIGRQICETLRTHEKVGKAEARERALAMLEAVQIRDPKRVFDLHPHEVSGGMGQ RAMIAMMLIAGPELLIADEPTSALDVTVQLDVLRIMDRLVSERGMGLIFVSHDLRLVS SFCDRVIVMYAGKVVEELAAADLKHAQHPYTQGLLNCMPEIGANRHPLPVLDRKPEWA A YP_002977958.1 MTANASPSPAMSRREWLLSDRPQSRLQARLGRTYVTWRQFTANR LAVVGLLIIVALLFIAAFADVLATHNPVVGDLRNARLLPPGTGEFWLGSDDQGRDIYS RLIYGSRLTLLVVVLVAVISAPVGLIVGTVSGYAGGWVDATLMRITDIFLAFPKLVLA LAFVAALGPGIQNAIIAIAITSWPPYARIARAETLTVRRSDYISAVRLMGASPLRIIV RHVMPLCISSLIVRVTLDMAGIILTAAGLGFLGLGAQPPLPEWGAMIASGRRFILDQW WVAAMPGIAILIVSLGFNLLGDGLRDALDPKESGQ YP_002977959.1 MSTVETTQEARPRKGRARAFAKALGRFLFAAVTTYLGLLAVTFF IGRVVPIDPVLAILGDRAPNHVVERVRQEMGFNLPLYQQFFLYIKGILSGDFGNSVLT TNPVMVDIRRVLPATIELATLGTLIGALVGVPLGVLAAVRRGSIADQVVRVVGLVGYS VPIFWLALISLVIFYARLRWVAFPGRIDIVFEYTFTPITGLYLLDSAWQGQWDVFYDV FRHIILPASLLGYFSLAYISRMTRSFMLNELSQEYIVAARAKGLSETRVIWGHALRNA AVPLVTVIALSYAGLLEGSVLTETVFSWPGIGLYITNSLQNADMNAVLGGTIVIGTVF IGINLLSDLLYRTLDPRTRNR YP_002977960.1 MMMTKLSRNFRLLSAGAALSLLMMAAPSAFAETPKDTLVEGFAI DDIITMDPGEAFELSTAEITTNSYSLLVRLDMDDTSKVKGDLAESWSVSDDGLTYTFK LKSGLKFASGNPITAEDVAWSFERAVKLDKSPAFILTQFGLTGDNVAEKAKAADAGTF VFTVDKAYAPSFVLNCLTATVASVVDKKLVLEHVKAVAPDADHKYDNDFGNEWLKTGY AGSGAYKMREWRANEVVVLERNDNYYGDKAKLNRVIYRYMKESAAQRLALEAGDIDIA RNLEPGDIDAVSKNADLATTSAPKGTIYYVSLNNKNENLKKPEVQEAFKYLVDYDAIS ATLIKGIGEIHQTFLPKGQLGALDENPYKLDVAKAKELLAKAGVPDGFSITMDVRNSQ PVTGIAESMQQTLAQAGVKMEIIPGDGKQTLTKYRARTHDMYIGQWGSDYFDPNSNAD TFTGNPDNSDAGTVKTLAWRNTWEAPELDKQAKAALLERDAAKRAAIYQDIQKKYLAN SPFVFIFQQTEVAGYRKSVKDFKLGPSFDTNFVGPIAKE YP_002977961.1 MQFVFDGHNDVLLRLWTHSKDGSDPIAEFADGTTVGHIDAHRAR EGGLSGGLCAIYIPSGDLVFADPDAHGRYMTPMAAPLDPLPSLAIANEMAAIALRLDQ AGAWRLCRTVKDIRGAMADDIFAAVMHMEGCEAIGADLSALEVFYAAGLRSLGPVWSR HNVFGHGVPFAFPMSPDTAPGLTDAGFALVRECNRLGILIDLAHITEKGFWDVAKKTD QPLVASHSNAHALTPVARNLTDRQLDAIRESRGLVGINYATAMLRADGRSDSDTPLAD MIRHIDYLVNRIGIDCVALGSDFDGATIPEEIGDAAGNQKLIAALREVGYADADLAKL ARENWLRILAQAWREDHA YP_002977962.1 MTTKAAEGAAPLATRQRRRRIPTELSIFLVLVGIALIYEVLGWM FIGQSFLMNSQRLTIMILQVSVIGIIAVGVTQVIITGGIDLSSGSVVGMTAMIATSFA QSSTWGRAVFPSLTDLPAFVPIVVGLLIGAAAGLANGALIAYTKIPPFIATLGMFVSA RGVAKWYTKGQPVSGITEQFHFIGTKAWPVVVFLVVALIFHIALRYTRYGKFTYAIGA NPQAARVSGINIEAHLVKVYVIAGLLAGLAGIVTAARAETAQASMGVGYELDAIAATV IGGTSLTGGVGRITGTVIGTIILGVMTSGFTFLRIDAYYQEIVKGLIIIAAVVIDVYR QKKRRKH YP_002977963.1 MAVSPTTMAAVRASGAVPNAEYLLSAEGVRKEFPGVVALDDVQF RLKRASVHALMGENGAGKSTLMKILAGIYTPDKGDIRLKGIEIQLKSPLDALENGIAM IHQELNLMPFMTVAENIWIRREPKNRLGFIDHGVMHRMTEELFTRLNIAIDPDIEVRH LSVANRQMVEIAKAVSYNSDVLIMDEPTSALTEREVEHLFRIIRDLRAQGIGIVYITH KMNELFEIADEFSVFRDGRYIGTHASTDVTRDDIIRMMVGREITQMFPKEEVPIGEVV LSVKDLCLKGVFNNVSFEVRAGEILGVAGLVGSGRSNVAETLFGVTPASSGSIELYGK PVTISSPTEAIRNRMAFLTEDRKDTGCLLILDILENMQIAVLQDRYVKGGFVQQGAVE ATCEDMAKKLRVKTPNLYERVENLSGGNQQKVLIGRWLLTNPRILILDEPTRGIDVGA KAEIHRLVTEMARDGVAVVMISSEMPEVLGMSDRIMVMHEGRVTGFLNRDEATQIKVM ELAAQ YP_002977964.1 MKKFILGTAMALVMSTAAHAETVGVSMAKFDDNFLTVLRNGMTD YAKTLSGVTLQVEDAQNDVSKQQSQIQNFIASKVDAIIVNPVDTDATTAMSKLAADAG IPLVYVNRQPVNVDTLPDKQAFVASNEQESGTLETKEICRILGGKGKAVVIMGELSNQ AARMRTQDIHDVIKTDECKGLEIVEEQTANWDRTQGADLMTNWLSSGIEFDAVIANND EMAIGAIQALKAAGKDMTKVVVGGVDATQDALAAMQAGDLDVTVFQDAAGQGKGSLDA ALKLAKGEKIEKKVYIPFQLVTPANVKDFVTKN YP_002977965.1 MSISMYRLTVPMFQRGLASLKTYLDKAEAYAKEKNIDPAILAAA RLAPDMLPLSGQYQRASDSAKFALARLTATDAPKFEDNETTFDDLRERLAKTEAYLAG FSAEALEGTQTRQITLPGKSGIVLPGDEYIATFALPNFYFHVATAHAILRNQGAPIGK RDYLG YP_002977966.1 MQNPTSIEPTSIEKARRPNVLLITADQWRGDCLSAVGHACVKTP GVDALAREGTLFRRHYAGAAPCSPARATLYTGLYQMNHRVCRNGSPLDARFDNLALAA RRAGYDPTLFGYTDTAPDPRGMDGGDPHLTSYEGVLPGFTARQLLPEHERQWLSWLRS RGHADAVSRDIHIPVGAGAGEISNAAPAYSRDETQTAFLAGEFIRWMGEQDRPWFAHV SFLRPHPPFSVPEPFNRMVKPGEGPAFARATNREAEPVSHPYLAYAMPRADKGSFIHG ATGPLSGWNAEDFAAIRAIYYGMISEVDAQLGRIWQALKDAGAWDDTLVVFTSDHAEM AGDHWMLGKGGFFDGSYHIPLVIRDPASSAAGGVVDKFTSAADIFPTLCQRFGIDAKN GLDGRSLMPFVRGGSGKGWRDAAFWEFDFRDIAHGEAEQHFRLRSNECNLAVIRDARF KYVHFTALPPLLFNLADDPMELDNVAADPAYAAIRLDYAEKLLSLRARHLDQTLAYTE LTERGPVTHRP YP_002977967.1 MAGETVLVVGGAGYIGSHTCLDLANKGYTPVVFDNFSNGHREFV RWGPAEEGDIRDRTRLDEVLAKHKPAAILHFAALIEVGESVKDPVSFYENNVIGTLTL LSAAQAAGINAFVFSSTCATYGLPQSVPLDETHRQVPINPYGRTKYIVEQALADYDQY RSLRSVVLRYFNAAGADFEGRIGEWHQPETHAIPLAIDAALGRRQGFKVFGSDYETRD GTCVRDYIHVLDLADAHVRAVEYLLKGGDSVALNLGTGTGTTVKELLGAIEDVSNRPF PVEYIGRREGDSHTLVANNDKARDVLGWVPQYDLSEIIRSAWDWHAKSNQH YP_002977968.1 MRKALVVWGGWQGHEPEQCADIVADLLREDDFTVEVTGDLGVFG SPTLAKADLLVPIITGEKLEKEHAIGLVEAVRGGLGLAGHHGALATSFKESAPFRYIS GVTWVAHPGNIIDFRVAVTRQDDPVMEGIPDFDYRSEQYYLHYDPTVEILATTTFTGA YDPAARNVVMPVVFKRHFGAGRIFYSALGHVAAEFDHPYMPLILRRGLSWAARQ YP_002977969.1 MQDRFSFFPATPDRLADIEAVFDDCADGRNCRCAYWYLPNADYK AGWGEGNRNWFRSLLAEWRPPGILGYHDNEPAGWCGVAPRVVFDRLRRSKPFAPVDDR PVWSINCFIVRKPFRRQGLSQLLLKNAVEFATANGAECLEAYPVDQAARASNRAELYP GTLSMFLDAGFTEVARRLPARPVVRLECR YP_002977970.1 MSHNKDAWVSQRAYSLWESEGRPDGRGESHWAQALREFEQLELT KASPDGNDLIEKLKAAGRLLRIYDEAAPAVENDRQIKAAR YP_002977971.1 MAAKTTLNAKNLESLGAQRLAELLIEISMGSAAHKRRLRMELAG NHGSAEVAREVRKRLVSIARARTVINWRKVKALKNDLETQRKTIAETVAADDPQEAFD LIWQFLALANPIFERSSDSSSSLIQSFHQACEDAAAIAASAKIGSNVLADKVFKAVQD DGYGQYDNLIAAMAPALGKDGLDCLKTLFVQWSKEPKDKPANDKREIIGWGSAGPLYE DEIYGTQRDLTVRIALQEIADAQGDVDDYIALQPEKTRKMPMIAADIANRLVSAGRSK EAIEVLNEVDATGQAGMPFEWQRARVETLEALGRREEAQTYRWTCFEQSLHDQHLRAF LKRLPDFDDLEAEEKAFAYAQAFPEVHQALTFFLNWPAPAEAAKLVMRRKAELDGNLY ELMPAAAEALAEKHPLAATILLRSMIDFALDSGRSSRYKHAARHLADCASLAPHINDF GDTRPHDIYVAELKRRHGKRHGFWSLVT YP_002977972.1 MTTTAWHADPLTWGTGPRIFEAFLEPTCPYSVKTFNKLDDLLSQ AGEDKITVKIRLQSQPWHMYSGVLVRCIIAASTLEGGKQTAKKVISAIAAHREEFEFE RHAGGPNMDATPNQIIERLEGYSGVKLKEAFATPDLDREIKWHCKYARQNGIHVSPTF MIDGLVQADMSSGDEVVVWVKKALGN YP_002977973.1 MFAVIKTGGKQYRVAANDVLTIEKLEATAGDSIEFTEVLVIGEG ADAAIGAPFVTGASVKAEVVEQNRGRKVIAFKKRRRQNSKRSRGHRQHHTVVRITDIV AAK YP_002977974.1 MAHKKAGGSSRNGRDSQSKRLGVKKFGGEAVIAGNIIVRQRGTE WHPGSNVGLGKDHTIFALTAGNVNYRTKANGRVYVSVMPKAEAAE YP_002977975.1 MQGELLRVDQSRSPQEDQRSSTERLRPERLRTDCPVLLSERLVM RAPHEEDIDALAHLANNAKVATMVSRMPHPYTADDAADFVRRTKNGEIGKCVYAITKA ENGAFIGCCGVEPQTDGKTVEIGYWLGEPYWNKGYTTEACHALVDMVFRTRQDVDQID ARCRVMNVASRRVIQKCGFQFQGSGLAASLALGSNVPVEWYRLDRKTWMSLRSWGNIA YP_002977976.1 MMPGPAPVISTARLTLRPHRLSDAPAIAESLSDFAVTRMLSRVP APFDRQDALDWLIPVTSGTLPDWPLAITDKDDVHIGNVSIELRHGRWHLGYWLNRYYW RRGYMSEAVAAIIERFSRRMPETPVHSGVFADNPASLRLQEKLGFRMTGCGEIYCFAR NTMVSHIETVLQPGMLQPRKVA YP_002977977.1 MNFASYNIQYGFGLDGRYDLARIARSLEGADVIALQEVTRGFSR NGFADMAADVAAFFPDYFWVYGPACDMHVEADEGGFQPVRGTRFQFGNMVLSRWPVVS TRTLLLPRSRTIGKINLQRGATEAVIDAPGAAIRVYSVHLDHVSADERIRQLEFLNAH INAFVQEGGSLTGGGEFDLPEPPLPEDYVIMGDFNMEPESPEYCALAGAGGGYYGRVA RIGTPVDAFAALEAYSPESYSWMDPEDHGKRMHLDYCFVSCGLQSRLKSARIDTQSVA SDHFPLWVEIGV YP_002977978.1 MKFLDEAKVYIKSGDGGGGSVSFRREKFIEFGGPDGGDGGRGGD VWVETVNGLNTLIDFRFQQHFKATIGTHGMGRNRTGANGSDVTLKVPVGTQIFEEDQE TLICDLTVEGQRYCLAHGGNGGFGNAHFKTSTNQAPDWANPGLPGEEKTIWLRLKLIA DAGLVGMPNAGKSTFLASVTRARPKIANYPFTTLHPNLGVATIDEREFILADIPGLIE GAHEGVGIGDRFLGHVERTRVLLHLISAQEEKVGKAYKTVKHELEAYGNDLTDKAEIV ALSQIDVLDDAELKKKTKELAKACGKTPFQISAVTGKGMTEVLRALRDIIVEANTEEK PAKVPKLRHRDMVVTDEGEDKGGDEDDDQP YP_002977979.1 MTSRKPLGRYRRIVIKIGSALLVDRKAGLKKAWLDAMCADISGL KAKGIDVLVVSSGAIALGRSVLDLPSGALKLEESQAAAAVGQIALARAWSESLSRDEI VAGQILLTLGDTEERRRYLNARATINQLLKIGAVPIINENDTVATSEIRYGDNDRLAA RVATMTGADLLILLSDIDGLYTAPPHLDPNATFLETIAEITPEIEAMAGGAASELSRG GMRTKIDAGKIATASGCAMIIASGKTDNPLSAIENGARSSWFAPSGTPVTARKTWIAG QLQPAGELHVDDGAVTALGAGKSLLPAGVRSVSGLFSRGDTVAVIGPAGREIARGLVS YDADDARRIAGRKSAEIEAILGYAGRAAMVHRDDMVMTAQIGSKSERQKKDASYA YP_002977980.1 MLDTVAPSPDIDVLMNDIGRKAKAAARPLSFASTEAKNSALNSM ADAILANKAHILAENARDLKDIEGTETLASFVDRLTLNDKRVAEMAEGIRAIAALADP VGEVIAAWDRPNGLKIERVRTPLGVIGVIFESRPNVTADAGALCLKAGNAVILRCGSD SRRSSQAIHACLVEGLKAAELPEHAIQLVPVTDRAAVGAMLRGLDGAIDVIVPRGGKS LVARVQSEARVPVFAHLEGLCHIYVDASADIEMAKRIIVNAKMRRTGICGAAETLLVD GAAIGTHLTPLLEVLTEAGCEIRASPTVLKVAPGLKPATEEDWSTEYLDAIISVAVVD GISGAIAHIQTYSSNHTEAVIAEDPDVVARFFTEVDSAILLHNASTQFADGGEFGMGA EIGIATGKMHARGPVGVEQLTSFKYRVHGAGQTRP YP_002977981.1 MPHSERGMVVGLFGGSFNPPHQGHALVAEIALKRLGLDQLWWMV TPGNPLKSRNQLAPLAERLAESERVAADPRIKVTAFEQAFGTSYTANTLARVKARNPH VHFIWIMGADSLQTFHKWQKWQEIARTFPIAVIDRPGATLSFLSSKMARTFDFARVDE DDARVLWKKRAPAWTFIHGPRSGLSSTAIRNGSSHGDVE YP_002977982.1 MQNRRQWMKLATAAIAGLWLGATALPAPAAAAEKLTVFAAASLK NALDAANAAWAKESGKEAVASYAASGALAKQIESAAPADIFISADLDWMDYVAKKNLI KADTRSNLLGNKIVLVAEKDKAKPVEIKQGFDLAGLLGDGKLAMGEPKSVPAGKYGMA ALEKLGVWKSVETKVAGAESVRAALALVSRGEAPYGIVYQTDAAADKGVAIVGTFPAE SHPPIIYPIAILAESKNSDASAYLDFLKSDKAAAFFTAQGFTILK YP_002977983.1 MNILGLSNEEWTAILLSLRVSIVAMLASLPFGILVALLLARGRF WGKSVLNGVVHLPLILPPVVTGFLLLILFGRRGPIGSLLDQYFGIVLSFRWTGAALAC AIMAFPLMVRSIRLSIEAVDRKLEEAAGTLGAGPAWIFLTITLPLTLPGIIAGMILSF AKAMGEFGATITFVSNIPGETQTLSAAIYTFTQVPGGDAGALRLTFVAVVISMAALLA SEFLAHLAGRRIDPE YP_002977984.1 MTLIVEAKQRLGAFSLDAAFTSERGVTALFGRSGSGKTSMIRII AGLARPDQGRVVLDGEPLTETATGIFVPKHRRRFGYVFQEARLFPHLSVRANLFYGRW FAARTARGESFDHIVDLLGIEALLERSPSKLSGGEKQRVAIGRALLSSPRLLLMDEPL AALDELRKAEILPHLERLRDETDIPIVYVSHSIAEVARLANQVVVMRDGKVEATGPAV DILSRPSTISDRREAGALLEGTVESFDVSHRLSTVALKSCQLHIPGAALAPGKSVRIR IPSRDVMLATARPEGLSALNILEARIEGISSTEDGTVEIRLDCGGDIILSRITTLSCE RLDLRPGRAVFAVIKTVALEA YP_002977985.1 MTDSAAKTLVPVLRISFPDEDRLGHGKMELLEHIRQTGSISAAG RAMDMSYRRAWLLVSEMNRMFNEQVVESQRGGQKGGGAVLTPFGEELLERFRRMEKTV RTSLAEDLAWLEAKRNMQQGERN YP_002977986.1 MCIVCHSRKGKALTTVHAKGKTFAVIPKSAERGADAAARALETV LASLEDSKAEDIVTIDIAGKSALGDYMIVVSGRSNRHVMAISDHLLTDLKDDGLGTAR VEGQEGGDWVLIDTGDIIVHVFRPEIREFYNIEKMWAAPDMDEETRH YP_002977987.1 MRIGLFAVGRLKSGPEKDLAARYFDRFAKAGPAVGLELTRVAEV AESRASNAETRKREEAAMLLKSLADGSILILLDERGKALDSESFANLLGSYRDQGKRE LTIAIGGADGLDPSLYDRADATLCLGKMTWPHQLVRTLIAEQLYRAVTILSGHPYHRV YP_002977988.1 MILPAIAAGVGVAVIVVSANPFIVRAQDAAPEAAQSAPQPAAEP PPPPDPAAELAAKRDQTRAELETLSKTISLSTDKVSALQQSIADLEKSTESVRQALID SAARRKALEKQILESEKKLADLGVKEDGIRRSLHERRGLLAEVLAALQRMGRNPPPAL LVTPDDALASVRSAILLGAVVPGIRKETDKLAADLASLAALQTASAAEKASLTGTMTD GIEEERRMDLLLAENDKLSRSNAAELGAERKRSEELAGKATSLEGLVASMESEIASVR DAAAAARLAEENRKLMTDEQRAQAKALADSGVPDKNRIAPAYPFGELKAKLEVPVTGD ILRQFGDADGTGHEAMGMTVATNPETVVTAPADGLVVFAGAFRSYGQMIILDTGDGYH LVLSGMDTINTRQGKFVFSGEPLAVMGAKRVASATALALETNRPTLYIEFRKDGKPVD SRPWWTAKDTGKARNDS YP_002977989.1 MIRRASLVLVGALVGATAMSVIYSAGVPAEAAGSSTYKELSVFG DVFERVRAQYVTPPAEDKLIENAINGMLSSLDPHSSYMNAKDAEDMRTQTKGEFGGLG IEVTMEDELVKVITPIDDTPAAKAGVLAGDYISEIDGQSVRGLKLEDAVEKMRGAVNT PIKLTLIRKGADKPIELTIVRDVVAVQAVKSRVEDDVGYLRIISFTEKTYPDMEKAIK KIKDTVPADKLKGYVLDLRLNPGGLLDQAINVSDALLQRGEVVSTRGRNPDETRRFNA GPGDLTDGKPVIVLINGGSASASEIVAGALQDLRRATVLGTRSFGKGSVQTIIPLGEN GALRLTTALYYTPSGRSIQGTGITPDIKVEEPLPQELQGKMVTEGESSLRGHIKGQSE TDEGSGSVAYVPPDPKDDVQLNYALDLLRGKKTDPAFPPNPDKAVVAK YP_002977990.1 MGTDLHTPLGRNRKTGRKRPGFLRLGRIAASLCLFAIGGFSLYT AFRGDGLERTKPPAAEQAAPPPANTPQPPTATTGQTADGMPRADPRSGANVEQMVTGD GSVVTKYSPRPRDGGGPVLVDAMQIGQDPRMAAQPNDALLEETPFGRLPIVGPDGRRP MDQYARPSSGARGVRIAIVVSGLGLSQTGTQRAIAELPEEITFAFAASGNSLQRWMQE ARRGGHEILLQVPLEPFDYPANDPGPETLLTTKPAARNIENLHKAMGEITNYTGVMNY LGGRFLSDPAAMEPVMRDIGKRGLLFLDDGTSAQSKTAAIAKGTELPYAFADLQLDGQ LDINAVLKKLDELERIARKNGQAIGVASAFDESVDAIAKWSEEAAMRGIEIVGVAALS NDPRNP YP_002977991.1 MSQATVKAEDLPYRPCVGVMILNRDGLVWAGRRIADGNSEYDGS PQLWQMPQGGIDKGEDPLDAAYRELYEETGMKTVTLLAGARDWINYDLPPALIGIGLR GKFRGQTQRWFAFRFDGDDSEIAINPPPGGHEPEFDAWEWKPMRQLPGLIVPFKRAVY DQVVAEFQHLAALQSED YP_002977992.1 MKGDKKVIERLNEALFLELGAVNQYWVHYRLLEDWGYTKLAKKE RAESIEEMHHADRLVARIIFLEGHPNLQTLAPLRIGQNVKEVLEADLAGEYDARAAYK KSRDICHDAGDYVSMKLFEELLADEEGHIDFLETQIDLLGKIGESKYGQLNADSANEA E YP_002977993.1 MHGGLTVHRCLTLWDSNFFLTATIFFSRKHDQCHVWNEDVLVCS CNYITDKEIREVITNLLDEDCWQLIVPAKVYHAMEKRGRCCGCFPNVVDIIIQTTEEY HARRHSTETEIFDFMSRLKQFHEENRRADIERRQKGHRAA YP_002977994.1 MTIARALFEIGTRTNFSFLEGASGPEEMVVQAARLRLGGLGIAD RNSVAGVVRAHAQAEQLEERYKNKDAILAQAKKEGKKEEILDPIRIQPGARLVFSDGT PDILAYPRSRRGWANLCRLLSAGNLKEEAVKGSCILTEAELMEWGDEMMLALVPDRTL VGHQAGQPTLEDYLERFRRRFRKAFYMALAPAYDGRDRQVFAVLAMLAARNRVPLIAT NQPLYHHPERRPLSDVVIAIREHVQISQAGFLLAPNAERYLKDSREMARIFREYPNAI ENTQTFFDRLTFSLKELEHNYPPENDPGETPQETLERLTRAGAARRYPKGIPPKVAPQ IEYELDLIKKKKYASYFLTVHRIIQHARYELKVLCQGRGSAANSVICYCLEITEVNPE KSTLLFDRFISMDRDEPPDIDVDFEHDRREEVIQFIYKRYGIEHAGLTAGVTTYRTRS AGREVSKAFGLSEDVQSAISSLVWGWSEDNLLERDAKAAGLDIKDPVTRNVLQYATEL LGFPRHLTQHVGGFVITRDRLDEVVPIMKTAMPDRYMIEWDKDDLDNVKILKVDVLAL GMLTCLRKAFSLLELHYDVKKTLADLGNKEHGDEGEPVYEMMGRADTLGVFQIESRAQ MSMLPRLKPKVFYDLVIEVAIVRPGPIQGDMVHPYLKRREQRAKNIPIKYPSKELETV LERTLGVPLFQEQAMQIAITAAGFKPAEADKLRRAMATFKRTGTIGNFEKRFIEGMVS KDYDPEFAKQCFNQIKGFGEYGFPESHAASFALLVYASSWLKAYYPDVFCTAMLNSQP MGFYAPAQLVRDAREHGVAILPVDINDSNWDCGLEEAAFDRNAIDFRHHEMREIIKTR HAVRLGFRQIKGLSTDDMERLVSHRGEGYSSVRDLWLRSGLQKSVIERLADADAFRSL KLSRRDALWAVRALDVRSATEELPLFEQVRHVDLQAEPAAKLPEMLPGEQVIEDYRYL SLSLKAHPVSFLREELRKAGITRNVDLLKVANGKRVTIAGLVLVRQRPGSAKGVIFMT LEDETGVANAIVWSKMFDKYRSVVMGARLVKIRGRLQCQSGVIHTVVEHIEDMTPALG ILQREVRRFGVCERADEVLRPGADQRQKKLVNAQETADPEKRMVATGRHAGAAETAEV MPRGRNFH YP_002977995.1 MAQHALARERLFALRETIARLEGRLAPALAAAEREALAEGRKTR QERILPPLAFGVELLDGALEGGLPLDAITEFRSALSRDAGAASGLAMAVAARLQKQEA DAGRLLPLLWIGDAVGTLEAGRPYAPGLRDFGLSPERFLHAAPRKLDEALWLAEVAVE SAAFSAVIFEVRGNPAHFGLTESRRLSLRAHAARRPLFLVRQAGAEEASSAAFRLHVE PAPSALRSLPDGSTLSGSIGNPIFRLTLEKSRNPAPLSFLLEWNPHEREFLPVAEPNL VRPPGEQSAHSGAQLPASANGPHRPQAMGALLAFERAS YP_002977996.1 MARIDQSDDWRDRHAPTISAFESLAMEAYSHLPDEFRQLTTNLT IEIEDFPDDDVFEDMALETPFDLLGLFEGRGISERFTVETGEMPNRIRLYRRPILDYW AENDETLGDIITHVLIHEIGHHFGLSDDDMERIEASAEEAAER YP_002977997.1 MKLYRFLTGPDDASFCHKVTAALNKGWSLEGSPTYAFNAAIGAM QCGQAVVKHVEGKDYDPEMKLSEQ YP_002977998.1 MSRNPTTRSMRLRRSVLSVPAINPRALEKTHAVDCDAVIFDLED SVAPEKKAEARENLRNFFSARPLEGKERIIRINSLSTDFGLADMELVTALAPDAVLLP KVDEPQDVMALSDFLSEADAPEDLRIWAMIETPRGILNAAVITEAGRTPGSRLDCLVV GLNDLRKETGVLPQPGRNYLVPWLMQVVLAVSAYGLDAIDSVFNDFRDEQGFDAECLQ GRAMGFAGKMLIHPAQIEPANRHFGPDPAAIAEAEAIMSAFADPASDGLNVINAGGRM VERLHLVQAESLVHKARLIAARLIAARQAAARKTM YP_002977999.1 MQNIRSIFLMLAGATVFVAMLLFTFSVTLAVGGILTVLMVGRAL SMKMKPVPVRAKANNGKREMRVWNDGRGTIIDL YP_002978000.1 MDTTTESNSTKMPPVKNGLLPYLTVDGAVKAAEFYKKAFGAEEA YLVPVDESGRTMHVHLYINGSSLMLSDAYPEYGHAFKGHEGFAIQLVIDDIDFWWDRA VAAGAEVVMPVELMFWGDRYGQLRDPFGVLWGLNAPSK YP_002978001.1 MDKFVKLTGVAAPLPVVNVDTDMIIPKDYLKTIKRTGLGTGLFA EARYNEDGSENPDFVLNKPAYRDAKILVAGDNFGCGSSREHAPWALLDFGIRCVISTS FADIFYNNCFKNGILPIKVSQEDLDKLMDDASRGSNAVLTVDLENLEITGPDGGLIKF DLDEFKRHCLLNGLDDIGLTLEKGKAIDSFEKKNAASHPWAA YP_002978002.1 MPFVPLHLDTPLVQTAPGYSASGKPLWLKLDALQPSGSFKLRGV GRLCQHEVENGAREIFCASGGNAGIAAAYAGRALGVPVTIVVPETTAADVRQTIAATG ANVLVHGSVFDEANAHAVELARSRKATYVHPFDHPLLWDGHATLIDEVVAKGAKFDCV ITSVGGGGLLAGIVEGLKRNGLSDVPVIAVETEGAASLNASLKANERITLPAITSIAN SLGARQVAQHVFDLPKQHPIESVVVSDADAVAACLKFADAQRILVEPACGAALAVADV HAGLLQRFDNPLIEVCGGIGVSLEKLRGWKEKFL YP_002978003.1 MTARNLFLLPGDGIGPEAMGEVRKIIAYMNEAMNAGFVTDEGLV GGCAYDAHGAAISEADMQKAIAADAVLFGAVGGPKWDSVPYEVRPEAGLLRLRKDLQL FANLRPAICYPALAAASSLKPELVEGLDILIIRELTGGVYFGEPKEIIDLGNGQKRGI DTQVYDTYEIERIAGVAFEMARTRQNRVCSMEKRNVMKSGVLWNQVVTETHKAKYSDV QLEHMLADAGGMQLVRQPKQFDVIVTDNLFGDMLSDVAAMLTGSLGMLPSASLGAPDG KTGKRKALYEPVHGSAPDIAGKGIANPIAMIASFAMCLRYSFNLVKEADDLEKAIANV LDKGIRTGDIMADGARQVGTIEMGDAILAEFKTLSA YP_002978004.1 MPPLRWQTCLFITLNAVILSMLLFDAPIGASKAPAPVKHLGEML TGFGDSAWLIYTSILLFFQGRAGYKLVKTARSKAQALYVSWIGAYLFITVVFSGLLAN LLKRAIGRARPDHFHDYGMFSFTPFSGHSAFESFPSGHSTTVGAFFAAFALLFPRYRV AFIACAIWLAMTRVMVGAHYPSDVIAGLAFGAWFSLLTAIVFARCGLLFKLAPDGWPL SKRLFRTA YP_002978005.1 MANVASIDGAKAGPMTGEEKKVIFASSLGTVFEWYDFYLYGSLA TYIGATYFTQYPEATRNIFTLLAFAAGFLVRPFGALVFGRLGDLVGRKYTFLMTIMIM GLSTFLVGILPGAATIGIAAPIILIALRLLQGLALGGEYGGAATYVAEHAPNGRRGYF TSWIQTTATLGLFLSLIVIVLVQYLMGAAQFAAWGWRIPFLVSVVLLGISVWIRLRMN ESPAFQRMKAEGKGSKAPLTEAFGTWKNAKIAIIALLGATMGQAVVWYGGQFYALFFL QNVLKVDLFSANVMVAIALLLGTPFFVIFGGLSDKIGRKPIIMAGLFIAAVTYNPLFK AMTWTANPALAEAQASIRATVTADPADCRFQFNPTGTTKFTSSCDVATAFLTRNSVPY DVVPGTAGQPATVKVGNATIPSFDVVAAGDKAKGMTAAFEKSVNIALHDAGYPLNRGA VKVPDAKLDAFIAANPELSLNADAVRAGEKETVPAAKLVETKLLTADEANGVTDMTVY NIANGGTFAMVADPARVNWIGTIAVLFVLVFYVTMVYGPIAALLVELFPTRIRYTGMS LPYHIGNGWFGGLLPATAFAMSAAAGDIYYGLWYPIVFATITLVIGLIFLPETKNRDI HAMD YP_002978006.1 MICFILSDLRRLWVGSLVVVLLVALATALGVSVVLQERALRLGS ARAADKFDLVIGAGGSETQLVLSSVFLQPSPLPLMPGEVLGKLTADPRVDWAAPIGFG DSFSGYPIVGTTTMLAQNLSGGLAEGEIFSHEGEAVIGAAVKLSLGGEIKPMHGSLEE GGETHTELVYHIAGRLRPTGTAWDRAILVPIQAVWHIHGMEAEEHAEDGEHEHEAASG TDNAEPAHAPEKAGADHDGHEHHGEADPDAALDESWTAGAPGLPAILVKPKTIADAYK LRQDYRSGNTVAVFPGEVLTNLYATLGDAKQILVAVASGAQALVAASLVLVTVIHIGQ RRRQIGALRAFGAPRGAIFGIVWLEFFFLMAVGIALGFVLGYAAALILSGMFSQTSGV AMPVGFAREDAWLAAVLLAFATILAALPAVLAYRQSPAQALRA YP_002978007.1 MLALDIENLTVAFPGLSSPALAIGRLSIDAGSRVAITGASGSGK STFVNIVAGLERTRQGRIRWNGEDIAGFSESRRDRFRAANIGLVMQEFHLFAGLSALE NVLLPARLAGAATADVIERAHALLSTVGLSRPGQKIETMSRGEMQRVAIGRALLRKPG VIIADEPTASLDAQSGEAVGDLILDLAIAEGSTLIVISHDHRLASRLDRRITFGSGRI REDSAATAGEAA YP_002978008.1 MEKLPSLYLSRRGLIGTIGTLALAAVARPSSAADSLISFDELYG KFGVLGLEFSDKVKRLAGEGISMEGFMAPPLKAEAQFFVLTEVPMSLCPFCSSDADWP DNIVVVYLGEKQTFVQSRQTIEVRGTLEYGSWTDPETGFVSLLRIRQAEYSVV YP_002978009.1 MRTLLAAFAATTILAGAAQATTVYPLDRATILAGSPFDFKVELN KQVKPEDVKITVNGQDYKTVLGGEAQFVELEKGKDDKALGSAILLRGLKISAPGDYKI EVAAGDETKSVTWKVYETAAQPKAKNIIFLLGDGLSVAHRTAARIMSKGMTEGKANGR LNMDDLERMAFIGTSATNAVDTDSANTMSAYMTGHKTAVNAIGVYADRTPASLDDPRV ETFAEAVRRLTKKSIGIVATAEVEDATPAAVVAHTRNRNDKADVVGMLLDVKPEVLLG GGSAYFLGKEVAGSKRKDNQDYIKQFQDAGYKLATDKNELAANASAEGNLLGLFHTGN MDVTLDREFLKKGTVDKFPNQPGLVAMTKVALDRLSKNPDGFFLMVEGSSIDKMSHPL DWDRAVYETIEFDQAIGVAREFQKAHPETLIVVTGDHTHGVSIIGTVDDEKPGTDMRE KVGTYAEAGFPNYKDENGDGYPDKVDVSRRLFLSANNGPDHYETFRPKLGGPFVPAVQ NEKKEYVANEQYKDVPGAVFVQGNIPKSGDSGVHAVDDVVLQSAGPGAEGFHGYMEQS DVYRVLADTFALGAEQTN YP_002978010.1 MTKRRSIAALLSPAGSKRKNLDSCGKPSKSNFGKGISMVRDEHA IAECNDRARVAGVDIAVPVSAKTKAKTTTKTV YP_002978011.1 MGFKVAVAGATGNVGREMLNILSERGFPADEVVALASSRSQGTE VSYGDRTLKVSNLENYDFSDTDICLMSAGGEVSKKFSPKIGQQGCIVIDNSSAWRYDA DVPLIVPEVNPDAISQFTKRNIIANPNCSTAQLVVALKPLHDFAKIKRVVISTYQSVS GAGKDGMDELFNQTRAVFVADPIENKKFTKRIAFNVIPHIDVFMEDGYTKEEWKVLAE TKKMLDPKIKVTCTAVRVPVFIGHSESVNIEFENEITADQARDILRDAPGCLVIDKRE DGGYITPYESAGEDATYISRIREDATVENGLNIWVVSDNLRKGAALNAIQIAELLVNR GLVKPRKQAA YP_002978012.1 MASIGRGALQQTGAGFLMRMTTFFLAAFTAAGVLHALPAAAQGA QCGNNSSGFGAWVADFKQEAAANGVSRSVLDRAFANVNYNRPTIAADRGQKSFKLSFD AFMQKRGGATVISRGRSMKAANKALFASIERRFGVPAGPLVAIWGMETGFGSYMGNQH TLSAVSTLAYDCRRSDYFTDQLYAALQLVSEGYLSPQAKGAAHGEIGQTQFLPRNVVR FGADGDGDGRVDMVGSRADALASTANFLKGHGWRAGAGYQPGEPNFVAIQGWNAASVY QQAIAYIGQQIDGK YP_002978013.1 MKFETIKKVILAAIMLPFVFMLIEGVVVIRSSVNHYWNLEKDRQ FADVLARGGSIAATEILTEIGATRRYLADPSDMTAIDMQQSRVTLDRERRAFYASLPS REALDEGLVGELSILSLAYSRIVAARSAVDQGRYAGSDPGSIYWYAALKQLAVVDALS PLISDPVLLEKSNQLMGILLTYYGERLITGIGTRYLNQGVSARLPVELFVQGKVMLGE GMDHMVFHSSAPVVRNIVAYLGSASQVKANAITDAILAGARPTRAVHDVWAAAQSERM SFLQQRMIEAAQDIHETGENLSTRSHIHLTRILALCAGLLILATLVLLLAAKGLRLID RLTQDRETLVGELRSAAQTDLLTGLYNRRGFEVAASALLTQAEHGSRWISVVLFDLDH FKKINDVHGHDAGDAVLRHVAGVARKNFRSFDLLVRHGGEEFLALLPDSTPDDAAIVA ERVRLAIEAAEIPLPSGDVLKVTASFGCAGRANEATNRNFEDLVKRADLALYAAKASG RNCVVSGPTLPAPAQEERRKAVSGGGFDSRI YP_002978014.1 MQRFPNPLLDGYRNFMNGRYSDARDRYRQLAENGQSPHTLVIAC SDSRAAPELIFDAGPGELFVIRNVANMVPPYEPDGHFHSTSAALEFAVQVLKVSDIVV MGHGRCGGIRSALDPNAEPLSPGDFIGRWMSLVKPAAEQIQSNDVMTAAERQTALERV SIRNSINNLRSFPDIKALEEAGNLHLHGAWFDISTGELWVMDAETRDFIRPEI YP_002978015.1 MSENAAGAVIVISSHVVRGSVGNRAAVFALETLGHPVWAMPTIV LPWHPGHGRSTRLTFAEADFDAAIDDLIRAPWIGEVKAVLSGYFGNAAQARSVARLIG ALRQNNPELLYVCDPVMGDLGGLYVPEATAEAIRDHLIPLASLATPNRYELAWLSGAA LEDNSTIMEAALALGPSRMLVTSAVPMMAGGTGNLYLSGRHALLAEHRVVENPPNGLG DLLAAVFLSRLLSGLEDEKALQLATASVFEVLARAVKRGSNELMLASDASSLSTPMAM VQMRRLVHPAQRRKK YP_002978016.1 MAARNNPKREKQVESARKIAKATGEAHLDPEILFGRASNDDLEL YTPEMLALSAVHSAKELDAWNGKAPRVSIDTIADVTPGGIAVSVLSVTDQNMPFLFES VMGEVTSTYRDLFMAVHPILIMEKGKAPAHYSADHPSDPVNRVSHIQLHVAPLNSAQA ADLVKRIEKVLEQVRLSVSDWKPMLSKIDGVIAELAANGASRKKADRDEAVAFLTWLR DENFTFLGMREYVYSGKGTDARVERDKGAGLGILSNPDVLVLRTGKDAVTTTPEILAF LDGPDFLIVTKANVKSIVHRRAYMDYVGVKRFDAEGNVTGELRIVGLFTSTAYTSLAS EIPLLRSKIEKVKEHFGFDPMSHSGRMLDNTLESYPRDDLFQIDTTLLASFAEQINDL ADRPRVRVLPRIDHFDRFVSVIIYVPREEYDSIVRERIGTYLKTVYDGRVSAYYPAFP EGGVARVHFIIGRSGGKTPRIPQAKLEQVIREITARWDDRFEALAGAKAPKISVDQAF QDSFTPEETVADLADIGACAAGEPLRIQFYHRQQEQGRTLSLKIFHAGGQLALSRRVP LLENLGFNVVSERTFDIGVPAADGEKKLVVLHDMELEARNGGEIDLQRYGAALEEGFV AAFAGTIDNDSFNRLILSAGLSARETNVLRAYARYLRQAGIAYSQDYIATTLDKYPGV AAAIFRLFHDTLDTRLSEKIRVKKLAELHQAIEAELANVPSLDDDRILRRYVNIVDAT LRTNYFQKHPDGSPKPMLAFKLDPHLVDGLPQPKPFREMFVYGVEVEGVHLRFGKVAR GGLRWSDRAEDYRTEVLGLVKAQQVKNAVIVPVGAKGGFYPKKLPVGGSRDEIFNAGR EAYKTYIRTLLSITDNISGADIVPPKDTVRLDGDDPYFVVAADKGTATFSDTANALAQ EAGFWLDDAFASGGSAGYDHKKMGITARGAWETAKRHFREMDIDIQTTPFTVAGVGDM SGDVFGNGMLLSPKIRLIAAFDHRDIIIDPDPDMEKTLTERQRLFDLPRSSWQDFDKS VLSKGAMIISRAAKSVTLTPEAVAAIGIDKAVATPFEIMTAILKSPVDLLWFGGIGTY VKAPSETDAEVGDRANDPIRVAATEVRAKVIGEGANLGVTQKGRIAYGLKGGRCNSDA IDNSAGVNTSDVEVNIKIALAAAMHDGRLTRAKRDQLLSSMTGEVATLVLRNNYLQSL AISLTERKGTANGLQLGRFMTVLEGAGQLNRKVETLPDDQTLAERYTAGKPLTRPEIG VLVSYAKIVLFDALAASDLPDDPYFIATLLNYFPVKMQKSNAGDITSHRLKREIVATV LANEAINRGGPSFTVAMMDATAASAPEVVRAAIVARDGFDLTRLWAETDALDGKIAGE MQNRIYEEIGHSFVVLTRLLLKTGMTKADMAEVISRLQAALKKLKTAFAGQVAGEVAV RQAEYSQAGLPEKLAAEIASLPIFALVPEIMQIAERTGEPLVRAAENYIAVSQTFRIG RLLAAGGRILTSDHYENLALARSIDQIASARRDIVISALSDHGKEKLPVQAWHAQDRI RINRILEELSSLSDGGDPNLARITVAAGILTDLARDRAR YP_002978017.1 MLNRIDWTGTQPPKATEKGIWGWMFFDWAAQPFFTVVTTFIFGP YFVSRLTDDPVSAQTTWSNMATISSVIIALLSPVLGSIADQSGARKPWIGFFAIIKIA SLSCLWFAAPGSPIVYPVIFMILASISAEFSIVFNDSMMPRLVSKHEVGKLSNTAWGL GYLGGIIVLIAVVTLLAASPETGKTILGLDPLFGLDPQTGQDARITGPISAVWYLIFI LPMFFFTPDVGRGLPFGTAVRSGLRELRNTLGELRERRGILTFLIARMIYQDGVNGLL ILGGIFAAGMFGWATIEIGIYGIILNVVAIFGCLIAGRVDKSVGSKVTVVISLTMLLL ATIGIISTGPGYTLFGLMPLPTADSGGLFGTAAEKAYILYGLLIGLAFGPVQASSRSY LARSVSPEEAGRYFGIYALSGRATSFMATLLFSLVTYMSGSPRLGMATLILFLAGGLV LLFRTPYPAARA YP_002978018.1 MAVISKKIPAPDKVEIKTALISVFDKTGIVDLAHALSARGVRLL STGGTYKAITAAGLAVTDVSEVTGFPEIMDGRVKTLHPTVHGGLLAIRDDSEHQEAMK THGIEGIDLAVINLYPFEQVRAAGGDYPTTVENIDIGGPAMIRASAKNHAYVTTLTDP ADYAELLEQLSADDGKTAYAFRQRMAAKAYARTAAYDAMISNWFAEALSIDTPRHRVI GGALKEEMRYGENPHQKAAFYVTGEKRPGVSTAALLQGKQLSYNNINDTDAAYELVAE FLPERAPACAIIKHANPCGVATGSSLVEAYRRALACDSVSAFGGIIALNQTLDAETAE EIVKLFTEVIIAPDVTEEAKAIVARKPNLRLLSAGGLPDPRAAGLTAKTVSGGLLVQS RDNGMVEDLELKVVTRRAPTAQELDDMKFAFKVGKHVKSNAVVYAKDGQTAGIGAGQM SRVDSARIAALKAEEAAKALGLAVPMTHGSAVASEAFLPFADGLLSMIAAGATAVIQP GGSMRDQEVIDAANEHGVAMVFTGMRHFRH YP_002978019.1 MILGRMAKDWDRIHIKEVKYDAVQKWLVLSTSALRPVCAAANLC YP_002978020.1 MYVREAWRRALRRVALLRLKLFRHSIKVPERLIVAPTDLRSIDP HVADEILNGRFLLAGRMLETNGKSPFTFTLPSRPFATRLHSFGWLRHMRANKTERNSA AARAIVDSWLSIHAGRMEGIAWETDVTAQRVIAWLSHSPVVLQNADRGFYRRFMKSLA FQVRFLRRMAPFTLGGLELFRLRIALAMASVAMPTRASTLRRAAQALDREFDSQILPD GGHVSRNPRVGLELLLDLLPLRQTYVNLGHDLPQKLISGIDRIYPALRFFRHQDGDLA LFNGATSTLANELMSVLRYDETAGQPFKALPHSRYQRLSGGKTVIIADTGTPPSGGAL RTVHAGSLSFEMSSGRHRFIVNSGSPKFAGHRYVQMARTTAAHSTVILNDTSSSRFSP SPFLNHAITEPVRTITVERAETEDGRDGIKLSHDGYLRVFGVLHERELTLNAAGSIVT GRDRLVVREGYEHDEPLKAVARFHIHPSIVLHQSDGESVLLTAPDGESWLFSAPGNEV LITEDIFFADSSGICGSDQIEIDFDLAEKMEIRWFLSRKS YP_002978021.1 MNSNGTKKPFRKHKPSTERPAPDKPGLQARAAAAKILAAVVDRK LPLDGALDHEHGNPAYRALGESDRALVRAILNTTLRHLPRIDAAIAGLLDSPLPEGAR ALHHVLAIGAAQILYLDVPDHSAVDLAVEQANLDPRNRRFAKLVNAILRRLGREKEQV LDQIGKVAPMPAWFIARLEKAYGRDAALAISESQLEPAAIDLTVKSDPEDWAKRLNGV VLPTGSVRLAAFDGSIPSVEGFDEGAWWVQDAAASIPAKLFGDLLGKRTADLCAAPGG KTAQLILAGGAVTALDQSESRLRRLRSNLDRLGLKAETIAADLTTFEPAERFDAILLD APCSSTGTTRRHPDVLWTKGPEDIARLAALQERLLRHALTLLKPGGTLVFSNCSLDPV EGEDVVARVLSDTDAVERVPIGASDWPGLEAAITPLGEFRTLPTMLKMPEGIASGLDG FYAAVLRRVA YP_002978022.1 MNLVRTAMLLAFMTALFMFVGFLIGGRAGMMIAFVIAAGMNFFS YWNSDRMVLSAYRAQEVDERNAPEFFRIVRDLARNAGLPMPKVYLYDSPQPNAFATGR NPDNAAVAASTGLLSALSAEEVAGVMAHELAHIQNRDTLTMTITATLAGAISMLGNFA FFFGGNRENNSNPLGFVGVIVAMIVAPLAAMLVQMAISRTREYSADRRGAEICGNPLW LASALGKIARGAAHVPNEDAERNPATAHMFIINPLSGERMDNLFSTHPNTENRIAALH DMAQSGMNVSTSPARAANPSRKSRSVPDTGLGRGGSQPPKGPWS YP_002978023.1 MQDADNDNSETPMAEGTEPPRKMLSPAARRALAEAEERRQKQKP LELPPEIGGRGGAEPARFGDYEINGRAIDF YP_002978024.1 MSEKIYPVTKPVKARALIDKEKYLKWYEESVENPDKFWGKHGKR IDWFKPYTKVKNTSFTGKVSIKWFEDGQTNVSYNCIDRHLKTNGDQVAIIWEGDNPYI DKKVTYNELYEHVCRMANVLKKHGVKKGDRVTIYMPMIPEAAYAMLACARIGAVHSVV FGGFSPEALAGRIVDCESTFVITCDEGLRGGKPVPLKNNTDTAIDIAARQHVIVEKVL VVRRTGGKTGWAPGRDLWHHQEIATVKAECPPVKMKAEDPLFILYTSGSTGKPKGVLH TTGGYLVYAAMTHEYVFDYHHGDVYWCTADVGWVTGHSYILYGPLANCATTLMFEGVP NFPDQGRFWEVIDKHKVNIFYTAPTAIRSLMGAGDDFVTRSSRSSLRLLGTVGEPINP EAWEWYYNVVGDKRCPVIDTWWQTETGGHMITPLPGATDLKPGSATTPFFGVKPQLVD NEGKVLEGPADGNLCITDSWPGQMRTVYGDHERFIQTYFSTYKGKYFTGDGCRRDADG YYWITGRVDDVLNVSGHRLGTAEVESALVSHNLVSEAAVVGYPHPIKGQGIYCYVTLM AGHEGSDTLRQELVKHVRGEIGPIAAPDKIQFAPGLPKTRSGKIMRRILRKIAEDDFG ALGDTSTLADPAVVDDLIANRQNKATT YP_002978025.1 MAQTLLMPKATAIWLVDNTALSFDQIAQFCKLHPLEVKAIADGE AAQGIKGLDPISTGQLSRDEIARAEANPNHKLKLSEPKVRVPESKRRGPRYTPVSKRQ DRPNAILWLVRNHPELKDAQISRLVGTTKSTIEQIRERTHWNSANLAPMDPVTLGLCS QIDLDMEVEKASKGRPLPTAAELGATLQSAQETERLTPSYEREEEKEKEIDADAVFRK LSSLRSAPKDEDDDQY YP_002978026.1 MTSILTNNAAMAALQTLRGVNDSLKDTQGRVSSGYRVEKAADNA AYWSIATTMRSDNKALSAVSDALGLGAAKVDTAYSAMDSALDVVSDIKAKIVAATEKG VDKTKIQQELDQLQEQLLSIAQSASFSGENWVAGASGTKSVVSSFVRDGSNAVSVKMT DYVLDSGSLGNVLFGMTSTGAIETSSGIIGTAFNGTYGSTVIVMASIYDLDITGFTQG QLDAALTGVELVLGAMTAAGSALGSISTRIQLQETFVSGLHDSIDSGVGRLVDADMEE ESSRLSALQTQQQLAIQSLSIANSSAQNILTLFRS YP_002978027.1 MRNGYHQVYAAWKRDPEAFWREAASDIDWFKPPERIFSPNEGVY GRWFSDAETNTCHNCLDRHVAAGRGGETAVIFDSAMNGEKRRFTYDEVLREVMAIAAA LVERGIGKGDRVILYMPMVPQAVFSMLACARIGAVHSVVFGGFAASELAARIDDCGAK LVIAASCGLEPSRIVVYKPLVDQAIAIARSKPERCLVLQRPELQADLVSGRDQDFEIA VAQHRGAEIACVSVKATDPLYILYTSGTTGQPKGVVRDNGGHMVALNWSMRNIYGLRP GEVFWTASDIGWVVGHSYIVYAPLLSGVTTLIFEGKPIGTPDAGTFWRIVSEYQVRAL FTAPTAFRAIRREDGDGELMRQYPMPDLRALFLAGERADPETLKWAERMLGIPVIDHW WQTETGWPIAANPLGLGALPIKHGSPTLPMPGYDIAVLDDAGHPIEAGTLGNIVVKLP LPPGCLPTLWNADDRFRSAYLDEFPGYYKTADAGYVDEDGYLFIMSRTDDIINCAGHR LSTGAMEEVCARHPDVAECAVIGVIDVLKGQAPCGFLVLKRHVSRDATVIESEVVAMI RDSIGPVAAFKTAITVNRLPKTRSGKILRGTMQKIADGIPWKMPATIDDPTILEEIAE VLRGRGLGSLPM YP_002978028.1 MELQERLDDVRSRIAAAEREAGRPAGSVQLVAVSKTFEADAIRP AIEAGQRVFGENRVQESQGKWPALKAEHQDIALHLIGPLQSNKAADAVVLFDVIETVD REKIARALAEEMRRQGKALRLYVQVNTGLEPQKAGIAPDDTPAFVALCRDELGLSIEG LMCIPPAEENPGPHFALLAKLALKCGVEKLSMGMSGDYGTAIAFGATSVRVGSAIFGT R YP_002978029.1 MATERYNPRDAEPRWQQKWNEDKVFETDNADPREKYYVLEMFPY PSGRIHMGHVRNYAMGDVVARYKRARGYNVLHPMGWDAFGMPAENAAMERGVHPASWT YQNIGSMKAQLKAMGLSLDWSREFATCDVEYYQHQQHLFVDFLEKGLVYRKQSKVNWD PVDNTVLANEQVIDGRGWRSGALVEQRELTQWFFKITDFSQDLLDALDTLDQWPEKVR LMQKNWIGRSEGLTIRWEIVPESAPAGESEVTVYTTRPDTLFGASFLAIAADHPLAKD AAAKNPDIEAFCDECRRAGTSLAALETAEKKGMDTGIRVRHPLDPSWELPVYIANFVL MDYGTGAIFGCPSGDQRDLDFARKYGLPVVAVVMPRDGDAASFSVGDTAYDGDGVMIN SRFLDGKTTDEAFNIVADRLSAASLGNAPQGERKVNFRLRDWGISRQRYWGCPIPVIH CDDCGVVPVPKADLPVKLPDDVTFDQPGNPLDRHPTWRHVSCPNCGKDARRETDTMDT FVDSSWYFTRFTAPWEERPTDPEAANRWLPVDQYIGGIEHAILHLLYSRFFTRAMRET GHVAATEPFKGLFTQGMVVHETYSRSAGASREWVAPADIRIDELDGKRRAFLLTSGEE VAIGSIEKMSKSKKNVVDPDDIIASYGADTARFFVLSDSPPERDVIWSEAGVEGAHRF TQRLWRLISEAADALSAVAPAPAADGEALPISQAAHKTLKAVENDYDKLWFNKAVARI YELVNALAAPMTRVAAGEGNATYRAAVRDAAEILIQLVSPMTPHLAEECWAALGNEGL LARTNWPRYDETLVIENDVVLPVQINGKKRAELTISRDADQNAVTDAVLNLDAVKNAL NGQAPKKIIVVPQRIVNIVV YP_002978030.1 MSSDIACKLARNAGIAMILASAAFLSACQVRPLYSESSGVVEKL SSVGFSEAGSRVEQQVRNRLIFLASRGAGEAVNPQYLVEIHATSAVADTLLAESSDTS KAGRVTVNVSYTLRATADNHVIKAGSRQATALVDFSEQEFAKQRAIRDAQNRAADQTA EFVGADIAAALSR YP_002978031.1 MAEIKSHEFESFLQKSTRNYRIFVIYGPDRGLVSERASQLAGKS GVALDDPFSLTKLDIGDLQKDPGRLVDEVQSIGLFGGEKLIWIRGAANEKYLVDSLAL LAEKPLEAAYLIVEAGDLKKGSLLRKTAESARSVMTIPSYADDSRALNGLIDTELGAE KLGITPAARQALIALIGGDRIASRNEVRKLALYCRGFDTVEEHHVTEIIGDASAISVE DAVDAILGGDLNAFLHAMQKISSSKTAIFLVLQACLKQFQLLDSMRAEMDEKRLQTPQ IMQTMGRHLHFRRKPIIEQALRQWTAESIARECNRLQAAILQSRRRQVLEDSVAMQTL LSTTLQSGRKSG YP_002978032.1 MNDDVSKRRLGRGLAALIGEMDQPVPVEAERVISADRMIPIEFV SRNPRNPRRFFDDSELHDLASSIRQHGIVQPIVVRTMSRDRYEIIAGERRWRAAQLAG LIEIAVIVRDVDDKTALEIAIVENVQRADLNALEEALGYEQLIAEYGYTQNDLGEIIG KSRSHVANSLRLLKLPDPVRDLLASGSLSAGHARALVSTSDPASLARTIVAKGMSVRD AEKLAQNNIKAQSEPLQATLQRDQKDSDTLALERTLSDALGLDVAINHKASGGQIKIS YKSLEQLEEICRLLERR YP_002978033.1 MAGERHRIITIANQKGGVGKTTTAINLATALAAIGERVLIVDLD PQGNASTGLGIDRRDRKLSSYDLMIGDRGIPEVTLETAVPNLFIVPSTMDLLGIEMEI SQQSDRVFKLRKALSSPEAMAFSYILLDCPPSFNLLTMNAMAAAHSVLVPLQCEFFAL EGLSQLLETVSQVRRTVNPRLDIQGIVLTMFDARNNLAQQVVNDVRTHLGDKVYHTLI PRNVRVSEAPSYGKPAILYDLKCAGSQAYLQLASEVIQRERQRLAA YP_002978034.1 MELNGLRVSRETQERLQHFAVLFQKWAKAINLVAPSTLDDLWHR HIADSSQVFQINPQAISWVDLGSGGGFPGVITAIFLAELKDGWVHLVESNHKKAAFLR TALRETNARGSVHILRIEDAYAGVGECDAISARALADLDGLIEYSAPWMLGKENCRGF FHKGRDYLREVNKARGRWEFDLLEHKSAVEQESVILEVSNLRRLV YP_002978035.1 MTDNVFDVIVIGGGHAGSEAASAAARLGAKTALITHRRDTIGVM SCNPAIGGLGKGHLVREIDAMDGLMGRVADVAGIQFRILNKKKGAAVRGPRTQADRKL YRLAMLAAIEATPDLDIIEGDAFDLEVVDGCVAGVIMKDGRTLKAPAVVLTTGTFLRG LIHIGSEKTPAGRVGEAPSIGLSATLARLGLRLGRLKTGTPARLDGKTIDWQSVGRQG ADEELVPFSFMTDTITTPQIECGVTRTTEATHRIIVDNIMRSAMYSGQIEGVGPRYCP SIEDKLVKFGERDGHQVFLEPEGLDDDTVYPNGISTSLPAEVQAAFIKTIPGLETARI LQPGYAIEYDHVDPRELTLSLEVKRLRGLFLAGQINGTTGYEEAAAQGLAAGLNAALR SSDSHPFHFSRTNSYIGVMIDDLTSRGVTEPYRMFTSRAEYRLTLRADNADMRLTPLA MRLGCVSNARVQRFTSYRAEIDTSRALLQSLALTPNEARRAGLNINLDGQRRTAYDLL SYPNYDFVALRHVWPEKLGQIGPKIAEALEIEAGYSVYLDRQAAAIVDQQRDEQRQIP PDFNYDALSGLSNELKLKLSAARPFSIAQAAIVEGMTPAAVALLLVHLRRLSSAERHS A YP_002978036.1 MLNDTIYALSSGALPSGVSVVRISGPLTRDILVSLAGSVSAARH ASHRTIRSRNNQPIDSGLVLFFPAPNSFTGEDVAELQIHGSKAVLAALFHALGDIPGV RMAVEGEFSRRAFENGKLDLVEVEGLADLIGAETEMQRRLAVEHSAGGLSRIYDSWAE RLTRARALIEAELDFPDEDDVPGSVSDMVWADMAKLRSDIENHLATASAGEIIRDGFK VVIAGAPNAGKSSLLNTLARRDVAIVTEIAGTTRDVLQVDLDIDGYLIKLYDTAGLRE ADDRVEMEGVRRARAALRDADLVLLLVDMTNPLVPDELEQASPHVIVGTKKDLIGIAE DRYDLQISTTTGDGLPELRRLIGDIIDKRFAGLSMAIPSRQRHKDSLAKCLAALDAAI SQTDVNLELRTEQLRIAAEYLGRITGRVDVEQLLGVIFSEFCIGK YP_002978037.1 MAEMKLQELKSKSPTDLLAFAESLEVENASTMRKQELMFAILKM LASQDVEIIGEGVVEVLQDGFGFLRSPNANYLPGPDDIYISPSQIRRFSLKTGDTVEG PIRGPKEGERYFALLKVNTINFDDPEQIRHKVHFDNLTPLYPNERFKMELDIPTTKDL SPRVIDLVAPLGKGQRGLIVAPPRTGKTVLLQNIAHSITANHPECYLIVLLIDERPEE VTDMQRSVRGEVISSTFDEPAVRHVQVAEMVIEKAKRLVEHGRDVVILLDSITRLGRA YNTVVPSSGKVLTGGVDANALQRPKRFFGAARNIEEGGSLTIIATALIDTGSRMDEVI FEEFKGTGNSEIVLDRKVADKRIFPAMDILKSGTRKEDLLVPRQDLQKIFVLRRILAP MGTTDAVEFLIDKLKQTKNNSDFFESMNT YP_002978038.1 MEKQTDRRSGAYARRRAHFALASFSLMAIGLFAWNPDNLYLWIK ALHIIAVISWMAGLFYMPRLFIYHTDAEPGSVQSETFKAMERRLLRIIMTPAMMLTWI FGLYLAWSVYGFQGGWLHAKIGLVILLTAVHMFFSRAVGAFERDENRRSARYWRFMNE APTVLMILIVILVVVKPF YP_002978039.1 MRVLSGESLSPPPLWLMRQAGRYLPEYRETRAKAGSFLDLCYTP DHAVEVTLQPIRRYGFDAAILFSDILVIPDAMKRNVRFTEGHGPEMDPIDEAGIGRLN GEEVVDYLRPVLETVRRLREELPVETTLLGFCGAPWTVATYMIAGHGTPDQAPARLFA YRHPRAFEHLLMLLADVSADYLVAQIDAGADAVQIFDSWAGVLGEKEFEAFAVRPVAR MIASVKSQRPHARIIAFAKGAGYQLKTYRQKTGADAIGLDWSVPLAFAAELQKDGPVQ GNLDPMRVVAGGRALEDGIDDILQHLGNGPLIFNLGHGITPQADPEHVRLLVDRVRGG A YP_002978040.1 MENRTNFFHLHLISDSTGETLISAGRAASAQFRSAQPIEHVYPL IRNRKQLLPVLQAIDDAPGIVLYTIVDRELASLIDERCIEMGVASVNVLEPVMNAFQI YLGAPSRRRVGAQHVMNAGYFARIEALNFTMDHDDGQMPDDYNDADVVIIGISRTSKT PTSIYLANRGIKTANIPIVYGVPLPESLFVASKPLIVCLIATTDRISQVRENRVLGVT QGFDREHYTDRAAISEELKYARSLCARHNWPLIDVTRRSIEETAAAIVALRPKLR YP_002978041.1 MTPKLILASSSPYRRMLMENAGLSFEAHAARIDERAVEAPLEKA GTKPDAVALVLARAKAEEVSSRFPDSLVIGSDQTMSLGDSVFHKPKDMTDAASHLQAL SGVTHRLNSAVAIVSNGVVLWEHLAHAELTMRPLTVEFIARHLARVGERALFSVGAYQ LEGEGIQLFEKIVGDYFTILGLPMLPLLKKLRELGAVDG YP_002978042.1 MGDSRETLGPKAFVTGFPIKHSRSPLIHGYWLKTLGLPGSYRAH EVAPEAFADFIHSLKDGSSGFTGGNVTIPHKELAFRLADEPDALSRELGAANTLWLED GALHATNTDGRGFIANLDERHPGWDRHGTAVVFGAGGASRAIIQAVRDRGFKEIHVVN RTVERARELADRFGPRVQAHPAGALAEVMKGAGLFINTTSLGMDGEAAPQLDFSPLAA DAVVTDIVYVPLKTPILAQAEEQGFPIVDGLGMLLHQAVPGFEQWFGRRPIVDAALRA LVIADMEAH YP_002978043.1 MLKIGLTGSIGMGKSTAAKLFADAGIPVNDSDAVVHDLYAGEAA SLVNAAFPGTMKDGAVDRHELGRQLAFHPGGFKRLEAIVHPLVRKRETEFLERQRAAG ADMVLLDIPLLFETSAEARVDVIVVVSTDPQLQRQRVLAREGMTEEKFDMILSRQTPD TEKRRRADYVIDTSHSIATTRERVLEIVADLKTRIAKGDFRNA YP_002978044.1 MREIIFDTETTGLDNRADRIIEIGGIELFNHFPTGNTIHIFINP GDQKVHPDALAVHGITDEFLKDKQPFGEVAEQILTFFGDAKWIAHNATFDMGFINAEL ARIGLPPILPERVLDTLSMARRKHPMGPNSLDALCRRYGIDNSHRTKHGALLDSELLA EVYIEMIGGRQAALGLGMVGRSNQAARGDMGMEDDVVIAAVLERPRPLAPRVSDIEEQ AHEALVAKLGEKSVWAKYANLD YP_002978045.1 MADDNNSNGAANPTLSILAQYTKDLSFENPGAPRSLQARDKAPT ININVNVNANPLSDTDFDVVLSLNAEAKDGDKTVFHTELTYGGVFRVAGFPQEHMLPV LFIECPRMLFPFARQIIADVTRNGGFPPLMIDPIDFTQMFAQRVAEEQARAKVQAVPN YP_002978046.1 MTDMRFSILPAFILLLPLAEIAGFVVVGRAIGLWLTLALVMLGF VLGVILLRRQGIGILRRMSSEGRNGVMPGRDLLRPAMNVIASLLLIIPGFLSDIIAIL ILIPPVRDLVWRAIAKRFVVVNAKGASSSGPQADFRDRKPNSKVVDLDEEDYHREPDR NSPWSGKHLGD YP_002978047.1 MSSNDFITLFFLVAAVLIFFQLRSVLGRRTGNEKPPRDLYTPRD AAPAEAADAGKVVTLPRRDATTEDEDRFAAIDAFAAPGTPLNESLRALNKADPAFTPK EFLNGARMAYEMIVMAYADGDRKTLKNLLSREVYDGFDAAIGEREARGEKVKSTFVGI DKAEITHAETKGSEAQITVRIASQLISATYDKADVLIEGDAENVAEVNDVWTFARDTR SRDPNWKLVATESEHE YP_002978048.1 MSDHASDFVLQAISFDSLEGWKDDDPSGLFEVMRSCRRQITDVK SYRTGSLGLSSEDLLPLLAAAEDFTPPSPALARAFFETHCRPFLIRRKDGNSGFVTAF YEPDIDVAERPDEIFRFPFYRRPGDLIDLDDANRPAELDKAYVFGRLHEGRVAAYPDR RAIDQGFLEGRGLEIAWAKSKVDVFFVHVQGAARLRYTDGRIGRITYAAKAGHAFSAI GKLLIGRGEINRTEISMQAIRAWLARNPESVDEVLWHNRSYIFFREAPVADPQAGPIA AAKVPLLAGRALAVDRMIHTFGFPFFIRAESLTHLDQGRPFHRLMLALDTGSAIIGPA RGDIFTGSGDMAGESAGTVRNEADFTILIPNAAAGRFD YP_002978049.1 MARDRKLSADERILWGKVARSTRPMPGKAGALTELDAFLAEAEA AAEREQEKQTPATPTPLQATAPSTAKPSAGVHHPLEKPVKRKIAKGRLALEARIDLHG LVQSQAHAILLDFLIRAHERGMRHVLVITGKGSSMGSEGALKRAVPLWFSKPEFRYLI SSYESAAQHHGGEGALYIRLSRRHGERP YP_002978050.1 MTPFGEAVRRLRARKGVSQKEMAEALNVSPAYLSALEHGKRGLP TFDLLQRIAGYFNIIWDEAEELFLLARSSDPRVVIDTSGLPPEYTEFANRLARRIRNL DSAEIGRLSALLENGGKGDGKAS YP_002978051.1 MSDTSATENGVSTEYGADSIKVLKGLDAVRKRPGMYIGDTDDGS GLHHMVYEVVDNAIDEALAGHADIVTVTLNPDGSVTVTDNGRGIPTDIHTGEGVSAAE VIMTQLHAGGKFDQNSYKVSGGLHGVGVSVVNALSVWLKLKIRRHDKIHEMSFTHGVA DAPLKVTGDAPNETGTEVSFMPSTGTFTMTEFDYGTLEHRLRELAFLNSGVRILLTDK RHSDIKQEELRYDGGLEAFVAYLDRAKKSLVDKPVAIHGEKDGITVEVAMWWNDSYHE NVLCFTNNIPQRDGGTHMAGFRAALTRQVVSYADSSGITKREKVTLQGEDCREGLTAV LSVKVPDPKFSSQTKDKLVSSEVRPVVESLVNEALSTWFEEHPSEAKILVGKVVEAAA AREAARKARELTRRKGALDIASLPGKLADCSERDPTKSEVFLVEGDSAGGSAKQGRSR ENQAILPLRGKILNVERARFDKMLSSQEIGTLITALGTGIGKDEFNVEKLRYHKIIIM TDADVDGAHIRTLLLTFFFRQMPQLIERGHLYIAQPPLYKVSRGKSVQYLKDEKALEE YLISQGLEDASLRLGSGEVRAGQDLREVILDALRMRALLDNLHSRYNRSAVEQAAIAG ALNAELASDPARALALANEVAGRLDIIAEETERGWRGDVTTDGGLRLERMVRGVRELV VLDMALIGSSDARHIDQLTARLKEIYQTPPSLHRREGDIEISGPRALLDAIFASGRKG LTMQRYKGLGEMNAEQLWETTLDPNVRSLLQVRVNDATDADGLFARLMGDEVEPRREF IQENALSVANLDI YP_002978052.1 MTKSNNRESEYPVDPMFLDRWSPRAFTGEIIEEAQLLGLLDAAH WAPSSANHQPWRFIYGLKGSEHWEKFVALLNDSNQEWARNASALIFVVSRTFTGAAGS AEEKPSYTHSFDAGAAWGHLAIQARLSGLYAHGMGGIKHEEISQAFAIPEGYRVEAGV AVGRLADKSVLSERNQAREFPSQRKPLSEVAFNGRFVAN YP_002978053.1 MHLSKAVVRTEHASRYLQQLCKHWSHKFSVDFDPLKGRVPFSDT TEVTFAADDAALTLTLSVADSSQQERMQHVIGDHLKRFAFREELDIVWTD YP_002978054.1 MRMLVNGKWTEDWQPVQAKDEKGGFVRQTSSFRNWVTRDGSAGP TGEGGFAARAGRYHLYVAYICPWASRTLIGRKLKGLDDVISVSVVEPLLGKQGWRFGD YPGATKDHVNGVTYMHEIYTGAAPDFTGRATVPVLWDRERKAIVNNESADILRMLNSG FGGLAKNPIDLYPAERRTEIDAFNDRIYPDLNNGVYRAGFATTQIAYEEAFADVFSCL DWVERQFEGRSFLFADHPTESDIRLFVTLVRFDVAYHGIFKCNLRRLSDYAKLRAFCR RMLDWPGIGETVNLDHIKRGYYSIESLNPTKIIPSGPDLTEIFRA YP_002978055.1 MKLMRVGEAGSEKPALLDADGKIRDLSGHVADIGGEAIGPAGLA KIAAIDPKSLPEIAPGRIGACVAGTGKFICIGLNYSDHAAETGATVPPEPIIFMKATS AIVGPNDNVVIPRGSEKTDWEVELGVVIGKTAKYVTEAEALDYVAGYCVSNDVSERAF QTERSGQWTKGKSCDTFGPIGPWLVTKDEIAEPQNLGMWLTVNGQKMQNGSSKTMVYG VAFLVSYLSQFMSLHPGDVISTGTPPGVGMGLKPPRYLKAGDVVELGIEGLGTQKQTF VADR YP_002978056.1 MFYQLYELNHAAMAPFRAAADIMRFAYANPLNPFSHTPFGRTMA ASLEMFERTTRRYGKPEFGLKQTTIGEKTVSVREEVVWSRPFCNLLHFARGIPAARGN DPRILIVAPMSGHYATLLRGTVEALLPSADIYITDWIDARMVPMTEGTFDFDDYVDYV IEMLHFLGQDTHVIAVCQPSVPVLAAAAVMEEARDPLSPASMTLMGGPIDTRINPTAV NKLAQERSLQWFSDNVIMNVPWPQPGFMRPVYPGFLQLSGFMSMNLDRHLVAHKEFFM HLVKNDGEPERHRDFYDEYLAVMDLTAEFYLQTVEEVFIKHSLPKGELMHRGKRVDPA AIRNVALLTVEGENDDISGVGQTKAAQTICVNIPEDMRMHYLQPDVGHYGVFNGSRFR REIAPRIIDFVRKHSRSAVKPPIPRVIKGGRTG YP_002978057.1 MNQSALLRPDWTPATIALMILGFMVFWPLGLAMLAYIIFGDRLR GFKRDVNQATDGFFASCRRPHGRHRPHFSTGNGAFDDWRKAELDRMEEERRKLDEMRE EFDSYLRELRRAKDQEEFDRFMRDRRNAKRDDNGPVAEYQTP YP_002978058.1 MFSLLKTMSKARKPAPPEMRTLDVAGRLMPLTIKQHDRATRITL RIEPGGRALKMTVPKGLAAREVNAFLDRHQGWLLTKLAKFSTDTGLRDGGEILLRGVS HRIQHSGSLRGLTEAVSIDGRPVLRVSGMPEHVGRRIAAFLKKEARADLARLATMHAA AIRAPIRSISMKDTRSRWGSCSSEGNLSFSWRIVMAPPSVIDYLAAHEVAHLKEMNHG PHFWALCGKLCPGMDEAKSWLKRHGSQLHAIDFD YP_002978059.1 MRPDIKICGLKTPDAVDRALKRGATHIGFIFFEKSPRYIEPDLA AKLAEPARGKAKIVAVVVDPTNDELDEIVSILKPDMLQLHGNESPEHVLTIKAVYGLP VMKVFSVRTADDLKRVEAYIGIADRFLFDAKAPKGSELPGGNGISFDWSLLSWLDGSV DYMLSGGLNKDNVAEALFVTKAPGIDVSSGVETAPGVKSVAKIDEFFDAVEKANAPMM ASGS YP_002978060.1 MNETPKPNSFRSGPDEDGRFGIYGGRFVAETLMPLILDLQDEWN RAKNDPAFQAELKHLGAHYIGRPSPLYFAERLTAELGGAKIYFKREELNHTGSHKINN CIGQILLAKRMGKNRIIAETGAGQHGVASATVAARFGLPCVVYMGATDVERQAPNVFR MKLLGAEVKPVTAGSGTLKDAMNEALRDWVTNVEDTYYLIGTAAGPHPYPEMVRDFQS VIGIEAKEQMLAAEGRLPDLVIAAVGGGSNAIGIFHPFLDDPSVKIVGVEAGGKGLQG DEHCASITAGSPGVLHGNRTYLLQDGDGQIKEGHSISAGLDYPGIGPEHSWLNDTGRV DYVPIMDHEALEAFQTLTRLEGIIPALEPSHAIAEVIKRAPTMGKDEIILMNLSGRGD KDIFTVGKLLGMGL YP_002978061.1 MTARMDKRFAELKAEGRPALVTYFMGGDPDYDTSLGIMKALPEA GSDIIELGMPFSDPMADGPAIQLAGQRALKGGQTLKKTLQLAADFRKTNDATPIVMMG YYNPIYIYGVEKFLDDALAAGIDGLIVVDLPPEMDDELCIPAIRKGINFIRLATPTTD EKRLPKVLKNTSGFVYYVSMNGITGSALPDPSLVSGAVERIKQHTSLPVCVGFGVKTA EHAKVIGGSADGVVVGTAIVNQVATSLTHDGKATADTVQAVATLVRGLSTGTRSARLV AAE YP_002978062.1 MNWITNYVRPRINSMLGRREVPENLWIKCPETGEMVFHKDLEGN KWVIPASGYHMKMPAKARLADLFDNGEFESLPQPKVAQDPLKFRDSKKYSDRLRDSRL KTEQEDTILAGVGKVQGLKLVAVVHEFNFIGGSLGMAAGEAIVKAFERATAEKCPLVM FPASGGARMQEGILSLMQLPRTTVAVDMLKESGQPYIVVLTNPTTGGVTASYAMLGDI HLAEPGAEIGFAGKRVIEQTLREKLPEGFQTSEYLLEHGMVDMVVKRHDIPETLARLL KILTKKPVSAANDMNSGAIALAASA YP_002978063.1 MSEAAQEIDKLMGLHPKGFDLSLDRITRLLDVLGNPHRKLPPVI HVAGTNGKGSVTAFCRALLEAGGYSAHVHTSPHLVNWHERYRIGVKGGRGQLVDDAVF AEAVRRVAAANAGQHITVFEILTAVTFILFSEHPADAAILEVGLGGRFDATNVISDPA VSVIMPISLDHQPYLGDRVELIAAEKAGIMKPGFPVVIGHQEYDAALDVLMSTAERLH CPSAVFGQDFMAHEEYGRLVYQDEFGLADLPLPRLPGRHQYANAAAAIRAVKAAGFTV TETMMEKAMGSVEWPGRLQRLSEGRLLSHAPAGAEIWIDGGHNPGAGEVIAEAMANFE ERQSRPLFLIIGMINTKDPVGYFKAFAGLVEKIFCVPIRGSEAMIDPVILSNAAYDAG LVAEPMSTVGDALEAIKAVVDPEALPPRILVGGSLYLVGDVLADNGTPPK YP_002978064.1 MATVKVDINNFQSEVLESAEPVVVDFWAEWCGPCKMIAPSLEEI AVEMEGKVKVAKLNIDENPELAAQFGVRSIPTLAIFKGGEVADISVGAKPKTALSNWI SSAA YP_002978065.1 MSDVTAIPNDDDPGAWIGWTTIQQAIASDPLRSAWVSANAGSGK THVLTQRVIRLLLSGARPSAILCLTYTKAAASEMSNRVFERLAEWVVLDDEDLGRRIT QIEGMAPDGLKLAEARRLFAKALETPGGLKIQTIHAFCEALLHQFPLEANVAGHFSVL DDRAAVALLSDARRALLTATAPDEDSALSEAFAYVLNLGDESGLENLLGDIVANRNAI RRFTATAEQQGGVEVVLRKRLGLAAGDTEDRIAAQYWPLPALSGGTLELYLSLADQKG GAKAQEVAYGLRLTGRERDDARRAEILEKIFLTVKGEPKADSQFFVKAMLAEAPQLAE AIAIARAHVAASRDRLKLMRMYGATHAALVLADRLNHDYEELKKQRSQLDFEDLITRT ADLLTKSGVGPWIHYKLDRGIDHILVDEAQDTSPIQWSVIQSLAEDFFSGESARPIVR TLFAVGDEKQSIYSFQGARPERFSEESDRTRRRVSDSGQSFSTVRLPLSFRSTADVLE AVDHIFKAPENARGLSALGEPVVHRSSRIGHPGAVDLWEMVAPEAVMKEEDWTAPFDA TPESAPAAILARRIAHSIGSLVGRETIVDKGKERPIEAGDILVLVRKRDAFVNALTRA LKRRGDIPVAGADRLVLTSHIAVQDLLALGRFLLLPEDDLSLAAVLKSPLFDLSEDDI FAVAALRGDNQSVWSHLKSFAADGTERFRAAVERLELFLRQSRSLSVHDFYARVLGSY GGRRQFLARLGTEVSDILDEFLTFTLDHETSGLPGLQSFISTLELEAPVMKREQDKGR NEVRIMTVHASKGLEAPIVFLVDGGSKAFTHTHLPKLRLIETGPDEPPMPVWVPVSDL ANSLTQDDAARIQMLAEEEYRRLLYVAMTRAADRLVVCGYRGVRVNNDTWHMMISTAL RDDHPHVEATTFSGPDGEWPGIKWRVPRVERSFERIGRSEERGSEETLPDGLLRPLPP QAELPRPLSPSGAGTIIDEDAGGLLVVSPLFGETERSDRSLEKGRLIHRMLQALPEIP LAERPDAASRYAERAARFWPEAERRKLVDSVLKLLDEEGLQAVLGAQAQPEVSIMGTL TLEDRRYAVSGRIDRLAVLADRVVILDYKTNRVPPATEEAIPFAHRAQLAIYREILTP LYADRRIDCMLVYTENASLFTLSEKALGLALAAVKTK YP_002978066.1 MAERHQPRIVTIPAGLSFLKTLATTLCDGRLTPIFRHDADDPLS LAKVTIYLPTRRAVRVLRSEFVDLLGGRSAILPMIRPLGETDDDSGYFDEALPATIDL AQPLSNTARLLELARLILAWRNKLPEIVRHIHSDSPLVAPASPADAIWLARNLAELID SIETEDLDWSELSKLDTGDYAAWWQLTAEFLQIASAFWPERLAELGKSSPARHRNAIL RAEASRLSATKPAGPIIIAGSTGSVPATADLIAAVAHLPEGVIVLPGLDLSMPERHWQ MVAPEPAPGQHANPASRSHPQYGLSSLLKRLKLTRADLTLLDRPEADLERRAEILSQA LAPAEATSDWGAWKTDLPAGALSSSFSDVSLIEAANEREEATAIAIALRLALERPGQD SESRAALITPDRNLARRVMAELSRFGILADDSAGTPLSAMPQGTLLQLLLEAALRPGD PVAIISLLKHPLARFGLERGALISATEALELLALRGGVAEVDISTLEPLLAHQLAEQA LDRHAPQWRKALSPEAADAAYDLARRVTQATEPLASALMRERPEDRGRTARFTLSEWA KRTGRSLEAVAVDPHGNLADLWSNEAGDALAALLGEVIDTDGQMEADGPQWIDIMAAL AAGHAVKPRALSHPRLFIFGTLEARLQSVDTLILGGLNEGTWPGQTANNPFIPRMMKT EIGLEPPERRIGQLAHDFEMANGTRHLIYSRALRQGSTPTVASRWLQRLLALGGEAFE AELKGRGNRFLQWAALIDRGDAQAPAQRPSPKPPLALQPKSYSFSEVGRLRRDPYAIY ARRVLRLDPVEPFNRDPGAAERGTLYHKIIDRFIREAHIAGTPDAAAAMEAILSELFD MEKLPPHIDAVWRPRFRAVARAFLEWEAGRRHGILKTLTEVRGGMELEPINIRLTGVA DRIDVTGPHSADIIDYKTGFNPSPAQARVLLDPQLALEAAALSAGAFRDAGSLMPQDL LYVRLRPGSRFQVDTVNNESSARSDKAKSAMDLAAESIDQLVKFVGLLQSNERGFTSR LIPAQQFDFGGDYDHLARVSEWSTAETEEGGGDE YP_002978067.1 MTIRQAMVLAAGLGTRMRPITDTIPKPLVKIDGKPMIDYTLDCL VAAGIERAVVNVHHHADQMLDHLGNYHGLDIVISDERDALMNSGGGLAKGLRLLSRDN IFVMNADLFWIGEQQGRPTNLERLAGFFDAERMDMALLCVGIEDTTGHNGKNDFSLAV DGRLTRYRDDPSNPVVYAGAIVMNPSLLDDAPKDAFNLNIYFDKAIARGRLFGMVLEG HWLTVGTPDAIGEAEETIRRLRTFA YP_002978068.1 MTTSDAISLFLKDEAATIRLGEDLALALKAGDCLALSGDLGAGK SSLARAILRAMADDEGLEVPSPTFTLVQSYDLRIAVSHFDLYRLGDPAELTELGFDEA LQNGICLVEWPEMAESELPAERITLTLAHEGSGRRATIEAAGAQNTRIRRVLAIREFL DTAGYPTAKRRFLTGDASLRAYEAIYPKAGNQRIILMDWPPLAEGPPVLDGKPYPKVA HLAENAYPFVAIADTLRKDGFAAPEVYKVDYDQGILLIEDLGSDGVLDAHGQPVIERY RESVACLARLHALKFPQDISVGKGHVHHIPDFDRTAMKMEVRLVLDWHLPWKRQGAPA TDAERADYLAIWDALIDELATAEKNLLLRDFHSPNIIWRPHAKGVERIGLIDFQDAMI GPTAYDLASIVQDARVTIEPDLFRQLMDDYLGLRRAQGCFDEAGFMKAWAIMSAQRNC KLAGLWVRLLQRDGKPGYLKHMPRTLSYLNVALEHETLAPLRDWCARAGIGQSES YP_002978069.1 MSEIKSSLPGQADDGARAHRRPLMAGQGYKSATAHEAAKQEHGP LARFLKSCAAGTALAALARPALAQAEQQAAATAHLFTSSQVIGVSVVIGVISAALLST LWLVRQRGNLENESREIRSALSDAQQRISQYQALIADKNRRIVIWDGNARPELLGQLP PETGAPQDGEFLAFGLWLKSRSASELEKAIDRLRDEAQSFDMVVETIRDEILEAQGRV SGGRAFVRFVALNNLRAELAELRIERDRLMTSISAFQTMLDAIDMPAWQRDPVGRLTW VNQAYGEAVEARSPQQAINEGREMLTTVARERIRATTTPESPFHDKISTVVHGNRTFF DVVDVRVPGGSAGIAIDVSDIEAVRAELERTLKSHAETLDHLATPVAIFDGERRLQFY NQAFVALWELDIAFLEGRPDNSELLERLRAAKKLPDQLNWKSWKEAALSVYRALDTQT DLWHLPNGQTLRVFATAHPQGGATWVFENLTEQVDLETRYNTLVKVQGETIDHLSEGV AVFGPDGRIRLSNPAFRALWGITETEAKPGTHIRALGEACAPSYDQPDGWKTFAELIT SFDDERRSGQGTLELFSGLVLDYAVIPLPNAQTMLTFVNMTDSVRAERALTEKNEALR KADELKNDFVQHVSYELRSPLTNIIGFTDLLRTPGVGPLTERQAEYIDHISTSSSVLL TLVNDILDLATVDAGIMRLNYADIDLNDLLDDVSMQIADRLHESGVALEITAPAYLGS IVADPQRLKQILLKLLSNAANFSPEGTSISLECHREGTDFVFSVGDRGPGISPDMIAT VFDRFATGAKSGKRGGAGLGLSIVDSFVSLHHGDVTIDSEPGKGTTVVCRIPSVDVPH SAAAE YP_002978070.1 MSTEKDYVVADIGLADFGRKEITIAETEMPGLMSCRTEFGQTKP LKGARITGSLHMTIQTAVLIETLVALGAEVRWASCNIFSTQDHAAAAIAASGVPVFAI KGESLDDYWVYTDKIFQWADGGLSNMILDDGGDATMYILLGARAEAGEDVLSHPHSEE EEILFAQIKKRLAASPGWFTKQRAAIKGVTEETTTGVNRLYQLSQKGLLPFPAINVND SVTKSKFDNKYGCKESLVDGIRRGTDVMMAGKVAVVCGYGDVGKGSAASLSGAGARVK VTEADPICALQAAMDGYEVVLLEDVVSSADIFITTTGNKDVIRIDHMRAMKDMAIVGN IGHFDNEIEVAALRNLKWTNVKPQVDLIEFPKGNRIILLSEGRLLNLGNATGHPSFVM SASFTNQTLAQIELFTKPDQYSNQVYILPKHLDEKVARLHLDKLGVKLTELSAEQAAY IGVSPKGPFKSDHYRY YP_002978071.1 MTSLSRELLIINKRGLHARASAKFVQMVETFDAAITVSKDGMTV GGTSIMGLMMLAASPGSSVVVSASGSQAEEALEALDQLIQNRFGEEM YP_002978072.1 MIGLVLVTHGKLAEEFRHAVEHVVGPQKFIETVCIGPEDDMDQR RQDILEAVSGADDGHGVVILTDMFGGTPSNLAISVMSSGHTEVIAGVNLPMLIKLAGV RGENNMEKALVEASEAGRKYINVASRVLSGK YP_002978073.1 MTEAAFNIHATAIVVGKTGLLFSGPSGWGKSMLAFTCMTEARRL GLFTALVADDQVLLSAEAGAVIATCPASITGLIELRGTGIVHQDHIPKATMHYAVLPG SATGENRVPPEGEMVSLVADFSLPALRLLTGVCSPLAILMAKVPDIGR YP_002978074.1 MAQLVQERDLDDAEGVSTRRVRGRRWSHPFTLIRRIFGNAVFSS LTRRILFFNLVALVVLVGGILYLNQFREGLIDARAESLLTQGEIIAGAVSASASVDTN SITIDPQKLLELQAGQSITPVPNDEDLEFPIDPEKVAPVLRRLISPTRTRARIFDADA NLLLDSRHLYSRGQVLRFDLPPVEEEKQTWSEWFATLFNKALQPGNLPLYKEAPGGDG SIYPEVMNALTGVRGAVVRTTEKGELIVSVAVPIQRFRAVLGVLLLSTQAGDIDNIVH AERLAIMRVFGVATLVNVLLSLVLSSTIANPLRRLSAAAIRVRRGAKTREEIPDFSAR QDEIGNLSIALREMTTALYDRIDSIESFAADVSHELKNPLTSLRSAVETLPLAKSDDS KKRLMDVIQHDVRRLDRLISDISDASRLDAELARVDAGSVDMEVLLRDLIEVSRQVRS TKKQVEIEYAIERKPNVKTRFVINGHDLRIGQIIANLIENARSFVPEKGGKIIVRLVR TRSRCVTTIEDNGPGIQAENIDRIFERFYTDRPEAEGFGQNSGLGLSISRQIAEAHGG SLRAENITDAESGHVLGARFILSLPVGAAA YP_002978075.1 MTETNTMPTIALVDDDRNILTSVSIALEAEGYKVETYTDGASAL DGLLARPPQLAIFDIKMPRMDGMELLRRLRQKSDIPVIFLTSKDEEIDELFGLKMGAD DFITKPFSQRLLVERVRAVLRRASSREAAAAGTSPTGAPKTGAVQQARSLERGQLVMD QERHTCTWKGEAVTLTVTEFLILHSLAQRPGVVKSRDALMDAAYDEQVYVDDRTIDSH IKRLRKKFKMVDNDFDMIETLYGVGYRFREAA YP_002978076.1 MEMFGVHNPAIELATVGLGGASSVRYNFSAAALYEEAIRRGEAE LTAQGALRALTGQHTGRSPRDKFVVRDINTDGEIWWDNNKPISPEHFAVLRDDMLAHA AGKELFVQDLVGGAEEGHALPTRVVTEFAWHSLFIRNLLIRPDTAALSSFVPKLTIID LPSFKADPARHGCRSETVIACDLTNGLVLIGGTSYAGEMKKSVFTVLNYLLPAKGVMP MHCSANVGPDGDVAVFFGLSGTGKTTLSADPARTLIGDDEHGWSENGIFNFEGGCYAK TIRLSAEAEPEIYATTQRFGTVLENVVLNESREPDFDDGSLTENTRCAYPMDFIPNAS ETGRAGHPKTIIMLTADAFGVMPPIARLTPDQAMYHFLSGYTAKVAGTEKGVVEPEAT FSTCFGAPFMPRHPAEYGNLLKELIGRHDVQCWLVNTGWTGGAYGTGKRMPIKATRAL LAAALSGELGQAEFRADTNFGFAVPVSVDGVDGSILDPRSTWADKAAYDAQAEKLVSM FIANFAKFEDHVDGGVRDAAPGIKLAAE YP_002978077.1 MASDALYIDDRITIAGWELTEQFVLAGGPGGQNVNKVSTAVQLF FNIANSPSLNDRVKTNAIKLAGRRLSKDGVLMIEASRFRSQDRNREDARDRLKELILE AAKPPPPPRKKTRPTKGSVERRLKEKSGRSEVKKMRGRPGGGSGE YP_002978078.1 MPELLSGIRHLPGYLDRARQEALVEAIRTVVAEAPLYVPAMPGT GKPMSVRMTNCGPLGWVTDKEHGYRYQPTHPATGRPWPDMPQQFRPPEACLVNFYSDE ARMGLHQDKDEQDFKAPVVSISLGNSCLFRVGGLSHNDRTLSFKLSSGDLVVLGGEGR LCFHGVDRIHPATSTLLKNGGRINLTLRRVNP YP_002978079.1 MSIATEIIGVPETLDHFQSESYSPYHFFSSEQWAKFRADTPLTL TSDEVKRLRSMGDPIDLDEVRRIYLSLSRLLSAHVESSQMLFEQRNRFLSLSDVTKTP FVIGIAGSVAVGKSTTARILKELLGRWPSSPKVDLVTTDGFLHPNAVLQREKLMQRKG FPESYDTAAILRFLSAIKAGRPDVKAPSYSHLVYDVLPDEYKIVDRPDILIFEGINVL QSRDLPAGGRIVPMVSDFFDFSIYIDAAEDEIHNWYVTRFMRLRETAFRDPNSYFHRY ASISDAEALDIAEDLWANINLKNLRQNILPTRPRADLILKKGKDHLIEQVALRKL YP_002978080.1 MSGFSLSDLESIVEERSKASPEQSWTAKLVAAGQPKAAKKLGEE AIEAVMAAVTGDRDNLTYEAADVLYHLLVVLKIAEIPLEDVMAELERRTAQSGLKEKA SRQSS YP_002978081.1 MTLKARVIPCLDVKDGRVVKGVNFLNLVDAGDPVEAAKAYDAAG ADELCFLDITASSDNRETIFDVVSRTADQCFMPLTVGGGVRTIADIRKLLLCGADKVS INSAAVSNPDFVTEAADKFGDQCIVVSIDAKRRRTQAVGGDNLSAWEIYTHGGRNATG IDAVEFAQKMVARGAGELLVTSMDRDGTKVGYDLELTRAIADAVRVPVIASGGVGDLD DLVAGVKEGHANAVLAASIFHFGTYSVSEAKHYMSKCGIAMRLD YP_002978082.1 MILFPAIDLKGGQCVRLKLGDMQQATVYNTDPAAQARSFEDQGF EWLHVVDLDGAFAGHSANGDAVEAILKATDNPVQLGGGIRTLDHIEAWLSRGLRRVIL GTVAVRNPALVIEACRKFPGHVAVGIDAKGGKVAVEGWAEASELGIIELAKKFEGAGV AAIIYTDIDRDGILAGINWTSTLELADAVSIPVIASGGLASLDDIRRMLEPDARKLEG AISGRALYDGRIDPKEALALIKAARTKETV YP_002978083.1 MIHESFPANDGPSRRQNGVSAGDIAEAVLEFYIEGEDDLIGLLA YALYERQKRDFVLSHRKRNAGRSPDEAELAAVNSNYLSTDLRNTLRDRASQILSSYAE TYVEAMEPQIRLTAVNSDALRQVRNIEKSIKRRLGFWRQVRAGFAVTLLLLLLFGAAT IAAVFFRSDIVDAWNALMVPTSLRT YP_002978084.1 MPASRKSAKVFYTLRPSREGLPPFTDIKLPGGTIIRRVDEAIHR KALSNAAKALKERLDR YP_002978085.1 MRVAIIDYGSGNLRSATKAFERAAHEAGIDAHIDLTDRAEDVAA ADRIVLPGVGAYADCRRGLDTVPGMAEVLIEAVEKKARPFLGICVGMQLMSSRGLEKT VTRGFGWIPGNVVEMTPDDPALKIPQIGWNTLDLKCQHPLFEGIPTGSQGLHAYFVHS YHLAAVNAEDVIATADYGGPMTAFVGRDNMAGAQFHPEKSQKLGLALIANFLRWNP YP_002978086.1 MTSSYIFLTAPGSANATADETRTIRDGFTLLGFLFPWVWLLAHR LWLHAAAAFLLQGIGGALMDEPGLGPAGTAILLGVNILVGLEGQNFRVRNLAAKGWNE DSLIAADTIGVAEQIYFSDRAVIAASDDAAAPDWQNKARPMGPHGDATSLGLFGFDGG R YP_002978087.1 MAETAASRTGSVSRKTNETSISVSVNLDGTGKSTISTGVGFFDH MLDQLSRHSLIDMEIDAKGDLHIDDHHTVEDTGIAIGQAISKALGDRRGITRYASIDL AMDETMTKAAVDLSGRPFLVWNVAFSAPKIGTFDTELVREFFHALAQNAGITLHILNH YGANNHHIAETCFKAVARALRTATEIDPRQAGRVPSTKGTLV YP_002978088.1 MTTIITVRKGGKVVMAGDGQVSLGQTVMKGNARKVRRIGKGEVI AGFAGATADAFTLLERLEKKLEQYPGQLMRAAVELAKDWRTDKYLRNLEAMMLVADKS ITLAITGNGDVLEPEHGTTAIGSGGNFALAAALALMDTDKSAEEIARRALDIAADICV YTNHNVVVESLDAEG YP_002978089.1 MPKAEPYAFRPLAAVDLPLLAKWLESRHVRRWWSDPAKALASME KHIDAVSVSCFIVTLNGKDFAFIQAADLDDEDDEALAGQPKGTYGIDQFIGIEELAGK GHGPAFVIGFCDMLFAKGAQRILVDPHPDNAFAIRAYTKAGFQGLGETTTKYGRALLM ALDRQENDTQ YP_002978090.1 MTTFSPREIVSELDRYIIGQHDAKRAVAIALRNRWRRQQLDPSL RDEVMPKNILMIGPTGVGKTEISRRLAKLAGAPFIKVEATKFTEVGYVGRDVEQIIRD LVEVGIGLVREKKRAEVQAKAHVSAEERVLDALVGTTASPATRENFRKKLRDGELDDK EIDIEVADAGSGMGGFEIPGMPGANIGVLNLSEMFGKAMGGRTKKVRTTVKASYGDLI LDESDKLIDNEVIQREAVRSTENDGIVFLDEIDKIAARDGGMGAGVSREGVQRDLLPL VEGTTVSTKYGPVKTDHILFIASGAFHVSKPSDLLPELQGRLPIRVELRALNKDDFRR ILTETEASLIRQYRALMETESLSLEFTDDAIDALADVAVHLNSSVENIGARRLQTVME RVLDDISYNAPDRGGTAVTIDAAYVREHVGDLAQNTDLSRFIL YP_002978091.1 MIAVALVNSAPAFAMQTVPAGNRHAEQPDIPGASIRRTKGTKSS FDLKYEKVHELLATDRELMSKIRKISSAYGINPIHVVGAIVGEHTYNVDAYDRLQAYY VKAVSYAGESFRFAYDGENVDEFVARPQFAECKGKSDSYSLWSCREDVWETDFRGKTV GGTSFPNNRFSAVFFQPFYAGQTFGLGQVNPLTALMLSDLVTRVSGYPKLNEKNAGAV YKAIMDPDISLAFVAASVRRSIDDYKEIAGMDISGNPGLTATLYNVGNSRQRAAALAA KNRGAGTTIWPEENYYGWLINDKLDELKGLL YP_002978092.1 MDMRPDPFVPPAPLPRTVPPSRLEIIRIILRNPLELWGEPSYTL PWIRTNFFGQRTLIVNDPGLIKHVLVDNANNYRMSDVRQLVLRPILRDGLLTAEGPVW KRSRKAVAPIFTPRHAQGFAGQMLRQSEDYARKYEGAGEAGAIFDISTDMTELTFAIL ADTLFSGEIVTSSGHFADDVNELLHRMGRVDPMDLMRAPSCVPRVTRIGGQKVLEKFR AIVRNTMDMRLAKMKADRSSAPEDFLTLLLEQAGPDGLTKEEIEDNILTFIGAGHETT ARALAWTLYCVSNSPHIREGMEEEIDAVLATGAKPVEWLDMMPQTRAAFEETLRLYPP APSINRAAISDDSWTSPKGERVELEAGVTVLVMPWTLHRHELHWDRPRAYMPERFLPE NRGSIGRFQFLPFGAGPRVCIGATFALQEAVIALAVLMHRYRFDSTDQTNPWPVQKLT TQPQNGLPMRVTPRIISTKA YP_002978093.1 MSRVDKNGLAIETVLHDFLVKEVLPGLAVDADKFFADFSAVVHD LAPKNRALLAKRDELQVKIDDWYRRHGAPADMDDYQSFLRDIGYLLPEGSDFQVSTEN VDPEIASIAGPQLVVPVMNARYALNAANARWGSLYDALYGTDAIPESDGAQKGKGYNP KRGEKVIAWVRDFLDTSAPLQDCRWKDVGGFAVRDGALSVRSIDGEQAMLTDGKHFAG YRGDPAAPTHILLKNNGIHIEIVIDATTTIGKADPADISDVWLESAITTIMDCEDSIA AVDAEDKVVVYRNWLGLMKGDLQEEVAKGGTSFIRRLNPDLQYAGPDGAAFEVHRRSL MLVRNVGHLMTNPAILDRDGNEVPEGIMDAVITGLIALYDIGPSGRRKNSRTGSMYVV KPKMHGPEEVAFAVEIFSRVEDALGLLRNAIKMGIMDEERRTTVNLKECIRTARERVV FINTGFLDRTGDEIHTSMEAGPMIRKGDMRQAAWISAYENWNVDIGLECGLAGHAQIG KGMWAMPDLMAAMLEQKITHPKAGANTAWVPSPTAATLHATHYHRVNVARVQQGLKDR ARAKLSDILSVPVAVRPNWTPEEIQRELDNNAQGILGYVVRWVDQGVGCSKVPDINNV GLMEDRATLRISAQHMANWLHHKVVTEAQIVETMKRMAAVVDGQNASDTAYQPMADNF DDSIAFQAALDLVLKGREQPNGYTEPVLHRRRRELKAKQAA YP_002978094.1 MRICNAFPVFGLLATLALAGCSTTSDSASVDDKGAGPTVQTAQI FNDAYGVTKDAGYSLPAIPIDRVKPQFRRQVVSYQTTERPGTIIVNTRERFLYYILAN GKAMRYGIGVGKQGFAWAGTAYVAWKQEWPNWHPPKEMAVRRPDLAKYVEDGMGPGLT NPLGARAMYLFNEDGKDTLFRLHGTPEWASIGTAASSGCIRLMNQDVIDLYSRVRPGK GTSKVVVIQ YP_002978095.1 MTILSVYNNNPLIDGRQSDRAMMVRRGTQILLHEMRHAVLPELP LASGRRADLITLSEKGEVWIIEIKTSIEDFRVDRKWPEYRLHCDRLFFATHQDVPLEI FPEECGLFLSDGYGAHMIREAPEHRMAPATRKSVTLNFSRAAAQRLMMAEWANGKPFT VDDV YP_002978096.1 MTEQNHDNFTASDEDHIGPDASLLIVDDDGPFLRRLARAMETRG FQVETAESVAEGVAKSRGRPPKYAVVDLRLGDGNGLDVIEAIRQRRDDTRIIVLTGYG NIATAVTAVKLGAVDYLAKPADADDVFSALTQRPGEKAELPENPMSADRVRWEHIQRV YEMCERNVSETARRLNMHRRTLQRILAKRAPK YP_002978097.1 MIDKPGMIDNTEGYTLEDRHNSRRLRLQTLVRLRWLAVGGQALT VFIVAFWLNFPLPLIASSSLIAALAWVNFYLTIRYPPTHRLEPPAAFALLGFDLLQLC ALLFITGGLANPFAALVCVPVIISFASQPIRYSTALIGFAMVCITVLAFSPFPLPWFD GVEINVHNVMQFGVWCSIASTMAFAAFYAYRVSMEASQLADALAATELVLQREKHLSQ LDGLAAAAAHELGTPLATISVVAKEMERELKDDDRFREDVMLLRSQSERCRDILRRLT TLSSEGEAHMRRLPLSSMIEEIVAPHREFGIALELIEKSPRKGEPVTDRNAGIMYGLG NLIENAVDYAREKVIVTVEHDHDKVLIVIEDDGNGYAPDILTRIGEPYVTKRQKEDTA GGLGLGLFIAKTLLERSGASLIFENRDPESAGARIRIEWPRMLIDANSTK YP_002978098.1 MTISASLPELPVSHVLPAVASALEKQKRAVLSAPPGAGKTTLVP LYLLGQAWRGDGKIILLEPRRLAARAAASRMASLIGEQVGGTVGYRMRLDNRISAATR IEVVTEGVFARMILDDPELTGVSVVIFDEFHERSLDADFGLALALDVQSALRDDLRIL VMSATLDVERVAALLDHPPVIESLGRSFPIDIRYQDRPGGERIEDAVTRAILDAHANE AGSILAFLPGQAEITRTVERLQGRFGAETLIAPLYGNLSQKEQDAAIRPAYQGTRKIV LATSIAETSITIDGVRIVIDSGLQRLPVFEASTGITRLETVRVSRASADQRAGRAGRT EPGIAVRLWHQGQTAALPAFTPPQILSSDLSGLVLDLAHWGVQDPASLAFVDQPPETT LREARVLLGQLGALDKDGALTARGKVMRDLALPPRLAAMVVSAGEVGHARDAAMIAVL LTEQGLGGTSIDIEERLRRFKAERGERAEASRRLAGRLASGLDSVASTAPALAGQLLL HAFPDRIAIQRGGRGRFVMANGRGAELPETERLAGSQMLVIADLTGRAARARVLAAAE VTRGDIEAELPGEIKTDDQIFFDRQSRQIRARRATRLGAIVFEETPLPRPSGAAVTQA LVDGVRELGLDQLAFSKEAMQLRERIGFLHRTIGEPWPDVGDDALLSRLDEWFAPFQT EARGLSEISAAGLSNGLMSLVPHELQRDLSRLAPTHFEAPTGQRHPIQYEGEEPVLTI RVQELFGLRQHPAIAGGRLPLLLELTSPAHRPIQTTRDLPGFWAGSWRDVRADMRGRY PRHPWPERPEDALPTTRAKPRGT YP_002978099.1 MLVLDEEQTRAALPWPNLIEAIARMFQSDCVMPVRHHHEMEVPD EESATLLLMPAWVPGRYAGVKTVSVFPGNVRRGLPAIFGTYLLSSGATGEMLAAIDGG ELTARRTAAASALAARHLARPDAEDLLVCGTGRLSLNLMLAHGTTRPIKRYRVWGRNG QAAEKIAAEARALGLNAEAVADVEAAARTADIISCATLSSEPLISGEWLKPGAHLDLV GAFKPSMRESDDEAIRRASVYVDTRAGAISEAGDIVQPLKSGVLKQGDIKAELAELVS GAHGGRSHHAEITLFKSVGAALEDLAGAILAYETVTGRK YP_002978100.1 MQTNADGAERERLLAILDFWHKIEFFIPYDLSSRIVSGEGRSVF WLHAKTLGDDGAALSRPAIPEEKQITGFTLFLGVFSKSEIADIRRHFDCVATDTAEYD DAERSDLDGDTCFASLQLSPLGQPLFETFSVSTLPWALGRVRKSGLSSLGYQAFADSK RQLSELLQNFRAQRHLRSSSSEDTTDQPIDAAEILTLHELLCDWAGFASKQEKPIAAV EMRYRDRVEKSEFISLPPPPESNALDADDEEDESTSAEDDIGILNSFFIEDIERAMIR VTHGDIPAPLRQYLTPLVHEKRIDLYSEDGRRAIVRALHPGKLNRGRWLSEPHHAMSL MQQFAINSAIDELSETGLFSVNGPPGTGKTTLLRDMFADNIVRRARILASLKTAREAF DGAPRRIIFADRSTATISALIPALAGFEMVVASSNNAAVEIISRDLPKRSSVARTSSF QYLQTIAHKVACQKDNGAVVRLSDGDRPWGLIACALGNSRNRRAFKERFAFMEIAERP KPGWSGADKPQTIWEWLKGYKGPNFAEASAAFQAADKVVRDKIGEYARYADLHDEIAL VSQDGFCREALEKVRAGAAELRHAQDRCDMVAADMLRLREGLSPLKEEELLLDRGAPA WWEKVLSTNPARQHRHNVVANARRQLELRKALAECEHHLTKTLRPALEQSLRRHRRAE QALRSQREIWSRKREEFGRLGEILNHPTLPGRLTDLETDQFQIDGLWHQDELAGLRSA LLEAALTLHEAWLADVGKKGGGFGGNIVAINKLLSNNGPADGEHIALIWQSLFMIVPI VSTTFASFARQFHGLDTGSVGWVFIDEAGQAVPQAAVGALLRARRVMVIGDPQQIEPV FTLPSALITATSALSPHTAAGQYSPNSASVQMLADANNRYGTTVSGEEADGLWIGSPL RVHRRCIDPMFGLANQIAYQNKMVFGLEERRPAGDAPPFYGDSAWIDVRGRVSGKQAV PEQTGFIVDLLTATYRRDGGLPDLYIISPFKEIKNSLKQALAHATWVDWNGNTRSAPP RLSKWLKERIGTVHTFQGKEEDVVFMVLGADAAHSGAAAWAASKPNLLNVALTRAKRR FYIVGDRTLWETLPYFRETASALKTIQAAEFLARNELN YP_002978101.1 MRIDIIDTIAGFEAVRENWDQVFLEDPDAQHFLSWIWLKNYLSR RRRWFILALRERDPEAPYVAFLPLRLITHLNEKTGLFYDEIIMAGNFAADYTGFIVRP DYEHHAIAGFASFIKHQNWTDLKLEYFSGPASRREKMIEALQGPEVMFRDSSPKNSEN IDNTICPIVPLPASFDDYLEQRMSSQTRQKLRRFLRKVEGDDIYRITMATPETIDRDL DTLFNLWRIKWSARKGAERTERLIVTTREMLMDSFNCGNLEVPVLWYGDQPLGALANI VDRQKKAILFYITGRDENWKTPSPGLILHGYCIRRAIEQGFKTYDFLRGNEPYKYMFG VEERRISCTLFRTRNGQNLHGALNPRSIRFVYEQALDMYRNGARSKAEIAFNQVLQSA PGHTGAEFGLANLLFDRGKLTEALTAYKALVEQAPDPTPIQMRLGDTQLALHQYEQAA ETFRQIGEIGPHLIQAHYKRGIALAASKRLAEAEAVFAAIQDVHSDDPTALDYAAKAG VALERLRSITEPAAGKTDVVPETIARWNRGRQLSERRRPRLH YP_002978102.1 MAAMSDVLLRVGRLNYVWTNTESLLIYIIAHLLRVEKDAAIVVF LTLNTTRARIDLIERLSKLASTSPSDRKAILSAMSRLKKESKIRNKYNHCIYSFDEKG EISSTQLMRLVEDDKQIRYGKIEQMDARELDLLEKSIAEIVAISRTLWAFIHASPRIS GEL YP_002978103.1 MGRKNQETAGPVQLEWYSDVPRSIRMHSIVGLTVLFTSFGGFGF WAATAPLASAVIAQGSFVATGNNKIVQHLEGGIIKEMRVSEGDTVKEGDILLTLDPTA SRSNERMLQLRRLRLEAIVARLRAEAQGLRELQLPNIVTKEASDTDINAIIQSQNVVF HSKQIKLEEQLNLIGKNIASLEFRFAGYRGQRDSFERQLSLLTEERDSKARLVKVGYM RRTDLLAIERAIADAMGDIARLNGELNESEAEIAKFRQEAVIAVNSNKQAALDALETA ETDLDSVREQMREAAGVLERTTIRSPVSGTVVRSYFHTAGGVITTGKPIMEILPSHVP LILEAQVLRTSIDQLHEGETASIRLTALNRRTTPVLQGKVFYVSADSIEENSGASVKD VYIVRVGIPDSEIARVHNFHPVPGMPAEVLIQTSERTFFEYLSKPITDSMSRAFKER YP_002978104.1 MNQISKQIFAAGSALDLRSGTIPSAAPSEATMTDLCISAIDEAV ENLRRLSGAAASSDGGKQTSEAAATEAADTGRASATQAPLPEKTAVPEAKVETAPAVA VAQGPATAKPAEAPAQPQIEARVAPELPTEKTELKSSPTMPFGKTIEGESGPISENDR RLRTGGGGNGKNSDPGGGGGGGGGSGGGFHKRSEPVNFAASLSRGMVAVRRNMVVVMV FTVAINVLLLAIPLYLFQISDRVLTSRSVDTLVMLSIAVIGAVLLQAFMDTVRRFILM RTAVELEVQLGAPILSAAARASLHGSGKDYQTLQDLQLLRGFLTSGTLIAFLDAPLMP FFVVVVYFVHPHLGIIIMVCCAVLFVIAYLNQKFTARQFAESNGYLSRANFHLDSMSR NSQIINAMAMIPEAVKMWGRETAGSLKSQVEAQDRNIIFSGISKACRMITQITLLGWG AHLSLSGELTGGMVIASSIISGRALAPIEGAIEGWHQFNRSAAAYGRIKGLLLNSPLN FPRLRLPNPEGRLDVERILFVPPPQKKVILNGISFSLRKGESLAIIGNSGSGKTTLGK MLVGSIVPTSGNVRLDLMDLRNWDQRQFGESIGYLPQDVQLFPGTIKANICRMRDDVD DHQIYEAAVLADVHELIAGFPQGYETIVAGDGAPLSGGQKQRIALARAFFGNPKFVVL DEPNSNLDTQGEAALAKALIHAKKQGITTVTITQRPALLQCVDKILVLKEGTVAMFGE RIEVLQALSKNNGNNGQQAPRIEG YP_002978105.1 MHIEKISEIIAHFIGLFEMTTDEMRLRTNYAEGAGPGADGPALP DQDAFTAAFSSDLQLKDYDPGIIYKSGSYDIEFGPARHFGRVFEESLEKLAAIAGKDI PLPHFGDPTDIVLTDEPELTVFAGPGSAISHVLQVNVLYDDDYLDMTDGVHPLRDTSF VIERLADFSTEAEIFTPFASLHRTDTYDGALKIAEDLESYIQNSKDSGPASPSSGDAT HDFSTTAPKNDTVYVNGEETTDIPVLDDFLPDRGLAKLQEEPDDSSTSVEQTDPSGNS LDVTAGANLLVNVASVINTGVIASVTAVMGNYHQIDAISQAFVYSDNDEIASTLHSSG HSADDAGTVAKNIALFEHSTFEASSHADNDAVEPTFPNSWRVSVIDGDVSFVQWIEQY HFVTDNDTMTVTTSGSEATVLTGGNTSINFSSFFGMGMQYDLVIIGGNVLDINSITQI SLLYDNDWVRAEDGVDPGADIQTGNNLLWNFASIHNVGTDSPFAAMPDYMVATQKAIE DRDPDMPEGLSFDMNFQGYAGLNVLYITGNLYDMTIIKQVSILGDSDDVTLAASTILE NNPDATVTIDTGSNAVVNIAEIVDYDSFGQTTYLAGQLYSDAILIQGGLVEHDTTQPQ PADDRLANEVIAFLDNDDPAGGNCADGVINAGNDFSWSSTHPADVMQAMVA YP_002978106.1 MSDDTEITKVGALADGFANSAINSTEIEDDSTGYVGGVANGDNR DNTDNSVDVDVKAEIDVAANNGDNRDNEYDWSYKSDDDTSTKTTTITDTDTKTDYDWS YDSKSYSDNDTDTKTITDTDTKTVTDTDIKTVTETDTDTKTVSDSNNTSDSFNKTDTD FAVIEDVKDSNLGVAGHDLTFDLGDDFSFTLDVDSILNNSLTGEGNDSGFSAVQANHL ADQDSAWNVKMDNEGAQNNLNANGGTADSAEGMEMDGKGWDLKAGDDATGSSAADASA ILANSGFHLEFVQGANLLSNTVDSSVIGGNSHVSDVGEDTGT YP_002978107.1 MFMTGSGMENSDQGRKLSTSGDTILVVAKADLFSECMVEALAKK FPNCEVASITSTKPMLEKDTSDFKLVLFYHIPGPELHEALQAARENHPETSIGLVVEA IDMLEPYVSRLVEARIIDGVLPLNLRLDVFMAAVDLLMKGGEHFPSALLNRLTNNNAQ LEPSLYQTKSVDAARSNALKLRRDSISALTTREVQILDLICKGTQNKIIADKLHLSEN TVKVHVRNIYKKMNVRNRTEAASRFFNEHSAGEGDMSGRWRN YP_002978108.1 MLEKQDTPQLKGRRFRLAMFVWGTALVAIGPTACAVVDDDIAVV AKKNIVVVEAPRVSKAYAYPANRAERPTAATARSVAYHGSAPYICSPSGFGQKSRCFA RPSI YP_002978109.1 MRSFVPNEGYSKVSGIPVLQGRRTVLVNGGGGFLGSHLCERLLQ RGHSVTCLDNFSTGRRANVAHLASNTRFRIVEHDVRQPFDVDASLIFNFASPASPPDY QRDPVGTLLTNVLGAVNTLDCARKTGAIVVQSSTSEVYGDPTQSPQHESYCGNVNPIG PRGCYDEGKRSAETLFFDYHRTYGVDIKVGRIFNTYGPRMRLDDGRVVSNFIVQALRN ADLTIYGDGQQTRSFCYVDDLIEGFLRFSAAGSACNGPINLGNPTEMSVRRLAEIIRD LTNSRSRIVHLPAVTDDPRQRRPDISRAMADLGWKPRIGLEAGLARTVEYFDGLLAGT EKAEVL YP_002978110.1 MPRYILVTGGAGFIGSHICKALSRAGMIPVTYDNLSTGHADSVR WGPLIRAELADAAALRRTLAEFSPDCVIHCGANAYVGESVDMPRKYYRNNVVGSLTLL EACLDQEIDRIVFSSSCATYGVPASLPIREESPQHPVNPYGRTKLIFEMALEDFAAAY GIRFAALRYFNAAGADPDGELAERHQPETHLIPRALLAAAGRLERLDIFGTDYATEDG TCVRDYIHVSDLAQAHLAAVNHLMADGGSLSVNLGSGRGTSVREILEAIRRASGREVP VRYRSRRAGDPPILFANTARAKAELGFAPAFSDIDTIIRTAGPTFGLEMRA YP_002978111.1 MSNVSQIGHGVRTAKAVNADAFDLVFTGWNRVAYGFGILCWLTA LGFFWIWWCQSAHIISWATFVLVTLVLAWITLVPAYFILIFVDARTVSPRAGLPEGRV AMVVTKAPSEPFAVVRTTLQAMLDQIGVDFDVWLADEDPSEETRRWCAAHGVLISTRK GVAEYHRTTWPRRTRCKEGNLAYFYDHFGYARYDFVAQFDADHVPTPTYLREVLRPFA DPGIGYVSAPSICDANAGARWAARGRLYAEASLHGSLQTGYNNGWAPLCIGSHYAVRT SALRQIGGLGPELAEDHSTTLMMNAGGWRGVHAVDAIAHGDGPASFADLVVQEFQWSR SLVTILLQHSRRHIMHLPWRLRFQFVFSQLWYPLFSVFMAMMFLLPVAALLTGRVFVN VTYPDFLLHFVPMSIVLTLFAFFWRATATFRPHDAKLLGWEGLAFIFLRWPWSLAGSL AAVRDYICGSFVDFRITPKGKQQQRSLPLRVISPYIGLAALSAAAMMFATDAAAAQGF YVFAMINLSVYLSLTVLIVVRHAVENDLPLLPQSRGLWLATATGLAIFVAGGTQAGSH GLRGLEALSHGQTFVSFTETQFAVAGAGLGGGKTRIVKFHLRWNGFGRTGRDEQGA YP_002978112.1 MGIGSRLWGAALALSLCLPVAAHGAEVAKMKAPTPLSAYELYRI YGDKTWTWNTGGGRFFDEGRRFVAWSNDKGRPSFAEGRWVVDDLGQLCMRATWTNAEG AARASTCFGHRKIGNTIYQRRQPDGKWYVFRHASGLPDDEFGKLVPMDTVSAKASEVK QILLSQEVARKGG YP_002978113.1 MKKLMKKNLSTAALALLLLCVADLPGRSEVQYAGIAPNPAAAVR TIIDKRPVLHTDGIKFGAYDPHGDFGAQANVATEALFLPWEDVDLETLRVADAYAQAR GRNLLITVEPWSWDVDWRLTSAELRAKVLRGDYDVNMRSIAHMISELKSPVIVRWGQE MEDKSGRFSWSGWSPQDYITAYKRMMDIVRQEAPSTELMWSPKGEPGLEAYYPGDDYV DLVGLSVFGLQRYDELAYNEHRTFSEALKQGYDLVAGYGKPIWVAELGYEGGDAYIRP WIETATLKQSAFPNLQEVVYFNDRDVHAWPFDLGRPDWRVAENLGN YP_002978114.1 MQVNRRSFLMGSAGAAAGLALGAGSAIPAFAEDAQLRAMWWGSN DRAKRTLEVAKLYQSKSPGVTLVGESLSGDGYWTKLATQMAGRSIADIFQLEPGTISD YSKRGACLPLDEFVPSTLDVQSFGADMLKLTTIDGKLYGVGLGLNSFSMFFDTVEFEK AGIPLPTPDLTWDEYAKLAVELAKSSGKGGGPYAARYAYVFDAWLRQRGKSLFARESV GLGFTADDAKEWFDYWEKLRKAGGTVAADVQTLDQNTIDTNCLGLGKSVIGMAYSNQM VGYQLIIKNKLGITMLPRDKKGGPSGHYYRPALIWSVGATSKHGEAAAKFISFFVNDP EAGKILGVERGVPMSPTVREAILPQLNPTEQETVKYVNLLKDQVGEYPPPVPMGATQF DQRVLRPLCDELAFERISPADAATRLIEEGKATIKG YP_002978115.1 MNDQKIRRPRQADIATLAGVSVSTVSRVLANEPGISETVRRQIL KVAAENGYPVKPASEAVAGGLALIASDGVTGTLSVFYEAIVDGLRAGAAEAGMPFEVR LVREDRTTPDAVRDYMQTAGAEGLFLVGIDPNETLRDWLQTSMTPTVLVNGTDPRMQF DGVSPANFFGAYEATSRLTKAGHRRILHLSGSHRHTIRERVRGFEAAIAAVPGAEGRL LSLALQGSASREAHERTVAALAEDAGFTAAFCMNDFIAVGVLEAVTEAGLRVPEDFAI VGFDDLPCAQMTNPQLSTMRVDRAALGREAVSLMLSRFRNRTASARHICQAVVPIPGG TVPNA YP_002978116.1 MPYDPASANPLAGNPLETRADMSRALLALFDPLLACFSNGNARV TLNGGGAHFDRAAADLEGFARPLWGLAPLGAGNGDFAHWHRFAEGLANGTDPAHPEYW GTVNGRDQRMVELAALGFALALVPEKIWEPLDARARSNVIAYLKHARQFDYADNNWKF FRIFVDIALDRLGADFDRSLTRQYLEELEGFYIGDGWYRDGNVRRIDHYIPFAMHFYG LIYSKLVDDDYAKRYRERAVLFARDFRHWFAADGATIPFGRSLTYRFACAGFWSALAF ADLEALPWGEVKHLCLQHLRWWKDKPIADRDGVLSIGFGYPNLLMSESYNSAGSPYWA FKAFLPLAIAEDHPFWTAKEKVPEQAPEIVPQRHPGMVIMRAGGDVIALSSGQENLQM RCGTEKYAKFAYSARYGFSVEADERAFALAAFDSALAFSDDGLHYRVRETNEEAKLAG EVLYAKWSPFADVDVETWLVPAAPWHIRLHRIRTSRPLRIAEGGFAIGRRDFELDTLS ASGGFAYAVGEADFTGILDLGSSVKRSGVVQKAMPNTNVIVAKTLVPQLRGQIPTGET ILMTAVLALDDPAALLSAWTRPPKAPEIAALEALVREKGVTVSAIEAPGQMP YP_002978117.1 MSQPAIILAMQPSRTQHVLPDEVLRRLGGIGRLLDSEPLQRFDD ERARRLLAQAEILITGWGGPYVGPEILAAAPHLKLIVHAAGTVKGVIDDAIFEAGIPV SHSAEANAVPVAEFTLAAIIFAGKRVFRFRDLYVADRNRNRTHLMQREAIGNYRRTVG IVGASRIGRRVIELLKPFDYRLLLADPTLDAAEAAALGTEKVDLDELMRQADIVSLHA PSLPSTQHMIDARRLSLMKDGATLINTARGILIDEAALLSVLKTRRIDAVLDVTDPEI PEAGSAFYDLPNVFLTPHIAGAIGLERARLGEMAVDEIERFVTGQPLLYQIHQANLEN IA YP_002978118.1 MEKRRFALIGTGNRGTTMWGKDLLAGWREHVDLTAIVEKNSLRG ERARNMIGSNAPLYENIDSMLAEQKPDLVIVCTPDHTHDDIVVRALESGIDVITEKPM TTSVERIRRILDAEKRTGRRVDVSFNYRYAPTAAKIKELLNAGEIGRVTSVDFHWYLN TKHGADYFRRWHAYTENSGSLFVHKATHHFDLLNWYLDSDPDAVTSFADLQNYGRKGP FRGPRCKLCPHAHECDYYLDLEADPFLDSLYEDPSKIDGYFRDGCVFREDIDIPDTMV VSLRYRNNVHVSYSLNTFQPIEGHHLAFNGTKGRIELRQYEAQPWEEPKQDTILLIRN FPDGKEAVERIVVPHFTGGHYGGDDRMRNMIFKPDMEDKLAQRAGTRAGAMSVLCGIA ALESSRTGKVVNIADLMPELANDGSPNSLRTSR YP_002978119.1 MQVEGLSINLATIREQCGFAEAVDICLKHGITSIAPWRDQVAKV GLDEAVRIVKSNGIKLTGLCRGGFFPAANDADWQKNLDDNRRAIDEAAAFSADCLVLV VGGLPDSSKDIVAARRMVFDGIAAVLPHAQAAGVKLAIEPLHPMYAADRACVNTLGHA LDMCEQLGEDVGVAVDVYHVWWDPDLANQIARAGRMKRIFAHHICDWLVPTKDMLLDR GMMGDGVIDLKGIRRMVEAAGFFGAQEVEIFSAENWWKRPADEVIATCVERFRSCCQA YP_002978120.1 MTTINLPLDGKIVPYTLTGTPIVLAKRDAKAFPRIAFAAAHVVA DPLADNDPWLTPAIDWERTLAFRHRLWDLGLGVAEAMDTAQRGMGLGWPEARDLIRRA LSEAAGRKDALIACGAGTDHLTPGPDVTIDTILRAYEEQIETVEAAGGRIILMASRAL AAAAKGPDDYIRVYDRILRQVKEPVIIHWLGEMFDPALEGYWGNGDHIKAMSTCLEVI EAHAEKVDGIKISLLSKEKEVAMRRRLPKGVRMYTGDDFNYAELIAGDEEGHSDALLG IFDAIAPAASAALEALGRKSNHEFFDLLEPTVPLSRHIFKAPTRFYKTGVVFLAYLNG LQDHFVMVGGQQSTRSLTHLAELFRLADKARVLADPELATARMKQVLAVHGVN YP_002978121.1 MARLGIILHGVTGRMGYNQHLVRSILAFRDEGGITLKSGEKLEI DPIIVGRNGAKMEELAKKHGIKRWSTDLDAALANPDDTIFFDAGTTLMRAELLSKALD AGKHVYCEKPISDDLQVALDLARKARGSGLKHGVVQDKLFLPGLRKLALLRDSGFFGK ILSVRGEFGYWVFEGDWGVPAQRPSWNYRKGDGGGIILDMLCHWRYVLDNLFGEVKAV SCLGATHIPRRIDEQGKPYDCDTDDAAYATFELEGGAIAQVNSSWAVRVRRDDLVTFQ VDGTHGSAVAGLTKCWSQHRVNTPKPVWNPDQPQTIDFYKTWDEVPDTQAFENGFKAQ WEMFIRHVVEDAPWPYGLEAGAKGVQLAELGLKSWAERRWLDVPALEF YP_002978122.1 MNDSGENGEKKRSRRPPAERTAQRDPERTRAAILDAATREFAEN GMGGARVDAIAERAGTNKRMLYHYFGDKEQLYLRVLEEAYVGIRTAERALHIGDRSPE EGIGELALFTWRYFLQHPEFLSLLGTENLHRARWLRQSVRLKELHSHLIGELSDVLER GKKQGVFIETADPLHVYLTIASLGYFYLSNQYTLSTIFGRDLIEPTHLNAWERHIVHV TLTSIKR YP_002978123.1 MTFRVSRRNFVAGGATLLSLSALGTSALAQETRLRLLWWGSQPR ADRTNKVSQLYQSKKPGTSVTGEFLGWGDYWPRLATQVAGRNAPDVIQMDYRYIVQYA RRGALAPLESYMPAKLNLDDFDKAQIEGGSVDGHLYGVSLGANSAATVLNTTAFKEAG VDLPTQATTWEEFARMGAEITKAGKRKGMFGLADGSGGEPLFENWLRQRGKALYTADG KIAFDVDDASEWYDMWAKFRAAGACVPADVQALDKNDIDTNTVSLGKSAAGFAHSNQF VAYQAMNKDKLALTNYMRIKPESKGGHYRKPSMFFSVSAQSKAVDLAVDYVNFFVKNP EAALLLDVERGIPESSAMREVVAAKLDENGKVALAYVSGLGDLAGKLPPPPPAGAGEG ELMLRNIAEQVGFGQLSPSDGGKQLVAEITQILARG YP_002978124.1 MSNAMRTPAGAINVERYQGAVAEGRFRRLWNANAPGYLFLLPWL IGFFGLTLGPALISLYLSFTDFDMLQSPRWVGMANYVRIATADPKFSAAMHVTLTYVV FSVPFKLTFALLVAMALNRGLRGLSVYRAIFYLPSLLGGSVAIAVLWRQLFASDGLVN AALSYFGIEGPSWISHPNYSIYTLVALSVWQFGSPMIIFLAGLRQIPQDMYEAASLDG ASKFRQFYKITLPLLTPVIFFNAVVQTIDAFKAFTPAFIISGGTGGPINSTLFYTLYL YQEAFGNFRMGYASALAWILVVIIAIFTAFSFLTSRYWVHYDD YP_002978125.1 MTASVTAARPPSDITKRSLPASLIIHALLIAASLLMLYPLLWMV SASVRPENEIFSSTSLIPSSIDFSSYARGWVGLDVSFGRFFWNSLVISLLVVTGNVIA CSLTAFAFARLRFVGRNFWFAIMLGTLMIPYHVTLIPQYVLFLDLGWVNTILPLVVPK FLASDAFFIFLMVQFFRGIPRELDEAAMMDGCSAWRIYWKIMLPLSLPVMATAAIFSF IWTWDDFFGPLIYLNDMNTYTIQLGLRTFVDSTSASDWGGLFAMSTLTLVPVFFFFLF FQRLLIEGIATTGMKR YP_002978126.1 MSIRTVAIVGCGIGRSHIVEGYLPHSDKFKVVAICDLNEQRMAA VGDEFGIERRTTSFAELLADDTIDIIDICTPPGIHLEQVVAALAAGKHVVCEKPLTGS LAAVDTIMEAEKTAKGVLMPIFQYRYGDGIQKAKRIIDAGIAGKPYTASVETFWLRKP EYYAVPWRGKWATELGGVLVTHALHLHDMLMHLMGPAARVFGRVATRVNDIEVEDCAS ASLLMENGAFVSLSCTLGSQEQISRLRLHFENVTFESSHEPYTPGKDPWKIIAANDDV REKIERVVGDWQPVAPRFTTQMGQFHAFLSGHAPLPVTSWDARRALELVTAIYQSSDS GADVPLPVGPDSPKYADWRARTK YP_002978127.1 MATSVVLQKVEKRYGSLDVIHGIDLTIDPGEFVVFVGPSGCGKS TLLRMIAGLEEITGGGLLLDNERMNEVAPAKRGIAMVFQSYALYPHMSVYKNLAFGLE TAGYKKADIQPKVKRAAEILQIEKLLERKPKALSGGQRQRVAIGRAIVREPRIFLFDE PLSNLDAELRVQMRVEISRLHRSLGNTMIYVTHDQVEAMTMADKIVVLNSGRIEQVGA PLDLYNNPANRFVAGFIGSPKMNFLKARIEQVGETETSIHVCGNSVRLPRRLKGGAGE EVTFGIRPEHLSLAEGAIALSTVNVDLVENLGGATMLYTTTPDNQLLTVALDGQQKVE RGANVKASFDPARCHVFDAAGKTI YP_002978128.1 MTPEDRIHALGIWQGPIEISPIAGGITNRNYLVSDAVARCVVRL GTDIPIHHITRQNELAASRAAHAAGISPAVIHHSPGVLVLEYIEARALSPEDIRTPDT LARVVPLVRACHRDIARHFRGQAMIFWVFHVIRDYAANLKASESAYLPLLSGLIGRAE TLEEAAGPFEIAFGHNDLLAANFLDDGKRLWLIDWDYAGFNTPLFDLGGLASNNELSQ AAERAMLETYFDRPLTDNLSRRYTAMKCASLLRETLWSMISEIHSTIDFDYAGYTAEN LARFERAYQAFEQDQ YP_002978129.1 MTKELPKTAKAVVIGGGIIGCSTAYHLGKLGWTDTVLLERKKLT SGTTFHAAGLVGQLRTSANITQLLGYSVDLYKRLEAETGLGTGWKMNGGLRLACNEER WTEVRRQATTAQSFGLEMQLLTPQEAFDLWPLMTVDDLVGAAFLPTDGQANPSDITQA LAKGARMSGVSIFEDTEVLDLEIDKGRIRAVVTAQGRIECERVVVCAGQWTRAFAARF GVNVPLVSVEHQYIITESFGAPSNLPTLRDPDRLTYYKEEVGGIVMGGYEPNPIPWAK AGIPEGFHYTLLDSNFDHFEQIMEQALGRVPALESVGVKQLLNGPESFTPDGNFILGE APELKNFFVGAGFNAFGIASAGGAGMALAEWVTKGEPPYDLWPVDIRRFGRPHFDTDW VRTRTLEAYGKHYTMAWPFEEHSSGRPCRKSPLYDRLKAQGACFGEKLGWERPNWFAD LFANEEPKDVYSYTRQNWFDAVGREHKAVREAAVIFDQTSFAKFVLKGRDAEAALSWI AANDVARPVGSLVYTQMLNDKGGIECDVTVARIAENEFYVVTGTGFATHDFDWIARNI PAEMHAELVDVTSAYSVLSLMGPNSRAVLEKVTGSDVSNAAFPFGKTVTIGIAGCPVR ALRITYVGELGYELHIPIEYATTVYDVLMAAGGELGLVNAGYRAIESCRLEKGYRAWG SDIGPDHTPVEAGLGWAVKMRKNIPFRGREAIERQLSGGVKKRLACFIPEDADAVLLG RETIYRDGKRVGWLSSGGFGYTLGKPIGYGYVRSPEGVTEDFVLSGTYELDVARQRIP CKVSLSPLYDPDMARVKA YP_002978130.1 MVWRETGIMDERLRFVGECLAGEETMTALCAAYGISRKTGYKWL ERYRALGPAGLIDLPRAPLEHGRATAAELVARIVAEKEANPQWGPKKVLARLKRSAPQ LCWPAASTIGEILKRHGLVGRRRHRWRAAGCGPFAPANGPNAVWSADYKGWFRTRDGR RCEPLTVMDTASRFLLALEACATPAEVEAWPVFERLFAEHGLPERFRSDNGSPFAAIG VTGLTTLAVRFIKLGIGLERIQPGKPQQNGRHERFHLTMLPLAMAPEVDHAAQQAVFD AFRQNYNAERPHEALAMDVPADHYRPSLRRLPDRLPEPDYPAEAAVRRVRSNGEIKWN GDLVYVAAALAGEVVAIEESEAGIWTLRFHAHPLGIIDKKTKRLVRPSALQPRPAGAG ADTGLQGGEL YP_002978131.1 MTERLQTLSGLASTAKLPAYDRDQLKSGILHLGPGAFFRAHFAP FTDAALAAVGGDWGIEVASLRTADVADHLNEQNGLYTMLIRDTSGTVAQVIGPILRAH VATRDPSGLLDRLEDPTIRIVSLTVTEKAYGMDTATGGLDLNHADVAADLANRHAPRG VIGYLVEGLSRRRAKGIAPFTPLSCDNLPSNGAVLKRLVLDFAERVDPSLRQWIEANV PFPSTMVDRITPASTEATYQDAERLTGRQDLAAIETEPFTQWVIEDDFANGRPAWDKA GALMVTDVSAYEKMKLRMLNGAHSLLAYLGYIGGYEFIRDVMDDAGLAALAYRHMHAA ARTLDAVPGIDLDDYASELIARFANKAIAHRTYQIAMDGTQKLPQRLLEPATEALAHG DKAETYAIAVAAWMRYAIGEHGNGERYELRDPRAGEIAALIADVPRTGLAISAALFTL PGLFPAALTENRAWTQDVSDKLEILIQDDRLPLF YP_002978132.1 MDAGNGFLHPDRLFPADPATRTIARDLYETVRNLPIVSPHGHTE PSWFADDKPFEDAASLLVIPDHYLFRMLHSVGVTLDELGVPRLDGKPDATGRAIWRTF AAHYHLFRGTPSSLWVDHAMSAVLGCTEPLTSDNADALYDHINAQLALPEFRPRALHQ RFGIETIATTDGALDPLAHHQKMAADGWIGKVRTTYRPDGVTDPDAVGFRDNLVKLGE ITGTEVTRWDGLIEAHRRRRAYFRQFGATATDHGVPTAFTADLPLAEKQALLEKALKG PLSAGEAELFRGQMMTEMAGLSAEDGMVMQIHAGSRRNTDRGLFSTRGPNMGADIPTS SDWVGGLNALLSRYGHAPGLRVLLFTLDETTYAREMAPMVGHWPCLMIGPPWWFHDSP NGIRRYLDQVVETAGFANMAGFNDDTRALLSIPARHDVWRREVCRFLAQLAAEHRISK REAEIVAGELSYGNAKKAYKL YP_002978133.1 MSDETNRITQLEEMLAHQAKTIEELSDQLAEQWKTVEQMRTKLD RLTERFLSLEEQSLEAPGITRPPHY YP_002978134.1 MAFTSALTKHVPFSSPLLRDAGYIDGVWTSGDATRTFDVLNPAT GELLASLPDMGAAETRTAIDAAHAAQPGWAARPAKERSTILRKWFDLMVANADELAAI LTAEMGKPFPEARGEILYAAAYIEWYAEEAKRIYGETIPAPSDDKRMIVIRQPVGVVG TITPWNFPAAMITRKIAPALAVGCTVVSKPAEQTPLTAIALAVLAEQAGIPAGVFNVI VGVDGPAIGRELCGNEKVRKISFTGSTEVGRILMRQCADQIKKVSLELGGNAPFIVFD DADLDAAVEGAIASKYRNAGQTCVCANRLYVQSNVYDAFAAKLAAKVAEMSVGDGFKP GVVIGPLIDEQGLAKVEDHVSDALAKGAKVLTGGKRIDGAGTFFTPTVLTGVARGMKV AREETFGPVAPLFRFETVEDVIAQANDTEFGLAAYFYAGDLKKVWRVAEALEYGMIGI NTGLMSSETAPFGGIKQSGLGREGSRHGADDYLEMKYLCIGGV YP_002978135.1 MTATSLTDRKNAAISRGVGMTTQIYADRAENAEIWDKEGRRYID FAAGIAVLNTGHRHPRVIAAVKDQLDRFTHTCHQVVPYESYVHLAERLNALLPGDFEK KTIFVTTGAEAVENAVKIARAATGRSAVIAFGGGFHGRTFMGMALTGKVVPYKVGFGA MPGDVFHIPFPVELHGVTADQSLAALKKLFAADVDPQRVAAIIIEPVQGEGGFYAAPA AFMKALRELCDQHGILLIADEVQTGFARTGRMFAMDHHEVAPDLTTMAKSLAGGFPLA AVTGRAEIMDAPGPGGLGGTYGGNPLGIAAAHAVLDVIVDENLCNRANQLGGRLKQRL ESLRETVPEIVDIRGPGFMNAVEFNDRTTGLPSAEFANQVRLIALDKGLILLTCGVHG NVIRFLAPITIQDEIFGEALDILEASMLQASAAK YP_002978136.1 MNDNGPVRYKVAEAARLAGVSASTLRLWESQGLVVPGRSETGHR QYSADDVARLKRISWYRVERGLNPAAIREALESEEPSADGAEASQDTGLGRKLRSLRH ASGKTLDQVAGDIGVTSSTLSTLERTSQGVSFKTLHDLAEYYGTTVSRLSGEESGEVS AHVRAGEWRAWPETTPGVAVQLLAEGRRMMDCHRFVLAPGAASEGAYRHEGEEFMHVL SGRLELVLDGDQFFDLGPGDSLYFESRRDHSWRNRHDGETVLLWINTPPTF YP_002978137.1 MAATIRYHEGDISAADAARYTGAIAIDTETLGLVPRRDRLCVVQ LSPGDGTADIIRIAAGQKEARNLVALLEDPTHQKIFHYGRFDIAVLFHTFGVTATPVF CTKIASRLIRTYTDRHGLKDNLKEMLDVDVSKAQQSSDWAAERLSPAQLEYAASDVLY LHALRDKLTERLIRDGRYDHATACFEFLPTRAKLDLLGWEEADIFAHS YP_002978138.1 MLTPVRAASNASFSSQGQTAAIVASGLGHSVIAPAPVNAVEAAD LNSAIAGKLNILLLAARERMVEALLDVIDAAGRSISLDRGDDESNLAFASRLADAIRR LPAARIDEVERQLTENGHSVPLRTIAEALKNPTGPEAARIVAYLEIVRYKDRDLAARA VVRSYGQNDASPMRAEPRPEIRLPEIRLHEDSLPAALRQPADKLPVPADSLIEAAASA AAEEAVIAEAVEAADPEAPKAENRAQSAPATAREIAPEKSAPQEIEAGQPLPTEAAAA DDTQATPEPPAIQTSAMSEKVDPVIPRNWAGIVASMTEEVSELIATIIREQDVETVQD DVPVEAAVEIDTILDDAVISDATETLTRQPVEFTAPDPRQSAALRPPQMDEAAAAAAR QPKEISARPQMMPIPETSEASYLPLAARMPEGFAYSQLPYQFAKDTPSNEKAGETHHQ HQHQRDDGSEDQQQAQSGGEDAEPDAETTDAAPERRTPRMIDAEPSAYQPGRPDDDPV YALYQRMVGWE YP_002978139.1 MSVATPSREDFAALLEESFAKNDLAEGYVTKGIVTGIEKDVAVV DVGLKVEGRIALKEFGARAKDGLLKVGDEVEVYVERIENALGEAVLSREKARREESWV KLEAKFEAGERVEGVIFNQVKGGFTVDLDGAIAFLPRSQVDIRPIRDVTPLMHNPQPF EILKMDKRRGNIVVSRRTVLEESRAEQRSEIVQNLEEGQVVDGVVKNITDYGAFVDLG GIDGLLHVTDMAWRRVNHPSEILNIGQQVKVQIIRINQETHRISLGMKQLESDPWDGI QAKYPEGKKISGTVTNITDYGAFVELEPGIEGLIHISEMSWTKKNVHPGKILSTSQEV EVVVLEVDPSKRRISLGLKQTLENPWAAFARSHPAGTEVEGEVKNKTEFGLFIGLDGD VDGMVHLSDLDWNRPGEQVIEEFNKGDVVKAVVLDVDVEKERISLGIKQLGKDAVGDA AASGDLRKNAVVSCEVIAVNDGGVEVKLVNHEDITSFIRRADLARDRDEQRPERFSVG QVFDARVTNFSKKDRKIMLSIKALEIAEEKEAVAQFGSSDSGASLGDILGAALKNRGG E YP_002978140.1 MTNETFTIAIDGPAAAGKGTLSRLIAERYGYHHLDTGLTYRATA KALLDAGLPLDDEAVAEKIAREVELAGLDRDILSKHEIGEAASKIAVMPAVRRALVEA QRRFSTKAPGTVLDGRDIGTVVCPNAAVKFYVTASPAVRARRRYDEILGKGLTVDFDA IFEDVKRRDERDMGRADSPLKPADDAHLLDTSEMSIEAAFQAAQSIIDAVLSRNA YP_002978141.1 MLNGSAPKPATARKSAGLTGSVRIPGDKSISHRSFMFGGLASGE TRITGLLEGEDVINTGRAMQAMGARIRKEGEQWVIDGTGNGALLAPDAPLDFGNAGTG VRLTMGLVGTYDFRSTFTGDASLSKRPMGRVLNPLREMGVQVSASEGDRLPVTLRGPG TPSPIRYRVPMASAQVKSAVLLAGLNTPGITTVIEPVMTRDHTEKMLQGFGAALSVET DSEGVRTIRLEGRGKLAGQVIDVPGDPSSTAFPLVAALLVPGSDITIVNVLMNPTRTG LILTLQEMGADIEVANARLAGGEDVADLRVRHSELKGVTVPEERAPSMIDEYPILAVA ACFAEGATIMKGLEELRVKESDRLSAVADGLKLNGVDCDEGEDFLIVRGRPDGKGLGN AADGRVSTHLDHRIAMSFLVMGLASEHPVTIDDAAMIATSFPEFMQLMTGLGAKIAEV PE YP_002978142.1 MAKAELGTKRTDPETGKKFYDLNRDPIVSPYTGKSYPLSFFEET SAIAEVAEEEEVAEVDTENTEVELVSLEDADDAASGDDIPDIGDDDVEIEGDDDDDTF LTPDEDDDDDDMSDIIGVTGDDDEV YP_002978143.1 MKYVYILHSTEFPDRYYVGVTSDLKSRLAKHNAGEVSHTSKYLP WSLKTYLAFSDETQAFAFEKYLKSA YP_002978144.1 MSNPVLVNQIIPESDVVPLTGRVGAEIRGIRLGGDLSDATVAAI NQLLLKHKVIFFRDQDHLGDSEQESFARRLGDLVPHPTQGPVAGTASILNLDSSRGGG RADQWHTDVTFVDAYPKFSVLRGVVIPAAGGDTIWSNTHAAYESLPASLKLLADNLWA IHSNAYDYAAVRPRATADEKKHFEEVFTSTIYETEHPVVRVHPETGERSLLLGNFVQR LVGLSKSDSAKLYEVFQSYVTAPENTVRWHWRAGDVAIWDNRATQHYAVNDYGDQHRV VRRATVDSDVPVSVDGRRSVTHVKVAKPKAKAA YP_002978145.1 MVSQPVTTRIVLASRPSGKPVAANFRLERETVRDLAEGEVLLKT LYLSLDPYMRGRMDDAKSYAKPVEIGGVMEGGTVAQVAHSRNTAFKAGDIVLSHSGWQ SYAISDGAGLQKLDPETAPITTALGILGMPGFTAYAGLRNIGKPKAGETLVVAAASGA VGSAVGQMAKIYGARVVGIAGGSKKCDHLRQELGFDVAIDHHSSDFPAQLAAACPNGI DVYFENVGGHVWSAVFPLLNDFARIPVCGLIAHYNEGSSVSNGGDQLPAVMRAILRKS LTIRGFIQREFADQRPDFSHQAGAWISEGRLKYKEDLVDGLENAPEAFLGLLEGKNFG KLIVRVAPRT YP_002978146.1 MKITRRAFTAVVAGAIATPLTHVRLAGAADKAVRIGYQKYGTLV LLKGKGTLEKKLEPIGYTVEWTEFPGGPQLLEALNAGAVDFGSTGETPPIFAQAANAP LVYIAHEPPAPRGEAILVPKDSPIKSVAELKGKKVAFNKGSNVHYLLVKALEEAGLTY EDVESSFLAPADGRAAFEKGAVDAWVIWDPFQAAAEVAVEARELRNGEGIVPNHQFYL GTKSLVDGHAEAIDVVIDAISEIDEWTKSDTAAAAAELSPSVGIPEPVLVKALERQSY GVKSLDDTVVAQQQNIADTFFKLKLIPKEVTIADVVRKGKA YP_002978147.1 MTLLSSRSSNSETQEADHLARIAIVGRGFTGIMTAIALFKRVER PFHLVMFDPRAKIDIGEGISQPASTVLTSRVRDLSVDPGLPDDFRRWLETDDTWRDRL ISDPAGLEHAFVPGEIFSTYVYKRFSEALTHRPDVIVQFGSDTVTAIDRHLDGGYSVF LGAEQRTRFDAVFLATGYGMRESSDVAPASGTQNAVVIGGGIHAVDRALGLLADAKIS HVTLISESGFLPQSHAPAAVGSVVTDQPMPGTLRGAFRFLREAANRADLEGSGWQGIM NGFRSRARDLWVGLTPEERARFKRHVKAIYDSHRNRLPPEHYQRLHHAIASGAIAVRK GKVNCIATNGVLFSAPTGLEVLPADLTIDCRFRPSGTDSPLVRSLIVAGLATRDELEI GIVVDECGRTKASALHGLFAMGPLGLGSLPDIDLVPQIVSQSHAAASLLNGWIGDVAK SAARRQSL YP_002978148.1 MTVPLVSLSNLSTEPSPSPQVAAIWEALCAEAVNASAKDAALTR AMNSAVLYHASFAQALAQRVAIKLANHDLDHDELLAVIAQAFVGNRNIVADAAADLTA IKERDPSNTEILTPFLYFKGFVALQGQRVAHWLWHHDRLHLARHIQSRISEVFGVDIH PAAKMGRSIMLDHGSGLVIGETAVVEDDVSILQNVTLGGTGKETGDRHPKVRRGALIG AGAKILGNIEIGVGAKVGAGSVVINAVAAYTSVAGIPARQVGKRHFNLPGITMDQTLS EPEYMI YP_002978149.1 MPKGKLPKRYNTIVMPLILSLLMTSVVSAISIVRAQGLTAPALA MWPSAWALSWAIAFPVLLLMLPVVKRVTAVIVEM YP_002978150.1 MTRARRRRIIRTRRTLTGLALVGSISFLAGMTDATGLLLTGDFV SFMTGNTTRAALALSEGNLYHAAVLISAIVVFVLGNAAGVVISHVSERRIFVVLGCVG LVLALASMMTVQNMLFARFYMIVFSMGMVNAAVEHIEGLPIGLTYVTGALSRFGRGIG RWIIGDRRVEWTIQIVPWGGMVLGAIAGAVLTRLTGAHALWLVSLFAMLLALAATLIP RPLQRRFNQKVAPSGSAITRAK YP_002978151.1 MFLISKLVWIFAQPLSLAFFLVFLALLAGLLRWRILSILGAAGS ALILFVTLYTTAGNLLMQGLEQRFAKPAADPDSLQCMIVLGGGFENEVNTARHGIEFN GGADRFIEALRLAQKFPQSRILVSGGDGSMSGIYEGDAAASERFFPLFGVGRDRLIEE RQSRTTFENAVNTKEFLASQGLSNCLLITSGFHMPRSVGIFRKLGIDIVPWPTDYRTD GQVRLGLDFTQPNLNAQNMATAIREWYGLVGYYLAGRTSELYPQ YP_002978152.1 MKTLVLAVLNIVLLLAMPAVALSQQSLISDPEIYEKKHFQEQCK TAEFADGFIIRQDINNDGLIDAVVNEGQLTCDGQKGPQCNDDGCTYNFYLQVAEGGYF MIATAQIYGYDFVQRFGNMVLAMKMHPRFCDRTEGDPCIVTARVRGTKFVTISKK YP_002978153.1 MSLLVYLDYAGIALFAATGALAASRKQLDLIGFLFFAMVTGTGG GTVRDIVLGRVPVFWVLNPAYILVCCIVGVIVFFTAHLLESRYRLLIWLDAIGLAAYC VIGAAKGLAATGSPTIAIVTGTLTATFGGILRDLMANEPSVLLRPEIYVTAALIGAGV FTAANALGMQLYLASACGVVAAFAARGGALWFGWTFPTYRHKPGRHPDDVM YP_002978154.1 MTGALPIAIEVRLRGAGLRPTRQRVALGDLLFAKGDRHLTVEEL HEEAVAAGVPVSLATVYNTLHQFTEAGLIRVLAVESAKTYFDTNISDHHHFFVEGENE VLDIPVSNLTIANLPEPPEGMEIAHVDVVIRLRAKQG YP_002978155.1 MAQAWSGAMNEAALLVLHFLKNLDYTFTSIGLMREEKKLYDDQT VQLLV YP_002978156.1 MTTRQSSFSYEELIACAHGELFGPGNAQLPLPPMLMVHRITDIS ETGGTFDKGYLRAEYDVRPDDWYFPCHFEGNPIMPGCLGLDGMWQLTGFFLGWLGEQG RGMALSTGEVKFKGMVRPQTKLIEYGIDFKRVMRGRLVLGTADGWLKADGETIYQAAD LRVGLSKDKAA YP_002978157.1 MRRVVVTGLGVVSSIGNDAAEVTESLRQAKSGISFSSDFAEHGF KCQVWGSPKLGSAELAELVDRRAMRFLSQGGAWNHVAMKQALADSGLEEKDYAQNERT GIIMGSGGPSTRTLIEAAEITIKNNSPKRIGPFAVPKAMSSTASATLATWFKIHGVNY SISSACSTSAHCIGNAAEMIQWGKQDVMFAGGHEDLDWTMSNLFDAMGAMSSKYNDTP DSASRAYDVNRDGFVIAGGAGVLVLEELERAKARGAKIYAEIVGYGATSDGYDMVAPS GEGAIRCMRQALATVKGDVDYVNTHGTSTPVGDSKEIGAIREVFGSKIPHIQSTKSLT GHSLGAAGVQESIYSLLMMQQGFIGESAHITELDPEFEGVPIVRKRIDDAKIDIALSN SFGFGGTNATLVFQRYNG YP_002978158.1 MTGFMQGKRGLVMGVANNHSIAWGISKALAAQGAELAFTYQGDA LGKRVKPLAAEVNSDFVLPCDVEDVASVDAVVDAIKERWGKLDFIVHAIGFSDKNELK GLYADTTRENFSRTMVISCFSFTEIAKRCAPLMEDGGAMLTLTYNGSTRVIPNYNVMG VAKAALEASVRYLAADYGPRGIRVNAISAGPIRTLAGAGISDARAILSWNQRNAPLRK TVTIDQVGNSALYLLSDLSSGVTGEIHFVDAGFNVTSMPTLETLSKADVE YP_002978159.1 MSSETLKTLFHPFASGTVAAPGEGERVLFLGAEAGFALPEGFAA SLSAVQGFRPLYRQLLAQRIEAKPEIDGEDYDAALVLCTKHKGENEANLAAAIARTRL GGLIVVAGAKEDGIQPLRKRMEGFNIAVDYMPKYHGVAFWFGRPADADEIISKLAKAP VRVDGRFQATPGMFSHDRIDAGSELLASRLPEDFTGDVADFGAGWGYLSVEQAQKSRG LTRLDLYEADHAALEAARGNLAKNCPNAPARFFWHDLAGEPVKDKYDLVIMNPPFHEG HAADPALGQAMIKTAASALRGGGRLLLVANRGLPYEPVLAANFKESGETCRNARFKVL WAKK YP_002978160.1 MFDTHTVEIEWAGRPLKLETGKIARQADGAVLATYGETVVLATV VSAKAPKAGQDFFPLTVNYQEKTYAAGKIPGGYFKREGRPSEKETLVSRLIDRPIRPL FPEGYKNDTQVVVTVIQHDLENDPDVLSMVATSAALTLSGVPFMGPVGGARVGYINGE YVLNPHLDEMDESSLDLVVAGTYDAVLMVESEAKELNEDIMLGAVMFGHKGFQPVLDA IIKLAEVAAKEPRDFQPADYSALETEMLGLAEGELREAYKITQKADRYAAVDAVKAKV KAHFLPEEGEAKYTAEEVGAIFKHLQAKIVRWNILDTKSRIDGRNLETVRPIVSEVGL LPRTHGSALFTRGETQAIVVATLGTGEDEQYVDSLTGMYKERFLLHYNFPPYSVGETG RMGSPGRREIGHGKLAWRAIRPMLPTPEQFPYTLRVVSEITESNGSSSMATVCGTSLA LMDAGVPLAKPVAGIAMGLILEGDRFAVLSDILGDEDHLGDMDFKVAGTADGITSLQM DIKITGITEEIMKVALGQAQGGRVHILGEMSKAITESRGQLGEFAPRIEVMNIPVDKI REVIGSGGKVIREIVEKTGAKINIEDDGTVKIASSSGKEIEAARKWIHSIVAEPEIGQ VYEGTVVKTADFGAFVNFFGARDGLVHISQLASERVAKTQDVVKEGDKVWVKLLGFDE RGKVRLSMKVVDQATGQEIPNEKKKEEAAE YP_002978161.1 MSITAERKSALIKEYATVEGDTGSPEVQVAILTERINNLTEHFK DHKKDNHSRRGLLTMVSSRRSLLDYLKKKDEGRYSKLITSLGIRR YP_002978162.1 MRVTAIGILTFFSMFLAVTGAQSAERWAELPAFPSMPVAKTSGM AEVNDIKMYYAEYGEGDPILFIHGGLGNAEVWGHQVADFAKDHRVIVADSRGHGRSTR SQQPFGYDLMTSDYVALLDYLKIDKVTLVGWSDGGIIGIDMAMKHPEKLTRVIAQAAN VTTDGVKADVMDNKTFNDYINVAGEQYRKLSPTPNEYDAFVKQISEMWATQPAWTAAD LGKISVPVTLAIGDHDEAVKLDHTEMMAKQIPGAKLVILKDVSHFAMLQDPAAYDGMV RGAMAGR YP_002978163.1 MSKPRKPKGRPISGWLILDKPVDFGSTEAVSKIKWLYKAEKAGH AGTLDPLASGMLPIALGDATKTVPYVMDGRKIYEFTVSWGEERATDDLEGEVTESSDK RPSEQQIRDILPGYIGTISQVPPQFSAIKIAGERAYDLAREGETVEIPSREVDIFRLT LIACPDADSAHFEVECGKGTYVRALARDFGRELGCYGHVSGLRRTFVAPFAEEAMVPL ADLVALEAIEDMDERLAALDALLINTCEALSSLPHLVINDDQAHRLKMGNPILVRGRD APVAESEAYATARGKLIAIGEIGQGEFRPKRVFA YP_002978164.1 MTRPTSSAPSQRMLRIGEQVRAALTQVLQRGEVRDEIIEATVIS ISEVRMSTDLKIATAYVTPLGVSDHSIVIKALNRHARFIRGRLGPQLRQMKYMPEVRF RDDTSFDNYKKIDELLRSPEVSRDLDGDNDEQ YP_002978165.1 MTDSNDDKTISVAGKKTLTLKPSGMSQGTVRQDMGRGRTKAVVV ETRKRRPMRPEDEKPVTPVAPAAPVRAAEPAPAPVQARPQQPTPAPRVQQGNNNQTNQ RPPQQSHQPPRQNDRPRPVVLNHLSPEEMDARRRALADSQARDAQDAIRRAEEEKLRA AEEVIRKAAEAEEAVRRAAEEAIRQAEAPAVAEPAAAEPAPAEARTDVARPQQPASSA PAARRPDAAGAPAARPAAGAAVPGAVRGRRDEKEEDDRGAARGGPVRGRVVRPEPAKP VTTRPKTDEERRRGKLTITTANVDGEDNARGRSLSAMRRRQEKFRRGQMQETREKISR EVVLPETITIQELSQRMSERAVDVIKYLMKEGQMMKPGDVIDADLAEIIAGEFGHTVR RVSESDVELGIFNVSDDDGELVSRPPVVTIMGHVDHGKTSLLDAIRHANVVSGEAGGI TQHIGAYQVEQNGQKITFIDTPGHAAFTAMRARGAQATDIAILVVAADDSVMPQTIES INHAKAAGVPIIVAINKVDKHEADPQKVRNQLLQHEVFVESMGGEVLDVEVSAKTGKN LDKLLEAILLQAEILDLKANANRTAEGTVIEAQLDRGRGSVATVLVQKGTLRPGQIIV AGDVWGRVRALVTDKGDHVKEAGPATPVEVLGLSGTPQAGDKFAVVESESRAREISEY RQRLARDKAAARQSGQRGSLEQMMMQRQSVGIKEFPLVIKGDVQGSIEAIAGALEKLG TDEVRARIVHSGAGGITESDISLAEASNAAIIGFNVRANTQARQFAEREGIEIRYYNI IYDLVDDVKAAMSGLLSPERRETFIGNAEILEVFNITKVGKVAGCRVVEGKVERGAGV RLIRNDVVVHEGKLKTLKRFKDEVSEVPMGQECGMAFENYEDMRAGDVIECFRVEHIT RTL YP_002978166.1 MMTAHEPDAPLEDDDLAGYDVNGRMCIVTRESGSPEELIRFVAA PDGTVVADLKRELPGRGCWVKIDRSLVDRAVAKKLFARALKTDVKAADDLGASVDRLL AAQLMQMMNMARKAGQFVSGSSKVDAAVRSGAALAVFHATGAADDGVRKIDQARKAWH LGMETEEEIPSFRLFSESEMEGLMGQNAFIHAAVLAGQAGEGVVKRAKMLEQYRIGGQ SRAAGGAGRLKQ YP_002978167.1 MAVSANRLELLQIADAVAREKVIDREIVLAAMADAIQKAARSRY GTESNIRADINPKTGEIRLQRLLEVVEKAEDYSTQIPLELARDRNPDAALGDFIADPL PPMDFGRIAAQSAKQVIVQKVREAERDRQFDEFKDRVGEIVNGTVKRVEYGNVIVDLG RGEGIIRRDEMIPRENVRYGDRVRAYVYDVRREQRGPQIFLSRTHPQFMVKLFTMEVP EIYDGIIQVKSVARDPGSRAKIAVISNDSSIDPVGACVGMRGSRVQAVVGELQGEKID IIPWSQDPATFVVNALQPAEVAKVVLDEDAERIEVVVPDEQLSLAIGRRGQNVRLASQ LTGWDIDIMTEAEESERRQKEFNERTNLFMDSLDVDEMVGQVLASEGFAAVEELAYVD LDEISSIDGFDEETAQEIQQRAREFLERLEAEMDEKRKALGVQDELREINGMTAQMMV ALGEDGIKSIEDFAGCAADDLVGWSERKNGETKKFEGLFSKFDVSRVEAEQMIVQARL SAGWITQEDLDKGTEEEVTEAEQEA YP_002978168.1 MSDLTNADNEREPRLITETGLDQRLADIIEPVLVDLGFRLIRVR MMNQNGATMQVMAERDDGTMTVQDCEEVSMAISPVLDVEDPVDKEYHLEVSSPGIDRP MVRKSDFVRWQGHLVKCETSILIDNRKRFRGKIVEAGTDGFTLERDQIAYGEEQKVTI PFTALSDAKLILTDDLIRDALRADKLAKAQAANQNEADDEE YP_002978169.1 MYRFRLARQSDLAAIIRLLADDDLGGSREIVSDPVDARYLSAFA AIEADANQLLAVASDATDRVVGCLQLSFVPGLSRTGRWRGQVESVRVASDLRGSGLGA QFIEWAIAQCAERGCGLVQLTSDKTRGDAIHFYERLGFVASHEGLKRNL YP_002978170.1 MLHHTPLCPAGHLPHKGGDHKWLWFPASLSACRAETSIVGGNLR AQPISPPVGEIPGRAEGGVPRRLRIFALTLAAALLPLSCYAAPSKADVEAQFEKWVQS DLWPEAKANGISEKVFQAAFSGITLNWNLPDLAPPGFPPPKEQKQTQAEFSSPAPYFN EDQLKKLAATGRGFAAQYGSTLKRIEKTYGVPGSIVLAIWGRETGFGAAKIPNSAIEV LATKAFMSTRKEMFRTELVAALHILDGGDVTPANFKGSWAGALGQPQFMPTSYLKYAV DFDGDGHRNIWTSVPDTLASIANYLVKKGWQRGRDWGFEVSIPEAVSCAQEGPDLAKP LSHWASLGIDRISGKGFPSGEMKAEGMMLVPAGRDGPEFIVTPNFYIIKEYNNSDLYA LYIGNLADRIAHNDGAFQGRWGDVGKMLRSDVTAMQKALERQGYDVGGSDGLPGYKTR RSIGQWQAKNDMKPTCFPEATMKGKLK YP_002978171.1 MAKRVTGPEIEKLIQLLAKVPGLGPRSARRAALHLIKKKDQLLG PLSNAMGEAYDKVKICSRCGNVDTVDPCIVCTDVQRDQSVIIVVEDVSDLWALERAGA MNAAYHVLGGTLSPLDGVGPDDLNIRGLIDRVGEGGMRELIIAVNATVEGQTTAHYIT DQLQGLDVKITRLAHGVPVGGELDYLDEGTLAAALRARTVI YP_002978172.1 MKILALCTGNPERLPGKSYKTGIFKHAVNGAVMIDAEGLVGDAI CNRKHHGGVDQAVYVEGSLTLDWWAQELGRPYEAGTFGENMVISDLDNRDVAVGDRFA AGDLILEVTACRMPCATFAARMVDPKFVKRYTAAARPGIYCRVIRGGVVEPGMPMEYT SFSGDKITMPELMETFGRRLQGADRARYLAAPIHYKLRAMLESQADEAH YP_002978173.1 MRDIMGMMGKVKEMQAKMEQMQAEIAELTAEGKAGGGLVAVVIS GKGELKSLKIDPSLFKEDDVEILEDLIVAAHKDAKDKAEALAAEKTKALTAGLPIPPG FKLPF YP_002978174.1 MSDTERQSKDAASTGTGYRVLARKYRPKDFTDLMVGQEPMVRTL TNAFETGRIAQAYMLTGVRGVGKTTTARILARALNYKTSEIDKPTIDLRTPGEHCQAI MEGRHVDVIEMDAASHTGIDDIREIIEQVRYRPVSARYKVYIIDEVHMLSTQAFNGLL KTLEEPPEHVKFIFATTEIRKVPITVLSRCQRFDLRRISASDLVGLFTTIAAKEGIEA EADALAMIARAAEGSARDGLSLLDQAIAHGAGVVQAEAVRSMLGLADRARIVDLFQHI VKGDVAAALGEFQNQYEAGANPVVVLTDLADFTHLVTRLKYVPDAANDPSLSEVERTK AAEFAKGVAVTTLSRIWQMLLKGIPETEGSSRTAGAAEMVLIRLAHAAHLPAPEDAAR RLAEFSGDNAGPRPAAPPSGNGGGNGTRVSYQNSVAARAAETAPSPPQPSAPVAMLRA VPSSQPETMSVGRIEPKPAEALKPLVPVNSVNDIVNLATEKRDPKLKAMVRTFLRPVR IEAGRLDVSLAPGAPTTLLNELAVKLKEWTGIHWIVSLSRDEGQPTLVEAEARTREQH VIDARQDPDVAAILAHFPGAKIIDVRVRAPEPEEEGEATPPAAAESEEGDILPGDDIE F YP_002978175.1 MKGFALDPRLENDSVSIMVTGLCDLRLSRDARWPWLILVPRRAD ITEIFELTPLDQVLLAFETELVAKALKEITGATKINVGALGNIVRQLHVHVIARFEGD ANWPGPVWGFGRAEPYEDGKRDEFIAKLREALSS YP_002978176.1 MSHSLFDSDVPHPEPSNLTAFAANDLNRDSEHRDEQSVEKALAK EGTHIFAFAGDKLVLKHDGQVLDPLFARYELQGLQPDWDATVLLGYRKTGEPRLAVPV GIDVDDLTSQYKPADGRTLFREMLIDEVLLGEFAQAASLIRWNGDNRFCGRCGSATEI HIGGYKRVCAACEHMIFPRTDPVVIMLTVDEQRDLCLLGRSPHFAPGMYSCLAGFLEP GETIENAVRRETLEESGIRTGRIRYHASQPWPMPHSLMIGCYAEAKSTEISRDETELE DCRWFTREETIEMLERPSATGKASPPKGAIAHRLMRDWVEWKR YP_002978177.1 MPVARSERLLTLLQTLRRYRRPVTGTVLAQETGVSLRTLYRDIA SLQAQGAMIEGEAGIGYVLKPGFMLPPMMFSEEELEALVLGSRWVARAAEPRLAGAGA DALAKIAAVLPADMREMIDSAALFVGPKRRDEDKADVSAIRRAIRLERILELHYGDEQ GRISRRRVWPFGLGYYEHVRVLMAWCELRQDFRHFRTDRIIDMALHEGRYPRRRTVLL KEWRETQDVPIES YP_002978178.1 MVTPPAIHFANDRFTAESFADVISAETFADVRQSARSGLLDLLM NVFRFRRGERTSLDLDATPDYLKRDLGFMDGRDPHCEDRYPL YP_002978179.1 MTSPNLIILYVKDPGESASFYRNLLNREPAVEAPNFVAFPLEGG FTLGLWRRSKVEPQPSAIGNRGEVAFMVEGENAVARHYEDWRQRGLPIAQELTELDFG PTFVVLDPDGHRLRVCEPDK YP_002978180.1 MNIKTNRIAFQGEFGANSDMASRDMFPTMEPLPCQTFEDAFTAV DNGDADIGMIPIENTIAGRVADIHHLLPESRLHIIGEYFMPIRFQLMVLPGVTKDEIR TVHSHIHALGQCRKIVRANGWKPVIAGDTAGAAKLVQETGDRSMAALAPRLAADLYGL EIIAENVEDTENNVTRFVVLSRDEEWAQRNSAEEKVVTTFVFNVRNIPAALYKALGGF ATNNINMTKLESYQLGGKFVATQFYADIEGHPNDPNVRRALEELRFFSEKVRILGVYK GHAMRGLL YP_002978181.1 MSDSNLDGVLVLIPARMASTRLPGKPLADICGLPMIVQVALRAR EAAIGRVVVAVDDARVFDAVAAAGFEVVMTSTDHQSGSDRIFEALTKVDPEGKAKIIV NVQGDLPTIDPETVRAALRPLENEAVDIGTLTTEIDNDEDKTAPHIVKIVGSPISGNR LHALYFTRATAPYGQGPLYHHIGLYAYRRTALERFVSLGPSTLEKRESLEQLRALEAG MRIDAEIVDTVPLGVDTPADLEKARRILSAKSN YP_002978182.1 MNSYVNTAVGALLGTIFVLMSVSIASEGIFHSEAPEKEGFAIVA EEAPAAGGEAAPAVAVPIAQLLASADAKAGETVFKKCQACHDGTKGGPNKVGPNLFGV VDRPIASHAGFAYSAPMKDFSKGSSEKWTFEYLNKFLLAPKKDVPGTAMGFAGLAKDQ DRANVILYLHTLADSPVPLPDPNAATQ YP_002978183.1 MSAIILDVLPIFILILIGWVIVRSGLMASNVGEALSEFVFKIAV PLLLFRTIAEADFHGASPFRLWVVYFSGVAITWTAGHIAATRLFGRDERIGVLAGVSS AFANNIFIGLPLVERTVGDEGLVALSILLAVHLPVMMVAGTVLMEHAERKIAGKSDRS MMLVLRQIAVNLVRNPLVIGLAAGMAMHLSGLTMPTTLATVVGQIAGIAGPAALISLG MALERYGVSGNLGIASVTSSLKLLLLPGCVWAASRLLGLSPEWTAAIVLTSSVPTGVN AWLIANRFGVGHSLAASTITVTTALGAITVSLWAYFLGA YP_002978184.1 MTRFDVLTVGNAIVDIIARCDDQFLIDNQITKAAMNLIDAERAE LLYSRMGPALEASGGSAGNTAAGVASLGGKAAYFGNVAQDQLGDIFAHDIRAQGVHYQ TRPKGTFPPTARSMIFVTEDGERSMNTYLGACVELGPEDVETDVVADAKVTYFEGYLW DPPRAKEAILDCARIAHENGREVSMTLSDSFCVGRYRDEFLDLMRSGKVDIVFANRQE ALSLYETDDFEEALNRIAADCKIAAVTMSEDGAVILQGKERYYVDAIRIREVVDTTGA GDLFASGFLYGYTQGRSLEDCGKLGCLAAGIVIQQIGPRPMSSLSEAAKQAGLI YP_002978185.1 MRSKVLTSCLALAIVLAASMGSVEFAHAQAAKGPSGLPLPRFVT LKSKRVNLRIGPGTDYAVSWMYLKSGLPVEIIQEYDNWRRIRDADGTEGWVNQSLLSG QRAAIAAPWMKTKGKGIYVNLRREAQPSASIVAKLEPGVMLTIGECNGDWCRAESDGA SGWVAQSEIWGAYPGEAFK YP_002978186.1 MTAKKKPKVYITRKLPDAVETRMRELFDAELNIDDAPRSVAELI AAVKTADVLVPTVTDRIDAALIEEAGPQMKLIASFSNGTDHIDVEAAARKGITVTNTP NVLTEDTADMTMALILAVPRRLGEGARVLTDKPGEWAGWSPTWMLGRRIHGKRIGIVG MGRIGTAVARRAKAFGLSIHYHNRKRVNPAVEDELEATYWESLDQMLARVDIVSINCP STPATFHLISARRLALLQPTAYLVNTARGDVVDEAALIKCLREGRIAGAGLDVFENEP AVNPRLIKLANEGKVVLLPHMSSATIEGRIDMGDKVIINIRTFIDGHRPPNRVLPGR YP_002978187.1 MTIISLNQTFTRWDELLTLILASFASMNGRIDPPSSALKLTTES LAEKARTEIGHVAIDGEKLIGCLFLRPEADCLYVGKLAVLPEVQGKGLGKRLLTIAEE TAAALGLPALRLETRIELTDNHAVFAAWGFSRTAEKAHPGFARTTFVEMRKVLAPPIC VA YP_002978188.1 MTEAAFPGVGRHDNIGAMEPLSPEEIARYHRHILLPEIGGAGQQ KLKAARVLVIGAGGLGAPVLQYLAAAGVGTLGIVDDDRVSLSNLQRQVIHDSGTIGEL KTESAAFAIARLNPHVRLIRFEERFSPEAGRRQLSGFDLLIDGSDNFDTRYAAADAAD EGRIPLVTGAVGRFDGSLTVLKPYETAEDGTPNPRYRDLFPEAPPAGLIPACAEAGII GALTGVIGTMMAMEAIKLVTGTGEPLVGRLLLYDALSARFDTIRYKRRRTTQRQAG YP_002978189.1 MPHKVSLSRLKLTDFRNYAAAALTLDGRHAVLTGDNGAGKTNLM EAVSLLSPGRGLRRAAYGDITRVGAAGGFSIFAALDGMEGDVEIGTGIETSEETTARR LRINGTTAKTADELTDHLRLLWLTPAMDGLFTGASSDRRRFLDRLVLSLDPAHGRRAS DFERAMRSRNKLLDEGRFDPSWLAGIEEQMASLGIAMALARQEMLGLLTRLIEETRES SPFPSAALQLSGFMDGQFSRPSVDLEDDYAAMLAESRYRDAGAGRTLEGPHRADLIVH HREKAMEAERCSTGEQKALLVGLVLAHARLVGNLTGHAPILLLDEIAAHLDEGRRAAL FDLIDGLGGQSFMTGTDRAMFAALGDRAQFFTVSDGRVFE YP_002978190.1 MPDPVDIIVGRNVRQFRALRRVSQLELGEALGLTFQQIQKYEKG ANRVSASKLHQIAVFLDVEISALFEGAGMSPFGSRVELSPDAYALALSYDKLNSPAGK EAVKTIVTLMTGEAAETAA YP_002978191.1 MAPAYSSQSEDVDVLAGAIYTWCAERNIKLRSQQGLSIASIAID LYHAGHQTQDDLLMALHECEIH YP_002978192.1 MAKADFYETLGVAKTADEKELKSAFRKLAMKFHPDKNPDDKDAE RKFKEINEAYEMLKDPQKRAAYDRYGHAAFEHGGMGGGGGGFAGGGFSDIFEDIFGEM MGGGRARQRSSGGRERGADLRYNMEITLEESFSGKTAQIRVPTSITCDVCSGSGAKPG TQPKNCGTCQGTGRVRAAQGFFSIERTCPTCHGRGQIIPDPCPKCHGQGRVTEERSLS VNIPAGIEDGTRIRLQGEGEAGARGGPAGDLYIFLSVKPHEFYQRDGADLYCAVPISM TTAALGGTFDVATLDGTKSRVTVPEGTQVGKQFRLKSKGMPVLRSVQTGDLYIQIQIE TPQKLTKRQRELLQEFEQLSSKENNPESTGFFARMKEFFEG YP_002978193.1 MAKVIGIDLGTTNSCVAVMDGKDAKVIENAEGARTTPSMVAFSE DGERLVGQPAKRQAVTNPTNTLFAVKRLIGRRYEDPTVEKDKHLVPFTIVKGDNGDAW VEANGKGYSPAQISAMILQKMKETAESYLGEKVEKAVITVPAYFNDAQRQATKDAGRI AGLEVLRIINEPTAAALAYGLDKKEGKTIAVYDLGGGTFDISILEIGDGVFEVKSTNG DTFLGGEDFDMRLVEYLVGEFKRDNGIDLKNDKLALQRLKEAAEKAKIELSSSQQTEI NLPFITADASGPKHLTLKLTRAKLESLVDDLVQRTIAPCKAALKDAGVTAAEIDEVVL VGGMSRMPKVQEVVKQLFGKEPHKGVNPDEVVALGAAIQAGVLQGDVKDVLLLDVTPL SLGIETLGGVFTRLIERNTTIPTKKSQTFSTAEDNQQAVTIRVSQGEREMAADNKLLG QFDLVGLPPSPRGMPQIEVTFDIDANGIVQVSAKDKGTGKEQQIRIQASGGLSDSDIE KMVKDAEAHASEDKKRREAVEARNQAESLIHSSEKSLKDYGDKVSEADRTAISDAIAA LKTASEASEPDADDIKAKTQTLMEVSMKLGQAIYEAQQAEGGAAGDASAEGGDNVVDA DYEEIKDDDRKKSA YP_002978194.1 MAGRGRSGDRIEPSFSGRPARDDDEFSLDADDRIAGGRSQRRGG SKPPPQRAAPRRRREPREREGGVFFGFLRRVIYWCVVLFIWAGIGVAGLVVYYGSRMP SASTWAIPERPPNVKITAVDGSVIANRGATGGEALSLENMSPYIPEAVIAIEDRRFYS HFGVDPLGLGRAVVTNLTAGHMVQGGSTLTQQLAKNLFLSPERTLERKVQEVLLSLWL EQKYTKDQILAMYLNRVFFGSNAYGVEAASRRYFNKSARDVNLGEAAVLAGLLKAPSR LSPARDADAANARAQLVLAAMREQGFITDSEVKTAMSQTPASAKSYWSGAGHYVADMV MDELPGLIGDVKEDVIVDTTIDKSLEKKAEQSLVDVLDKEGGKLDASQAALVSIDGTG AIRALVGGRDYATSQFNRAVKAKRQPGSSFKPFVYAAALEKGLTPYSVFNDAPIRIGD WTPENYEKKYNGEVTLATALAKSLNTVAAQLVMYDGPDQVIKLAHRLGIESELQPNAS IALGTSEVSLMELTASYAAFMNGGYKATPHVIRRVTTAEGKVLYENTYDSPPRVLSEQ IVAQMDTMMMGVIESGTGKSAKIPGWQAAGKTGTTQNSRDALFVGFTSNLTTGVWFGN DDGKPMKKVTGGGLPAKAWKEFMIAAHKGLSPAPLFGNGQLIADPNNGQPMAEAPGNG QLGSGQPMTAEAPPSTIGGIISGVFGGDDNANRYPQAPARQQGASFGNGGPVPPADIA EGGGPNYEGMVPPGDVGGAQTTSSVQPRRTTLLDLIMGQ YP_002978195.1 MTQDYLAFFGLFAPRAAAIDVTVWHKHNTLLPVRLTDGANLNPS GQIWRRFHLGEWEYKQDPETLDDYEARQF YP_002978196.1 MKNLIVKIAAAGMLMLAPAIAQAAEGYSTANVNMRAGPSTRYPA VTVIPAGSSVEIRGCLSDVNWCDVEFYGGRGWVSGQYVQALYQQRRVYVGPQYYRPLG IPMIRFSVDNYWDRYYRNRDFYRDRDRWSRGPDYYYRDRDNRDRDRDRDRDNRDRDRN WRERDGDRDGRDRDHDWRGDNQRDDRQRDDRQRGERQRDDRRGDDRRPDRRDFDCRPG DPSCDE YP_002978197.1 MTDVRQVLARADQNLPSSLDKLFELLRIQSISTDPAFKAECRKA AEWLVAYLGTLGFMASVRDTPGHPMVVAHHAGASADAPHVLFYGHYDVQPVDPIELWE NDPFEPSIKDVGEGRKILTGRGTSDDKGQLMTFVEACRAYKEINGALPCRVTILFEGE EESGSPSLKPFLEANAAELKADYALVCDTGMWDRDTPAIAAALRGLVGEEVVVTAADR DLHSGLFGGAAANPIHILVEALAGLHDETGRITLDGFYEGVEETPENIKASWETLGKT AESFLGEVGLSIPSGEKGRSVLELTWARPTAEINGIWGGYTGEGFKTVIAAKASAKVS FRLVGTQDPAAIREAFRSYISAKIPADCSVEFHPHGGSPAIHLSYDSPVLTKAKNALS DEWPKPAIVIGMGGSIPIVGDFQKMLGMESLLVGFGLSDDRIHSPNEKYELVSYHKGI RSWVRILQALAA YP_002978198.1 MFSVITCIRDNHDWRLVLAAAAVCLVGAMAAMLLLSRAQECDAG RRKLWIGASAFAFGTGVWATHFIAMLAYDGGMPIGYQLGLTTLSFLLSVVGSWAAILV ASESRGRFSRIRGGVLMALGIASMHLTGMQAIETQAVILYDPFMTLSAVLAGALLSSA AFHTFFQLKGLRRLLASSITFVLAICALHFISMASITLVPDLGKEVPATVLDASLLAA IVVVAATMLILIALAVVFVESHLTDLRGLANASQEGLLILREGRIIDANERFQGLSGW KLAGLAGKAPSAVLTAVQGTGQNRSSETLLNTRNGREIAVEVTTSRIVYRGHNCEVLA VRDLTERRQAEEMIEHLAHHDVLTDLPNRSLFDTRIRQALQMAERKNSEVALFYLDLD RFKAVNDIFGHAEGDRILRKVASILRRVADESDTIARLGGDEFAIIQLAGQQPAAAQK LAADILGEFAAEMDTARDPTAVGVSVGIALYPADGMAAEELCNNADTALYRVKHDGRG KVCFFDAEMDKAARNRRQIESELRHAIVRNQIHVSYQPILDALSGEIGGYEALMRWNR PGHGLTEPDIFIPIAEESGSIVQLGEWVLQQACREAVRWPLPLMIAVNLSPVQFMLPN LCERIEAILAETGLAPSRLELEITEAALIRDRDRVMTTLLRLHKLGVHIVMDDFGTGF SSLSNLRSFPFDKIKVDRSFTGVLEHDAAARSIVRAIIGLGHSLGMPVVTEGVETEMQ RRIVVEEGCTQVQGLLLGKPDIEPSIKLAAHENVLTAQI YP_002978199.1 MDSGAYLASQLAKGFARSLHQRAVGLGFSPGQFPILLELWAEDG LTQKQLLERVDIEQATMANTLSRMVRDGLIERRPHPSDKRAQLLFLTPRARAMEAEAI ETAREADLALFKGFRVFERELTLEYIRRLLENAKAL YP_002978200.1 MPNSIWTSSHARAIHARMKKGDHLFLVDGSGFIFRAFHALPPLT RKTDGLPIGAVSGFCNMLWKLLRDARNTDVGVTPTHLAVIFDYSAKTFRKDLYDAYKA NRSAPPEELIPQFGLIREATRAFNLPCIETQGFEADDIIATYARQAEATGADVTIVSS DKDLMQLVSPNVHMYDSMKDKQIGIPDVIEKWGVPPEKMIDLQAMTGDSVDNVPGIPG IGPKTAAQLLEEYGDLDTLLERATEIKQVKRRETILANIDMARLSRDLVRLRIDVPLD LDLDALVLEPQNGPKLIGFLKTMEFTTLTRRVAEACNCDAGAIEPAIVRVEWGETARG PDLDAAAPEPVAGGIPDVSGESVPVPPRAKAKTAVEGAFSPADLAKARAEVFATLPFD HSAYVTIRDLATLDRWIADARVTGLVAFDTETTSLDAMQAELVGFSLAIADNTADPTG TKIRAAYVPLVHKNGVGDLLGGGLAENQIPMRDALPRLKALLEDEAVLKVAQNLKYDY LLLKRYGIETRSFDDTMLISYVLDAGTGAHGMDPLSEKFLGHTPIPYKDVAGSGKANV TFDLVDIDRATHYAAEDAEVTLRLWLVLKPRLAAAGLTSVYERLERPLLPVLARMEAR GITVDRQILSRLSGELAQSAARLEDEIYVLAGERFNIGSPKQLGDILFGKMGLSGGSK TKTGQWSTSAQVLEDLAAAGFELPRKIVDWRQVTKLKSTYTDALPGYVHPETKRVHTS YSLASTTTGRLSSSEPNLQNIPVRTAEGRKIRTAFISTPRHKLISADYSQIELRVLAH VAEIPQLTKAFEDGVDIHAMTASEMFGVPVEGMPGEVRRRAKAINFGIIYGISAFGLA NQLSIERSEAGDYIKKYFERFPGIRDYMESRKAMARDKGYVETIFGRRINYPEIRSSN PSVRAFNERAAINAPIQGSAADVIRRAMIKIEPALVEVGLADRVRMLLQVHDELIFEV EDEDVEKAMPVIVSVMENATMPALEMRVPLRVDARAATNWDEAH YP_002978201.1 MRFPRTNLTDAGDFSSEIETDLPEENPGEKPAAPIWQSNFSLAP NVRFTRTPETLISRRRAPNEPVRDDSQIGQQAIRIEPVAVDVPFDIYLPEPDEISAAP HRIELQQSPLLDEAGAPAFRASAELSSISDFAFWEVMAFEEAEPVRAPPLISFPKTET SPESITSLFRIMEWRPGRPAPAPVVSRPAPQPAAVSVKVAARPAAAPSLEKPRRIIVE APVMLAPQAAPATQVAPAPQIASAPQAAPAPQRTPPVAAVLPSPRLAVRPERIDASGY EFPPRALLQEPPERLGEIMSQETLEQNAGLLESVLEDFGIKGEIIHVRPGPVVTLYEF EPAPGVKSSRVIGLADDIARSMSALSARVAVVPGRNVIGIELPNVTRETVYFREMIES QDFEKSGYKLALGLGKTIGGEPVIAELAKMPHLLVAGTTGSGKSVAINTMILSLLYRM TPEQCRLIMVDPKMLELSVYDGIPHLLTPVVTDPKKAVMALKWAVREMEERYRKMSRL GVRNIDGYNDRMAQAREKGETIHVMVQVGFDKGTGTPIEESQALDLTPMPYIVVIVDE MADLMMVAGKDIEGAIQRLAQMARAAGIHLIMATQRPSVDVITGTIKANFPTRISFQV TSKIDSRTILGEQGAEQLLGQGDMLHMQGGGRISRVHGPFVSDVEVEKVVAHLKTQGR PEYLDTVTADEEEETEEEEAGAVFDKSAMASEDGNELYEQAVKVVMRDKKCSTSYIQR RLGIGYNRAASLVERMEKEGLVGPANHVGKREIVSGRGDGE YP_002978202.1 MTMSHEPHAGAGAERGIMVVVVGPSGAGKDTLMNLAARRFKGRD NVHFVRRVITRHRDAGGEDHLSVSLEGFAAMEQSGSFAVWWEAHGLRYGIPAEVSVAL SRGHVVVANGSRSALHRFQAAFPRLKVINVTARPEVLAGRLEARGRETHEDIMARLAR GPLTVRGEYDVVELDNSGSLEEAEQKMIEILDGLLTKAP YP_002978203.1 MFSNARIVLEDDILSGSILIRDGKIADISGGNSVAGEDFEGDYV IPGLVELHTDHLEGHYQPRPGIRWNKTAAIQAHDAQIVTSGITTVFDCLRMGADEDGG FEHGEMREMADAIQSAETEGRLRAEHLLHLRCEVSADNVLEHFADFENDRHVRLVSLM DHAPGQRQFQTMDQYIFYYQKKRGLSDEAFARFVAKRQAESARNSTPHRNAIAKVCAE RGITVASHDDATLSHVDEAIDNGVRLAEFPTSFDAARASHGHGMSVLMGAPNIVRGKS HSGNIAARDLAEMGVLDVLSSDYVPLSLLHAPFILADEVESISLPKAIAMVTSTPART VGLDDRGRIATGLRADLVRVHRSHGVPVTRSVWRQGRRVA YP_002978204.1 MRYALYFSPPKDDPLTGAASLWLGRNAFTGETYPAPEYEQLGAA EQFELTADPRRYGFHATIKAPFSLASSVTEKDLMTVAEDFAQRTQAFEIPELVLGQLG RFFALVPGSLHQPLQDFAAKVVRSFEPFRAALSEADMARRNPEKLSDSQRAHLQRWGY PYVMEDFGFHMTLSGQVPETRAAVMKAILTERFADFTGRPLSISGLAVFIEETRGAPF KVHSWLPLAGAKS YP_002978205.1 MTVIDANRMHEIETRYPEYFHRSFRQRFGGLMILIATLLYSLYA VWFFDLPKLFAEAHWERVGIYLSQWVSYDVQPEFRIEDDGSIDIRYPRFSPLGDNPHP DWLVNNPDGSITVSISGTSRSVTVSKSETIVTAHGVSVPVDVSSGAPKVVGPVPGWMT VYDDNVLADLGFAGNVSISVDRVKIRKRFIGWANFIFDTQSSFFDKPVGEVVSLIVSG PRIKPDQSNLSLAFDDIWNNSEWQHGDVWTKLFQTIVMAFLGTLLGSLTAFPLAFLAA RNITPNRLLNQILKRFFDFLRSVDMLIWALFLTRAFGPGPLAGSGAIFLTETGTLGKL YSEGLENIDNKPREGIKSTGAQTVLVHRYGIMPQIVPVFVSQTLYQWESNVRGATIIG AVGAGGIGLKLWEAMRTNANWENVAYMVILILIVVFIFDTASNALRHRLMGTKAH YP_002978206.1 MTIANTQPQMQSTKEIGTAWDRMVAKRRFYTVLGLVILIAAFVS SVRFADESNAGHFFDRLPHLFDFLSWLIPKDWHDVWRALFDIASVNDKGGEEFNFDRG RVYVWGAFYIPEYFELMIVTINVALISTIIAFVFAVPLSFFAARNLTNSWPLRIVTKR LMEFLRAFPEIVIAGLFSAILSIGPVAAIIAITLHTIGALGKLFYEVAENIDMKPHEG MKAVGANWWERIRFAALPQVLPNFTSYALLRLEINVRASTIIGAVGGGGIGEELKLSI SRGFGAKTVALVLLLFVTIVAVDQFSAWLRRRLVGEHAFLLQH YP_002978207.1 MLKKALFAATALFALAGAAHAADLKEFRIGILGGENETDRLRNY ACLADHLKQEFGFEKVSLFPAADYDGVIQGLLGGTLDFAELGASGYAAVYIKEPKAVT PILTTQQKDGSTGYYSIGLALKSSGIKSIKDAKGKKLGYADPDSTSGYLVPLTQIPKD TGMPNDKFFASTQFNGGHENNLLAAYDGKVDIAVDDSSGIGDFKDGYTSGTFRKEVDK GAVDPNKLVEVWRSPLIPNGPLVVRNALGEEWQTKLAAFFTALPEKDHKCFAAVEGGD YKGYAPVKHDFYNAVVDVRKAAIGG YP_002978208.1 MMFELKNVTRRFGKKLAVDSVTLAIPQGQMVGIIGRSGAGKSTL LRMINRLQEPSSGSVHFAGVEVSGLRGQALRNWQRDCAMIFQQFNLVPRLDVLTNVML GRLNHRSTLMSLLNIFTREERVHAIAALERLGIEQTALQAAGTLSGGQQQRVAIARAL LQNPKMVLADEPIASLDPLNAKIVMDALRDINEREGITVITNLHTLDTARNYCERIVG MAGGRVVFDGKPAELTAEAVKEIYGTDKDGAGIDETVTSTSINIAPERADNQSAGTQP LALALAGL YP_002978209.1 MSRKLGIEPYFHETATVSDSTFGRYTEVSERCRISEATFGDYSY IMQDGSVWCATIGKFVNIAAAVRINATNHPTWRATLHHFTYRAADYWPDGDMETDFFA WRRANRVTIGNDVWIGHGATILPGVNVGNGAVIGAGAVVSKDVAPYAIVGGVPAKLIR ERFPREVGERMDRLAWWDWEHDRLRQALQDFRNLNAEDFLSRYHG YP_002978210.1 MATPLVVSEVSKSFTMHLRDGIKLPVVSDVAFSVASGECVVLGG PSGIGKSSLLKMIYGNYAVDTGQILIRHDGRIVDLASTDPRTVLNVRRNTLGYVSQFL RTVPRVAAIDVVAEPLVARGEDAVTAREKAGDLLARLNLPETLWQLPPATFSGGEQQR VNIARGFITEHTILLLDEPTASLDARNRAVVVGMIAEKKKAGVALLGIFHDEEVREAV ADRILDVQQFSPRKIAA YP_002978211.1 MSDTPLLKVHDVSKFYGNRIGCRDVSFELWPGEVLAIVGESGSG KTTLLNCLSTRLLPSTGSVEYHMRDGSYRDLYRMNEAERRFLMRTDWGFVHQNPADGL RMTVSAGANVGERLMAIGDRHYGKIRASAIDWLERVEIDADRIDDQPRAFSGGMRQRL QIARNLVTGPRLVFMDEPTGGLDVSVQARLLDLVRGLVNDLGLSAIIVTHDLAVARLL SHRMMVMKDGYVIEHGLTDRVLDDPREPYTQLLVSSILQV YP_002978212.1 MTDLASYNFAYLDEQTKRMIRRAILKAIAIPGYQVPFASREMPM PYGWGTGGVQVTASIIGPDDVLKVIDQGADDTTNAVSIRAFFQKVANVAVTTHTKDAT IIQTRHRIPEEKLGVGQVLVYQVPIPEPLRFLEPRETETRKMHALEEYGLMHVKLYED IAHNGRISRTYAYPVKVHGRYVMDPSPTPKFDNPKMHMSDALQLFGAGREKRIYAVPP YTDVVSLDFEDYPFDIQRFDKPCALCGAEEVYLDEVVLDDKGGRMFVCSDTDHCEDRR AHGHAGEMLAREAAE YP_002978213.1 MYVAVKGGEAAIANAHRLLADRRRGDRSLPAIGIEQIVAQLALA VDRVMAEASLFDRTLAALAIRQSRGDMIEAIFLLRAYRTTLPRFGYSKPLDTADMTIE RRISATYKDLPGGQLLGPTFDYTHRLLDPSLLSDEAVETPAQRAAETGRVMRVSEILG EEGLIEADGDMPEDHEIGDLTREPMEFPMTRDLRLQALARGDEGFLLALGYSTQRGYG RNHPFTGEIRIGDVEVEFDVPELGFAVSLGTIQITECQMVNQFKGSAKAPPQFTRGYG LVFGQSERKAMAMSLVDRALRAEELGEDITAPAQDEEFVISHSDNVQATGFVEHLKLP HYVDFQAELDLVRRMRREFEAARNGGEDMKEAAE YP_002978214.1 MGLKTEALTGGFAEPVFHAQSVFKMLMDGMARPGTIQTVQPDVA PPAPLGIAAGTIALTLCDHDTPVWLSQGLAKSAVPEWLGFHTGAPLTSEKAEARFAFT EAGTALSSFGLFASGTQEYPDRSTTLIIELAELEGGRRLALMGPGIQSVAEMTPIGLP ETFLRLWTENRALFPRGVDIVLTSGRRFLCLPRTTKITATEI YP_002978215.1 MISADSKAPASQTASGRKRAADLLARAERSELLAVFDALPEKPV AHQVRGPETGLVMVRGRIGGGGAPFNLGEVTVTRATVRLDSGSVGHAQALGTDREKAR LAAIFDALWQEEATKDFVEQALLLPITERIADAERRKADETAATRVDFFTMVRGDN YP_002978216.1 MAGLKQVQRQTGVALWRQIADRIREAISSGAYDETGMVPPETML ALQFGVNRHTVRSALAALAQEGIVRAVQGRGTLIERKERLNFPITRRTRFTAGIGDQA REMRGLLLDEAKEEASAEIARWLGLKQGDQVIRLETLRQADKRPVSRATSWFPAERFA GIGAAYRTAESITKAFAELGLPDYVRATTEVTAAHASAADMADLELTPGAILLIAKAM NTDLEGVPVQYSISRFAADRVQFTIEN YP_002978217.1 MSGTLVLVRHGQSDWNLKNLFTGWKDPDLTELGVQEANAGGAAL AEYGIKFDVAYTSVLVRAQHTLKLILDKVGQPDLQTIRDQALNERDYGDLSGLNKDDA RAKWGEEQVHIWRRSYDVPPPGGESLRDTGARVWPYYLTEILPRVLRGEKVLVAAHGN SLRSLVMVLDKLSKEGVLALNLATGVPMVYTLKADSTVASKEVLGDMSGAH YP_002978218.1 MSDAAMKLVVVGAAGRMGQTLIRLIHSIDGVTLHAAVERAGSPF VGKDAGEIAGLGPTGVIVGDDPLNAFLDAEGVLDFTSPAATVEFSGLAAQARIVHVIG TTGCLPDDNAKIAAAARHARIVKSGNMSLGVNLLSVLAEQAARALDPDDWDIEILEMH HKHKVDAPSGTALLFGEAAAKGRSIDLAAKSVRVRDGHTGAREAGTIGFATLRGGSVI GEHSVLFAGEGEIVTLSHSAADRSIFARGAIKAALWARDKKPGLYSMLDVLGLSSS YP_002978219.1 MEAAESRTQSVSSDTVTGILKRIIAENGRDHLWGYVFAIACLIV VALSTAFTAWIMRAIIDEAFANRRADVVWIICLSIFIAFVLRGFASYGQAVALSKVGN DIVARYQRRLYAHLMTLSVGFFSEARSAHIAAQVSQNVSGIRDVLNLTITSTVRDLLT FVSLLAVMILQDPLLSLAVFIMAPPLLYALRYVSKRLRSATREAVHLNSHVLGAMQET IQGIAIVKAFTMEEELERKVNKLIKGAESRANRIARLSERTSPLTESFAGFAVASVLA YAAYRSIYNNVPPGAFFSFVTALLLAYDPARRLARLQVQMERAVVNARMIYELLDMEP RQRDLPDARPLTVTQARIEFRNVSFAYGNESVLSGVSFIAEGGATTALVGPSGAGKST VISLIPRFYDPREGEILIDGQDIAHITKKSLRQQLAYVSQQPYLFEGTIRDNIRYGRP EATDAEVEEAARLAYAHDFISAQPQGYETPVGENGVTLSGGQRQRLSIARALVRNAPI LLLDEATSALDTESEAAVQKALDEAMSGRTVVVIAHRLSTVVRADKIVVMQQGRVVEE GNHETLAKVSDGLYARLNNLQRPSASDSN YP_002978220.1 MPKPNNSIAPQPFPILIGDIGGTNARFSILTDAYAEPKQFPNVR TADFATIDEAIQQGVLDKTAVQPRSAILAVAGPINDDEIPLTNCDWVVRPKTMIEGLG MEDVLVVNDFEAQALAIAALSDENRERIGDATGDMIASRVVLGPGTGLGVGGLVHAQH SWIPVPGEGGHVDLGPRSKRDYEIFPHIETIEGRVSAEQILCGRGLVNLYHAICVVDG IQPTMKDPADITSHALAGSDKAAVETVSLFATYLGRVAGDMAMVFMARGGVYLSGGIS QKIIPALKKPEFRIAFEDKAPHTALLRTIPTYVVTHPLAALAGLSSYARMPANFGVST EGRRWRR YP_002978221.1 MAGGKCLALIAHDQKKDDMADFARANRDVLSHWKIVATGTTGGR VLDAAPDLHVTRLKSGPLGGDQQIGALISTGEVDALIFFVDPLTPMPHDVDVKALMRL AIVYDIPMALNHATAIKLLPTLEA YP_002978222.1 MAFGFAQAFRTFGKLTLAGAIGASLAAGEVGAQQKTPSPGSAKG QFGAVGLPTQGPAQPIGFYAKGCMTGAVALPTDGPTWQAMRLSRNRRWGNPAMIAMLE RLSQDGVKYAGWPGILVGDIAQPRGGPMLNGHASHQIGLDADIWFTPMPTRRMTAEER QDLPFTTMLQKGKFLTVDPKVWTQSHARLLMLAASYPEVERIFVNPAIKKKMCDTWTG DRTNLGKLRPEYGHDSHFHIRIKCPPGAKGCTPQAPVVAGDGCDKSLAWWFTPAPWAP PKPPKPGAKPPKPPREMMVSDLPKACAAVLDATSVASMQAATYGGPSAASALTAAPAA APAAADDGALPDVGPVPNDKPAIQ YP_002978223.1 MAALWSKIGLFLSLAGALAPMSATGQDQPFQIGSSVISEMKYKP GFAHFDYVNPDAPKGGDLRLSASGAFDTFNPLLAKGQAAVGLTLVYDTLMKPADDELL VSYGLLAEGLSFPADVSSATFRLRKEAKWADGQPVTPEDVIFSLDKTKELNPLASNYY HHVVKAEKTGERDVTFTFDEKNNRELPNILGQLMVVPKHWWEAPGPDGKPRDISKTTL EPVMGSGPYKIASFSPGATIRYELRDDYWGKDLNVNVGQNNFRNVNYTYFGDRDVEFE AFRAGNSDYWQETTAARWATGYDFPAVKEGRVKKEEVANPLRATGIMQALVPNMRRDL FKDIRVREALNYGLDFEELNRTVAFNSYKRIDSYFWNTELASSGLPQGKELEILQGMK DKVPPEVFTTPYTNPVGGDPQKSRDNLRKAIALFKEAGWELKGNRMVNTKTGQPMSFE ILLSSPMLERWAVPYANNLRKIGIDARIRTVDASQSVNRERSFDYDMIWNVWAETMNP GNEQADYWGSGSVNQQGSRNYAGIANQAVDELIRMIIFAPNRGEQIAAIKAMDRVLLA NHYVIPLFYRDTYNIAYWNTVTHPAEFPAYSLGFPDAWWSTSAK YP_002978224.1 MGAYILRRLLLMIPTIVGIMAISFIVIQFAPGGPVEQVIAQLTG QADSADQRLSGGGDLLSGGGSDEGSKYRGAQGLDPELIAKLEKQFGFDKPPLTRFGEM MWNYIRFDFGESFFRNTSVLELVKEKLPVSISLGIWILIFSYAISIPLGIRKAVKDGS TFDVWTSGVIVVGYAVPSFLFGILLIVLFAGGSFYDWFPLRGLVSDNFDQLAWWQKPL DYFWHLTLPLISLSLSAFATTTLLTKNSFIEEIKKQYVVTARAKGLNQRQVLYGHVFR NAMLIIIAGFPGAFISAFFTGSLLIENIFSLDGLGRLGYLSVINRDYPIVFATLYIFS LLGLVVSLVSDLIYTWIDPRIDFERRDV YP_002978225.1 MDAAANPAVTTPVKPPRKGLLSPTNIRRWQNFRANGRGYWALWL FMVLFILSLFAEFLANDRPIIASYKGEILFPVLVDYPEEKFGGFLAETDYRSSVITDE INANGWMIWPPIRYSYRSVNSNIPHSAPTAPFWLMTKEERCAGYPQGVNDPDCTLGNL NWLGTDDQARDVLARVIYGFRISVLFGLVLTICSAVIGVTAGAVQGYFGGWTDLLLQR FIEIWSSMPVLYILLIIAALLPPGFFVLLGIMLLFSWVGFVGIVRAEFLRARNFEYVR AARALGVNNRTIMWRHLLPNAMVATLTFLPFILSGSITTLTSLDFLGFGMPPGSPSLG EMIAQGKTNLQAPWLGLTAFFAMSIMLSLLIFIGEAVRDAFDPRKTFQ YP_002978226.1 MSDMTEPLLSVRDLSVAFHQGGETSLAVDHISFDIAKGEVVALV GESGSGKSVSANSILRLLPYPSASHPSGEILFKGKDLLKASERELREVRGNDITMIFQ EPMTSLNPLHTIEKQIAEILALHQGLTGQPARERVLELLNQVGIREPERRLKAYPHEL SGGQRQRVMIAMALANRPELLIADEPTTALDVTVQAQILELLRQLKAVHGMSMLFITH DLGIVRKFADRVCVMTKGRIVETGTVEDVFANPKHEYTRHLLASEPRGEPPLADPSKP MVMEGSDIRVWFPIKAGLMRRVVDHVKAVDGIDLSLRAGQTLGVVGESGSGKTTLGLA LTRLISSQGRIAFVGKDIAGYSFNEMRPLRNQLQVVFQDPYGSLSPRMSVGDIVAEGL KVHERSLTAEERDQRVCWALEEVGLDPLTRWRYPHEFSGGQRQRIAIARAMVLKPRFV MLDEPTSALDMSVQAQVVDLLRDLQKKHDLAYLFISHDLKVVKALANDVIVMRFGKVV EQGPSSEIFRAPKDDYTRALMAAAFNIEAVPTPAVQQ YP_002978227.1 MPASPPVLVDIKFNHEGVARVLKTAFADRGSINLADPANQARDL RAVEYALLWKPDADLFARAPNLKVIFSGGAGVDHIIGMAGLPEIPIVRFVDRSLTTRM SEWVVMQCLMHLRGQYAHDSHQRQREWAKLIAPEAAEVTVGVMGLGILGQDAVAKLRV IGFNVIGWSRSRKQIDGVETFDASELDSFLASTDILVGLLPLTPETSGFYDAGLFAKL RRNGALGQPVFINAGRGKSQVEADIVSAIRNGILGGASLDVFEAEPLASDNPLWDLQN VFLTPHDAAVSEENALFRHVETQIARFERGEPLQFMVDRAAGY YP_002978228.1 MAHQTETRWEKSDGKLHKEELIPPVKARQGRTGYRILTVLIVAL VLAFVVWIPVEIWGKREANEVAPQQPGQQLQSQQPAPAPAPALQNGTAVPTETPNTTP AAPNVAPAAPAQ YP_002978229.1 MARTDIARRVYNHAWKLDPIIRSLIDTDFYKLLMLQMIWKLYPD VNASFTLINRTKRVRLADELDEGELREQLDHARTLRLSKKEMIWLAGNSFYGRAQIFE PEFLAWLSNFQLPEYELSKKDGQYVLDFHGSWKETTMWEIPALAIVNELRSRSAMKAL GPFTLDVLYARAKAKMWSKVERLKELPGLRISDFGTRRRHSFLWQRWCVEALKEGIGP AFAGTSNVLLAMDSDLEAVGTNAHELPMVAAALAETDEQLRNAPYKILRDWNKLYGGN LLIVLPDAFGTAAFLRDAPEWVADWTGFRPDSAPPIEGGEKIIDWWKKMGRDPRQKLL IFSDGLDVDAIIDTYRHFEGRVRMSFGWGTNLTNDFSGCAPIEISGLNPISVVCKVSD ANGRPAVKLSDNPQKATGDPAEVERYLKFFGAEDRIDQTVLV YP_002978230.1 MFENLLEMQERGARDRARGRSLADNPMSKPDILPITDFQEWYSM FDAWRFGWSIEDAMAGHINMPRDSGTLAQTYTRTV YP_002978231.1 MEELSVKSKIIKSVYFSQEDGRLRICFKNGEERLFAGVPSSEVH AMTVAPSPGHYYLDRIRTRFRRLAA YP_002978232.1 MKDIIILPGIGGSGEAHWQTRWERSNPDMRRFQPADWEKPDLAD WISAMERAVGASATPPLLVAHSLACLLVAHWQQVSSLAVAGAFLVAVPDPQSASFPAE AAGFADAPSQKMRFPTLIIASADDPFGTLDHAHARADLWDSGLVAIGPFGHINGQSGL EDWGQGKALLTAFSAGLARSDRG YP_002978233.1 MAFQENNRVLLDPTDISIIEAMQENGRIAISELGRRVGLSQPAA SERVKRLEDRGIIVGYSARIDPTALGIGMMAVLRLRTTHEHIKPCLKQFAEMPQVMEV LRLTGEDCFLLKVLVPSPGELETIVDTIARFGAVTTSLVLRGENPKPIGRALLQRV YP_002978234.1 MRFLLRLASLVALAAAVIAGTIDSIQSVAASSVVMTPISDAWQD VSPTTLTSLQSSLSYYIHPRFYTVIFQWLMLQPAFAVFLVIALLLWMIGYKKPPVAGR FTA YP_002978235.1 MKKSLLTLFAVAAMSTTALAADVKPALVYGTGGKFDKSFNEAAY NGAEKFKAETGIAYRDFEPTGDTQGEQAIRNFASRGFNPVVAVSFAWTSAIEKVAAEF PDTKFIIVDSVVDKPNVRSVVYKEEEGSYLVGVLAGMASKSGKVGFVGGMDIPLIRKF ECGYEQGARSVKADIEVFQNMTGTTGAAWNDPVRGGELTKNQIDQGADVVYAAAGATG LGVLQTAADNKKLSIGVDSNQNYLHPGSVLTSMVKRVDLAVYNAYNDTKNDKFTGGVQ ALGVKEDGVGAAIDEHNKSLITPEMQAAVDKAKADIIAGTVKVHDYTSDSACPK YP_002978236.1 MTDKPAIELVGIDKKFGAVHANKDINLTVAKGTIHGIIGENGAG KSTLMSIIYGFYHADSGEIRVNGNPVTIRDSQAAIATGIGMVHQHFMLVDNFTVLENI MLGAEGGMLLARGVASARAELKRLETEYGLEVDPDALIEELPVGLQQRVEILKAMYRG AEILILDEPTGVLTPAEADHLFRILKVLRDQGKTIILITHKLREIMAITDTVSVMRRG EMVATRKTSETTVEELAELMVGRRVLLRVQKGEANPQAAVLSVRNLTVKDNRGVTMVD NVSFDVRAGEIVGIAGVAGNGQSELLEAIAGIRKPTSGEILLDGQTIDKADPARLRDL GLAHIPEDRHHMGLVLKFEEYENSVLGYHRRPAYSKGPLLDLEAIRKDAMEKIEKYDI RPPNPRLKTANFSGGNQQKIVVAREIERDPKMLIIGQPTRGVDIGAIEFIHRRIIEMR DAGKAILLVSVELDEIRSLSDRILVMFAGHIVGEKTPDAGEQTLGLMMAGIAA YP_002978237.1 MSTASVPLPNWINYGLIPLLNLTVAFLISGFVVWLIGESPLDAL SLLIQGALGNGEFIGFTLFYATSFIFTGLSVAVAIHAGLFNIGSEGQAYMGGLGCALV ALSLDNYVPWYVTMPVAVVGAALFGAVAAFIPAWLQAKRGSHIVITTIMFNYIIASLM NYLLVHVLIVPGKMAPETRTFLEGGQLPKLTWLMEIFGTKLGAAPLNVSFIIALVMCY VVWLLIWRTKLGFEMRTLGVSPTAASYAGIPYARIVMIAMMLSGALAGMMALNPVMGA SARLQVGFVGGAGFVGIAVSLMGRNHPLGIILAAILFGILYQGGDWISFEMPNITREM ILVIQGLVILFAGALEYMFRPAMVRLYQQFKRG YP_002978238.1 MDYYDIFISVLASTIRLSIPLIFTALAGLFSERAGIFDIGLEGK MLGSAFAAACVAYLTDSAWLGLGAGILCSVALSLVHGFASITNRGNQIVSGVAINFFI AGITIVLGQAWFGQGGRTPQLAPESRFAPIILPGADAARDIPIIGPLYANVISGNNVL TYLAFLAVPFSWWVLYRTRFGLRLRAVGENPGAVDTAGISVAWLRYRAVMCAGILCGF AGTYLAIAQSAAFIKDMSAGKGYIALAALVFAKWKPVPVMFACLLFGFLDALANFMQG KQVPLIGEVPVQVFQALPYVLTCVLLAGFIGVATPPKAGGVPYTKER YP_002978239.1 MSHDLFEAARGAMAFAHAPYSKFPVGAAIRAEDGKVYTGANIEN LSFPQGWCAEPTAIGAMIMGGAKKIVEMAVIAEKLPLCPPCGGCRQKISEFASKETKI YLCDEAGVKKTMTMEELLPFSFETELG YP_002978240.1 MKATVNLLAALLGGIKPRHGIVLGSGLGSLVGELDGAVRVPYRD LPGFPVSAVSGHAGEVVAGRLGGVPVVMLSGRVHYYEKGDANAMRLPIEVLKALGVEA LILTNSAGSLRDDMPPGSVMQITDHINYSGMNPLIGEESDHRFVGMTNAYDAGLAAAM QRAAAKLKIELAQGVYMWFSGPSFETPAEIRMARILGADAVGMSTVPEVIIARMLGLR VAAASVITNYGAGMTGNELSHEETKDMAPIGGARLAAILKDMIAAGTG YP_002978241.1 MNSHSNRETAAVALSLLDLTNLRDDCTEAQIDALCARAQTPYGT SAAICIWPRFVAQARNILGTGHAVRIATVVNLPSGDMEVADVAAEAREAIADGADEID LVIPYRKLLAGNEKAVTDMVKAVRAECAGPVLLKVIIETGELKDAALIRRASELAIEA GADFIKTSTGKVAVNATLEAADIMIRAIRESGRKVGFKPAGGIGSLSDAALYLSLAET IMTPDWAMPSTFRFGASDLLDDILAVLSGTQSAPAAASSY YP_002978242.1 MIPQEIIRRKRDGDELAAADISSFIAALAAGRLSEGQIGAFAMA VWFKGMSRAEIVALTLAMADSGDRLQWADIDRPIADKHSTGGVGDNVSLMLAPIAAAC GLAVPMISGRGLGHTGGTLDKLESIPGYLITPDADLFHKVVKEAGCAIIGQTGTLAPA DGRLYAVRDVTATVDSIPLITASILSKKLAAGLETLVLDVKVGNGAFMADRGQAEILA QSLVEVANGAGVKTSALITDMNQPLADSAGNAVEMRNCLDFLAGRKRDTRLDIVVFAF AAEMLVKSGIAASPDEAEGMARRALSSGKAAEVFARMVSMLGGPADLIENPDRYLVRA PVEKPVPAARSGWLAGCDARGVGISVIDLGGGRRHPAARIDHRVGFSELLPLGTRVNA GEPIALVHAADEAAAERAAAALAMHYRITEDKPELTPVIAGLI YP_002978243.1 MMPGLYRKDFCFMLVRTVLFASIAAVLATQVPSFFGSTSQQPAD ALSANYASTESDEAAVPAPVSGSNAIRLQADAQGHYTGSFKINGKPVQGLIDTGATYV ALNETLARRLGFTANQLDFRYGVNTANGQTKAAHVTLDRVEIGGIRVRDVEAFVLRDN ALTTTLVGMSFLQKLASYSVADGSLSLKQ YP_002978244.1 MDGVTVIDHPLVQHKLTIMRRKETSTGSFRRLLREISTLLCYEV TRDLELTMETIETPLQTMESPILEGKKLVFASILRAGNGLLEGMLDLVPSARVSHIGV YRDHETLQPVEYYFKAPEDVAERLIIVVDPMLATGNSSIAAIDKLKERGAHNIRFLCL LAAPEGIRNFRAAHPDVPVFTASIDSHLNEKGYIVPGLGDAGDRMYGTK YP_002978245.1 MTSHLKKVELHCHLEGAAPPALTAAQAQKYGVDISAQLRDGAYV WHDFASFLECYDKVSEVYKTEEDYALLTETYLDELAAIDTIYSELIVSPDHGKRIGLG ADAYISGICEGIRRAREKNGIEARLIVTGERHFGPESVIGAAEYAAKAGNPLITGFNL AGEERMGRVADYARAFDIAREAGLGLTIHAGEVCGAFSVADALDAVRPSRIGHGVRAI EDVDLVKRLADLGTVLEICPGSNIALGVFPDFASHPLRRLKDAGVRVTISSDDPPFFH TSLKREYELAAGTFGFSDAEIDAMTRTAIEAAFVDDETRKALLARI YP_002978246.1 MARAFLFVLDSFGIGGAPDAAAYGDEGADTLGHIAEFCAAGAGD RAGLREGPLSLPNMSELGLMQIARSASGRFPAGMPVPEKVYGIYGAATEISRGKDTPS GHWEIAGTPVSFDWGYFPIEGDAFPAEFIEALCREADVPGILGNCHASGTEIIARLGE DHIRTGKPICYTSSDSVFQVAAHEEHFGLDRLLAFCRLARGLLDPYNIGRVIARPFIG QSASTFQRTGNRRDFSVVPPEPTLLDRLIEHGRHVHAVGKIDDIFAHQGISKVIKANG NEALMDASLAALDEAGDGDLVFTNFVDFDMIYGHRRDVPGYAAALEAFDARLPEVHKK LKPGDLVVLTADHGCDPTWRGTDHTRERVPVIAYGPGIRSRSIGVRRSYADIGESIAR HLGIPAGPHGRSFL YP_002978247.1 MALRNPFTRLVLTVRRLARDRRGAGAIEFAILFPVLVMLYIGAF EITVGLSVSKRVTRAAGTVADLVTQQQSVTKSALAQMPSVATAIFVPYNSTSLTLKIT GITIDAGANAKVLWSWAKDGTVPYAKNTTVSNVPADMKTANSFLVRTELSIPYTMFLF APNFMPDGMRTITISRSYFYRQRQGDSIPCGDC YP_002978248.1 MTIDQQTDKGRAVAPFRFLRFRGLARSREGAAAIEFALLAIPYF LVIFAILETFVAFAAEELVSNAVDTMSRRMRTGQITYNLGRTTDMNQAQFRQAFCDEI SILIRCSASEVATPSKLYVDVQTFSTFSAIPTTIPKLSTDKYADINTAAFKYAPGGAG TINMLRAYYRWEITADLVRPYITTIRPSDGSMPRQYLIVATAAFQNEQYP YP_002978249.1 MSSSGKTIFFAGMIAVFGISGVSAAADDDMLRVYMDHARVLKLD RPVSKVIVGNAAVADATVADAKTIVLTGRSFGTTNLVLLDADGNAILDERILVSIDEG NTVRVYRQTQRSVLSCTPNCEQHAQQAATATASP YP_002978250.1 MTKLFSRFLKDESGATAIEYGLIAALISVALITGATSLGGKIGN VFNGLSTKMDTSVTASGS YP_002978251.1 MIAAAVFLILPLCLAMAAFSDLFTMTIPNRISVILTASFLVLAP FSGLGLEMIGMHLAGAAIVFSACFALFAFNVMGGGDAKLLSATALWFGLNESLLFLMT DVAAIGGLITLLILLVRTQSNTILAIGLPVPNSVLLAKKIPYGIAIAIGGFMAFPSSP LFLAALESLK YP_002978252.1 MKPARLIILAVAVVAAGLAGLLAMQMAGSGGVVTQVRSVVEKEP TVNILVSSGNLSVGARLDDQAVHWMAWPQGGVVPGLITETDKPDAIKDLQGAVVRLPI FEGEPIRPEKIADSSSRILSSLLPAGKRAVATEISVATGAGGFILPNDRVDVIMVRKG AEADKLITETVLSNVRVLAIDQQIQEKDDGSKSVVGTTATLELTPDQTKVLAVAQQMA DRLSLALRSVADAQEQDTSAADYLLSGDNGSAIIQVIKSGAIVTDAGAAPKAE YP_002978253.1 MGNSTRRAGPLLTGCLSLAIGASGMVPASFAPLFGAGEARADSD SLVRISQTGSNAHRRLKLGLNKAVVVDLPEDAHDILVSDPTMADAVTRTSRRIYLFGK KVGQTNIFVFGAGGQEIVNLDIEIERDVSGLEVNLRRFIPDSNINVEIVSDNIVLTGT VRTPQDATQAADLAQVFLKGGEATTRTETASGTGGDSSVALFAEGRQSSQVVNLLQIE GEDQVTLKVTIAEVRREVLKQLGFDNLVSNSSGMTVAQLGSPSADSAASTVGGGLAAL FKSSIGKYDISTYLNALEQAKVVKTLAEPTLTAISGQAATFNSGGQQLYSTTDSDGNV TVVPFNYGISLAFKPVVLSSGRISLQIKTNVSEPVAGSGNATYQRRSAETSVELPSGG SIALAGLIRDNVSQTMGGTPGVSKIPLLGTLFRQKGFERQETELVIIATPYLVRPVAR NQLNRPDDNFSPENDGATFFLNRVNKVYGRREAPVADAQFHGSIGFIYK YP_002978254.1 MAHMIATTPRFGISKAFFAMAAMSMAILSGCAGPHDQLTTGGIP DDYRARHPIIVTEAEQTVDIPVASTDRRLTIAQRDLIRGFATNYISRASGPVYVLSPQ GSPNSAAAYQLRNQVRAELTSRGIASSKIVNTSYAAAGPGDAAPIRLSFTGTTAVTTQ CGQWPKDISNDLTNQNYYNFGCASQNNLAAQIANPEDLVAPRGMTPIDAQRRNNAIQE YRTTTSTIEDVGSDSSF YP_002978255.1 MSAIEYEIKNPSELRNAEEAVRMADLENMRPLPRISVHAFCESE VLQHVMERCANDRRVAKVSMRITSGGIAAAANMFSGAPTPNLIILETKANAANLLGEL APLAAVCDPTTKVVIIGYYNDIGLYRELIRNGISEYMVQPVAMPDILAAMASIFVDPE AEPLGRSIAFIGSKGGTGASTIAHNCAFGISNLFSTETILADLDLPYGTANIDFDQDP AQGIAEAVFAPDRLDEVFLDRLLTKCSEHLSLLAAPSLLDRAYDFDGQAFQPVLDVLQ RSAPVTVLDVPHAWSEWTRSVLSSVDEVVIAAVPDLANLRNAKNMLDALRKMRPNDRP PHLILNQVGMPKRPEISPSDFCEPLEIDPIAIIPFDIHLFGNAANSGRMISEVDPKSP TAETFSQISHIVTGRVAIKKARKGGLLGLLKRK YP_002978256.1 MFGKRGNEGSGKVGGAIAPPPPAPAAAPAASSPSILVEPSRESA RQQVTPPPMQTPQRKRPARTDEYYDTKAQVFSALIDTIDLSQLSKLDGESAREEIRDI VNDIITIKNFAMSISEQEELLEDICNDVLGYGPLEPLLARDDIADIMVNGAGQTFIEV GGKTIESEIRFRDNAQLLSICQRIVSQVGRRVDESSPICDARLPDGSRVNVIAPPLSI DGPALTIRKFKKDKLTLDQLVRFGAITPEGATVLQIIGRVRCNVVISGGTGSGKTTLL NCLTNYIDRDERVITCEDTAELQLQQPHVVRLETRPPNIEGEGEITMRDLVKNCLRMR PERIIVGEVRGPEVFDLLQAMNTGHDGSMGTIHANTPRECLSRIESMIAMGGFTLPAK TVREIISSSVDVVIQAARLRDGSRRITQITEVIGMEGDVIITQDLMRYEIDGEDANGR LIGRHMSTGVGKPHFWDRARYFNEEKRLAAALDAMEAKTKE YP_002978257.1 MFGFDPIVLAIVVLAAVSAAAVAYALLFSKIEADKKSASRINRV KSAESDRVKVKAARDRVQELSKRRKSVQDNLKDLEKRQHEKTKKTVSMKARLVQAGLT ITAAKFYLISAVFASMLLLVALVVGASWMVMVGIAVVAGLGLPRWVVGFLIKRRQTKF LNELPNALDVITRSIKSGLPLNDAIRLIATEGTEPVKSEFLRVIEAQQVGLSIPDACA RMTIHMPLQEVNFFAIVIAIQSQAGGNLSEAIGNLSKVLRERRKMKAKVQALSMEAKA SAVIIGALPFIVATLVYMTSPNYMMILFTDPRGHFIMGVSAIWMSIGIFVMRNMVNFD I YP_002978258.1 MSQDLAATLTNPSMLIALFVAIAVFATFYTIAIPFFERGDLNKR MKAVSTEREQIRARERARMNTETGAGKASLRNQNNRSVRQIVERFNLRKALVDENTVN KLRAAGFRSENALNTFLVARFLLPFLFLTLAAVWVFGLGNLAEKGTPIRLFAVIGVGY LGFYAPNIFISNRMGKRQHSIKRAWPDALDLMLICVESGISIEAAMRRVSEELGEQSP ALAEEMVLTTAELSFLPDRRAALENLATRTQIELVRSVTQALIQADRYGTPVAQALRV LAQEGRDERMNEAEKKAAALPPKLTVPMILFFLPVLIAVILGPAGIQVADKF YP_002978259.1 MSSAGIFISILAALAVGAMSPGPSFVVVSRIAISRSRLDGLAAA LGMGAGGVVFAVLALAGLTALLSQFEWLYVLLKIAGGAYLVYIAVNIWRSAGQPLEVS DTVNGNRALRLSFMNALLTQLSNPKTIIVYASLFAALLPRTVPLDLIVALPLGVFAVE AGWYSIVAFAFSARHPRRLYLAAKGWIDRAAGAVMGGLGLRLILSGLSAR YP_002978260.1 MPASLTTTFTNRILQGAAASLLVLALAGCSTTKDRMTTGSVPKL TKPVEEMDAIELRSATDRLGQAYEKNPRDPVTGVNYANLLRMNGRDTQALAVMQQVAI ANPADRNVLAAYGKAQAAAGQFQQALDTIGRAQTPDRPDWKLISAQGAILDQMGRASD ARQRYRDALDIQPNEPSILSNLGMSYVLTGDLRTAETYLRSAASQPTADSRVRQNLAL VVGLQGRFPEAEQIARRELSPQQADANVAYLRGMLSQQNSWQKLAAKDKTPQAAGDSN TN YP_002978261.1 MAPYQFIERPTPFNTKGGSTLPIFAVTPAHIETGTIDPIALNWA RRAGYKAESGSLLLIPTAEGHLGGALYGLGTNPSEQPYITGRLARALPAGDWHIETAP LTANRLALGFGLGSYRFDRYKSEKSPAATLMIPRDADAADIKRQLAGVFLARDLINTP TNDMGPNQLEAVFRGLAEHYKAEISVISGDDLLKQNFPLVHTVGRASADAPRLLELRW GKKGHRKVTLVGKGVCFDTGGLDIKPAASMLLMKKDMGGAANVMGLALMIMDAKLKVD LRVIVPVVENAISSNAFRPGDIYRSRKGLTVQIDNTDAEGRLILADALAYADEEEPEL LIDMATLTGAARVALGPDLPPFFTDDANLAHDLTEASLETDDPLWRLPLYSGYEKDIR TKFADLTNAPAGGMAGAITAALFLKRFVSKAKSWVHFDIFGWAPSERPHSPGGGEAQA IRALFHHIRESLR YP_002978262.1 MFTRCASLPIVKILFTLPDSNFQRLLHVHSNCRKMER YP_002978263.1 MPIELTASQALGLWHGAALDQVRHDDRDLTLRQMAILLHIYLVP PPHTVRGLAATLDVTKPVITRALDTMGEMGLVDRVRDDADRRNVIIKRTVGGALYLEK LGDLIRDQARRLPI YP_002978264.1 MTMLDRRLHAYRSDLAEAGLEGKVEASRFTEGAPARVAVPVLAV RPEPDLARGIDTELLLGEDVTVFDRAGGWCWVKAASDGYVGYVKADALLEGRPAPTHI VTVQRTFLYPEPELRKPHQAILSMGSRVHVASEAEARGNRYVVLEDGTAIFAKHVQPI GALDGADYVEIVARFLETPYLWGGRSGLGIDCSGLVQLAMLMTGRAAPRDTDLQAAGL GQPIDRSEIRRGDLVFWKGHVAVFEDPETILHANGHSMTVARENFEAAVERIGWLYEQ PTGYRRPIS YP_002978265.1 MTETDLTIRELRQRFADKSLSPLEYWLSLEDHIAAWEPSISALY LYDPESARAQAKASTERWAKGETLGSLDGIPVTLKELIATKGQPVPSGTRAVELRPAD ADAPAAARLREDGAVIFAKTTCPDYGMLSSGLSSFHPLSRNPWNTTQNPGGSSAGASA AAAAGYGPLHIGTDIGGSVRLPAGWTGIFGFKPSHGRIPADPYYVGRCVGPMARTVED AAFSMATLSRPDWRDGTSLPPNDFDWMDLDIDLSGMKIGLMLDAGCGLAVDDEIRAAV EAAAKQFEAAGATILSVQPVLTRAMLDGLDNFWRSRFWGDIADLDDNRRDSILPYIRD WAMGGADISGVDAVKGFNQTIEMRKSCGRLFTEVDALLSPTNPIISYPAEWASPTNDP ALPFEHIGFTVPWNMSEQPAASINCGFSRSGMPIGLQIVGPRFDDMRVLRLSKAFEDW TGGVRSWPQPPIG YP_002978266.1 MVPIASTAIASRRRIFRLSRRMNLISGTVIIGLLVAVALLSLVW TPLPPAKMQIIHKLQPPLAFGLLGTDQFGRDVLSMLMAGCWNSLSIAITAVMIGGTLG SISGISAAAIRGPFEALLMRICDVIFALPPILSAMMLGAFLGPGRFTAITAIAVFMIP VFARVTLATSLQAWSRDYVTAARAIGNTRLTISLRHVLPNIISQIIVHGAIQLGLAIL TEAGLSFLGLGMAPPAPTWGRMLGDAQTYLALAPWLAILPGLAIALTVFGFNMLGDGL RDLLDPREASR YP_002978267.1 MIPLLARRFAGLIVTLVVVSLLIFAVMDLLPGDPASIMLGTSAT PETLAALRHSLGLEQPLLLRYGQWLIGVLSGDLGNSLTYGVPVAGLIVERLAVTLPLA LMAIVLSVAIALPLGVLAASRRGGVFDIMVTLFSQISIAVPAFWVALLLIILFSTMLG LMPAGGFPGWGAGLLPALQALVMPAVALAMPQAGVLTRVARSAVLDTMHEDFARTAVA KGLSRSAVLWRHIVPNALIPILTMIGLQFTFLVAGAVLVENVFNLPGLGRLALQALSQ RDVIVMQDVVLFFAGLVIVMNFIVDLSYLAIDPRMRKAA YP_002978268.1 MIKFSLAPSARFARRLSLGAALSAGLVMTAMTPAEAAKTTLNLG MSVEPAGLDPTIAAPVAIGQVTWQNVFEGLVTIDQSGKIQPQLAKNWEISPDGLTYTF KLQTGVKFHDGEAFDATAAKFSLDRARGADSVNPQKRFFASIASIDTPDAETLVLHLS APTGSLIYWLGWPASVMVAPKTAADDKTTPVGTGPFSFASWAKGDKVELTRNADYWNK DAAAKLDKVTFRFIADPQAQAAALKSGDLDAFPEFAAPELMSSFDGDARLVTKIGNTE LKVVAGMNTAKKPFDDKRVRQALMMAIDRKTVIDGAWSGLGTPIGSHYTPNDPGYQDM TGVLPYDVEKAKALLAEAGYPNGFTFTIKSPQMAYAPRSAQVMQAMFAEIGVTMNIEP TEFPAKWVQDIMKDRNFDMTIVAHAEPLDIDIYARDPYYFNYKNPAFNALMKKVQETT DPAAQNAIYGEAQKILAEDVPALYLFVMPKLGVWDKKLKGLWENEPIPSNVLTGVSWD E YP_002978269.1 MQIRALMYFDELVRTNSMRQAAENLNVAPTAISRQIENLEYHFG APLVERSARGVKLTAAGELLAARAGRTLRELDHVQQLIEDLKGLQRGRVSIYANGATV ANLLAPALAEFSLKYPKLRFTVTITSARQAVDAVNSAEADIAVTLFAPPMSGTKVRLR SEIAYDLIATPQHPAAAHAEIPLRTLADYALALPDQSFGFRQAFDALFEKEGLSLDPV FVTSSLEMLKELVLSGAAATLLPALTVRREIEARQLLAIPLAGKTGIRTHVDLCVAPD RQLSFAATKLLDFIERFMRERTSRAAETKD YP_002978270.1 MPDLLNLITDIEGLSIGHATDLALGSGVTVIVFDEPAVASGTVL GGAPGGRDTGLLDPSMTVNAVDAFVLSGGSAFGLDAAGGVQAGLRERGRGFAVGSVRI PIVPQAILMDLLNGGDKDWGLHSPYRDMGYTALQAAAKGTFALGTTGAGTGATTATVK GGLGSASAVSSTGHRIAAIVAVNALGSATIGDGPHFWAAPFEKDAEFGGLGMPDVADH RMRLKGMNTPATTIGAVVTDAQLTKAEAHRLSLAGHDGLARALLPAHLPLDGDTVFAA STAKHRRDDMASLMELCHLATIVMARAIARGVYAATALPVEGAQMAWRDRYPNGR YP_002978271.1 MKKQVIEYAGVPVGIVIPDEDRLKFIAVKFHVHDLDEQRFGSPD EVRLAIHDLMTRRHPKPLHA YP_002978272.1 MTDEALVDRMALPRPDVTATDAEEILLAHYSLSGTLAELGSQQD RNYRVDSERGRYVLKICHAAYDIRELEAQNAAIRHLKSRQDAPRVPKVIPTNEGREIV VLTVRGQGYQVRLLEYLEGEGLTELTYLAPASVAALGALCARLAQALADFNHPGLDRS LQWDLRRAGPVAVQLLSAITDSAARDRIAKTMVMAVRRIQPLAPALRLQAVHHDVTGD NVVGHRDAHGHIIPDGVIDFGDIIRGWLVGDLAVTCASLLHQADGDPFHILPAVTAYQ AIYPLSEEELKALWPLIVARAVILVASGEQQISVDPDNDYVRDNLDRERAIFDTAMSV PFDLMEAAILKAAGVDVTAPETSGWLPLLPDIDPAGIAYVDLGVRSPHFSAGNWLNTD MDWRLLARMATENGTAATRYGEYRLSRAGTAKGQATCALHVDICLAAGSAIAAPFAGR IGWKDQHLTLASDTMTLHLDGLDLSVEDGAEIAAGDSLGTVFGEASSLGGLRVQLCSV AGLEPPLFATPRTAAAWSVLCPSPSLLLSPQADAPQPETAKLFARRRAHLARPQKNYY AAPPQIERGWKEHLFDVEGRAYLDMVNNVTILGHGHPKLAAAISAQWLRLNTNSRFHY AAITEFSERLAALSPDGLDAVFLVNSGSEANDLALRLAQAHSGARNMLCLLEAYHGWS AASDAVSTSIADNPQAPTTRPDWVHTIVSPNTYRGDFRGPDTAADYLGMATPVLEAID AAGEGLAGFIAESVYGNAGGIPLPEGYLKELYAQVRARGGVCIADEVQVGYARLGHYF WGFEQQGVVPDIITVAKGMGNGHPLGAVITTREIAQSLEKEGTFFSSTGGSPVSCIAG MTVLDIMAEEKLQENARAVGDHLKARLAALIDRHPIAGAVHGMGLYLGLEFVRDRTTL EPATEETAAICDRLLDLGVIMQPTGDHQNVLKIKPPLCLSIDSADFFADTLEKVLEEG W YP_002978273.1 MTSYVLTVSCKSTRGIVAAISSYLADKGCNIVDSSQFDDLDTGR FFTRVSFISEEGVPLAELKEGFEPICKRFAMDAEIHDGSARMKVLLMVSRFGHCLNDL LYRWKIGALPIDIVGVVSNHFDYQKVVVNHDIPFHHIKVTKENKLQAEGQIMDIVEQT GTELIVLARYMQVLSDAMCQKMSGKIINIHHSFLPSFKGANPYKQAYQRGVKLIGATA HYVTADLDEGPIIEQDTARITHAQSPDDYVSIGRDVESQVLARAIHAHIHHRTFLNGN RTVVFPASPGGYASERMG YP_002978274.1 MLVQLQYLRAIAALMVVYFHAVLQLAKVNPAVDATAFVYGETGV DIFFVLSGFVMWLTTSGRAMSPVDFARRRIKRIVPLYWLATLFSATVALVAPSLLKST VFDLPHLIASLFFLPWANPADPSTITPVVVPGWTLNYEMFFYFVFALLLPLQEARRIP AMFAVFAVILIACRLLPETTATRFYREPIMLEFLAGVVLGWLYGQKVLLPNRWAWAAL AMGFAFLFINEALMPPESRFYAWGIPAIFIVYGAISIDFSRLPVVGWLNYLGDCSYSI YITHAFTLAFLRVAADRLPIGILQQPVLFVMLSLVLSSIGGAIIHEITSPRRRKVAVA SRPPA YP_002978275.1 MAVVDQRTATFTVAGTVAGRASRTDHTVLWLGLLSVLYNGILAF INHNIVPLSMTHVAASEGLIMASAIIYILHKGIYETDLPAFLFLLFTLVVTIYVSVLN RMLFIDHFRNVLIIFCFTGLGGWSNEKTMKLAFRWASLAVMIFLIFEIVSVPFYVSIV HPSDYFANTRGLLPLSYNTTGLFQNALGFPERFSFGIIDHRSSSIFLEQVSLANFCGV IAVYLISMWERLGRWDRLLMVGTAVLILVTNDTRTMLIFCCACIVGYFVFPKIPKNFN LALMPLIVAAGFLVYVMKPNATGDNFTGRINLTMKKIMELDPLAILGLSVDRVAEFAD SGYVYLIYAATIFGVIALWLFVCLFPAGRTAAQRRCAHSLSLFIFLNMMIGGTAVFSM KIAGLLWFVVGYMRFHDSPRIRQGRPADVLS YP_002978276.1 MRTTRHLTALLFAAALTPSPVLAAEAPCYRGVNLSGGEYGERGG IYGTNYTYPSEDTIGYFAKKGMTIIRLPFRWERLQPALGGRLDEDELKRIKDTIGLIR KHGMAVLLDPHNFGYYDKTQVGTAPATDAAFGDFWARLAVEFANQDGVLFGLMNEPHD IKATDWLDAANAAIRSIRAVGARNLILVPGTAWSGAGSWEKDVIGGANGTVMLGVRDP LNFYAYEVHQYLDADSSGTHPTCEGASAAVAAINGVTAWLKQNHKRGFLGEFGASTDK DCMSGLTEIYATMSGNSDVWLGWSYWAAGDWWPADEPFNVQPRKGPERPQMRLLAEAA KAGAGICSAVKPAGK YP_002978277.1 MNQYDRNRISRLPGWRSFEPSQTAPEGVGMRSPVVRPDDFVRPS PEPAPPPFVPPASIAETRQYERPAPQPQPARQPVADAPPNAESAPAAPLLDLRSSIAA IWSRRLIVLGLALLGALAGGAVAPRIAQKFTAISSLYFDPRQIGLADAGAQSSGPSPE MISALIDSQVQILTSGNVLRRVAEAMKLDQDPEFTGGRTDGAAVIGTLQKALVITREA STYVVSLAATTNDPEKSARLANQVVTSFTEEENSASNGIYENTSSTLDGRLNDLRQKV LEAEQAVETFRADNDMAATEGNLISDQRLVSLNTMLVTAQEKTIQAKARADAVANLRV EDIVAGNQAEGGVTSPLVSLRQQYATQAAAVGSLESQMGTRHPRLQAARSSLQSIGGE IKGELQRLVTSARGEYEQAKAAEDSIAKELAVQKALQASTSDKQVELNELQRKATAAR DIYETVLKRSSQTSEEQNFNRSNIRVISPAEPPVKGDGPGKTILLVAGVIGGFLAGFV VGAGFAILAGLFSHPVIRSYFRKSPAAAA YP_002978278.1 MRPMMMLLILLVAVSSLAPASAFAVDWTKSVDPGVQPLYPYKGL PGVKAQPDKKEEESYNCRTETVQIRRRYDEIFRSGGMPTLMYVCERDGFISMDDKVPL RGHYQPVR YP_002978279.1 MVKYSIVDRSEANAGFDYLRMELPIECFRQAHPSALLTA YP_002978280.1 MTTKDDRLEFEAHKREMSLALGKDEASFQQSLNTLIGLDKFDYS YLWSWMGVPIIQLPVDILATQEVIWATKPDVIIETGIARGGSLIFMASILAAMGNDAA KVVGIDIDIRAHNRESIESHPMSSRIKMIQGGSVDDDVLAAVKAEIPPGARVMVVLDS DHSYEHVLAECRAYGPLVTEGCYLVVADTFIGHLTEEQAFTKRSKVWLRGNEPLKAVT DYLAETDRFEVDPVLNGKLVLSSSPGGYCVCRKA YP_002978281.1 MTNRIFYTKPSITQLETDYAADAAATGWGAHCYDYINRFERDFK TYLGSGFAIATSSCTGAMHMGLAALGVGEGDEVILADTNWVATVSPIVHLGAKPVFVD VLPDSWCIDPGEVERHITPKTKAIIATHLYGNLCDMDALLEIGKRTGIPVIEDAAEAV GSVWHGRRAGSMGAFGTFSFHGTKTLTTGEGGMFVTNDAALYERVLTLSNHGRARGQT KQFWPDEIGFKYKMSNIQAAIGCAQLERIEELVARKREILAAYMVRLSALPGISMNPE YSGTINGAWMPTAVFHPSTGTTREMMQQAFEAANIDARVFFYPLSSLSMFEDRPQNVN AWSIPGRAINLPSYHDMSEADIDRVAATLLDVAAGRIYHNSTALRQSV YP_002978282.1 MTTKDDRQEFEASKREMSLALGRDTDVFRQTLDTLVSLDKYDYS YLWSWMGMPIIQMPADVMATQEVIWATKPDVIVETGVARGGSMIFQAAMLQLIGKGKV VGVDIDIRAHNRQAIESHPMAHRVELIEGPSTSAETMAKVKASIPEGASVMVILDSDH SKAHVLDELRNYAPLVTDGQYLVVADTILGRYEPSQVPTKRSKVLLPGDEPLVALNDY LQETDRFELDTIINGKLVLSSSPGGYLRCVRS YP_002978283.1 MHLGSTFYDETELRSLPFRHLGSNVKIKRTAGLFFVENISIMDD ARIDDFTIIVASREHVEIGCNVHIASQCYISGSDGFVMEDFSGLAPGVKIYTSSDDYT GEKMTNPTLPRHLIGGPAGKVVLRKHVIIGSNSVVLPKVTIEEGSSVGSLSLVNKSLP AWGVYAGIPVRRLRDRSQNLLILEKELRASQA YP_002978284.1 MSGIKLSICIPTYNREAYLRNALTYCENDYKFDFPFEIVICDNA STDGTQQVVEEFIARGLPIRYYKRETNAGAAANVTSALRLGKGEYLIYLADDDILIAD AVAETIRYLDNNQEVTCAHAPWFLYDEVAKTDITKFYNVNEDRKFPQGSFGDVFQYIC ERHIFPEIAIYRSSTLRSAWIPREFCFYPFPFLAHFLDQGAVSFLQRPFYRSIANSAI VRDRPQEGTNDVMTSWDRYRGGLEYFLYTGARRGALNLTPETHLKYDEMCKIFTLNRM AVAFRFWAERKNFIKAYELYTRIMWGGMLDHPEIRSFRERLPLMVAIQTLVSEVNSAI GIDTLLLGGFPEITALEGLMRELGLSEKVKVTVKLSGSPLESTAVFVSADNDREYFVA LGYLPNLVFHQHDVARHIIM YP_002978285.1 MKFLPTAVSGAFVVEVDARSDDRGMFARTFDAQTFAAQGLVPVY PQCNISQNHKRGTLRGMHYQAQPRPEIKLVRATRGRVFDVAVDLRPDSPSYLKWASVE LDAIRHNAFYIPAGCAHGFLTLEDNCELFYQMSEAYVPELARGVRWDDPAFSIGWPFP PSIISERDAALDSYGQETSL YP_002978286.1 MTAHNCRFCNAPLKHRFVDLGSTPLANAYLTEEQLNKPEPSYPL RAFVCSECWLVQADAFVPPEDIFSHYAYFSSYSDGWVEHARQFTIMARKRFGLSETSQ VIEVASNDGYLLKHFVEAGVPVLGIEPAENVAEVARQIGVPTEARFFGRETAADLVSR GLASDIVIGNNVLAHVPDINDFVGGLSAVLKPDGVVSVEFPHLLRLMENIQFDTVYHE HFYYLSLLAVEKVFAAHGLKIFDVEELPTHGGSLRVLACRATSMVHAIGRGLAKVRTD EAAAGFDKVETYEAFQSRVAPIKNGLLAFLAEAKRSGKKVAAYGAAAKGNTLLNFCGV GTDLIEYVVDRNPHKQGHFLPGSKLPIYAPEKMDETQPDYVLILPWNIKNEVIAANSR VGAWGGRFAVAVPELTVLG YP_002978287.1 MGLTDFWNGRRVFLTGHTGFKGSWLSLWLERLGAEVTAVSLVPE TEPSLYRKLAPWDDRGHHIVDIRDAEAVLNLSRRFQPEIVIHMAAQALVRRSYENPAE TFSTNVMGTANVLDAVRQTPSVKTVLVITSDKVYANNGSGIPFVETDVLGGKDPYSNS KACTELVCQSYRDSFFKGRDLRLATVRAGNVIGGGDWSKDRLIPDFIRAFEGGEPIML RYPAAIRPWQHVLEPLGGYLSFAEVLTQQRGRDLPDALNFGPHPESFATVSELAEALG RAHCVEDVWRLAPGEHLPEAPALTLSSALALDTIGWRPRLSLQQTIDWTAAWYKANRE GVDMRAFSLGQIAAYEETVS YP_002978288.1 MKVVILAGGLGSRLAEETSIRPKPLVEIGGMPILWHIMSIYAHH GLNDFIICAGYKGYLIKEYFVNLVLHHNDITVDLASNSINYHGGTRPNWRVTVVDTGM HSMTGGRLGRIRDHLTPSEPFCMTYGDGVGDVDIAAEVAFHRSHGLKATMCAVTPPGR YGATNIEGQFITSFIEKPRGDGQRINGGFFVLDPSVVDLIPGDDTIWEAGPLEWLAAN NELAAFKHDGFWQPMDTLRERNHLEELWNSGKAPWKLWA YP_002978289.1 MIRFATTPASTPEPIKAREVSAAKPAIEMPPPKPVIEVAAAGPA AGLLVPSSVADELGSDTRPKTTKPARRAATKRKPKVVEADDASLQLRLEA YP_002978290.1 MLAPRTLLLAGLLAILAPAIAGADSLKLGKPGIGPLNTGSTLCD FRGCFGFGPQQWHRPAYVQPNYRPRGAGPTYYRPGAAGRPQLTYDPPPVQRVQPKPRD MNSHAAWCSNEYRSYNPRTDRFLTYEGIYKTCRSPYR YP_002978291.1 MTEKARVTILYCTQCNWLLRASWMAQELLHTFSDSLGEVALIPA TGGNFEIRVNGDLIWERKRDGGFPGPKELKQRVRDIVEPGRDLGHVDRASLES YP_002978292.1 MRVAVIENMRNTGLGALAAALEEAGAEIEWFRVWQDGILPKDIS GHDALVVLGGEQSALDDETHPYLPELVRLTRRFGDAGKAVLGICLGSQILARAYGADN LLGAAREFGWHGIGVTAEGRADPLLSALGGEFTIFEWHADTFSLPEGAVRLASSPVAE NQAFRIGRAVYGTQFHFEANSEVVAQWKAEFPDTIARIAPGWLENHAELAARHAGAAD AAGLAIARAWVSLIEREEVEMLSQASA YP_002978293.1 MPASEREKMAAGEWYCCLDDELDLLRRQARLAVHAHNTLPPDER GAVAPALRALFAHAALNVFIEAPFHCSYGINITLGERVYFNAGCTILDSGRVSIGDRS MFGPGVQIYCAEHHKEPALRSTGIEIARPVTIGNDVWIGGSAIVLGGVTIGDGAIVGA GAVVTRDVPAGATVVGNPARIR YP_002978294.1 MSERTLPTEGGCRCGRVRLKISAPPLLTMACHCTGCQKMTSSAY SLSAAIPSEGFEVTQGEPVIGGLHGITKHYFCPHCMSWMFTRPEGMDWFVNLRATMLD DPSWFTPFIETWTSEKLSFAETGAVHSYEALPEMEAYEGLVKEYMARS YP_002978295.1 MARFHVYRLKSGNLLAIDLQANLLDDLPSRVMVPLHPVQELSWS ISRLNPRFPIEGETYVMATQRMASVPTAEIGKVVADLSGKSDAIIGATDFLFQGF YP_002978296.1 MAQQTRKAANLSLDENLVSQARALQINISRAAEDGIAQAIKAER ERLWRIENAEAIRLENEYVEKHGLPFAKYRQF YP_002978297.1 MARMSTNSTTPLSHIRNFSIVAHIDHGKSTLADRLIQTTGGLAE REMSEQVLDNMDIERERGITIKAQTVRLHYQANNGEKYILNLIDTPGHVDFAYEVSRS LSACEGSLLVVDASQGVEAQTLANVYQAIDNNHEIVTVLNKIDLPAAEPDRIKEQIEE VIGIDASEAVLISAKTGLGIPDVLEAIVHRLPAPKSPGGEKAPLKALLVDSWYDAYLG VMVLVRVIDGVLTKGQTVRMMGTDAKYQVERVGVLTPKMVNIDRLGPGEIGFITASIK EVADTRVGDTITEDKRPTAQALPGFKPAQPVVFCGLFPVDAADFEDLRAAMGKLRLND ASFSFEMESSAALGFGFRCGFLGLLHLEIIQERLEREFDLDLIATAPSVVYKMFMTDG TERELHNPADMPDVVKISEIHEPWIRATILTPDDYLGSILKLCQDRRGIQIELTYVGT RAMLTYDLPLNEVVFDFYDRLKSISKGYASFDYTLTDHREGNLVKMSILVNGEPVDAL SMMVHRTAAEKRGRDMCEKLKELIPKHMFKIPIQAAIGGNVIARETISALRKDVTAKC YGGDATRKRKLLDKQKAGKKRMRQFGKVEIPQEAFIAALKMGDE YP_002978298.1 MDASTQSTELTGSFTAAAWDRIAPIMAEIEALPLLQRLSDGTLP PEVFRHYILQDALYLKHYARCLAIVAAKAPDNAQVLRFLGSAQKAITVEQGLHAGFLT QFGITSADVTSAEPSPAGFAYTNFLLATAYHSSYAVALSSILPCFWIYWHVGEAIKNR PAIEGNAFQAWINTYGDPQFAAGAREVIALTDIAARAASPVERAQMTDVFVRASQYEW MFWDSAWRLETWPV YP_002978299.1 MEPELEQAIGIRIRTLRQEKALTLDDLAAASGVSRAMISRIERA EASPTASLLARICAALGLSLSAFFAEDGQASPLARRQEQQVWRDPETGYMRRSVSPPG TASDVDIVEVEFPAGARVSFPPHASVHGMTQHIWLFDGELEMTAAETVYRLRPGDCLF MPVGEGHVFHNPGNMPARYCVVLDRGGR YP_002978300.1 MPAIRILSAEEARAAVPALSQVLVDCVAGGASVGFMQPYGPEDA EPYWCDVADAVATGGNLLLVAELDGRIVGTVQVGAAQMPNQPHRGDLKKLLVHRSARG RGLARLLMDAAEREAASRGKTLLVLDTATGSDAEAIYPRLGWQRVGVIPDYALWPEGG FCATTLFYKRLA YP_002978301.1 MKVLMVDVDGVLIHGRPTDGLPLFTYLERDLGLRLDLLQQEFFQ THWGDIIIGRAALEPRLAGVLAKIAPHLSAETLIDYWFENDSRLDRNLLEELAALRQS GITLLLATNQEHRRARYLMEQIGLNAHFDDIIYSAALGHSKPSPDFFRMATERAGVLP GEIAFIDDMAVNVEAARQFGWNAAQWTAGATLGGAFPVFARPA YP_002978302.1 MRVIYSEDHKLRDARTELHAGQLVTPFEAPFRAEWILAAVKQAG FTDVVAPDPHGLETARKVHDPAYLDFLATVWDRWVAAGFTGEAIANSFAVRRTSQRVP DNIVGAIGHYANAADTSITKGSYEAAIASMRCAISGADWLTQGNRFAFALCRPPGHHA GIDLFGGYCFINNSGVAAQRLLDSGARKVAVLDVDFHHGNGTQDIFYRRGDVFTASLH GDPMHAFPYFLGHADEEGEGEGEGSNRNYPMPPGTPWDVWSSALADALTRIKTFGAEA VVVALGVDTFERDPISFFSLTSDDFTRMGAMISATGLPVLACMEGGYGVPEIGLNVAN VLKGLEA YP_002978303.1 MTDETVPSDIPTSRMLSWARNSAIYRLERRMMTEKQLFDAISRK AKEKFEDISAAQLKAIADFAVKFAYDNKVLDDSAYAEISTRSAVRGGKSKRAIAQKLA AKGVSSDKVGAALEEADDLYAAAIFARKRAFGPFRRVELDEKRKAKELSAFARNGFSF DIGRKIFDMSFEDAEEVILAGRSLVPQHQRS YP_002978304.1 MDIKNIETGTDSAALIAQPGVSSASGGLAAGVAMCLMSMSSIQF GAALSSSAIATYGVAGATWLRLAFAAIILAAVIRPSVLRYSGAQWRATLLLGTTTAAM TLCFFAAIQRLPLGLAIAIDFLGPLSVAVFGYGLTWRLTWPLIAAAGILFLAHDGEGW VGNSSGVLFALGSAVGWAVYILLTKKVGAAFKGLEGLSMSLLVAGLVATPFGLAETGG AFTLKGLVEVLGLAILVPLLPYALEMVALRRMPSASFGILMSLEPALGALAGFLILAQ PMTALQMLGTALVVAASAGATASAAKS YP_002978305.1 MDNDIQGRPAHVAAIRERAEAEMREMGVDAAFIDRLVETFYGRV LAHPDLGPVFDARLSGRWPEHMAKMKSFWSAVAFRSGAYGGKPVQAHTGVQNLTPDLF PKWLSLFAATLDDIAPTPEAKAWFMATAERIAKSLILSLFYNPALDDPARKPA YP_002978306.1 MLARFFRSSKRSFESLSEQEILALGIASEEDDARIYLAYADRLR RDFPASAKVFEDMAEVEDTHRKSLIEIHRQRFGERIPLIRREHVQGFYERKPDWLRAN LSLDAMRQETEAMEEQAYRFYVEAAKRTSDASTRELLGDLALAEQGHEDIARMLGDKH TPEDVKHDEDATVHRQFVLTYVQPGLAGLMDGSVSTLAPIFAAAFATQDTWQTFLVGL SASVGAGISMGFTEAAHDDGKISGRGSPVKRGLACGIMTALGGLGHALPYLIPHFWTA TITAAVVVFFELWAIAFIQNRYMETPFLRAAFQVVLGGGLVLGAGILIGNG YP_002978307.1 MRIKGIFVAMMAVFAMATAAIPAPSRNASMVTGNATSQPIGHYD FCQIHRSECGANRNAGPVAMNPGKWSLVRSVNATVNRTITPMTDKEIYGKDEVWAYPT TAGDCEDFALLKRRMLIQRGFSAADLLMTVVRKPDGEGHAVLTLRTAEGDFVLDNLAS DVKPWFGTPYSFVKRQSSYNAGRWVTIENGRDVLVGALR YP_002978308.1 MSDQMPDSAPQFLTVGEGEAAREIAMLVRPAQAGNGAPALVWLS GYRSDMSGTKAVELDGLAAELGLACIRLDYSGHGLSGGNFGEGTISRWLEEALAVIRH VAPDRVILVGSSMGGWIALRLAQELARQGGVTLPKLAGMVLIAPAPDFTSELIEPNLK AKERKSLAERGYFEERSQYSPEPNIYTRALIEDGRKNRVLDGIIETGCPVHILQGMKD ADVPHAHAMKLVEHLPADDVVLTFVRDGDHRLSRPGDIALLLSAVKGIIRSSTNRQMP A YP_002978309.1 MTRHVCAPDREREAATFRKQREENTTIRRPFKTDAPVKDGPRSN REIRIPKVQLIGADGQNVGIVPTDQALKMAEEAGLDLVEISPNVEPPVCKILDLGKLK YANQKKAAEARKKQKIVEVKEIKMRPNIDTHDYEVKMKAMGRFFDEGDKVKVTLKFRG REMAHQELGMKLLQQVKADTIEFAKVEAEPKLEGRQMMMVLAPK YP_002978310.1 MPKMKTKSSAKKRFKITASGKVKAAAAGKRHGMIKRSNKFIRDA RGTMVLAEPDGRKVIKNYLPNGL YP_002978311.1 MARVKRGVTSHAKHKKVLKAAKGFYGRRKNTIRTAKAAVDRSKQ YAYRDRKVNKRNFRALWIQRINAAVREFGLTYGRFIDGLNKAGIEVDRKVLSDMAIHE PEAFGALVSAAKKALEYLKEAGTTNEFEGAVK YP_002978312.1 MSDIDQLNTSLLAEIAAADDETALEAVRVSALGKKGSVSELLKT LGAMTPEERQSKGAAINVLKNAVTEALTTRKTTLRQAAIDARLKAETVDVSLPVRSSP AERGRIHPISQIVDEITAIFADMGFSIAEGPDIETDYYNFTALNFPEGHPAREMHDTF FFNPDENGERKVLRTHTSPVQVRTMEAQTPPIRIIIPGKTYRQDSDATHSPMFHQVEG LVVDKKANVANLRWVLEEFCKTFFEVDSVTMRFRPSFFPFTEPSFEVDIQCDRSGPIV KFGEGTDWMEILGCGMVHPNVLRHGGLDPDEYQGFAWGMGLDRIAMLKYGMPDLRDFF NADVRWMTHYGFRPLDMPTLFGGLSA YP_002978313.1 MKFTLSWLKEHLETDAGLDEICTRLTEIGLEVEDVDDKAAFKPF VIARVVSAEKHPQADRLKVLMVDTGSGAPVQVVCGAPNARAGLIGAFAAPGTYVPGID VTLAVGNIRGVESHGMMCSEKELQISDSHDGIIDLPDDAPVGQSYAAYAHLDDPLIEI NLTPNRPDCTSIHGIARDLAASGLGTLKTRPAPSFAVEGETPVKLTLDLDDPKLCPGF ALRLVRGVRNGPSPRWMQQRLTAIGLRPINALVDVTNYMTFDQGRPIHVFDAAKINGN LTVRRAAEGETVLALDQREYKLSPNNVVISDENGIESIGGIMGGEHSGCDENTVDVLI ESALWDPMNIAKSGRSLGIITDARYRFERGVDPDYMAPGLERTTELVLELCGGKAARA EIVGYQGYEPKIVDFPYSEVKRLTGLDVSNEESNTILTRLGFTVSGSGERVSVAVPSW RPDVDGKADLVEEVMRIHGVDNIKPAPLESHAAVNGKILTTLQIRTRLAKRALAARGM LEAVTWSFIPEDQAKLFGGGSPTLKLANPIAAEMSDMRPSLLPGLLSAAQRNADKGYG DVALFEVSGTYENDRPEGQRRVAGGIRRGTASLAGAGRAWSNTAKGGGKPVDVFDAKA DALAVIEACGLPMGNIQIEQGGPAWYHPGRSGTIKMGPKVVLGYFGEFHPLTLEALDV SGALCGFEVYVDAMPEAKRKATRTKSALELSPFQVVRRDFAFVVDKTVEAGAIVKAAT GADRKLVTGVNVFDIFEGASLGDGKKSVAIEVQIQPVERTLTDEDFEALTQKIVASVA KFTGGVLRS YP_002978314.1 MSDRQAVEQTVHLYVEGMAFANEAALKKAFHPKSSIIGHYENAV EWLTRDEFIAAILQEEPAPPGTQPYMDIQSVDVEGDAASVKVTDDFAGMRFTDYLSLL KIDGRWTIVSKLFHLHR YP_002978315.1 MKTRKLGNDLTVSAVGLGCMGMSFAYGASDDAESIKTLHRAIDL GVTFFDTAEVYGPFTNEVLLGKALKPFRDRVVIATKFGFKIDASKPGTAAIAGVDSRP ENVRAVAEASLKRLGIETIDLFYQHRVDPNVPIEETVGVMAELVKEGKVRALGLSEAG SATIRRAHAVHPIAALQSEYSLWTRDPEEEVLATCRELGIGFVPYSPLGRGFLTGSIR KVEDLDADDFRRQVPRFQAENFDANAALVSTLERLAAEKDVTAAQLALAWVLSQGDDI VPIPGARKLHHLEQNAAAADIVLSPAELEQLSNAIPAGQVAGKRYSDASLAMTNI YP_002978316.1 MNRTQLSQLAVLAAVSEHRSFRAAAKELLVAPSAISHAISSLEE SLGVRLLARTTRSVAPTEEGRLLLERLRPALEEIDIALEAVRDTRAKPAGNLRITAPR FASDLLLAPRLGDFLNLYPDITLEIANEDGFTDIVKEGFDAGIRLEESLEADMIAVRI SPNLTTVIAASSEYFEHHPKPEHPRDLVHHRCIKRRFTNGSIYRWEFEKDGQELVVSV DGPLIVSEDRLALLAALNGAGLAYLFDMRVYDELASGKLVRVLEDWCAPYAGPFLYYP TRRQMRPALRAFIDFFRYSEQDTGGR YP_002978317.1 MAKEKTLEDLFYDTLKDIYFAERQILRALPKMARAAQSPELKKG FEKHREETEGQVERLQQVFELIGKRAQGKTCEAIQGIIAEGEEIMEEFKGTAALDAGL ISAAQAVEHYEIARYGTLKTWAATLGFKEVVGLLDQTLQQETATDKTLSQLATTAANQ KAKAA YP_002978318.1 MRKPNSMKGLEDLGRVRLSQNFFLRDFLHSEIADFYRIPNIPED PDLAVEAGRRLCEELLEPLEATFGRLHIRSGYRSPAVNRFGNENNLNCSTNASTAAHH IWDMRDFDGCMGAAVCIAVPWMIDHYRDESDWQRLAWWIHDHLPYASLCFFPKLGAFN IQWHERPKRVIQSYISPRGILTKPGMANWEGDHSEWYAGFPSLTAPRVFSDEQRTL YP_002978319.1 MTVTIRDARPEDEARWRELWAAYLAFYDVTVDADITESTWRRVF DPASAIAIRVAEIDGRVMGFALYLTHEGTWIRGRDCYLEDLFVDADARGKGVGRALMD DLVSICRAKGWSRLYWHTSEQNRTARALYDSYVESDGHIRYRISFTA YP_002978320.1 MEMRRLGKTGLSVAPIVIGGNVFGWTADEKTSFAILDAFFDAGL NTIDTADVYSSWVPGNKGGDSEEIIGRWLSQAKVSRDKAVIVTKVGSDMGQGKTLKET YILKAVEDSLRRLQTDYIDVYLSHWPDEDTPHEETLGAFAKLKQQGKIRAIGCSNYDA KLLQASFDAAEKAGLPRYDVLQPEYNLYERSSFEGPLADLCVKEDIGVITYFSLAAGF LTGKYRSKSDMQGRAREGRVSKYLDDKGLRILAALDSVSAETGAKPAEISLAWLLRKK GVTAPIASATSLSQLESLAKSATLALSDDAMALLDEAGA YP_002978321.1 MLRFGIISTAKIGRDNVVPAIQDAENCVVTAIASRDLKRAREMA DRFSVPHAFGSYEEMLASDLIDAVYIPLPTSQHIEWSIKAADAGKHVLCEKPLALKAD DIDDVIAARDRNRVVVSEAYMITYSPVWQKVRSLIDEGAIGSLRHVQGAFTYFNRDPA NMRNVPELGGGGLPDIGVYPVMGTRFSTGKEPLWIQAITERDADFGTDIYSSVKADFG DFELSFYISTQMANRQVMVFHGTEGYIEVKSPFNANRWGPEEIELADRSHSESRIFRF QDSRQYKRQVEAFARAVTNGKEEIVTLENSKLNQKVIDAIYRASEKDGWEAV YP_002978322.1 MRKLIRFIIAGGIGFLVDAGILSALLHLTPLGPFLARLVAIAFA MAATWAFNRSFTFDRSGRSLAAEGFRYGSVGVTAALVNYGLYSALLLSLPALQPLAAM VIASIASMIFSFFGYSRFVFRAE YP_002978323.1 MARSRTDNLNIAVLLPCYNEAATISAVVQGFRATLPDAAIHVYD NNSTDGTALQAMLAGAHVVRERRQGKGHVVRRMFADIDADIYIIADGDGTYAPEDAEE LVRTLLTERADMVVGTRRGVHADAGRQGHALGNRLFNLLYRMIFGPDFTDIFSGYRAF SRRFVKSFPAVSGGFEIETEMSVHASRLKLPVSELELDYGRRPEGSHSKLSTFRDGAK ILWMFAMLMKETRPFAFFSAISATFMLASLGFMAPVLAEYFETGLVSRMPTWVLSTAL LMISFMLFTAGVILDSVARARAEQLRIHYMGLERPSALKAPLSDAGPVSRARPGKADA A YP_002978324.1 MNAVQPIPHASSAIDAPRGGVPAPRGGLRDLLTRLWPTVIAYSV ILAAAILITKLSGATDYVGPDNDDGMRLVEARDFLAGQGWFDLMQYRLGLDGGTLMHW SRLIDLPIASLIWFFGLFAPRETAEALALAVWPVSLILPAMLAMAVAGRRIGGVAAMH ISLGLTGLAIYTGNRFAPGAIDHHNAQLGLVATMIAMLLDPERRAWSYAVAGVAAAVA IAIGAETTPFVAAVCLTIALLWAWEGEDFAAAAKAFGLALAIAISILFFATVPPRLYS TVTCDNLSLGYYSLAAIGGGLLLFSAVFASHLRRPLRFAALAVVGAGVLGSAIVIAPQ CLSDPLAGLDPMLVELWLRNVSEAQSIFALGRTDPFSIGGFYAAGLFGIAVCIFRVFQ RDRMQIHLVLLFLLMTSWAIALVQVRGSTFSNLISILPLALLIIDVRRISNGDGENVA AAFVYIVTVLASAPAAWAVGGGFISLQMENAAQKKAAEPAKKISCASQAALAPLAGLP AGLVSAPSEMGVPILRFTANRVLSAPYHRNQGGMLTELHIDMAEPQEAEAFLKGTGVT VLAFCPGDLQTREIAKLKPDGLYAELGKGNIPPYLEPLPKAADVGVQFFRYRPAMN YP_002978325.1 MIILTAAALGVSAGQTRSAGVIALVAALIGITFALAAITSPGPV SILAFVYAVLGYNGGLMLFVLGLYASQRLRRAMRVSH YP_002978326.1 MSNVFDGDSPTNLAEYSVSELSGSIKRTVETAFDQVRVRGEISG YRGPHSSGHAYFALKDDRARIDAVIWKGTFSRLKFRPEEGMEVIATGKVTTFPGSSKY QIVIETLEPAGAGALMALIEERKRKLGAEGLFDAARKKRLPFMPGVIGVVTSPTGAVI RDILHRISDRFPVHVLVWPVKVQGEGSGEEVANAIRGFNALEPSGAIPRPDVLIVARG GGSLEDLWSFNDEIVVRAAAESRIPLISAVGHETDWTLIDYAADVRAPTPTGAAEMAV PVKAELEAQAAALAARLQGCMNRQMDQRRQSVRALMRALPSLDQLLALPRRRFDEAAT GLGRGLELNTINKRRGFERVAAHLRPDLLAGRIAERRQTLNERMARAERMVERLIDRS KSRVDRAEAILASLPARLKTQTDRGRERLGNLSRHADTAVRHQLTRARAELSSQDRVL QSLSYKNVLKRGYAVIRDEDNRPVSQAAQLSAGMGIAIEFADGRVGAMTTEGGAPPAG AKKRSARPADPPKQGSLF YP_002978327.1 MRILLVLAHPLEDSFAAAVARTAREALEASGHVVDLLDLYAENF DPRLSKAERGGYFDQPYDTSAVADIVARLNAADGLILVFPQWWFNFPAILKGFFDRVF APGVAFSHDAAGGRIVPQLTNIRLLYALTTTGSPWWLVRVYMGDPVRRLLKRGIAAFC SKGLNFKMLSLHDMDRATDAKRRAHLYRVRKLLSAIR YP_002978328.1 MTFMPQSQNTIDPVKLEKLAEVAVKVGLQLQKGQDLVITAPVVA LPLVRLITKHAYLAGAGLVSAFYSDEETTLARYQYGSDESFDRASGWLYEGMAKAYAN GAARLAVAGDNPMLLSEQDAGKVGRANRANSTAYKPALEKISNFDINWNIVSYPNPSW AKVVFPDDPEPIAIAKLAKAIFAASRVDVSDPVAAWAEHNANLGKRSAWLNGERFASL HFQGPGTDLTIGLADGHEWHGGASTAKNGITCNPNIPTEEVFTTPHALRVEGHVSSTK PLSHQGTLIDNIQVRFEGGRIVEAKASRGEEVLNKVLDTDEGARRLGEVALVPHSSPI SASGILFYNTLFDENASCHIALGQCYSKCFLDGATLSQEQIKAQGGNSSLIHIDWMIG SDKVDIDGIKPDGSRVPVMRQGEWA YP_002978329.1 MSKLTLVSHHLCPYVQRAAIALLEKGVPFERINIDLADKPDWFL QISPLGKVPLLRIEEEDGSEAVLFESSVICEYLEETQPGVALHPNDALTRARHRGWME FGSSVLSDLWGYETAEDAAQLEAKRKALIAKFATVEKMLTDGPYFAGNDFSLVDAVFA PVFRYFDLFETLGDSDIFEGLERVKRWRKALSERASVKAAVGEDYPQRLMEFLDKHNS ILLRQPAAA YP_002978330.1 MSEKPSNPSEAVTSAWTSIMRARERLLGAIEADLKTAGMPPLAW YDVLWELARSQDGKLRPYEIEERTLLAQYNLSRLLDRLEREGLVRREVFAEDGRGRWV VMSDAGRKLRERMWIVYAKSIETHIGCKLAENEAKAIAGLLDRFL YP_002978331.1 MSKTTRATQLLSQAGVAFTVHAYDYDPNAERVGLQAAEALGEAP HRVLKTLMAEVDGNPVCVVVPSDREVSMKKLASAFHGKSANMMRPADAERLTGYHVGG ISPFGQKKTVPTAIEEAALGEPLVYINGGQRGLQVRLDPKHALKALKAVAAPLIA YP_002978332.1 MAVTIYGIKNCDTMKKARSWLEEHSVAYEFHDYKALGIDRAHLE AWIDQAGLDTVLNRAGTTFRKLPDAERENLTREKAIALMLDQPSMIKRPVLEVKGKLL VGFKPEIYAGTFGG YP_002978333.1 MDVSEIIIPGDTPGTEWRLPVLRFKGHDPKAPKAYIQAALHAGE LPGTVLLHFLCERLRQAESQGGIAGDITIVPQANPIGAAQSHFGELQGRFDLGSRTNF NRDFPLISIVDRTTLTEDLDDYPATDRLKRQLLHMALGADLVLDLHCDDESLQYAYID EAFWPEAADLAASLGMEAVLLSDGESSAFEEAVGFAWKYEVPGERRPRLPGKLSVTVE LRGKRDVDPVLAKKDADGLWHFLAARGTVGDEKPAAMPFSGPAVPLDNVEIIRTPEGG AVLFHRDIGERVAEGDRLVTIVTRPGQPGGSIELTAPQDGLILTRTSDRLVRRRGDLM KIACDEPSKASRKAGTLEN YP_002978334.1 MNQMPFDFGDDKNGRGRRNESYVSGHRLFFALCPPDAVEQQAAA IGDDYRRAFSLSGMPRLTTLHVSIIWVGDYPRLPEDVVFAARQAGATVESAPIAISFD RIMRFPQARSLVLCGEGGRKPLTRLHVQLGVGMYNAGLRHNVGRDYKPHMTLLYDRKA VPPTTLDTPVSWTASEFLLIHSVLGKTEHRIIDRWPLLG YP_002978335.1 MAETTHHYLIFETAGGFCGIAWSDAGIIRFQLPTKSAEATERLL LRRLPDAEAGAPTPGVLETVAAVKRYFQGEETDFSGVELDLAGQDAFFRDIYAAARRV GWGRTTTYGALAKELGVGPEAARDVGQAMAKNPVALIIPCHRVLAAGGKIGGFSAPGG SSSKARMLELEGVNLAPPPPAQQSLGF YP_002978336.1 MSDIKGKVIAITGASSGIGEAAAKVLAAAGAHIVIGARRTERLE ELAGEIAAKGGSVRRRQLDVTDRSQVEAFAGFARSEFGRLDVIVNNAGVMPLSLLDAL KVDEWDRMVDVNIKGVLYGVAAALPIMKAQGSGQIINLSSIGGHSVSPTAVVYCATKF AVRAISDGLRQESDRIRVTVISPGTTTSELADTITDPTARDAMKAFRAITISPEAVAN SILYAVSQPDDVDVSEIIIRPTASPY YP_002978337.1 MSSPTPIWFITGASSGLGRALAEAVLARGWRAAVTARRPETLAD LTAQHGDPALALALDVTDSRSIAGAVRDAETHFGAIDVLVNNAGYGYFSAIEEGDDAE IRAQFETNVFGLIAVTKQVLPGMRRRRQGHIFNVSSLGGLVAFAATGYYHATKFAVEG LSESLSHEVRPLGIDVTILEPGAFRTDWAGRSMVESSTIIEDYAETSGKRRQATRSIS GNQPGDPARAATAIISAFEADVPPLRLLLGAPALKIARERLDALRTNFDAWAETTLSA DFPS YP_002978338.1 MRKFFKSSVLPFFTAITLAGTAISSSAADAMNRQSHQQQTSENA MQQHSYVGMWVTDDGRVRHELLPNGRYDEARGSRESAYRGRYDVTGNHIEYWDDTGFT ADGDFVDGNTLHHGGMVLRRK YP_002978339.1 MVDDLEGISVFLAVAEARNFRLAGERLGVTRSAVSQALQRLEDR VGVALVQRTTRSVSLTEAGEVFFEAVRPSVRQVNDAMQTVREMQARPSGLLRITVSSI AENFLSGTLLAGFMEACPDIKLDITITDDEFDIVEAGFDAGVRLGEVIEQDMIAISVS EEQRQCAAASRSYLDRRGHPRHPRELQNHACIGWRPRPDTAPYRWEFTENDRDFDVSV DPTVTTNDMGMMIRMACAGAGITFGMAETFQSYIDRGELVPLLEDFCPPFPGFYLYYP RRQRQPLKLRALVDYVRSSDRR YP_002978340.1 MLDDVFPVPARALIRQSGRACFYRLVNTSPLLIQVQSGTKIVMA DDKALRLEAGAYGLLPDYRPLTMENIPKAPQKYQTLALPVPRQLFEEAYSRMGSIAVP SRPVPAVTSDLPEEAAALFDYCCQPGNLTRLPGAIAKARLLELITWFALGGAVLGQCQ SPRLEDRLRQMIESDTAFDWTLGHAARSFNMSEATLRRKLAAESTGFTEILSDTRMNR ALGLIQTTTLPMAQIALEVGYDSPSQFAARFKERFGVNPRHVRGGSERFERIGAEVER SGADALAR YP_002978341.1 MRFITAVLLATSVFGATAAQAEMKLTSKDLVASKSMADAQIFNG FGCAGKNISPELTWSGAPEATKSFAIMAYDPDAPTGSGWWHWSVFNIPANASEIATGA SGDKKLPAGAVEGHTDFGMSGYGGACPPAGDQPHHYKFTVYALSVDKLPLPETAPAAM VGFYVRANTLAKASVEVTYGR YP_002978342.1 MIIELTSHDFDALLKGIAPAHLRLVQDSAIAPPEVLAMLSRLAA DIGAEFSPSAWMIVEDDEIVGLCSVIKVPRDGNIHIGYGVAPSRQSRGCATRAIGQLL QWARNDHRVALVSAETGVDNITSRRVLGRNGFIRIGERVDAEDGPLICWEAMTV YP_002978343.1 MTAALRNYHERMQRVLDYVDRHLDDDLDLDVLSSVAAFSKFHFH RQFTATFGLSVYRYIQLARMKRASYRLAYRDEERVTDIAMDAGYDAPDAFARAFRQRF GQSPSSFRKSPEWEPWLAAFGPLDNARSKLMQKTFIAEDVIIRNVPATPVAIMEHRGD PASLGATIQRFIAWRKAAGLHPRTSPTFNVWRSERRPQSPADYSVDLCVGISQPIEAN GEEIKAGEIPGGRCAVLRVVGNTDNLEPAALYLYREWLPASGEEARNFPIYCQRLSFF PEVAEHEAVAELFLPLK YP_002978344.1 MAKLVFGMNQSLDGYVDHMAFAPSPTLFRHFIEEAQRQAGSVYG RQMYEVMRYWDDEHPEWDAERQAFATAWRSQPKWVVSRSLASVGPKATLVGEDLEAAI RELKAERDGEIEVAGPNLAQSLTELGLIDEYRIYLHPVVLGHGKPYFAGPRPPLHLMA HDRIGEDVIRLTYVPA YP_002978345.1 MIDWDDIRYFLAVARGGSVRAAAKGLGVNHATVLRRIAQLEERL GAQMFEKLPSGYRLTAAGEEVLELANQMEASSHELETRVFGRDQSVRGLLRVTLAPPL ATHLLMPDFADFARLHPDIEMEIVSSGELANLTNREADVAIRVVYDRKTLPLNLHGLK GPDIFGGVYMSCDRLAAWRAGAPDPIRWIVISIHGIPDWASEGEIRTTGVPFRTTDGE AQIVAVRQGLGITTLPCFVGDADPLLVRVPGTDLHMYGTLWLLTQGETRKTKRVRLFT EFVSRRLSAYAPLLAGLPISRD YP_002978346.1 MNRLNGKTAVITGGATGIGRAAAKRFIEEGAFVFIFGRRQEALD AAVADLGANARAVKGSVSDEADLDRLYTAVKAERGTLDIVFANAGAGSPLPLGNITAE HIDETFDTNVKGTIFTVQKALPLMGSGGSIILTGSSAGTTGAPGFTAYSASKAAVRNL ARTWAEDLKGTGIRVNVLSPGATATELAKEALGEEGQKAYGAMTPLQRMADPEEIGAV AAFLASSDSSFMTASEIAVDGGLAQL YP_002978347.1 MSYAIVGFGKIGQALAHAFARKNIAVTVASRRPSEVLAPQARAI GPTVVARSLRDALEADTIILAVPFGEHREVANALPSWKGKTVIDATNAFPVPEELDGL PSSAFVAKSFTGAKFVKGFNHLIAATLAADPIVEGGHRVVFLSSDDEDAIAPVADLAK QLGFAPVELGKLNEGGALVHARGRTWGQLIFQDLFKKEQ YP_002978348.1 MTQTAHPDSVLIVDFGSQVTQLIARRVREAGVYCEIVPFQSAEE GFHRLQPKAVILSGSPASTVDEGSPRAPNIIFESGLPVFGICYGQQTMCMQLGGKVES GHHREFGRAFLEVDRDCQLFEGLWSSGSRHQVWMSHGDRVTALPDGFEVVATSSNAPY AFIADEKRKYYGVQFHPEVVHTPDGAKLIGNFIHNIAGLKGDWSMSAYRQKAVEQIRE QVGDKRVICALSGGVDSSVAALLIHEAVGDQLTCILVDHGLMRKDEAAGVVAMFREHY NLHLLHVDAADRFIGELEGVSDPETKRKIIGRLFIETFEEEAKKLGGADFLGQGTLYP DVIESVSFTGGPSVTIKSHHNVGGLPERMKMQLVEPLRELFKDEVRALGRELGLPDSF IGRHPFPGPGLAIRCPGGITREKLEILREADAIYLDEIRKAGLYDAIWQAFAVLLPVQ TVGVMGDGRTYEFVCALRAVTSVDGMTADFYHYDMEFLGRAATRIINEVRGINRVVYD VTSKPPGTIEWE YP_002978349.1 MKFELKSVAGKSILFVMAAEAEYGPFLRSRIEPLMTGVGPVEAA IALSKTLARLDAVDDLPDLVVSLGSAGSAKLEQTEIYQVTSVSYRDMDASPLGFEKGK TPFLDLPATLDLPLRIPGIAEASLSTGGNIISGAAYTGIDADMVDMETYAVLRACQGY KLPLIGLRGISDGAVELQHISGWTEYLHIVDRKLSYGVDSLFTALEDGVFWF YP_002978350.1 MSETDRGDFRARIRRNFARQAAMETIGAELTRVEHGVVEIELPF DVKLTQQHGILHAGIISAALDSACGFAAYSVIDPEASILTIEFKVNLMSPGRGDRFLF RGEITKPGSTIIVADGRGYAISDGPAKLIASMTGTMMVIRGREGITG YP_002978351.1 MTTISQSVRNFETWLAVELGDDLVKDDLREKHEKMRSGDFVFLR ATYWRWCEIILDICPELKGAPEILAIGDTHLENFGTWRDIEGRLVWGVNDFDDAAVMP YAFDLVRLAASAVLARGDDGPSVRMIGELILSGYRRGLENPLPVILERDHKWLRKALL LPNSERREFWEKYEMLLPGSKPPPSAYTKALADALPSGAGPFVPKPRSGGTGSLGRLR FVAYAEWQGGPVLREAKALLPSAWSLRHNPQDMAIHAEEIANGRARSADPHYRVSGRI LVRRLSPNSCKVEVDRHPEILLSPTMLELMGFEIANCHSDDAAAVAAILKDLAARGNE WLHEAARAAASSVSAEQKAYSRAS YP_002978352.1 MMQSAAIDRRSFVKAAGLSFLATLTPRSLMALERTDAVYASGIR AADGSFAIATVTERGEIVDQVALPARAHGMAFSASTGKTVAFARRPGTYAMIFDPWNK SEPIVINSREDRHFYGHGAFSPDGRLLYASENDFDGNRGMIGLYDATDRFTRIGEFET YGIGPHDMTVSDDGRLLIVANGGIETHPDFGRAKLNLGEMQPSLALIDAATGALVEKH VLPAEWAELSTRHVDLDGAGRIWFACQYEGHRKDLPPLVGHFAKGEDLTFIDLPEETT RRLANYVGAIAVNRSEGLVGIASPKGGASVTIDARTGKMLAETSVPDAAGIAPARSGF AVSSYDGDFLSTRSDVAWDQHIVRI YP_002978353.1 MRLWHPLLCLTLIGLATSAVAQTATPPAGLNEDAVPAVMQRAVD EVIRPGYRNMQQSAARLTTAMKDLCADGTQQTLDKAKSTFDDTIRYWSIIEIVQTGPV IQDNLFEHILFYPDRKGVGLKQVQALIAKADPKDATVDAIAGKSVALQGLTALEYVLY GNGSDDLVGQKGSFRCLYGEAVAGNIQREAGEVVAAWEKPDGVQASWKHPGPQSNDFM DNKEAVTALLGILVHGAENVRDQRLEQFYKGKDTAPRPRMAIYWRSKNTWKSMAANLE GLRTLWQKAGMAELLPPDKQPIAAAIDEDFKSLLDSVPKLNPDIDVATSDAEKAKLDT LLAESRDLITRISDEYGAAIGLSAGFSFSDGD YP_002978354.1 MSHAPARRFFASVALCATIAGFSVSIAAGFDLPRKRTDLSEADL KRVAAVTRPTADFSKAEQYEAMQAGATTSIDPVTEDSFSHISANIPFEEEQNFKLGNA LFRKLWVSAPSSTQASDGLGPLFNARSCMSCHVNDGRGKPPEGGPSATSMFLRLSRAA TTPEEEKAVASADVVNFPDPVYGHQLQDLAVPGLAAEGKMAISYQEERVTLGDGETVS LRLPSYAVTNLGYGPLHPATTISPRVASAMIGLGLIEAIPEADILAHADPDDADGDGI SGKAAIVRDHRSGKIALGRFGWKAQNATVRDQSADAFANDIGISTPDHPDAQGDCTRA EEKCRDMPTGVQKRLGAEEAPGPILDLVTFYSGNLAVPARRKASFPETLQGKRIFYES GCISCHLPKFVTRRDTPDKAQSFQLIWPYSDFLLHDMGDGLADGQQVGLASGREWRTP PLWGIGLTRTVSGHSFFLHDGRARDLTEAILWHGGEAEKARNAFSSLPKDDRAALITF LESL YP_002978355.1 MKLNTFRAAVLAIAPAALLALPAHAATDAASVVKHYADVAHAKY EDSLTTAKALDAAIDAFLKAPTDATLKAAREAWIKARVPYQQTEVYRFGNPIVDDWEG KVNAWPLDEGLIDYVDASYGTESDENSLYVANVIANKTIKIDGKDVDASKLTPEFLSG TLAEAGGIEANVATGYHAIEFLLWGQDLNGTGPGAGDRPATDYDLKNCTHGNCDRRAE YLKSASTLLVTDLQEMTDNWTPDGAATKNVEADPKAGLVAILTGMGSLSYGELAGERM KLGLLLHDPEEEHDCFSDNTYNSHLNDAIGIAAAYTGNYTRVDGTKLSGPSLHDLVAA KDKALDAEVEGKLNKTLDAMNAMAKRGETVEKYDQMIGEGNKEGNAVVQAAIDGLIDQ TKSVQRVIAALDLGTVQLEGSDSLDNPNAVFK YP_002978356.1 MRLGGRLEGAISVLADIDARKRPVADALKDWGLAHRFAGSGDRA AIGNIVYDALRMRLSHAWLMDDDSPAAIAHAVMFRQWGFTPDRLATELADDKFAPAPL SADAVAAFQSRSLDDAPPHIRGDIPEWVQPSFEQAFGAGWLAEAQALAARPTLDLRAN SLKASRDKVVKALERANAHAAKIARYGIRIAAGEGASRLPNVTAELSFQKGWFEVQDE GSQIVADLVLAHDGEQVLDYCAGGGGKTLAMAAAMQNKGQVHAYDADRKRLAPIIERL KRAGTRNVQVHDDARALAALAGRCDKVLVDAPCTGTGTWRRRPDTKWRLTAKNLDERT AQQQDALAQASSFVKSGGELIYVTCSVLPQENEEQARRFTADNPDFQIVSALPAWDQL FGKDAPRPHSSDGLSVTLTPASTDTDGFFFCRMQRKG YP_002978357.1 MKNIRRSIVAAVTIAACSSMLPAEGFAGNGCGGASWYALRSKTA SGERMNPAILTAAHRSLAFGTKVKVTNRNNGRTVVVRINDRGPFIRGRVIDLSRAAAQ NIGMVSSGTAKVCYQVVS YP_002978358.1 MHVMIFGCGYSGTAIAKAFAGDDVRVSGTTRSPDKMEALRRNGI EAFLFDGESMEAGLRRALTGVTHLVQSIAPGRADPLLRLLDKDGARLLPKLEWIGYLS TVGVYGDHQGAWVSEETPCLPVSGRSTERLEAEEGWLAMGRERGVPAAVLRLSGIYGP GRNAFCNLDKGTARRLIKKDQVFNRIRVEDIGASTRFLSERGLGGIYNVTDDRPGPPQ DVIVEAARLMGVEPPPEQAFETAELTPMARTFYGENKRVSNAKLKAAGFAFSFPNYPM SLAQLWRDGRWWGE YP_002978359.1 MPEPDNVDKERRRRDNLTEFVRAESAAKGFDLCRITRPDAIPEA KERLGQFIDAGRHGTMDWMAETRDRRGDPRALWSEVRSVVVFGLNYAPEEDPRGILDK PDKAAISVYARNRDYHDVIKGRLKEIATRFAARAGADVKVFVDTAPVMEKPLAAAAGL GWQGKHTNLVSRMHGSWLFLGTMFTTADLVIDAPESDHCGTCRACLDICPTAAFPAPY QIDARRCISYLTIEHKGPIDADLRILIGNRIYGCDDCLAACPWNKFASSASEMKLQAR EDLKEPSIAFLLTLDDAAFRAFFSGSPVKRIGRDRFVRNVLIAAGNSGDKALIGPCRR LSDDPSPVVRGMAVWALSRLMEAGEFAAFAAQRADERDDDVLNEWRLAGVG YP_002978360.1 MPTLYHHPMSSASRFVRLILAEYGYQADLIEEQTWEKRRDFLAL NPAGTLPVYVDDSMRALCGASVISEYLDETHGVLKRDRRLLAEDPFQRAEIRRLTEWF MQKMENDVTKPLARERVYKLQMTADQGGGAPDSKVLRTARANIRQHMRYLTWLAGSRQ WLAGERMSYADLAAAASISILDYLGEIEWADSPVVKDWYQRLKSRPSFRPMLTERVRG LTPVSHYADLDF YP_002978361.1 MDYINAAILGVIEGITEFLPISSTGHLIIAEQWLGHRSDMFNIV IQAGAILAVTIIYWRRLLDLVLGWRDPVNRDYAAKLIVAFLITAILGLIVKKLGFELP ETATPIAWALIIGGIWMIFAEWAAARRPPHKEITWLVAILVGIAQIVAGVFPGTSRSG ATIFVAMLAGTGNRAAATEFAFLVGIPTMYAASAYELLKTFRDGGAAGEDWTALGIAF VVSTVVAFIAVKWLLAYIRSNRFTLFAIYRIILGVLLLGMAATGLIA YP_002978362.1 MGKSIALLFACAALVILAGSFVAPGSVAAVKGDCSPAYGVDPCS TASIIH YP_002978363.1 MTLANLPPLVTVFGGSGFVGRHVVRALAKRGYNIRVAVRRPDLA GFLQPLGNVGQISFVQANLRYRSSIDRAVDGASHVVNCVGILHEAGRNTFDAVQEFGG RAVAEAARGAGATLTHISAIGADAKSDSDYGRTQGRAETAILSVKTDAVIFRPSIVFG PEDSFFNKFAEMARMSPILPLIGGGKTKFQPVYVEDIAEAVARAVDGKVAGGKVYELG GPEVLSFRECLETMLKVTCRKNPLVSLPFGIASMIGSIASLVPFITPPITPDQVRMLK RDNVVSKEAEAEGRTLKGLGIAPTMVASVLGSYLVHYRPHGQYTGTGKAA YP_002978364.1 MAKGYWIARVDVRDAERYKDYVAAAKPAFEKYGANFLARGGAFT ELEGKARVRNVVIEFPSMQHAVDCYNSPEYQIAAKIRQEAADAEMVVVEGV YP_002978365.1 MDARERLIVGLDVPTIGEAERLVSTLGDDILFYKIGYQLVFAGG LEFARDLAASGKKIFLDMKLLDIDNTVASGVENIAKMGMSMLTLHAYPKAMKAAVEAA AGSGLCLLGVTVLTSMDADDLAEAGYSQDPHSLVLRRAEQARAAGMGGIVCSAEEAAA VREIVGPDMAIVTPGIRPDGSDKGDQKRVMTPFDALKAGATHLVVGRPVVKAPDPRDA ARAILSEMVSALWPANR YP_002978366.1 MFGIYITHPQVRIDPNVPVPKWGLSDVGAARARKAAESGWARQL QRIVSSDETKAIETAEILAEASGVTIEIVHATHENDRTATGFLPPPQFEEAADWFFAH PEQSFKGWERAVDAQARIVEAVNAVLATHDATAPIAFVGHGGVGTLLKCHLAGSPIAR DRDQPGGGGNLYAFGLADRRLACDWTPIEDWQG YP_002978367.1 MSLRVKVKERLGKKFDDEIRFFRGMMQGPKTVGSIVPTSSITAK RMASVVDIHSGLPVLELGPGTGAITKAILGRGVRPENLVAIEYSTDFHKHLQRTYPGV HFINGDAFDLQSTLGNFSGLTFDSVVSGIPLLNFPMAKRISLLESLLDRLPAGRPMVQ ISYGAISPIAANPDRYHIQHFDFVMRNIPPAQLWIYKRG YP_002978368.1 MTKKTGTVRIGISGWTYAPWRGQFYPKDLPQKQELSYAARHFRS IEINGTFYGLQRPESFGRWREETPEDFVFAVKGPRFITHMLRLQDIQTALANFLASGL LRLGPKLGPILWQFPPNMVFDPSLFESFLSLLPHDRDAATALAKRHDWHIKGPAWLKC DGHQPIRHALEIRHESFRSPAFIDMLRRHRVALVCADTVKWPLLMDVTADFIYCRLHG SEKLYVSGYEGEALDIWAQRIRAWATGGEAENATRVLAPVAARSKGRDVYLYFDNTDV KLRAPIDADQLSERLADLMPGSAPKAA YP_002978369.1 MRITIERSNLLKSLNHVHRVVERRNTIPILSNVLLKADGQKLDM KATDLDLEITEATPASVEQPGATTVPAHLLYDIVRKLSDGSEVLLATSPDGGAMTVQS GRSKFSLQCLPESDFPDLTAGTFTHSFKLKAADLKMLIDRTQFAISTEETRYYLNGIF FHTIESNGQLKLRAVATDGHRLARADVDAPSGSEGMPGIIIPRKTVGELQKLVDNPEV MVTVEVSDAKIRLTIGSIVMTSKLIDGTFPDYQRVIPTGNDKEMRVDCTSFAQAVDRV STISSERGRAVKLALSEGQLMLTVNNPDSGSATEEVAVGYDTDAMEIGFNAKYLLDIT AQLSGEEAIFLLADAGSPTLIRDTAGDDALYVLMPMRV YP_002978370.1 MNEETTADAASRRSFRLHNATVPARPLEPALYLVATPIGNLGDI TLRALETLAGADVLACEDTRVTRVLLDRYGIQNRPFAYHEHNADEAGPRLLQALEAGR SVALVSDAGTPLVSDPGYRLAQQAIAAGYRVIPIPGASAPLAALVGSGLPNDAFLFAG FLPAKDKARRDRLGELAAAPATLIFFESPHRIGATLLAAADVLGPMRPASVCRELTKT YEEFRRGTLADLAAHYQQVENVKGEIVLVIGPPEPVETDEADVEAMLADLSKSMPTAG AATEAARLTGLPRKVLYQRLLEIKNADGR YP_002978371.1 MGDNDLTAIRRRALRRGRMSEYVAAVFLMLKGYRILALRHRTRL GEIDIIARKGDLAVFVEVKARHGEAAAVDAVSVAAQKRIRAASDLWLARQADQARLSQ RYDIVAIMPGRLPRHFIDAF YP_002978372.1 MLASAVAMAARSVPYAAVAKPAGKSFVAHASGLLPLKSTPINPD WVISGNPQARTAEHSRGHDEASLTAIWDCTAGEFRWRFGWDETVMILEGEVHITAEDG TERTLRAGDVAFFAGGTWASWRVDNYVRKVAFLRKPFPKPLAIAYRLRNMLRNSGNQG IAA YP_002978373.1 MSKVGIREVAKLAGVSTGTVSRVLNDHPSVTKELRARVTAIIKD LGYTPDPSARSMRGKVSRLIGIVIPDLTNPFFAELVQSAEQAAANHGYNIIVMTSFDH AAKEADRIKQLTSRKVDGIMLVPSNDFHTLQLPNGLPIVVVDRLMPGYSGIAADHRNG VRLGVEHLLQLGHRRIGFISGPGHSVPANDRLRGYLDAIEQAGEQADDSRRMAGRPLI AEAAFDYESGRAAGNYLLARARNERPTAIFASSDQQAIGCMRAAHDLGIPIPAALSIL GFDGIPLASMTTPRLTTVKQPIQDIAAAAVAVLLNKQTAPDLDNPILFGCEILKGETT APPQPD YP_002978374.1 MSNFLKRESVLINAPRRAALKLGLAGTLVLALSCGVSPAFAAGK PKVGLIMKSLSNEFFKQMKAGADKYAAENKDKFDFKAVGMKDERDFASQVDAVENFVT QKYDIIVVAPADSKAMATPLAKAVKAGVKVINIDVPLDADAKKKAGIDLAFFGPDNKG GATLAGDALAKDLGPGAKVVILEGNPEADNAKERKEGFMDSVKSGKLELLDSKTAHWE TEEANTVMTNFLTKYKDIQGVMAANDSMALGVVKALDAAGQSGKIKVVGFDNIPPVQP LIKDGKMLATVEQYGAQMAVLGIQYGMRELAGEKFTGWVKTDIKLVTAADLK YP_002978375.1 MSDAADDGILRLEGIGKRFPGVVALKDVSMRIGRGKGHVLLGEN GAGKSTLINLLGGVFRPDDGHILFDGKQYHPASPLEAFKAGIRVIHQELHSLSNLTVA ENLLFEHLPRRYGLVNYKEMNGRAAELLEEVGLDVAPTTLASRLSVAQLQLVEIAKAL CYESKLLVLDEPTATLTSKEVDRLFEILKRLKRRGVTTLYISHRLEEIFDVGDDVTVL RDGQHVITRPLAGLAIPDIVELMVGRKLADHGIFRSDSTVSGEALGVSGLKVTRNSPE LSFSVAKGEIVGIAGLVGSGRTEAVRAIFGADAKAAGEIRVNGDPVEIHSPKDAVAAG LCLATEDRKTQGLMLDMNCAENATITDLAKISRNGLIMRRAQDDHSQRLVRELRIKTP SIHQAVRTFSGGNQQKVVIAKWLFRGPKVLIFDEPTRGIDVGAKAEIYELLWKFAAEG KGVLVVSSDLPELIGICHRIIVFSDGKISGEIVREQFDESRILSLAYKEYSRVRQH YP_002978376.1 MSANIEKQTEAKEVSVWDKLVRISLKEAGVAIALILILAFFSAT APYFATPENFLKIFVQIAINTVLAAGMTFVILVGGIDLSVGSLLALCTVIGATIMINP AFSPWQAIVLACLASMGTGAILGAVNGWICEKWKLPSFIVTLGMLNVASGLARVVSDN STITGLPQPFVDFGNLIFWGIFPSIFLIAIVVVLIGWFVLRYTVFGRFVFAIGTNEEA VRLSGHEPKRYKIAVFTISGLTAGIAAMVYLLRLNVGSPVAGIGYELNAIAAVIIGGT SLSGGKGSIVGTLVGACILQVLSTGLQLLGADDNIKPIVIGAVIVLAVILDSYRGRLM RKLETR YP_002978377.1 MAKITNVAVQMDHVAGINIAGDSTFAMSLEAQARGYKLFHYTPD RLSFRDGKLYASVEPMVLRDVKGDHYELGAPERVDLATMDVVLLRQDPPFDMAYITST HLLERIHPKTLVVNDPAWVRNSPEKIFVTEFSDLMPKTLITKDAAEIRRFRDEMGDII LKPLYGNGGAGVFHSTRDDRNLSSLLEMFGQLFREPFIAQQYLPDVRKGDKRIILVDG EFAGAINRVPAEHDSRSNMHVGGRAEATELTAREQEICARIGPALRERGFLLVGIDVI GDYMTEINVTSPTGIREVKKFGGADIAALLWDAIERKRG YP_002978378.1 MVARVSTVAFQGIEGVPVEVQVMVAPGKVGMQIVGLPDKAVAES RERVQAALHASGLALPAKRVTVNLAPADLPKEGSHFDLPIALALMAALGAIPADALSD FVVVGELNLDGTIAAISGALPAAIGANALGKGLICPAESGAEAAWAGADVDILAPRSL IALANHFRGTQVLSRPEASIRANAANLPDLAEIKGQESAKRALEVAAAGGHNLLMVGP PGSGKSMLAARLPSILPPLSAAELLEVSMVHSIAGQLSGGKLSDRRPFRTPHHSATMA ALVGGGLRARPGEASLAHHGVLFLDEFPEFTPQALDALRQPLEGGECVIARANHRVSY PAKFQLIAAMNPCRCGMAGEPGHSCARGPRCMSDYQARISGPLMDRIDIRIDVPAVSA ADLIRPMAAETSADVARRVARAREIQQERFESTGAKGIGTNARCSTAMIEKLAEPDAP GLQLLRDAAEKMKFSARGYHRVLKVARTLADLDGKPTVGRIHLAEAISYRIAGERLTA AA YP_002978379.1 MRRFSVEPEEYELLKAACEATAASHPDLQRSQRESPDESAKSIE DEISGFLGAIWQDRKTVYDSAEQALQPLCLLYDLALLQVCDLSHFGLKNAHHRSRVIW PEAQLPVQPSPNAVFYVLASNLAQSMQAFRLLVLHGFEGQARATFRGVIETADLLIMV LASEATYREFIKSFEDPSESYKHWRSHLSPSKIRAAVSLLEDDDPLSIPIDQTPNEVR KDMYQWLSNFVHVNFVAHIVAAHPEDFAGNSRPLAMLGDVGEASRATLAHGLLYLWIT LLRIEKLLWEQHCWKGFRGKRSRKWFKYRCRALDELFLSYLPTYWEKNPVAGTQSI YP_002978380.1 MSHKPGRGRIYSSITETIGDTPLVRFDKLAREKGVVANLIGKLE FFNPIASVKDRIGVAMIEGLEAQGKITPGKTVLIEPTSGNTGIALAFAAAAKGYRLIL TMPETMSVERRKMLALLGAELVLTEGPKGMKGAIAKAEELASSLPDAVIPQQFENPDN PEIHRKTTAEEIWNDTDGTVDMVVSGIGTGGTITGVGQVLKSRKPEIKIIAVEPADSP ILSGGNPGPHKIQGIGAGFAPKILDTGIYDEVVTVTNDEAFEQARLVARLEGVPVGIS SGAALTAAIKVGVRPENAGKNIVIIIPSFAERYLSTALFEGLGS YP_002978381.1 MVQQTYSLWLWTDQRQSIDEEAALAYRWAVEALRVKKMSLTVLI TYAGALFIAAAIPGPGITAIVARALGSNFRETFFMGLGLVLGDMAYLTAVILGLAFVA QTFTEVFITIKIAGVLYLGYIAWKLWTAGLLPQDIAARKSTNIGLSFLSGLLVTLGNP KTMLFYVALVPTLIDIGNIGLRDYALLLTATFVVLIVVLVPYMLLASRARTMLREPRA LQALNRVAAGILAGTAAFIATRAA YP_002978382.1 MSHTPAETRRLSPLKTPSSLSTNATTDISAALTALLADVFTLYV KTKNFHWHMSGPHFRDYHLLLDEQAEQIFAMTDDIAERARKIGGTTLRSIGQIARQQR LSDNDADFVTPEDMLSELREDNAQLVSLLREVHGLCDEHNDVATASLIENWIDEGERR TWFLFETTRPQR YP_002978383.1 MGSDIKRGTAEMTAAMLISGTIGWFVVMSGQPVSGVVFWRCLFG ALTLLVICGALGLLRPGIITLRAFGIAIFGGVAIVLNWLLLFASYSHATISIATTVYN TQPFMLLVLGALFLGEKITATKLFWLALAFAGMVAIVQAKPGAGGAAFDGYGLGILMA LGAAFFYALAALAAKWLKGTPPHLIALIQVATGMLMLAPMTDFSHPPGDVGSWAILVT VGVVHTGLMYVLLYGAIQRLPTHLTGALSFIYPIAAILVDRLAFGHALQPMQIAGAAI ILLAAAGMNLGWTPRWLRPRAIEG YP_002978384.1 MANDVQSLDEIDQAILEALAGNARISLKELAQQVGLSSPSAAER LRRLEERGVIKAFTIDLDPAAVGYPLQAIVRVRPLPGQLHIVERIIQEIPEIIECDKV TGDDCFIARLVIRSMADLDGILDRVAERAETNTAMIKASPVKRRLPPLSRRK YP_002978385.1 MAERLDSAGLTFRQDYFGDPAGWAALVCLLKDIFGIDIGPLQQL GGPDPTSMPFGWFDAEGKLAANISAFALPFVLNGRIVHAAGLQSGAVRPPWRGRGLYR DVTVKALDWCEQQGFEAVILYTDKPSLYEAYGFHAIPLHRYEGAAPAPSSSAAPARPL LPTNADDLALLQSLLKGRSPVSTSLAVTVNAAMFLINTQLDPDVRISFLEDKQAVIAW KIDEAGRFSLLDVVAAEMPSLAAILGGLEIVPASVEVLFRPDKLGWEGVPHQLESGTR LMLRGLGDATLDFPAMLSPMAEF YP_002978386.1 MTIHHDTRPTLNLIRLANGEGLDLPAYESKGAAGMDLRAAVDEA TPLTLLPGKRALVPTGFIFEIPEGFEGQVRPRSGLAFKNGITCLNSPGTVDSDYRGEV KVLLANLGDEAFVISRGMRIAQMVIAPVTQARVAEITEASETMRGAGGFGSTGV YP_002978387.1 MAESLAEAVSRRRTFAIIAHPDAGKTTLTEKLLLFGGAIQLAGE VKAKKDRMQTRSDWMKIERERGISVVTSVMTFEYNDNVFNILDTPGHEDFADDTYRTL TAVDAAVMVIDAAKGIEPRTLKLFEVCRMRDIPIITFINKMDRESRDPFEILDEVEEK LALDTAPITWPIGRSKTFCGSYNIAANTVRGSDTEIEGTPVNGPQSVAGRLPENERQV FVEETELAIEACRPFDRQAFLEGHMTPVFFGSALRNYGVRDLINALGDFAPPPRDQVA DTRIVHATDDKMTAFVFKIQANMDPNHRDRIAFARICSGKLERGMKARLARTGKQLGL TAPQFFFASQRQLADTAYAGDVVGIPNHGTLRIGDTLTEGESLVFQGVPNFSPEILRR VRLEDAMKAKKLKEALQQMAEEGVVQLFSPEDGSPAIVGVVGALQLDVLKERLMAEYG LPVSFEMSRFSVCRWISAEQPADLEKFLTVKRGDIARDLDGDPVFLAQDGFSLRYESE RHPAIKMVAIKEYHAAKAA YP_002978388.1 MTLFNLNDLHLFVQAVDSGSFTAAARHLGIPKSTVSKRVAELER RLGVRLIQRTSRSFALTELGREFFQHAQASIIEAEMAEGIVRRHLAEPAGSVRLTASV PTAQFTLTEHLPALAARYPKLRLSVHVTDRFVDIVQEGFDIALRSHRGPLPDSALVQR KLASHPFFILASPDYVGAHGEPRRPEELAEHATIMTSLTEDQWRLYSGGDEALVRLHS VMAADEPYVLMEMAMSGLGITCLPTSVCRKALADGRLVRVLPEWTAGSIETTILMPHR RGQLPAVRAVVDFLAERLAG YP_002978389.1 MSPILFYGVPEGCSFGSIVALEWSGLPYRLCRVEMPEMVSTDDY AKINAVGETPSLLLEDGRTISESMAILHHIGAGAIGKGLGFVQGSADFDRLNQMLSFL NTTFFKAFGPLWYAIEHPMEPEEKQVLTAYGIAAVEKAHHTLERLLEGREWLLGNGPS LADAYFVGIARWNDFHQVVDRRQFPGLHRLYERMQQEPAVRFAHAIEHRQEAKSSGGF RGEVDLAEALGLLRQAA YP_002978390.1 MEMIAHNPANGIYAASPDYIHALEVRQSSRLLFVSGTMGLDQRG MAAADLDGQLELIWSNLRAILTSADMTVDNIVRLTSYLSDGAFMEANQNARLRALGGR AVPTTAIIVETLRDDWLVEIEIVAAG YP_002978391.1 MAKNTDDLRQGRRQQPVIDAFDRRILAALAADSSQSYARLGEAV GLSAPAVHERVRRLRQSGAIKGVHAALDGAALGKPLLAFVHVEAAGWGKSERLMQVLQ FPEVEEMHSVAGDASVLFKVRTTSPQALEAFLAQIHAVPGVTGTRSYIALSTYLERPV QAGFTDNWPDMPPPE YP_002978392.1 MVRENEIRDNEVAIEPPEPTDAGLVFIGRIATPWTSRPETPRQG RHDGPLCRIQIFEPWVPALQGVEAFERLEVLYWLDRSRRDLLLQSPARTGKTHGTFSL RSPVRPNPIGTSIVRLEAVEGAVLLVRGLDCLDGTPLLDLKPDRTLFTPIAPPQPGDF ETG YP_002978393.1 MHITGGCHCGAIRYQAEIDPERVSICHCTDCQRLTGSAYRITAP ARSGSFTLTSGEPRGYAKYGDSGGLSRQFFCPNCGSPLYRTDGDGGAIGIRVGGIDQR RELAPKKQIWCRSALSGVENIETLPRFEGED YP_002978394.1 MRIRTLFLLTAFMASLAPALSHAQESDPPRANVQSGSTRDGVLK LLPTDSVTEHALTIGGRKLAYTATAGTLDLFGQDGAQTGAIFYTAYVARDSGANRPLT FAFNGGPGAASAFLHLGLVGPKVLDFGPDGRDGANAKLVDNPQSWLDFTDLVLIDPIG TGWSRTAKADDASNYYNVSADAESIAKAIALYVAHNNRSNSPKYLLGESYGGFRAAKV ASALQESQGIIVAGAVMLSPLLEGQLMFNADQFPLGAALELPSLAAAELDRHKAFDEE QQKEAESFALGDYLTTLAGPPPTGAAAAAFYGRIAALTGIPEDIVTRNRGFLGSSFVK HSDAGSGEVMSSYDASFAAPDPYPESDYDRGDDAILDGFTRAYGGAFADYARNELGFK TEMTYSLLDGDISRRWEWGGGRGGGSRFQASATDDIRQLLAANPAFHLLIAHGYSDLV TPYGVSRYVVDHLPPSLAGGRVGLKLYRGGHMFYTKADQRAAFTADAKALYATHPVAQ PAD YP_002978395.1 MNTPTNHAVSTLEAADELLVDAEAGSLPPPQATPVRGVVDRFLL GASLVLIAFNLRPVFSSASALLPEIRSELGLSALGASLLTTLPVVCLGAFSPLAPRLA QRFGTERTLLGVLLLLALGTALRGLSSVPLLFIGTALAGACIAVGNVLLPGLVKRDFA GRAALMTGFYTMALCAGAASAAGLTLPIEHGLGGSLEGALAVWALPALAVSLLWLPEV LRSGSQARRNGFHVKGLWRDRLAWQVTLFMGLQSALAYCVFGWLVPILRERGLDGVTA GAIVSLSVMVQAASCLIVPHIAVRGRDQRLINASLCGVAVIALLGLLFAPLSTVWLWA VLQGIGQGGLIAAAMTTIVLRSRDPDVAAHLSGMAQCVGYLLAAIGPLIVGLIRGWTG SFSWCAALFVALGLGAAINGWKAGRAVEINVHAVEKDG YP_002978396.1 MRALSKTNLADEAIEAIRGDILGKRWAVGEKLPNEASLSTMLSV SRGTVREAVRVLVSQGYLETRQGSGTYVRATSDAGRPLTMARRASLRDQFEARLALDV EAARLTAIRKTPETAAGLRRLLVERGNYDGGNQAAFIESDLAFHKAVIAASGNRAMIE IYDFFSASIADTIAATLGKDIPEPDMQAHADIVDAIETGDPDKADAAVRRFMAPVLAA LERMILS YP_002978397.1 MSIRPVKHESTATPTMEGAGVKLHRVFGFGDPAMTDPFLMMDDF RNDNPAEYIRGFPWHPHRGIETITYVLAGTVEHGDSLGNSGLLGAGDIQWMTAGSGIM HQEMPKGDFAGRMHGFQLWANLPSSLKMTAPRYQDVKSTDIPVVVDDDGTAVRVICGD FWGKAGPVDGVAAEPIYLDVSVPPGRKKRLPVDTYRNAFAYIFAGSGTFRDASKPFGV RVEKEVDGEELNIRDMSGNRTLVVFDSGDEVTVQAGDQGIRFLLVSGKPIEEPVAWHG PIVMNSREEILQAMRELQNGTFIKAAH YP_002978398.1 MCRWAAYRGDPLYLEELVSSPAHSLIEQSHCATRAKTATNGDGF GIAWYGDRPEPGRYRDILPAWSDCNLKSLARQIRSPLFLAHVRAATGGGTRRDNCHPF THESWSFMHNGQVSGFERLRRPMEAMLDDELFNARGGTTDSELMFLLALQFGLREAPI AAMAEMIGFIEDLAENTIGSVLLRFTAAFSDGKALYAIRYATDRKAPTLYASPVGKGY CLVSEPLNDDGDAWAEIPNGSAVTVGEGGIDVADFRPGKRAAVKSQRLVVPA YP_002978399.1 MALSGKRILLIISGGIAAYKSLDLIRRLRERGASVRPVMTKGAQ EFVTPLAVGALAADHVYLDLFSRQDEQDIGHIRLARDCDLVLIAPATADLMAKMANGL ADDLASTVLLATNRPVLAAPAMNPAMWAHPATRRNAAMLRADGIRFIGPMAGEMAESR EAGLGRMAEPLEIVAAAETMLDDGEKPLKGRKAIVTSGPTHEPIDPVRYIANRSSGRQ GHAIAAALAKLGAEVTLVSGPVTIADPVGVNVVHVERAEEMRDAVLAALPADIAVMVA AVADWRVASAADQKLKKHPGESIPTLALTENPDILKTVGHHTMRPKLVIGFAAETQDV EINAKAKLERKGADMIVANDVSPATGIMGGGRNRVKLVRRDGIEQWPDLAKEEVAERL AALIAKQFS YP_002978400.1 MSRLQNKTALITGGTSGIGLETARQFIAEGARVVVTGSSTASVE AARAEFGGKATVIQADAGNAVGQKAVADRVREAFGTLDILFVNAGVAEFGPLEQWSEA AFDKSVDINVKGPFFLIQSLLPIFSKQAAIVLNTSINAHIGMPNSSVYSLTKGALLTL AKTLSGELIGRGIRVNAVSPGPIATPLYSKLGASEADSKAMTAQIQAQIPVGRFGTPG EVAKTIVFLASDEAAYIVGSELIIDGGMSNL YP_002978401.1 MNRLNNKVAIVTGASSGIGRATAKLFAAEGAKVVVGARRQGELD SLVAEIKAEGGDAIAIAGDVRSEDYHKALVAAAVTNYGKLDIAFNNAGTLGEAGPSTA VSEAGFSEAVAINLTASFLAAKHQTGAMVENGGGSVIFTSTFVGYSFAFPGVAAYAAS KSGLIGLTQALAAEFGQQGVRVNAILPGAVDTDMYRDMNDTPEKQAFVTNLHALKRVA TPEELARSVLYLASDDASFVTGTASLVDGGASITRT YP_002978402.1 MIRIEGIAAFVAVVEVGSVSEAARRLRLSKSVVSERLAELEKSL GGVLLHRTTRKLTLTEDGAVFLGRAARIVSEIEEAAADMAERRGTLSGPIRIAAPVTF GRMHLGPALYPFLAEHPEIELTLDIDDRRVDAASDGYDAIIRNGPIADSRLVAWKLTR SRRLLCASPDYLARQGIPSSLADLDSHRGIFYTNRGVADWRFQTPDGAIVVRAKLALG INNGDMLRDAAIAGLGIALLPAFIAGPAIREGRLAEIDVGHKPEAEFIYMAHPEGRNP SAKLRAIADHLKKSFGDPPYWDPAG YP_002978403.1 MELRHLRYFLAVAEEGNFTRAAGKLGIGQPPLSQQIRDLEREVG AALFHRVPHGAELTAAGTAFLGEAKASLAAAEKAKLAAQSANRGETGRLSLGFTASSA FNPVVSTTIRRFRARWPEVQLSLTEMNTLALMQKLERGELDATFMRPSLDDPTGIRLR RLPDEPMVIALPANHPLARRSKLPLAALAEEPFILFPRLVGLSLYDDVVLACRRAGFE LAVAQEAPQISSVVNLVAADLGVSIVPASISQIKLEGVAYRPIEGPPAVARLALAILK THRSPVTENLISLLST YP_002978404.1 MPTSAHAMKTAETAAIPGQKQYLTRGTGAYRRASLALFLSGFST FSLLYCVQPLLPIFSQQFSVSPAESSLSLSLSTGFLAVAIVCAAAVSEGLGRRSLMSI SLVGAALLTIATAFAPNWHLLLVIRALQGLVLGGVPAVAMAYLAEEIDPRGLGATMGL YVGGTAFGGMSGRVLTGIFAEYLTWRPALFLIGAIGLAPAIGFIALLPPSRNFVRRPG FDPRFHAKAWLGHLSNPALPFIFAIAFLAMGSFVTIYNYAGFRLVAPPYGLNQTELGL IFTVYLFGIGASSIGGLLGDRIGHFSVLLFGLALTAAGSALTLFASLPVIILGIIVLT TGFFMSHSIASGLVGKLAHGTKGHASSLYMLAYYVGSSLMGSAGGWFFAVEGWVAVVI FTLAMLGLASPPVLPSNSRGEKHDPHRPSRPSRADRRRYRHQLRFLFPHPRHVGRNLC GEPQGAEIRRAEDQPAPGRPRIRSQGATSHTRLRRPLLHRRDTACRCHR YP_002978405.1 METAKIFWSGLSQAVLLPKEFRFDGDSVAIRRQGRAIILEPISD DWDWLADVAGPVDTDFATAVEEQPAGQE YP_002978406.1 MPKANPRHPKFPIPGGPELRAKGWRQEALLRLLENVLSVGEDPD NLIVYAALGKAARNWAAHRGIVKALTEMEEDQTLLIQSGKPIGLVRTHAKAPLVIMAN CNIVGQWAKAEVFYELQRKGLICWGGLTAGAWQYIGSQGVIQGTYEIFMRIAERRFGG DLLGRFVLTAGLGGMGGAQPLAGRMAGAAILCIDIDPERARKRQQIGYLQEIAPDLDT ALQMIDAAVKDKRALSVGLVGNAAEVYPEIARRGIVPDIVTDQTSAHDLVYGYVPKGM SLEQVKGLRDDGQGQLMAAGRASIVEHVTAMLEFQKRGSEVFDNGNLIRTQAKEGGVD NAFDIPIFTEAYLRPLFARAIGPFRWMALSGEESDIARIDDLLLEMFPDNKIITNWIR LAREHVPFEGLPARIAWLGHGERTALARRVNALVASGELKGPVAFSRDHLDAGAMAHP NIMTEGMKDGSDAIADWPLIDAMMLCSSMADLVVVHSGGGGYAGYMTSCGVTVIADGT DAADERLDHALTNDTALGVMRYADAGYEEALDEVAKKDVPYIRLG YP_002978407.1 MARDPGLEELMREELGDRPGLAEKSMFGGWAFMLNGNLLCCARS DGMLIRLGKGNDGWALGLPGVIQMLSGERVMHGWVRGGAEVYGDDALRRRLLDAALAY VGSLPSK YP_002978408.1 MGRILVEKDVEAAVKGGSVYAAGGGGWADHGRMLGYAAVNVGKP ELVSIDELRDEDWIATAAAIGAPASTTPWEMQGIDYVKAVQLLQEALGEKLSGLIIGQ NGKSSTLNGWLPSAILGTKVVDAVGDIRAHPTGDMGSIGMAGSPEPMIQTAVGGNRAE NRYIELVVKGATAKISPVLRAAADQSGGFIASCRNPLRASYVRSHAALGGISMALALG EAIIAAEKRGGSDVIDAICKTTGGHILAEGVITRKDVVYTKEAFDIGTITVGAGETSV TLHVMNEYMAVDDADGGRLATFPAVITTLSPEGEPLSVGQLKEGVHVFILHVPMDIIP LSASVLDPTVYPVVEKAMGIEIARYALATKA YP_002978409.1 MSRNLPVNASRIAEDIDALAGITEPGHPWTRRAFSPLFLEGRAY IDARMKAAGLETRVDAAGNLIGRRTGRKPWLGTIMVGSHSDTVPDGGRFDGIAGVISA LEVARALVDQNIELDHDLEIVDFLAEEVSIFGVSCIGSRGMTGQLPEVWLSRVSDGGD LAEGIAQVGGRPYVLMQQNRPDIAGFLELHIEQGPVLEAEKEDIGIVTAISGITRIEI TVEGRADHAGTTPMDRRADALVAASQLVLDIRNAAAELAKTPGHFAATVGEFRIEPNA ANVVPSKVVLLIDGRAEIRADMEAFCRWLDGHVEKLAAAYGVTIKTPNRVSDNQPTPG DAGLLSTLEAACERVGAKHRRMASGAGHDTAWIAKVAPAAMIFVPCRGGRSHSADEWA ENDDIALGAAVLFEAVREMDTSLNQERTDGTHTR YP_002978410.1 MDFDLVLQGTVVLPDRIVEEGYVAVRDGKIAEVGLGVPPAGRER HLLGKALILPGAIDAQVHSLSQKDQEDFLWSTRSAAAGGVTTIVDMPYDEGNLVCSAA AVKRKIDHAAPQARVDFALYGTVDPEEGPTRIREMVEAGVAAFKFSTFGTDPKRFPRI PPALLDACFAAIAPTGLTAGVHNEDDEAVRTYTEQVKASGITDWRAHGLSRPPITELL AMHTIFETGANTGCPAHVVHCSLGRGYDIARAYRRDGFAATVECCIHYLTLDEENDVK RLGGKAKINPPVRPRAEVERLWRKVAEGDVWLVSTDHVSWSENRKTNPDMLANASGVP GLEVMVPLFVKGATERGIPLTWAARLMAENPAKHFRLDHIKGALTPGKDADIVVLEPR ESVYDASASGNNVIGWSPYNGIRLPWTVSATYLRGEKIAEGAKVLAEPGTGRFVRPLP RQVIAGAEA YP_002978411.1 MKHERLGIELSGRPLGFAEMVTIGAGKAAVSASATGMARIAIAR EVVEDAIASGMPVYGSTTGVGAMKDVEWSADELDTFNLGLVRAHHFGTGTPFSCNIVR NAMAIRINTALTGQVGCTPELIQAYIRMLEADLIPVVRRTGSIGCADIGLMGQIGAVL TGVGEAIYRGNRMQAAEAFQAAGLEPMRMAPRDSLASLSVNAVSFASAAETTRNAAAS IRVLLATAMMAAGALGASRDPWKAVRHVGTAREALIGAWLCNASDEWDWPVATHVQDP LSLRMIAQVFGAVIENLLSTGHKILAATGRSDDNPVVVEGRVMTSGGSLPLDVTILLE SAALCMAHAARNAFNRCVILGNGQRRDLPVNLVPPGRIATGFGPIIKLAGEIFSRVLS MSNPVSAQSLVVAAGLEDEAAFLPLVIERFDRQMRALKRLAALEALLSAQAIDILGDK PKGVAAMLYEVVRKHADFYTVDRPLSAEVEAIEEELGSDEFVTKLTEQVPIASFDDFF ALGSLERIEERLTHHEPAV YP_002978412.1 MSTFGAYFRLWRVGWVLVREGVVSALPSEGLPPPVALAKSFVTI FERSKARHQKRSDRLAQAVERLGPSYVKIGQFLATRPDVVGVEFANDLSQLQDRMAFF PSAAAKANIEGSLGRPISELYASFGDPIAAASIAQVHPAEVESAGGRKKVAVKIVRPG VRQRFAHDIEAMYLVAHMQERFLASSRRLRPVEVTKTLEQTTKVEMDLRLEAAALSEI AENTERDPGFRVPKVDWERTGRDVITMEWIDGTRMSDVDGLRAAGHDLNLLADTLIQS FLRHTLRDGFFHADMHPGNLFVDADGMIVAVDMGIVGRLGKKERRFLAEILYGFITRD YIRVAEVHFEAGYVPGHHNVESFAQAIRAIGEPIHGQPAETISMGKLLTLLFEVTELF DMETRPELVMLQKTMVVVEGVSRMLNPRFNMWKASEPVVGDWIRTNLGPKRIATDLKD GLKAAVKLAEAVPEIAAKTEKFHHQLLHMSEHGLRFDAETAEAIGKAEARHNRSAKIA LWVIALTLLYIAWMLS YP_002978413.1 MSESRTSADGGMETAYGFREVPDGEKQSLVNQVFHKVAKRYDIM NDVMSMGMHRAWKDAMISALNPRKEPGYKVLDVAGGTGDIAFRIVEASGRQAHATVLD INGSMLGVGAERAEKKKLSGNLTFVEANAEELPFETGSFDAYTIAFGIRNVPRIDAAL SEAYRVLKRGGRLLVLEFSEVDMPLLDKIYDAWSFNAIPQFGKAITGDAEPYQYLVES IRKFPNQENFAAMIRQAGFSRVTFTNYTGGIAALHSGWKL YP_002978414.1 MPELPEVETVKRGLTPAMEGTRVTRLELRRGDLRFPFPDAFADR VSGRTIVGLGRRAKYLLVDLDDGNTLISHLGMSGSFRIEEGAVSGMPGEFHHARSKDE KHDHVVFHLQGLGGPRRVVYNDPRRFGFMDMVGRADLAAHPFFRDLGPEPTGNELGAA YLAERFRDKAQPLKSALLDQKNIAGLGNIYVCEALWRAHLSPIRAAGTLVTPGGRPKA QLDLLVASIRDVIADAIAAGGSSLRDHIQTDGSLGYFQHSFSAYDRESQACRTPGCGG TVARIVQAGRSTFYCATCQK YP_002978415.1 MAFETLIVETRGNVGLVTLNRPQALNALNSTVLKELKEAYAAFH ADETVGAIVLTGSERAFAAGADIKEMQPLEFADIYKSDFISGWDEVAKARKPVIAAVS GFALGGGCELAMMCDFIIASETAKFGQPEITLGVIPGMGGSQRLTRAVGKAKAMDLIL TGRMMDAAEAERSGLVSRVVAPERLLDEAFAAAEKIASLSRPSVLMAKEAVNRGFETT LEEGLRFERRLFHSLFATEDQKEGMAAFVEKRKPAFTHR YP_002978416.1 MANTTSAKKATRKIARRTDVNKARRSRVRTFVRQVEEAIASGDA AKAKEAFLAAQPELARAASKGVLHANTASRKVSRLAARVKALSVTTTA YP_002978484.1 MSIEKSENGLGRRELLKLSAAAGVAVAGASLIGQKSAFAAGEEL SLKGKRIAISATGTDHFFDLQAYNAQIEEVKRLGGEPIAVDAGRNDGKLVSQLQTLIA QKPDAIVQILGTLSVIDPWLKKARDAGIPVLTVDVGSTNSINNTTSDNWGIGKDLALQ LVSDIGGEGNIVVFNGFYGVTPCAIRYDQLVNVVKYFPKVKILQPELRDVIPNTVQDA FTQITAILNKYPEKGSIKAIWSAWDIPQLGATQALAAAGRTEIRTYGVDGSPEVLQLI ADPNSPAGADVAQQPAEIGRTAIRNVAKLLAGQTLPRETYVPALLANKANVGEVTKKL GIG YP_002978485.1 MTAISLKPPVTARDDIIRFEGIVKHFGGAQALAGASLIVRRGTI HGLVGQNGAGKSTLIKLLAGLHQPDGGRIEIEGQTFDRLTPHLAEELGIHFIHQDRLL VPTFTVGEALFLGREPRISGTPFLDRRLMQRRASDILNDYFGIRLPNAALISELSTAE KQIVQITRALLNQPKVLVFDEPTAALVRREADILFRLIRRLRDEGVTIIYISHYLNEI EELCDHVTVLRNGLDVASLPIGETSAGAIARLMVERDIKEMFPKPQVTPGAEILKVEQ LSAPGKYNDISFTLRRGEVLGLTGLLGSGAKELVRTLFGLETPASGHIEISGKAARFT NPTQAAGREIALVPEDRRRHGVALDLSVAENISLSSLGRFTRFGFLDRRREQQEVDTL ITRLQVKTNGRDALLRTLSGGNQQKVAIAKWLSRRSEVYLLDEPTVGVDIGSKVEIYT LIGELASRGAGVIVLSSDLPELIGITDRILVLFRGRVVREFVSSETTADAVLAQSTGS SEGQRHVG YP_002978486.1 MSAEVEFAPSGFSAAEPPPRPAGNIAATALRFGSLIAFAAILII FSLTAPYFLSIGNIGNVLGQSAISGVLAIGLTIVLIAGGSNVVTGGIDLSLAANMGLS AAVYASLTQLGYGDATAIAAAILTGALIGTVNAIAIVYAGIVPLLATLAVMNVVAGLE LVLTGNTVLPASTPFLSALSASDAFGIPVLAYVLLGFTAITTAIVQYTPLGLRLYAVG EFPDAARAAGLPLRRLLAGAFVASGVSGGIAGILSVSYLSGSTTGAGEMLLPVVVTAL LGSVFSRRLVPTITGTLLSALLVGFLVNGFQLLNISSTLVSGVQGVLILIVVSATTLL RRQEA YP_002978487.1 MSLQTPPPASIDLPRLIAGGLVRYGLILALVAVFAAFSVATPTF LTPANLQSILVNNFTLLAIVSVAMTFAVASGGIDLSVGTAMDFASFAFVSLVVAGQPV AVAALAGLAAGAFVGAFNALLISGIGVSPFLATLGTLFIGRSVQQLLTNGGNPVYLPP NGVPEAFRFLGHGTIAGFPVPLAAAIVVIAAAAVVFARTRFGRVILSIGIQPGVVRYS GIAAPAHVAVTFILVGLIAAIAGLILTATVTTYIPSSGNAFLLNAIGATFIGTTLSPL GRPNVGGTVLGALLLSIVANGLLLTDLNFYWQQVGTGTLIFAVLALSFINRKAAGA YP_002978488.1 MSGYILSIDQGTTSSRAIVFDSDMKMAGSAQAEITQYYPQPGWV EHDAAEIWQSVVDTVRKAIADAGLDAADIAAIGITNQRETAVVWDRRSGTPLHHAIVW QDRRTAEMCESLKADGYEKLFSAKTGLLLDPYFSGTKLRWLLDNVDGLREKAEVGDVC FGTIDSWLIYNLTDGRVHATDATNASRTLLYNIDDGAWDEELLGILRIPAAMLPDVRE CADDFGRVDKALFGAELPILGVAGDQQAAAMGNACFEPGMMKSTYGTGCFALLNTGRD RVSSSNRMLTTIACRLDGETTYALEGSIFIAGAAVQWLRDGLGIIGQASEAGVLAAKA DPGQQVYIVPAFTGLGAPYWDPAARGAIFGLTRNSGPAEFARAVLESVAYQTLDLLVA MKKDWGVNQLETVLRVDGGMAASDWTMQCLADMTGNPVDRSAIRETTALGAAWLAGSK AGIWPGKRDFAQAWACDRRFSPSMDETERQGKIIGWKNAVSRMISDASAG YP_002978489.1 MRRHLLTTTAAVLLAMTGSAYAGMDEAKTFLDKEIGDVSTLSRA DQEKEMQWFIDAAKPFQGMDIKVVSETLTTHKYESEVLAPAFTAITGIKVTHDVIQEG DVVEKIQTQMQTGQNLYDGWVNDSDLIGTHWRYQQVRNLTDWMAGEGKDVTNPGLDID DFIGTKFTTAPDKKLYQLPDQQFANLYWFRYDWFNDEKNKADFKAKYGYDLGVPVNWS AYEDIAEFFTGRDVNGKKVFGHMDYGKKDPSLGWRFTDAWLSMAGNGDKGLPNGLPVD EWGIKVDENSRPVGSCVARGGDTNGPASVYSIQKYLDWLKAYAPPEAQGMTFSESGPV PAQGNVAQQIFWYTAFTADMVKPGLPVMNEDGTPKWRMAPSPHGVYWKDGMKLGYQDV GSWTLMKSTPTDRAKAAWLYAQFVTSKTIDVKKSQLGLTFIRESTIRDKSFTERAPKL GGLIEFYRSPARVQWSPTGTNVPDYPKLAQLWWQAIGDAAAGAKTPQEAMDSLCGEQE KVMGRIEKSGVQGDIGPKLAEEQDLAYWNADAVKKGNLAPQLKIENEKEKPVTVNYDE LVKSWQSK YP_002978490.1 MSFSLPDFSWMAWTWPTATFFVVIALLLIGMGVWEYAAPGGNPR IGILRFETTRGDRLFLSLLGSAFIHLAWLGLAGSSLWWALALSVVYAVGVFRYV YP_002978491.1 MSASNETTASRKISGATSVASGLSSDEVSRLMRRRGEESRWWWV VPTIYIIVLLLPIYWLVNMSFKTNAEIVNSLTLYPHNPTIANYVTIFTEKAWYSGYIN SITYVVINMVISVAAALPAAYAFSRYRFLGDKHLFFWLLTNRMAPPAVFALPFFQLYS AFGLIDTHIAVALAHCLFNVPLAVWILEGFMSGVPKEIDETAYIDGYSFPRFFLKIFT PLIASGIGVACFFCFMFSWVELLIARTLTTTDAKPIAATMTRTVSASGMDWGLLAAAG VLTLIPGALVIWFVRNYIAKGFALGRV YP_002978492.1 MEKTWNNKAWFLVLPVLVLVAFSAVIPLMTVVNYSVQDTFGNNQ FFWNGTDWFTEILHSDRFWAALQRNLIFSLIILALEIPLGIFIALNMPKSGIGVPVCL VLMALPLLIPWNVVGTIWQVFGRNDIGLFGYYINAIGIDYNYVQDPFDAWVTIIIMDV WHWTSLVVLLCYAGLVSIPDAFYQAAKIDGASRWAVFRYIQLPKMKRVLLIAVLLRFM DSFMIYTEPFVVTGGGPGNATTFLSIDLVKTALGQFDLGPAAAMSLVYFLIILLLSWV FYTVMTSHDAEN YP_002978493.1 MARITLDHIRHAYGPNPKSEKDYALKEVHHEWNDGGAYALLGPS GCGKTSLLNIISGLIQPSEGRILFDGQDVTNLPTQQRNIAQVFQFPVIYDTMTVYDNL AFPLRNRGVAEPDVDRRVREILEMIDLADWAKRRARGLTADQKQKISLGRGLVRSDVN AILFDEPLTVIDPHMKWVLRSQLKRLHKQFGFTMVYVTHDQTEALTFADKVVVMYDGE IVQIGTPAELFERPSHTFVGYFIGSPGMNFMPAKVEGRTVRVGEHALTLDYAPKISAA AKVELGIRPEFVRVGREGMPVTVSKVEDIGRQKIVRAQFAGQPIAIVVPEDEDIPADP RVTFEPSGISIYADSWRAGPEA YP_002978494.1 MLELRNAAKMVGADYHIYPTDLVLERGTLNVLLGPTLAGKTSLM RLMAGLDRPTGGSIHFDGTDVTGMPVQKRNVAMVYQQFINYPALTVYENIASPLRIAG KDAKIIDLEVRKAAELLKLGPYLDRTPLNLSGGQQQRTALARALVKNASLVLMDEPLA NLDYKLREELRQELPRIFAQSGAIFVYATTEPSEALLLGGNTATLSEGRITQFGPTVE VYRNPLDLTTAKTFADPPLNFIDLIKSAGNFLRDGAVIFAVPRHLQNVPDGPATIAFH PHHLAPTAQTADSARLTARTQISEITGSESFVHLQFADTRWVMLAHGILNVDPDTDLS VFIDTRHLMAFGADGQAITAARQRG YP_002978495.1 MGRNIHDIFVIGGGINGCGIARDAVGRGYSVALAEMNDFASGTS SGATKLIHGGLRYLEHYEFRLVRESLMEREILWAMAPHIIWPLRFVLPYHKGGIRPAW LIRLGLFLYDHLGGRKLLPPTSVLDMRRDPAGKPLKALFSKAFEYSDGWVDDARMVVL NARDAADKGALIMPRTKVVSAKRENGLWHIETTDTITGRNDSHQARMLVNAAGPWVDH VIRSAFGQNEAHHVRLVQGSHIVVKKKFDDVRAYFFQNPDNRIIFAIPYEGDFTLIGT TDRDYTADPRDVRISEEETVYLCNAASEYFKEPVRPEDIVWTYSAVRPLYDDGASKAQ EATRDYVLKLEGEGAAAPLLNVFGGKLTTYRRLSEHALEKIGAAIGAKGAPWTAKSHL PGGDFAVKGYEAEVAKLKRRYSFLADRHARRLVRRYGTRAEALLGDASGIDDLGRLFG GDLYEAEVTYLVEHEWARHAEDVLWRRTKDGLRLSKEQAQSLEEYMAAMPAMAG YP_002978496.1 MFLTDRQTEIVAIAKSSGRVLVEELASRFSVTPQTIRKDLNDLC DAQVLTRIHGGATFPSGTENVKYEARRQIAASEKQAIGIAAVELIPSGASLFINIGTT TEAVGEALADHHELMVITNNINVANRLRLFPAIEVVIAGGVVRGSDGGIVGEAAVDFI RQFKVDYAVIGASAIDIDGALLDYDFREVKVAQAIIANARHVILVADSTKFERTAPVR IGQLSQVHTFITDHCPVPSIRNLCLENNVKLIETNGRFR YP_002978497.1 MSMAASAPVSTKQEGGFDLVAFAAIVVTILSWASSFAVIRICLG PLTPIELATARYVAAGAIALVYLVIYRPMPEKRDFFRLSVAAVLFIAAYAVLLNTGEQ TVAAGPASFIINTMPVFTALIATFALGERFGRWGWAGTAVSFGGVALIAVASDGGFKL DPNAVLILGAALCSAIASVLQKPLLGRLPALAVTAWILLIGSVPLFPAVPATIQALAA APAEVNWGVAYLVIFPTAIGYLTWAIALKRLTAARASNFLYGVPPVATLIGFVWLGET PTALGAVGGVMAILGVLVVNVMRKR YP_002978498.1 MVHSSPVLPPLDTLETFARAARLGSFSAAAEESGITHGAVSRQV SRLERWMGVRLFAREARGVRLTPEGMRFFARAEEALTLLGNSGERWLPRRNKAVVRLS VTPSVASLWLFQRLPKLEGNELHVELTLEHRLADFGEGTDLAIRCGKGPWAGVRALPL WQEKSFPIAAPALADRLGQRSDALALLDLPILHDSNIEGWRRWLSREGVDYIPRGHDR RFEDYNLVIDACAQGLGIALARPPLSDAALATGRVVAVSERTLDYHVAFHLIRPDEAL RSPAIEFASRFLREAGHDEATIATFIAPGRAKA YP_002978499.1 MMNIRMATPGEDEILVGHYLKIWDSYGTPPEHYRPDAAARILSF IRSGREERRLTSFLAIVDGDIAGSASCQMHQSPFPEVIQPEQRLHGYIWSVYVADAFR RRGIALALTNKAVDYLKSIGCTTAVIHASDAGEHVYRATGFELAKEMRLKFSAE YP_002978500.1 MNKILATAFAAVSLTVVGAGAVNAADLGTRTYEEPDLRNGVKIG YLTCDIGGGTGYVLGSSKEADCIFQSTVGNELSDRYTGEMRKLGIDLGFTTRSRLIWA VFAPTAGYHRGSLAGLYVGATAEATLGAGVGANLLVGGSSGSIHLQTVSLTGQLGLNV AAGSASMTLTPAN YP_002978501.1 MRIRRTNIILAGCLAVSACQSGPTSQAVSDRNSEFGCIAGTVGG AIVGGLIGSTIGAGTGQVLAVGAGIGGGGFVGNRLACR YP_002978502.1 MKTLALVTTFILCQCVGAVAQQQQQPGSVYQGQMDRLDANTSKT VDRSEYETFMGSAFGSLDKNKDGSLQSDETVQILTVEQFTLTDANHNGRISRSEFMQR VMADFATADHDRDGSLQ YP_002978503.1 MLYYALVFLVVALIAGVLGFGGIAGASASIAQVLFFIFLVLFVV SLVMRLMRKV YP_002978504.1 MMTKFRGVRRPLHAIGDGRIGMDWINRYIDQPLLHGAAGLLRSW HLRTRQPPELLEPTWNLVVLSFLLIASGQVMAGKPFALSVAALIMLALPSARKLLSAV KAGQGGYGAREYKSLRARAIAKREAEWSVRIIVLFASACLPFIARIDDPVGACFMLGA SIWFVLTGPLKAYLDAAEPPEPNEGDRTYSGAFHFG YP_002978505.1 MLSDRIEVVTLFVDDIDEAKAFYQKVFALDVVYEDAVSSVLKFS GTMINLLDAAQAPQLVEPSAVSAPGSGARILLTIKVDDVDSVCAELQKLGVALLNGPI DRPWGRRTAAFADPSGHVWEVAHELR YP_002978506.1 MIRIENISKQLSHRILFIEASAALNRGEKIGLVGPNGAGKTTVF RMINGEEQPDEGQVSVERGVTIGYFNQDVGEMAGHSAVAEVMNGAGPVSIVAGELRDL EAAMADPEQADNMEEIIERYGEVQARYEELDGYALEGRAREVLAGLSFSQEMMDGDVG ALSGGWKMRVALARILLMRPDVMLLDEPSNHLDLESLIWLEEFLKGYEGALLMTSHDR EFMNRIVTKIIEIDAGTLTAYSGDYEFYEQQRAQNEKQQQAQFERQQAMLAKEIKFIE RFKARASHASQVQSRVKKLEKIDRVEPPKRRQIVSFEFQPAPRSGEDVVNLKNVHKKY GSRSIYEGFDFVVRRRERWCIMGINGAGKSTLLKLVTGSTAPDQGSVALGASVKMGYF AQHAMDILDGERTVFQMLEDQFPQAGQGPLRALAGCFGFSGDDVEKRCRVLSGGEKAR LVMAIMLFDPPNLLVLDEPTNHLDLDTKEMLIKALSQYEGTMLFVSHDRHFLAALSNR VLELTPEGVHQYGGGYTEYVARTGHEAPGLRS YP_002978507.1 MSVRPPQSFRPSEQNKNGFNVLEYELMSERADSLGRHGLKVEAT LAALKAWAPDRQSAEERERLLNEASDAVWAFFIQREICGLRNNRDAIQRYGIPNEVIA RLGAVRK YP_002978508.1 MPISIRIGNQDLTVDVSSLGAEMQSLTSSDGRSWLWTGDAAFWT GRSPILFPIVGKAPDDKVTIDGTVYPMAQHGFARRSEFTLAASTETMCRFELVASDAT RAVYPFDFQLAVVHAVEGHALTVTAEVTNRDRKAMPFGLGFHSAFAWPLPGTSGRDHV VTLDNEGEPALVRLEGGLINPAALPSPFKAGRLVLDHSMFEQDAMIFPDGAGEGLTYG AEDGPTMQFHFENLPNLALWTKPGAPFLCIEPWHGTAAEAGGSSEFSKRPSTTILAPG AVASFAFTVKISE YP_002978509.1 MSTIAATSIAVPQPKTGASTREWIAVLAGMIGAFMAILNIQITN ASLLDIEGGIGTGVDNGAWISTSYLIGEIVVIPLTAYFSNVFSFRRYILVNSVLFPLF SIGCAFAHDLGTMIVLRGLQGFAGGVLIPMAFTMVLTKLPKHQQPLGLAAFALSVTFA PAIGPTIGGYLTENYGWQTIFFINAIPSAIMAVALALTLDKQPMQLGLLREGDWAGII SMAIGLSALQTVLEEGNKEDWFSSPFIVKLSILAFVFLVAFIWIELTVEKPLVKLRLL KQRNFGIGVAVNVLVGVALFGTVYILPQYLGQVQRYNAEQIGNVLAWTGLPQLLLIPL VPMMMKRFDARYIGFLGISIFAISCFMNITLSADTAGDQFWIPNIVRAIGQALVLTPI TAITTAGIAPSDAAAASGLTNMLRNLGGAVGTATLGTVLTKREQFHSNIIGQSVTLGR DEVRNRLDQLTGYFLQHGVSDHAVATQKAIVALGQVVKRQALILGFADTFAVIGVVLA IAAVALLLTQKPRAGGGAGAH YP_002978510.1 MTIKTLHALNNNTAVENTAEAAPASLDAGQPPRVAEAAATVAEE KPAGRRGGRSLLLGATALVLIAAGAYYGHDYWTVGRFHISTDDAYVKADNSTIAPKVS GYLAEVLVMDNETVKAGQPLARIDDRDFKAALDQASADVAAAEATVNAKQASLDIQQS TIAAARATVDVDRANETFAEQNNKRYSNLATSGYAPVQTAQQAASQIAAAQASIVRDT ASLDAAVKQVDLLNAELAQAKAALARSQAVQHQAELNLSYATITAPVDGTVGNRTLRV GQYVQAGTQLMSVVPTTAAYVIANYKETQLTDVKAGQPVDIEVDMFPGRTYHGHVDSL APASGQEFALLPPDNATGNFTKVVQRIPVKIVLDGDAAANGDLRPGMSVQPDINTKND RS YP_002978511.1 MVQNQQIEKRPRGRPQIRCDDDTRNLIVEAADTQFHDNGYAAAS IATIAQEAGVSTKTLYRLFPTKADLFASVVSERISRFLLALDPPMLAAADLRDGLERM LMAYGMLTLSEDTVTIMRLVIAESDRFPEIATSFYERAILRTNALMEDWLRLQVDRGL IALDDPHLACGMLRGMMAMEPQRAAILRQEPPPKIEAIQARAKMSADLFLKGCAL YP_002978512.1 MRQGWRWFGPEAPVTLDDVRQTGATNIVSSLHQVPIGRAWTEKE VRERQALIETTPGDRSPLVWSVVESIPIPDAVKRKGGEAKAEIEAWIASLEAVAACGI PIVCYNFMPVVDWTRTELDFVTPTGATAMRFDHERFAAFDLFILERPDAAQHYSSEDR ERARIVFEAMTDDEVADITRIITSALPGSTTEPLTIPAFRDKLVAYSGIDAARLRRHL IEFLEAVTPAAEARGVKLTLHPDDPPRSLFGLPRIASTADDYAALFDAVPSAANGMCY CTGSLGVRAENDLPAIARRLASRIHFAHLRATTREGDGRTFHESAHLEGDVDMVAVLS ELVAEDRRRSPEDTIVFRSDHGHRMLDDLDKVVTPGYPAIGRMRGLAELRGILHALGA PPN YP_002978513.1 MRQASETEVLVAPALREVGGRLRRITTAGAIYDRLYADIVSLRM PPGMLLQEKRIADDFGVSRTPVREALLRLSEGGLIDIYPQSGTVVSRVPVSAIPEAVV VRKALEGTTVEAAALTASATDIARLDTIIARQRAHAATGNASSFHEEDEAFHEAITQI SGYPGIWAILKTVKVQIDRARRLTLPVLGRMDNVVQEHMIIRDALAAHDAAVARSAMT HHLSAVIPDVDELRARYPDYFC YP_002978514.1 MKKPARPETSENTTDGARPGVRPAAEAGRRKATPRASKEVSRQS GGSPAAGREEEIRKRAYSLWEKEGRPEGKHGHHWTLAEHELDAQQGEADNPPNLAALR EASREHTDVFLVKTDLEDADQREASPGTREQD YP_002978515.1 MVDKQNLNAGFTGTPTEQPEGLTKVAKSAANEMKREAYAVASGV REHPHTASALLLTTGIIAFGLGYLLGRSSAEGSTRPYWR YP_002978516.1 MNYLSQLKMAVLYPEDDAGSALDRNNRIAVFLFAILPGLSPNFN SFILLASMVWGVYCLATGRLALNLSRCDRLVAIFMSIYPLVMIASIFINPPYSEVPDW IFRLLPFFSIWLILPRMRQSPDGRLVPLFILGAGIGMIVTFLFSLLQIMFLMERAEAG TSNAALLGVIGILFGGIALLNVQSPKSVEQRIAILGYAAGLGCVLLSGTRSAWLVIPI HIVIFLWYFRKHSFHLSLRSLAITSSLLLAGLITLGSGQILHRIQALQENLTSLERTD GEITSLSARFALYKGALSAISKDPLTGYGPQNRMSSVLAELPDNLRPQLPYSHVHNGF LTAGIDAGVFGIAALSLMLLTPVIGALRKEAGPGRDLAIALALLLVSSYVITGSFGIM FNQKALDPIFAYLVALICADRGSTRFAPVVRS YP_002978517.1 MPLQALVAPLLAMPRVAKRALALLVDSSFCVLTIWLAYCFRLNE WTVLTGVQWLPVFVSLCMALPIFIVMGMYRAIFRYANMAAFITVLKAIAIYGFAFMTI FTALSVPGVPRTVGILQPFLLLIAIGLSRLSIRYWLGDAYQRILHKNTLAKVLIYGAG KAGRQLAGALINSAELNVVGYLDDDPRLKGGVMGGLPIYDPSDLPVLAESLGVHNVLL ALPSASRQRRNEILEHIRKARVNVRTLPDLTALAQGRIAVSDIRELEIEDLLGREAVA PRQELLDKAMRKKVVMVTGAGGSIGGELCRQILRNEPSSLILIDQNEFALYNIHAELQ KLAELYKHENTQIVPILCSVRDQDRMEHVMQSWRPQTLYHAAAYKHVPLVEHNAVEGI KNNVMGTLVAARAANKCGVSNFVLISTDKAVRPTNVMGASKRLAEMVLQALAAESATD RMRTNFSMVRFGNVLGSSGSVVPLFRQQIKEGGPVTLTHPDITRYFMTISEASQLVIQ AGAMADGGDVFLLDMGEPVRIADLARKMVELSGLAVRDENNPEGDIELSVTGLRPGEK LYEELLIGDNPERTEHPRIMKAREDFLFWSELSKKLNSLNAVLDRNDMVAARAMLADL VSGYSSTGEVSDLAFSGAEPLRLPQPIQSTL YP_002978518.1 MAVDAKRSLTIRQHDRADGGKTAGKAEELRSMGLKRAVDFFLAL IAAAILLVPILVVALCVRLTSPGPILYWSKRVGRFNQIFLMPKFRSMRVDTPTVATHL LENPDRFLTPIGSFLRKSSLDELPQLWCILEGKMSFVGPRPALYNQYDLIELRTAHGV DKLLPGLTGWAQINGRDELPIPEKVKFDVEYLQRRSFGFDIRILFMTAGKVIRRKGIR H YP_002978519.1 MTTITYHVGEHDGGFAYRLGDVWSETYSDHDSALAAAKSAAQRQ HIEGRDAEISYQLADGRWQTEHADGGDRPDTEVVDDE YP_002978520.1 MKPVLLAGETFHVTSFASKGYEVGASARYSNGAERYIQGLAAHG VVVVQIGGERCESEFPTTMDGLDAYSAVVLSDVGALSLLYTPQTRAGRRSVNRLELLR EWVDKGGALMMAGGYCSFQGIDGLAMFRGTAVEECLPIECFPGADGLEAPEGLDPVIH EIGHPILAGVPSPIPYVLGMNRVAARGDMETKTLINCGHRTGQMPLLSVRDYGAGRTL AWTTDIGPHWLSQDFMQWPGYDLLMANMIRWLAREI YP_002978521.1 MTSHLFKTLLVAGSLIASIAAANAQECAKEPVTVGFLPKLDTDP YFQVAQTGAEEAAKEIGGKAIKQAPSQATAEAQIDFINNLVSQKVGVIAISANDANAV APALRRAAKQGVKVVSYDSDVSTAARSVFLNQAAGDSLAEMMLESMGQMINYDGEFAI LSSTPTATNQNAWIDFMKKTMAGDKKYSKMKLVQVAYGQESEQVNQQQALALAQAFPN LKGIIIPAGIGLPAAARAMDQAGLLGKVKLTGLAPATLIKKYIQNGSVQDIWWNVKDL GYLTYYAAQAVAQCKLTGKEGETFEAGRLGSYKVGANGEVLLGPADIVTPANVEEFKF YP_002978522.1 MSTNSERNPDFVTRFANWDNFLAALTIVVVGYALLGVPNFASVF NISQAVAGISERALIVLPMVLLIIAREIDLSVGSILALTSVIFGLLIQAGAPLLLAIP VTLVAGGICGAFNGLLVTKLGLPSLVVTLGTMALFRGIAYILLGSDSINNFPDSFLDF GIDTVGTSPVPLTILPFLLLAPIFAIALQKMPLGRRIYAIGGSPDAARYSGIRLARTV FGLFVTSGVVCAAAGMVYAARLANARANNAVGIELDVITIALLGGISVFGGRGRLTGV LWALLLVATIRNVLGLLQIGGDAQGTVIGLLLIVSLLASNAAERVFSSVRARYFKVKT GE YP_002978523.1 MLDVSGRPKAAGTSATGQVVASLEGVTKFFGGTVAVANVSIELR AGEVLALLGENGAGKSTCVKLLAGVHRPDGGQVVMLGKPVAFASPLEAQRAGVAVMHQ HPGLFPDLSIAENLFIGQTGLRWKLDHRQMQSEAARLLTLVGLDVDVTAPLGRLRTSE QQLVEIARALSLDARVLIMDEPTAALSQREVERLFTVVDNLRPQGVAMMFVGHRMDEI YRVADRIAVLRDGRHVGTEIAADLSRERAVQMMVGRSLDGLYPRNNTAPGDVVLDVRG LSCDGSFQDVSFQLRAGEILGFGGLVGSGRTEIARVLFGIDQPTGGTIAIDGKTMRFA SPKDAMGNGIAYVSEDRIGQSLVMDFPILNNASLTVLDKATRGGLMSREKEIGIAKPF LDRLRLRFKSFDQPVGALSGGNQQKVVLAKWLATNPRILILDEPTQGIDVQTKADVHA MMTDLAAKGMAIILISSELPELLGMADRMIVLREGSVTAEFRHDEASQEKVIGAATDA IVKKVDGEIVAKPVPHQDEKSEIPERAAQGTWRRVLARRELGLFAAIAAVVIPISILN IRMLSGANLSALAMDAGLLMIVAVAQMLVVITRSIDLSVAAIIGLAAYGAASTIHLHP EIGVMGGVALACVIGLAAGFLNGLIVTYGRVPAIVVTLGTMSIFRGINSLWAGGTQVS ADQVPQAWLDMTAANIFGVPAVLLIAIATLLVVAYILRNTSIGRELFAIGSNPGGASL IGIPSRARILMAFSAAGLLAGFDGALWASRYATVDARVAYGFELTVIAAVVVGGIAVR GGSGTVLGVAAGALMLLIINNGLTLVRVDPLWLQGVYGLVILAAIGIDALVARRAAGK RKGAH YP_002978524.1 MAGKGSNSVQLRHYNERVVLDAVRRFGQASKAEIARFAHLTPPA VAAIVEALVAGGYLVENGKRFGGKGQPSAMYGLASTGAYSIGFHIGRRAMDAILIDFA GQICAFETHDYDHPDPDSIKRLGAAAIGRFKSQLGAVHSSRLIGIGISAPYFIGGWDE ELGFPGDVQLAWRSFDLKGHFADTHSLPVMIENDASAAAVAELVYGLGKKFADFLHIS LSTFVGGGLVLDGTLQTGPNGNTAAYGPFPVTHSTLSSVPKPAGKFEVLLHRASIYTL VHHLRTNGIDIRRVRDLDPMPPAARTFVSEWQDDCADALAQAIIGSIAVIDVEAVVID GLLPAPLLMETVARVRQCFSEMVPPGLIAPTITAGALGARGSALGASILPLYLMFGPD TGVLMKKGNDKKPLLIGS YP_002978525.1 MQVLVRDNNVEQALRFLKKKLQREGVFREARLRERFEKPSEKRA REKAEGIRRVRKLARKKLERESGISAPKKARAPGR YP_002978526.1 MTFDSIFVGLRPTSKKSALPPEPSQSKCQWDGCESGGTNRAPVG RDAEGLYLLFCPQHAREYNKGYNFASNLSDPVTARYQSEAASGARKTWGTRVDHATEM PLPSTVRSGTAKALNARKSAAQHQATKAVLQRRKLKVLEAKAFETLGLLQDAAPEEIR RRYKQMLKLHHPDANRGDRNSEAELQAAIDAHKILKLNGFC YP_002978527.1 MNMVSTIVKSPVALSVGGLLAEARAARGYSLDDVAETTGLTVAE VTALENDTDFDASRIRRTAAALGVLDKI YP_002978528.1 MNGRKSASVLIAAAMICASGQAFAQGFAGLGSDAQGFAIPERGS VLSFPADHGAHPDYRIEWWYVTANLKDEDGRQYGAQWTLFRSALAPGDKAGFADPQIW AGHAAITTQGHQYVTERLGRGGVGQAGVAARPFRAWIDDWRLEGSERTGSDAFGNLSV SAGGLDFSYTLDLKADGPLVLQGENGFSVKSANGQASYYYSQPFYEVAGTITTSGAPV KVTGKAWLDREWSSQPLASNQTGWDWFSLHLNSGDKLMAFRLRDDKDGFISANWISAD GRTTPLSKDDVQLEPTRKATVDGRRMPVEWRIRVPSKSLDITTKPLNEQSWMATSTPY WEGPINFTGSTSGVGYLEMTGY YP_002978529.1 MNFVAFAALLSHWRRRPLQLLTLVMGIALATALWSGVQAINAEA RASYARAASVLEQGNLREIVAKDGDGIASEVYGSLRRAGLNVSPVIEGNYRFGSTRIR LVGIEPLTMPRDTRNQTVASSLDLSGFFSASGQLLVSSATAERLRGSTDMSVKIDGKV PDGAAFVDISVADRLLDKHGKIDRLVVAADQRITTEAIDQAGLTIREPGEQPDVARLT DSFHLNLTAFGFLSFIVGLFIVYSATGLTFEQRRGTFRTLRSLGVSLRALITVLLIEL SMLALISGLIGVMLGYVIAWLLMPGVAATLRGLYGASVSGSLSIRPEWWLAGLAIALG GTAVSSAQSLWRVWRLPILAAAQPRAWARESARSLAFQAGTGGVLLILAAILAIAASG LVAGFATLGCLLLGTALVLPGLLAVILTKAQHLARTALMEWFWADTRIQLPGLSLALM ALLLALSANIGVGTMVSSFRLTFIGWLDQRLAAELYVTAKDEEEAARLRVWLPPRSTA VLPIWSVDAEVLGEQTQIFGVADDSTYREHWPLIASENDVWARIAAGQGALINEQMWR RGKAKIGQPLVMPGGWSATVVGVYSDYGNPNGQVIVGVKTLVDHYRDVPKLRYGVRVA PQQAQDLKRRLVEEFGLPDTAIVDQASLKRQSRAIFDQTFKVTGALNVLTLAVAGFAM FSSLLTLSGIRLPQLAPVWAMGVRRRDLALYEVARTLALWLATFMAAIPVGLTLAWVL LAIVNVEAFGWRLPMMVFPMDWFWLGAIALAAALLSVLVPVRRLASINPADLLRIFAN ER YP_002978530.1 MILTLAGVRKSYSTAESPIEILKGVDLEVAAGESVALTGESGSG KSTLLHLAGGLDRADSGSVVMDGSDLGLFAESERARYRRTKVGLVFQQFNLIPSLSVA ANISFHAKLANRYDHAWERQLIEKLGLEEYTARYPEQLSGGQQQRVAIGRTLAARPPL VLADEPTGNLDEQTGDAVLDLMLSLARTVGSALLLVTHSTRLAARLDRTVVLRGGKIA E YP_002978531.1 MAKNTICLWFDKDAEEAANFYAQTFPDSAVGAIIRAPGDYPDGK VGNVLVVEFTVAGVSCVGLNGGPTFKHNEAFSFQISTENQEETDRYWNAIVGNGGQES ECGWCKDRWGISWQITPRVLMDAMRAGGIEAKRAFDAMMKMQKIDVALIEAAVRG YP_002978532.1 MASPWKLLAGLVSRRRQQKQEHGSTDDVKPDVSAIAEPTETAAA DNRSKAADRAANEKPVTHEQHEAVSVDLPRHAEEAANSVDDTVDVESATLEKAVDPGL SNEADVAAHASPKALPVGDGPTRKRSRRNKKTKTIAVVLPPSSGVAAISDDAVSLDGE IRLLRDQLATKLRLQNAQLKKMLDRFER YP_002978533.1 MKKVQRSFAVEYKSGRRKLNSKPSSIWADTDLKSVAQDMQDEAM PFMSLAPQARSTGMLVSEEEQAGPLLTLPIEQETNASALQETIMADEKDTTTNADTPA AAAPDGLKKVRKPRAKKVVPATASAAVSLQPAAASGAKAGKQTRGRKAKPDEGTTSAK RAPVKRAPKAVSIAAAPSVAAVDEISDLLQLEEENQKLRKLLAEKLRAENADLRKRLN LG YP_002978534.1 MTTLDERQPEQHAFEADVSRLLHMMVHSVYSDKDIFLRELISNA ADACEKLRYDSISQPQLSADGIQPGILVTLNEEALTLVVEDNGIGMSRSEMIDALGTI ARSGTKAFMERLDAAKAGEKAELIGQFGVGFYSSFMVADKVDLISRRAGQEEAWKWSS DGKGSYDIVAADSVEAPTRGTRVVLHLMEDAKKYTNKWTVEKIIRDQSGHVPVPIRLV DKEAAEPSQVSDGAALWTKQKSEISKQDYDDFYRGVSGQYDEPLTNVHFRAEGRHEYT TLAFVPGSQPFDLFDPDRKGRMKLYVKRVFITDDAELLPRYLRFVRGIVDTSDLPLNI SREMIQESPVLTAIRKGVTSRILTALEKMADSETETFGIFWGLFGAIVKEGIYEDFER RPQLLKLARFHTTAAEGATRSLTEYVAAMKEGQSSIFYISGSNLEQLNGSPQLEGFRA KGIEVLLLTDSVDSFWPTNVPDFEGKLFKSVTQGLADLNDIAGEAGTDEQKQEASSEV AAFIDFARAALGQEVADVRVSGRLTESAVCLVASEHGPDRQLEKMLQEAGRLQTASKP VLEINAQSQLVRSIASMDDHAFREDAAWLLLDEARILDGDKPANPRAFAEPQARLFAL AMGHGK YP_002978535.1 MGAKPNVSIVVLAAGLATRMGSNGGHKLLAMFDGMPLVRRSALV AKASSAVSIIVVVGHRQDDIRDVLTGLPLHIIVNPDYRAGMSSSLAAGFAAAVADGAD GVLMLLADMPGISTDNLNQLIDAFQVADGTAIVCAASEGMRGNPVILPLSLNDSVLRL RGDIGARDLIRTSGLPVIEVEVGSAALTDVDTAEAIIAAGGIPVEDC YP_002978536.1 MLRDSLQRLNAARRKRLAVVLLTDFRGEEHILLEGDPVEPPFAA LVEGAFRSGRSMAFDTIDASGFLNVYIPAPRIAVIGAVHISQYLTPMARLAGFDVRII DPRTAFATHDRFPDAQMCTDWPVDALMADPLDRYTALVALTHDPKIDDFPLAEALRTG CFYIGALGSRKTHAARTGRLAAYGFGADALSTIHAPIGLDIGASNPAEIAVAILAQVI KALRTSSRQTMPA YP_002978537.1 MTIQTNLTDPLLTAEHWMETGRAVAIATVIETWGSAPRPVGSHL VVDGDGNFEGSVSGGCVEGAVVTAAAEVIAAGKAQMLEFGVADETAWRAGLSCGGRIR VFVERLG YP_002978538.1 MFQPSDADIRALVDQMTVAEKVELLSGRGLWKTASISRLDVPSI VMTDGAYGVRYSTTQIDAGDNDEDSLRAFLDLVGQQGDGSGGMFGATRPATCFPNGNL LGCSWDIDLAYRMGAALAAECQDFGVHLVLGPGINIRRTPLAGRAYEYYSEDPVISGD MAAAVICGLQDNGVGASLKHFACNNSEIERTTTSSDVDERALREIYLAGFERAIEKSA PWSVMSAYNPLNGIQAAEHHWLLRTVLRGEWGYDGLVLSDWHAIKDRGAALDAGTELD MPESKPRKARLHAAINAGDVAPEVIDAACANVLAFVRKCKSSERRGTPADFEAHHVLA RDIAAESIVLLRNEGQALPLDPGGPGELLIVGDGAVTPVIQGSGSATTNPYRVDSPFV QIAARAGDSLKVRHLPFSPVAQPDVTASIEAIVEAAERADVVVMFAENEKSRHGEGND RDTLKLAASHDELITALSATGRKLVVVLSMPDTVEMPWIGDVDAVLASFYAGQGGGEA LARILFGEQNPCGKLSASMPVRMQDIPGWHTYPGEHGRHVYSEGIFVGYRFYDLKAMT PVFPFGHGLSYTTFAYEDLRLDTHEITPGAGCTAALTIRNTGTVAGKEIVQLYVRPIK PGLKRPVRELKSFTKLHLAPGEAKRVTLGLAQRDFQYFDTSRSAWVLDAEAFVIEAAA SSRDIRLSITLPCRSEAPALPTISANSPTALVFAHPNAEAALVGFFVSRLSISAAEAM ALLAKTKGSFLGFYDTLSWYVGDSVKEADIAEVFSELNQH YP_002978539.1 MDVPFKDKGGRQKTAATEAPGQQAQYALGEQIGFYLRQANQRHV AIFASLMAEKLTTTQWAALVRLKDLQPCSQGNLGRETAMDMATIKGVVDRLVKRGLVH TAPDSTDARRLVLTLTPEGEVTVARNLSVALQISQETLSTLTLAERMMLMELLQKIC YP_002978540.1 MMAPAPLSRNALHVVIDMQRLFAEQTAWYTPAIEGILPNVVALS KARPAETVFARFMVPQSAEDATGRWKTYYRRWSLVTLDELDVAMLDLVAPLSAIAAPG SIVDKKTYSVFGSPRFTARLQGSATDTLIFSGVETDVCVYASVIEILAPRLSEQIEIL TTEAILKLWRE YP_002978541.1 MTNVKVNSVAKTYGSTPVLSDITTEFPEGSFTSLLGPSGSGKTT LLRIIAGFIKPDQGVVTIGNNDVTDIPVWGRNIGMMFQSYALFPHMTIAQNVAFGLER RGIKGAAARKEVDRALEMVHLPGFADRMPKQLSGGQQQRVALARAMVIKPSVLLLDEP LSALDRRLRQEMQVELLRIQRESGLTTIFVTHDQEEALTLSDKVAILDKGRIVQIGEP ETVYERPLTRFAAEFLGDSNFLTGTVDNGAVRLADGTVVQTTGTLPSSGSNVTLAVRP EKMSIASGPTDGNSLTARITTVIYAGPVLSYLLETADGLPLKLFAQNRDGKVLSDGDT ITLTWAAEHTVPVAD YP_002978542.1 MKTLGPIYLIAILIFLYTPILVMMAMGFNASPLYELPFHFSLQW YDALWDNSILLTAGLNSLVIASITAVLATALGTMASVALSRRTFRGRSLLQLMLLPPI AIPWLITGTAMLIFFYWTGIGRGMHALLIGHVALAIPYVVLVVGTGFKTIRADLEEAA MSLGSTPVHAFFSVTLPLLYPSILGAALFAFAVSLDQFVISYFLATPGYTTLPVQIYS SIRKGFTPEINAISTVLLLGSMTIILIFARFAKPGDTSDKR YP_002978543.1 MQNDRLISASERGPSGPRSRRPLPPWVSTTALLLPTYGWLTLAV FLPLLTMFVFSFMSATPMGKAPIVFTLKQYRAFIDQPYLVGIGITSLLIGFWTTLFCA VLGFLAAVALVRSTFGKTREMLLILILLPFWTNGLVRVFSWTMVLRENGFLDSLLHMI APDAGSIGFLYTRYAVVVGLVHGYLPYMILTCYIALVAIDDAIIEAASSLGARWWTIL FKILMPLSAPGLISGAVLIFIPVIGSFMEPRILGGRVGVTMGTVIEDQFTQAFNWPLG ASLSFTLLAVVLAIFATFSGVLRRGTVA YP_002978544.1 MTELTRRDTMKLMSAALIAGVSISTLPRKAFSAGSITVLNWQGY GTDEAWSLKAFTEKTGITVVHDYYSSESEMLTKMRTNPGAYDLVILNAARCAQAVAED LLQPIDFSKVPNASTVDETLRANPNFSKDGKGYAVPWVWGMTSLAIREGMTVPDSYAV LADPAYKGRVAMDDDAIINVGVGALMSGQDINDPKDLAAVTAALKSIKPNVKLLWSTE DQWNKSFAAKEFDLSLFWSGGSVRSKRVSKLPVQFVVPKEGGVGWVDGLGVPASAPNP EGALAFVNWMIDPIFYVEWATKIGAPASSNSAALSALPADDLTRLVHKTEYLKTTSFV SGIPDDRREAFNNIWQEVKAFYAE YP_002978545.1 MLQHSTIPLMRAWNSWSNRPAEMVFLPLGVRITPVLYSTRSKTT SAIEPRRDMVRLGRHTIDGSLIELETELSGTTVAFSTTKTDPFAIRGSWNGKVSAEWG LRFWLTLAISSHGGEVVVHDAGRNITLVKVGTRFVAVATAEAPVHVTGHETIDALRAD FEENGYFYTATRRSEAPLIALRFNLEMMRQGTYAAGVADSAELAIAKAQACLAAGAPA AIADAQTGVFEGALDAMRDVVAWNTIWDETNARSYTAVTRIWNLGKFAVWFNDQIFAA LLAGVFDADLARENMATAMAGATPQGNIACIVTSNDAWVDRTQLPFGALVVWQLYQRT GERSMIQASYEALVRNRRWWQDNRDPDGFGLLSCGTSDVGEGLYKGTAFAARNETGMD NSATHDEAIYDPVTRTLSTFDLGLNCAAALDAEMLSKMAAVLGKHDDAREFAALAERH RTLISQTLWDESRGIFANRQRRGGFVRSLSPTSFYPLLCGAATPAQAERLLEHLSDET TFGGDFVLPNATRDDPAFADNVYWRGRIWPNVNYMVWLGLRRYGFVAAASKLASQSYD LFMKNWREHRIASENYNAVTGEAMDQGDTDPFYIWAAMLPLMAASEIVDFDPWTGWTM RHAGRDVVLGPMVSPSGTLELVVKSGTLVMTLNGRAALKTDLHTTLSRIVFANGRVAC TIAPVSEAGFIELPGLALGDVLAARINGQDINYVSGGDGIRVMIAEHAEDRRLDVYFS SSSAH YP_002978546.1 MEQIRTGQEIGRRDLFENPTAAFRPSAYWFWHSIPTEDVCHAQL ADFKQKGIGTILIQARLAMSRDDYLSPAYLDAYRVAAGIAGKLGLKLGIYDDYNWISG HAGGRTVVDRDDLRERHLFWSSSGEARGEISGIHPPFTRTMGPDIVAWQYEGSVVEWC EWTVEAALLHPSVGIDSLDQVVDVTARTSVVESDRTSCTYVFDGVPAEGQMLTVFVSA RSTTSRLINYLLPEAAERFTEVGLDPLVEVLGDLVPDPVGFVFYDQPAAGFYKWSQIS GDLGNSLLYAPTLPDAVSRGTGETFARALLALVRDVGPETLRLRAQFYAAYSALMNEA FSGTLRRWAEGRRIVLTGHEILPHVSSWSLNGGFTSIDPRVALAVDFFGIDAYRHETA VDSNNFVAQLAPKLGDSVARSNGRSRCVVETYASAERTPVRAAGQWELTLETMRAQAI RLHCLGTRQFLWHGVYQTDGRDNDPTPFSNPRFDFGPGINFEPWWSYHDLFAEETARI SAFIEGATPRTPVAILYPLQTAFAEGPRHSHATHIGAWCEGLLAEGCDFMFISEADLA TATIENGRLRASGLAFDAVVLPSVTVLETTKTLHTLAVFKAAGGAVWSSGERLSTVCD GAEGCAVGTSIHLEGMPGKGDLTALLQSLRSSGPDLGCHSAGRPWQWVGYEAAGWWRV VLFNDSASHLISEISFGDGFACEVWSATTGDVGKATAFSHLTVALAPQEVQCIRLSET TQKTTVQEGHLEHQPVLAAARAISLVDGWSFAPGPDAGFVPISVVAGWETQGFAGFSG TGIYRLALEIGDEAEWVLELPEVHTVVAALLDGRQIDRRGWRPYLFALGRLLPGVHSL ELRVANTAANRYYINTPYLGDTADKSGLSAAPKLIQLAN YP_002978547.1 MNHREAPKSAYMAAASTLLIVNDASMGDAAELYIAVGADSRVTA FNGHVDLGTGIRTSLAQIVAEELSVPFEQVDMVLGTTTAAPNQGATIASETIQITAIP LRQAAATARHHLLVKAAEKVGVPIERLRLEDGIIRADGGENWQLNFGDVVVGSHVRLS IDSNAALKPASDYKLVGSSRPRVDIPEKATGRWTYVHDVRVPGMLHGRVIRPPYAGFD HGEHVGNSLISIDETSVAHIDGLVGVVAIGDFVGVVATREEIAIEASGSLKVVWRAPP EWPDLNMPEKALRANPSTPRKLADRGNVDMALAGSAQPMNRTYVWPYQMHGSIGPSCA VADYNDAGLTVWSGTQNPYPMRRDLALLLDLPEEQIRVERLEAAGCYGRNCADDVTAD AALLSRAVKAPVRVQLTREQEHAWEPKGAAQIMDVRGGLDLEGGPSAYDFETRYPSNL APTLPLILTGKLPPVSDVVQMGDRTAIPPYAYGNLRVTVHDMPPIARASWFRGVSAMP NTFAHECYVDELAAAAGVDPVEYRLRYLHDPRAVDLVNALAERAKWVPHTTWGTLSGE GDLLYGRGFAYAVYVHGPFPGKAAAWAAWVADVAVNKKTGEIAVTKVTCAQDSGMMIN PDGVRHQIHGNIIQSTSRVLKEKVEFSSTAVQSKEWGGYPLITFPEVPDIDVLMVPRQ DEPPLGVGESASVPSASAIANAVYDATGIRFRELPLTPELVLAALNGKTGERPATPVA KKRRWWNVGLSVIGAVAALSGIVTMASPWRPAIGTIQQPDANVYSAATIERGRLAAAA GACNVCHVGNDGTPFAGGRRFDTPFGAVYATNITPDAQSGIGAWSYPAFERAMREGIS RDGHHLYPAHPYTSFAGAEDADLQALYAYMMTQAPVAERAPETKLKFPYSIRAMMAGW NALFLKAQPFKYVETRDAQWNRGAYLVETLGHCSACHTERNVLGAEKSGSARLSGGFA DGWEAPALNAFAKGPVGWTADAFYDYLRTGHSRDHGSAAGPMAHVVEVMQPLPDSDIR AMATYLASLNEAPADSKAQSEAAIAASEAAKASAARISPKGERLFSGACATCHTGNTI LSSLALNSNLHAATPDNLIQAILNGVEAPAILAQTTGRQAPEVMSMPAFRQTLNDGQI KDLADYLRARFAPDKPAWTETTKAMQRVTAANH YP_002978548.1 MKPPVSVSLNVNDALWRVSAKPETPLLYILRNDLCLNGPKYGCG LGECGACAVLVDGRPVRSCTVPLGAIGKRRVITLEGLAVDGLLHPVQQAFIEEAAAQC GYCLNGMIIATVGLLQRNTDPDESDIRDALRHHLCRCGTHVEILAAVRRAVTLTKAGR PVDAMTDDMTEASR YP_002978549.1 MRDVGDSRIVMMRTRRQGARRIAIRPVAKGLAICAMLEEFP YP_002978550.1 MSTGNEKIAIIGAGLGGAAAGALLQHAGFNVQIFEQAPSFSRLG AGIHMGPNVLKIFQRIGMDQKLIDISSTPAFWFSRDGLTGDYLSRIPLEGYGATYCTV HRGDLSALQMDTMTPGTVQFNKRLTRLEDNGSDVYLEFQDGTSARASIVIGADGINSR VRETLLGAEKPNYSGWVGHRAMISAEKLKKFDLTFEDCVKWWGPDRHMMVYYTTSRRD EYYYVTGVPHPAWEFDGAFVQSSREEMSEAFAGYHPVIQALIEATDDVTKWPLFNRNP LPLWSQGRMVLLGDACHPMKPHMAQGAAMAIEDAAMLARCLEETGSQDYATAFRLYEA SRRDRATQVQTVSNANTFLQTQEDPSWVYGYDVYAEAILDKSVA YP_002978551.1 MSAALLYGANVSANGIRQHYLRYGGKGRPVILIPGITSPAITWG FVAERLAERHDVYVLDVRGRGLSSTGPDLDYGLDAMAADVIGFAQALGAGAPALLGHS MGARIAMRAANTGGEFSRLALIDPPVSGPGRRPYPSKLPWYVDSIRLALAGIDAEGMK AFCPTWTESQLQLRAEWLHTCFEPAIVTAFEDFHKDDIFPDFATLKQPSMLMVAGRGG VIAPEDEAEIRGLNPAIEISRVPNAGHMIPWDDLDGFFDALGDFLSR YP_002978552.1 MQKPYRIGQIVPSSNTTMETEIPAMLMARQLIRPERFTFHSSRM RMKKVVKEELAAMDAESDRCAIELSDARVDVLGYACLVAIMAMGLGYHRQSEKRLTGR TQENDTNIPVVTSAGALIEGLKVMKAKKIAVVAPYMKPLTELVVNYIREEGFEVMDWR ALEIPDNLDVARHDPENLPAIVQTLDLSDVDVIVLSACVQMPSLPVIAKVEAMTGKPV LTAAVATTYCMLKSLGLEAVVPGAGALLSGAY YP_002978553.1 MQSAETNYQGVWGNRIGFGQRPALLVIDFLKAYTVEGAPLYAPG VVDAVAQTPALLAAARTAGIPLIHTRILYLAENCADGGMWVKKAPVMKAMVEGNVLAE FCDGVEPEKGELVIVKQYASAFFGTSLASLLHTQGIDTVVLAGCSTSGCIRASAVDAV QHGFRTIVVRDCVGDRHPDPHNANLFDIDSKYGDVVSREDAIAEIAKIKSDCP YP_002978554.1 MDQFSFTEICLHQLKMSGVHEGEKLIVLTQGNERLDYADAFMAA GMRLGAKMYHMRLPPVPPAGAWAVGQTGLAAMPDAVEALKAADMLIDCIFLLFSPEQM AIQAAGTRILTAVEPPEILARMLPTKELRERVEFAGDLLSKAKVMRITSDHGTDVTYK LNTYPAVTEYACTDEPGRWDHWPSGFVFTGGDDDGVDGTIVVAPGDILLPQNIYVRDP IYYTIENGWITDIRGGLDAELVKSYMDGFNDPRGMGMSHVGWGLNQNAKWHRMVPGEF PGGMGMEARSFYGNVMFSTGPNNELGGPNDTACHLDIPMRNCSLFLDDEPMVLNGDIA VKEMKHTVKS YP_002978555.1 MTVKDIERPREAAVSETAYDVTEQVGHLLRKAYQRHLSIFQANA SDPDLTSVQFVTLCALHDLGPSSQVELVKATSVDQATIRGIVERLKARGLIDLSKDKA DARKVVISLLPKGEALLQDMYPRAYLISEKTISPLNPAERIALLYLLRKIADDEVGER YP_002978556.1 MARYLGSAHGRPLPPTPDIATRIRTNPRVKAILWSIIICMTVLV VIALFSSHVL YP_002978557.1 MDTLTGLPAATNGKDLVQLRKRDADEIAEELNGCERRGTASPLL YP_002978558.1 MAMFEKRMTATGGSDSGDVDSGYAWWRLVLTLILGTAACVGNWS VVVLLPTLQVEFDTVRGGASLPYTCTMLGFAFGGVVMGRLADRVGIVVPVLIGAFLLC VGYILAALTTNIWQFAAFSLVIGLGSAAGFAPLISDLSLWFSRHRALAVAFAASGSYL SGAVWPMAIEHFQTTQGWRATHVGIGIFIPLVMVPIGLLLKRRLQTVTYVQAEAVTEA ARNELGLSPNALQVVLVVAGFACCMAMSMPQVHIVAYCGDLGYGVAVGTQIIALMLGL GVVSRLASGAVADRIGAGPMLILGSSMQAAALLLYLYFNSKSSLYVISGLFGLFQGGI VPMYAVIIRKYLPPREAGIRISLVLMATVLGMACGGLAAGYIFDATGSYRLAFLHGFL WNCVNLALVSWLILWPRLRRRQQALAT YP_002978559.1 MNVLKAGVASETHHPAIPTWTWLSPLLASLLLAVKFLHFVPSDG PVVLVLAGILLAAAVFSAVHHAEVLALKLGEPFGSILLAIAVTVIEVALIVSIMVSGA EGSGSVARDTVFAAVMIVLNGVVGLCLIMGGSRHFEQSFQLKGASSALAVLGTLAALA LILPNHTLTVLGPSYSPLQAMFIGIVSLMLWGVFVFVQTVKHRAYFLDAPAEDVIDED MTEGYHPKPSDREAQACLGLLLVSLVAVVLLAKTLSPSLDAAIDLAGLPHTFVGVVIA AIVLLPEGIAAAKSALKNQLQNSINLALGSAIASIGLTIPSVAVVSLVLDQQLALGIS AANTTLLVLTLFVSTLTLGTGRTTVLQGAIHLVIFAVFLLVSAIP YP_002978560.1 MESGYVTTPFGRRPMSLGMLASQHLAETIEPGMKRSKWKLFRAI CEARPALGVTDRALTVLDALLTFYPDDEISEEKGLIVFPSNSQLSLRARGMTPATLRR HLAVLVEAGLILRKDSPNGKRYARRDRAGAIGDAFGFSVAPLLARAVEIESLAAQAVA DRELLRVTRERLTLCRRDISKLITTALDEEISGDWEGISAMFRTLLTRIPRVATAEDL APLLDEMGLLRAEVVNMLERRIKTQKIDANESQIEHHKQNSNPDSNYELEPSFETKQG ERAAADNDPNAGPSDERRLKQQKPSGRMSNRAGGAADPGAGPGLKSFPLGLVLQACPS ILDYGPGGSIGNWRDLMSAAVVVRSMLGVSPSAYEEACSGMGPENAATVIACILERGG HINSPGGYLRDLTRRTERGEFAIGPMLMALARANGGVRRYAS YP_002978561.1 MARKNPFANVMSDTPTDSNRAVLDYTIRGASKSILNSIDEMAAR ADKLLEGETVIELNPDLVDASFVRDRIEEDEQEFNELLSAIRERGQASPILVRPHPKD SERYMVVFGHRRLRAARALGRNVRAVVKDLKDSDHLVAQGQENSARANLSFFEKAMFA SEIARLHFDGDNAIVLSALSVDRATLSKMLAVASMPRELLDAVGAAKGIGRDRWYELK TLLERPSNLERARAFLGTEEFNAKTGDDRFNVLLTHIKASGRSAPSKQVRASKWIADD KSVAADLRSDGRTFTLALKAKDAVGFGDFLSESLTDLYKAYRGRNIQQGE YP_002978562.1 MNAFSPLPSFEFDDKILMQGAEISRKLDQLRLENFPPNAKKNLR QFSLAEVAHYLGVTPSNLKRLYLDGKGPKPITTSGNRRYYTAEQMLELRFFLDRTGKS EAKKYVPHRKPGDKLQVIAVVNFKGGSGKTTTTAHLAQHLALTGHRVLAIDLDPQASL SALHGFQPELDRNPSLYDAIRYDDERKSIADVILPTNFPGLDIVPANLELQEYEYDTP LAMQAGTDGKRFFTRLGKSLEEVDSRYDVVVVDCPPQLGYLTLTALTAATSVLITVHP QMLDLMSMSQFLLMLGNITKTIKKAGANVRMDWLRYLITRFEPTDVPQVQMLGFMQSM FAEEILKSPMVKTTAISDAGLTKRTLYELDRSNFTRETYDRAIECMDAVNFEIQGLIH RAWGRL YP_002978563.1 MATGCLRSGEASVRHLLWWFLRLALEGLGGWTGQARDQSTATVC LCDGKERLRYRAGDRCDGPVAQCPFLTVLPDLVGDRFHPTARPGPREGRRCFHLQRAE DRPKGPPGLPAVHLHRERSSPGETIDEQSPAPADKPGAAEAEGGAGQESDAPVNRVRR MRSEHLKDPSGGV YP_002978564.1 MTDLYDEHGLPNRLSSAHPAQVARHKHLAGQLKALAADPAYLEA WCPFFQALSPSPPLPGAGTVAPQNSWLITFGIRSSAKKIPSTGMAVG YP_002978565.1 MRGAGAAYSNDRKGLAFDWNRTNFLQALGLDDANQRMPRIGNAA PASEIGRHSLVWLLINIITH YP_002978566.1 MISRPFLSSIAFRLPFAIAFICVLVFSLSTIAVYGLQRARQEMA AYGLQAFSSLAKASLVSRQVSDLVSSAPFLMNATSPYRVSSESRSVVVQVDALLRAMQ PENDGAAVQGFASSRIIELLETIRTQTTTLAGDAESAQQHKAEAAAALGEIATGSGIA DAGFRSRLNAIVQSASNSDSLFQLGELRRRYVSETAPRLERAAPDTRIAAAELAPYER VFEAQTRYLLEMFAIRAAVSRLHNVSRDLSHATETQSEAVARSLSDDLISTSDALSRI LVIVAVASLLVLAMAIVSIRSVMRVSRGIVSLSNGMNALAEGRKDVDTPRYDGTETEL VRLLEAFRAFRESVERVTQLRRTAEAAARTIRSTFRSMNEGIALFDPRGRPITMNRRV IELVGWSGSARKLPLRSFVAPLTEIEPTLLPAENDAGLLSDRSVLRHRSDVGRVTEVS LSRQPDGGIVLLARDITDIDRQEAEAAKIQRLDGIMRMTHQVSHEVGNMIGIITGSLG LLERETGFNDRQKRHLARIRKAADRGRSLAGSMLSIGSQQPIHPGAVEIGAVLRGMAD VLEIAIGENCRLAFEIADDLPIVFIDTALFEQSILNLCLNAAAAMPAGGTILIRCSRS EAGVSVSVTDDGIGMSPEAVDKAFEPYFTTRDAEGGAGLGLAVVYGFVRQSGGDARIA SLPGKGTVVELLFPA YP_002978567.1 MLNERITILIVEDDPDMAELISDLVEAEGWTALTAPSAEEATIV LSRETVHLVLVDHNLPGTSGRTFAQRLRAQANIGIVMVTAAGSAVDRVLGLETAADDY VVKPFEPIELTARIKAVLRRTIPSLKPDRESEREHEPTSLKLGEWSVDLKGRRAICRT DPAKSLTSAEFALLEILAETPNTPVSRAHILDRLGAESERYIDRNVDVLVLRLRRKIE RNPDLPRHIKTRRGKGYVLDTDDGEIAP YP_002978568.1 MKGITAFSAVAALWLASASTGMAAPSLTVLCGVDEMWCAAMKTA YEAKTGLEISVTRKSTGDILNQIRAEKAAPTVDVWWGGTGDTHLQAGSENLLEPYQPA HERDMLPWAQNFFAMSGGRSAGIYAGALGFAYNADLLRELKLPAPTCWKDLTDVAYRG RIQSGNPNSSGTAFTTLATLVQLFGEDEAFRYLAALNRNIERYTPSGAAPVKAAARGE TLIGISFMHDAVTQKQVGFPLVIVAPCEGTGYEIGAVSIVKGARNIEEAKRFVDFALS PEGQGTGAASGQNQVPSNAKSSLPLAAPDISMIKMVDYDFATFGSPGERSRLLRRFDA EIAVTN YP_002978569.1 MKMKTLSLMLFAGTALGALPAQAAGELNLICSADVVICEQMKGD FEKSHSDIKVNMVRLSSGETYAKVRAESRNPKTDIWWAGTGDPHLQAASENLTLEYKS SKLDELNDWAKKQAESSGYKTVGVYAGALGWGYNTEIFKTKGYKEPVCWADLLAPELK GEIQIANPNSSGTAYTALASLVQIMGEDQAFDYLKKLNGNISQYTKSGSAPVKAAARG ETALGIVFVHDAVAQTAEGFPVKSITPCEGTGYEIGSMSIIKGARNLENAKVWYDWAL TAEVQSRMKDAKSFQLPSNKSAVIPKEAPRFEDIKLIDYDFKTYGDPAKRKALLERWD REIGAAAN YP_002978570.1 MRHGNRRLDIVLVLGAVALTLLPWYRIENGFFGLGWLAAFPLSP EAAPGIVQIFAHGRLWLAGALVLFLLAIVARGMADPMRRGAALAWTGAIGVLFLSLQG LAIGLSGWTWTVSETLFGTLSNGQASMGAGAVLSAITFMLLFAFGLAERGVMKGDAFV VSAIALLVFLVAVFVFYPIGSMFVGSVQDFDGSFNADNFIRNVQDPSIWSLNCLIGAG RCGVAWRTLWLAIMSGLGSTLLGLAFALVATRTRFPFKKGLRLLTILPIITPPFVIGL ALTLLFGRAGVVTQGLSQLFGFEPGRWLYGLTGIWIAQVLSFTPISFLVLIGVVEGVS PSMEEASTTLRADRWRTFWRVSLPLMKPGLANAFLIGFIESMADFGNPLVLGGSNGVL STEIFFAVVGSQNDPSRAAVLATVLLLFTLSAFLAQRVWLSGKNYATVTGKGDSGSHI ALPRGLSIGVHAVVIPWILFTLVIYGMILIGGFVKTWGLDNSLTLDHYAKAFSISFEN GIAWTGVAWNSFWTTIEIALVSAPLTAAVGLLTAYIIVRQKFVGKNAFEFALMMSFAI PGTVIGVSYIMAFNLPPLEMTGSALILIACFVFRNMPVGVRGGIAAMSQLDKSLDEAS LTLRANSFRTIRKVILPLLRPAITAALVYSFVRAITSISAVIFLVSAEYNMATSYIVG LVENGEYGVAIAYSTMLIVVMITVIAGFQLIVGERRLRRENRVAGLATSASSRQEKIA YP_002978571.1 MINPKAGSVVFQNVRKTFGAFTAIPDLSLTIERGTLVTLLGPSG CGKTTTLRMLAGLEHPSAGKVLIGGKDVTMLPANERDVSMVFQSYALFPHMNARDNVA YGLESSGFNRKEAREKAEEGLALVGLAGMGHRLPAELSGGQQQRVAVARALVLEPQVL LLDEPLSNLDARLRRKVRTDIRELQQRLGFTAVYVTHDQDEALAVSDRIIIMKDGEVA QSGAPRDLYESPASAFIADFMGEANVIPCEVVGIDGADADIRIGGFKHRVPAGRATPG AASLAVRPGAIALSEGGGHGLAGRILHSAYLGDHVEYEVETDVGTLFIVDHAVDRILP VASDATLGFRSRGIALIST YP_002978572.1 MIDILSLENDFEAVIFDCDGTLVHTPPVYADAWAAGFALSGKPM SEAWYLMRAGMSEVVLMDAFEREFDVVLDRASVIATMRSHFLQNVHNVREVRAVAATV RRLAGLRPMAVASGGSREIVTATLQGTGLREYFDQVVTIDDVPNPKPAPDLFLQAAAL LGIEPARCVVFEDSEQGLEAARRAGMSAIDVTRLDLHEQQQLADECLSIRSVQAH YP_002978573.1 MKDFVSAQEVAEKAGVSRSAVSRAFTPGASVSAKTRRRVMDAAE ALGYHVNHLARGLMTNESGIVCLIVSELGTPFRSSLIRAITQQLQNSGKIAMLINTDR SDGSVDRALRQAIHYRADASIILSGLPDKSITQLCLRNGQRLVLINRDDDQPGPLRIN IDDQEAASRILTAFMRAGCQQLAFANSEVGTPSLMARERGFVAAAAALGIEVAVERHG STGYEAGKVVAQRLLTRTNRPDAIFCANDLLACGVMDAARHQFKISVPDQLCIAGFDD IEQASWSSYDLTTFAQPVEMVAREAVAFLAAPTLPEDAGEVRSLKLHAELVWRGSIRG G YP_002978574.1 MKNDDKRQKNKAAGLLHQLALVPETLFKGAFLQQYGAVCFRYLG DEDKVEILLVTSRQSRRWVIPKGWPMRRKKPFETAATEAWEEAGVQGSVRKKPIGRYT YLKELGPDVVSPCMVDLFQIEVERLTDDFKERGERVLVWVSPDEAARRVRELELKSLL VSFRPHRPRVKIKNR YP_002978575.1 MDPSSIMKTSEQGAGPDIITVDSREVYANRWMTVREDKILRRDG SPGLYGYVDKPDFVMILPFDRGLVHLVQQFRYPIKSRQWEFPQGSWEEKPDADPGELA RGELQEETGLIAGSLIRIGTIYPLYGTVTQRCHVFFATDLTPGDNKLEHEEQDLVRAT VSVDELQRMILDGDLQDAGTIAAFGLARLRKLL YP_002978576.1 MKRIVLFSGGSACRSINVALGQRGADVTRVVPAWDSGGSSKVIR ERLSILSVGDIRQALMTMAHGEGCAGDVVKICNARVSANLGFDDARKEFLFYAEGRHP LLERMEPGLRGAILNYLNTFATSVGRDFDFRHGSVGNFILTGACVAHNGDVNTAIFVF RKLCGIVGNVWPSSCDNDLVLSATLRDGRRLAPQDVITSMGAGDAKVGIAEVELAGAD QALPVANSAVLDAVARADLIAIGPGSLYTSILPHLLVTGLVSAIEKANCPKVFIGNIL QCRETMGLTLEDVLAAADLHVRKGGGTSNLFTFVLANRMLFPFEKTVGTFPYLREKPQ EKNGRHIIKGEFEDAWSRGQHDGDATAAALLKIASGDASDA YP_002978577.1 MHEITSRVDEVRRLKGFLTIAQTLQLADLGVTVIDPFSTLISSR ASLGSGVFLWPNVTIEVGPEGSVSIGASTVLHSGVRIEAGAGSIAIGANGDLGREGGF TLVVGAQSDKIDVGNDVRLNGGGSITQSATLGNGAQVLGPIRIQNCQLGGGGSFRERD PDKRGAVLKGGGVARNIDLPAGMVIQAFGLFSEAPKRWQSYFHPQKDERS YP_002978578.1 MHSVSDAALAETRADYCRAVIRTAGDLVLKGFHAGVATGLSMKG PQDYLTETDAASEALIRDSILARFPGDSFFGEEGGGAIGERVWVVDPVDGTANFARGI PHFCISIAYVEAGVTEFGAIYNPALDELYFAERGRGASLNGKTIRVAATHRYDSTTIE MGWSTRVANETYLEVVKGLLDLGTNVRRAGSGALALAYVADGRSDGYVELHMNSWDCL AGLLLVSEAGGDVCPFLDIGSLEKGGPVLAAAPAIAVGISKVAKIPLSSAGKPLEKAH SGPLAGA YP_002978579.1 MDGPVYARPAISLIAEGLGPFGAALFIGGSAGASGIGLLRQHQI TTVLNCAVNLDINYVQADQGTADLRASGYGDIRYYKIGLIDGEGSPDTMMLGAYYVLD GALRQTMPKRETYPFPDGGNVLVNCRSGRSSRSLSLVALFLHKQQPHLYPSLDDALAV IRERRELRPDEWFETPKPMLYAAAQRASEWIDMIEERRQRNS YP_002978580.1 MNMVSTIVKSPVALSVGGLLAEARAARGYSLDDVAETTGLTVAE VTALENDTDFDASRIRRTAAALGVLDKI YP_002978581.1 MTFDSKIFVGLRPTSKKSALPPEPSQSKCQWDGCESGGTNRAPV GRDAEGLYLLFCPQHAREYNKGYNFASNLSDPVTARYQSEAASGARKTWGTRVDHATE MPLPSTVRSGTAKALNARKSAAQHQATKAVLQRRKLKVLEAKAFETLGLLQDAAPEEI RRRYKQMLKLHHPDANRGDRNSEAELQAAIDAHKILKLNGFC YP_002978582.1 MCWNTSSAFKPVGLALRGPATHKIVRTLGDAADILIRDWPSDDG EEYVAAVKACVDAISGQIPPEQFREALLRAAEEAGITALTVVAH YP_002978583.1 MGFHICTACGTQFDERLKPPPACPICEDDRQFVPASGQAWTDIA ALGRTHDVTWRQEAGGIHSLQVSPDFAIGQRAFLIESPDGNILWDCLSLIDEASINRI GALGGLSAIAISHPHFYTSMMEWSSALGDVPIYIHSDDGEWVQRGGALNQWKGESVQL GRSTLIRCGGHFPGSSVMYCPWLADGQGALFAGDTMQVTLDRKYVSFMRSYPNLIPLG PEAVRRIGEAVRPYRFEAVYGGFSGRTIEREGSRIVDQSMTRYLSAIEG YP_002978584.1 MMTGEESRERPRKIVHVDMDAFYASVEQRDNPELRGLPVAVGSP AARGVVAAASYEARKFGVHSAMPSVTAQRKCPDLIFVKPRFDVYKAVSLQIRTVFAEY TPMIEPLSLDEAYLDVTENLKGMEIATEIAAEIRAKIKATTGLTASAGISYNKFLAKM ASDQNKPDGQFVITPKNGPAFVERLPVKKFHGVGPATAEKMQRLGIETGADLKERTLD FLVEHFGKSGPYFYGIARGIDNRQVKPDRVRKSIGAEDTFSQDIHSFEPAREGLQPLI SKVWSYCEANEIGAKTVTLKVKYADFSQITRSKTVPAPLPAITDLDEVVDLLLAPIFP PRKGIRLLGVTLSSLEKRRASRTAPQLRLAL YP_002978585.1 MKVNHEIRRPNVVLDSLREQIAQLESASQRKRDFLPFGVASIDE RLPCGGLVYGALRECAGGGAGTVDVAAAALFVAGIAARTKGKVVWCLTRHDLFFPARK SPNCLRTRSRSFGRSSRSRG YP_002978586.1 MPKHEKNDVELVKTWVLPPTATLGSSIRAKGILLELRARLPVAS KKSLDIEQGELTLSMPASAKTEFHAAASVVASALKDIESLPVIPREIQDILSIKTNER HRWLKDGRLPSAGTRTVKLRGRARKITFHVFDPRVVEDLLDRGVVEEWREEDAAAAVE NRRRAAYKAKLTRSLKKGKTVKGDTVAGSDEAPRTELAGWEEFGRDGLLR YP_002978587.1 MALKRMDNIGIVVEDLAVAIDFFQELGLELEGRATIEGEWAGRV TGLGDQHVEIAMMRTPDGHSRLELSRFLRPDVVADHRNAPVNALGYLRAMFTVDDVEE TVERLRTRGAQLVGDVVRYEDAYKLCYIRGPEGLLIGLAQELG YP_002978588.1 MQFLIHSKTIAELEYDDKACLLRVVYKDGRVRSVPDVAPTLVMK IVAQVPVEESPYLMQTAI YP_002978589.1 MDASGGLLENKVSAEHSQDAAVVPRAIEKHGVIGDLATIALVAT DGTIDFLCWPDFDSPTIFAALLDPERGGMFQIAPELADARVTQQYLPDTNVLLTRWMA DKASGELTDFMYVKDRRSGGSSMIIRRFRATRGSLKVRMSCAPRFEYATRQIPVEFED GAVIWRQTNGEVLRLLTSAPLVEIDGAVIAEMTLQAGEGVDFILDDGVDSAEEATSET MEEQTVAFWQNWARQSTYRGRWREMVNRSALALKLMTSRKHGSIVAAATFGLPETPGG SRNWDYRATWIRDASFTVYALMRLGYREEAMAFNDWIGQRASACEPGGRLKIMYAIDG GEVPDEKSLDHLRGYGGATPVRVGNKAAEQFQLDIYGELLDSIYLSNKYGHAISHDHW EGVREVVEYVCDHWQDPDAGIWEMRREPQEFLHSRLMCWVAVDRGIRLATKRSLAAPF SKWHDIRNDIYEDIWKNFWNEEKGHFVQTKSGDMLDASLLLMPLVRFVSATDPRWLST LKAIGDQLADDGVVHRYRSNDGLEGEEGAFSACSFWYAECLARAGDTKRARKVFESVL NYANHLGLYAEEFDTKAQLAGNFPQAFTHLALISAAFYLDRELDDRNKQEWRP YP_002978590.1 MNVARSFNNWRKFRQTVTELGRMSSRELQDLGIDRADIRSVARA SIAR YP_002978591.1 MLGRLRHGYQLPGASLSEIEACEASISIILPEDYKAFLRISNGF NDEVGQGYLVLWSVAELAKADGYELFEFQVDRFLIGSNGGPTAYGIIGGSYISIPFVF AGAWSDEVRVLGRTFDEFTRAVEAGEGS YP_002978592.1 MQSYFVYMPDNRLCAAWGCTAISTGYTEIGPNSDYPPSRHPDDH HFSWSRGRILSAYQIVQISAGSGRLEFGPERRPVTIAEGSIFLLFPGVWHRFAPDRRT GWVEHWIECRGSAFDFARDAGLLRPELPAHASSSEISGVFASIHALAQDDCLRNQPLL STLGLQLLALICRPADLNTNAVNRLVDRARMVLMERCGQPLSVEQLADELGVSYPYFR RLFREQTGMSAKQYQMRVRLQRAIDLLVNTDKSIKEIAGLLGFHSAFHFSTQFRQLIG SSPTDFRAAKRS YP_002978593.1 MKHQTTLLVVAACLAASASYAEDFHGFDPAKFDGKMLSTENLKA MVVDATKATPPRNGKSYSIGFANLQRDIAFGVLVEKGIQENADAAGVELVIADNRLDG PTALANAKSFAERKVDYVIEFQTDANFGQTVMDVFKPDGTKVTAIDIPMPGASFFGVN NPKSGFMGGSYLATAAAKKFGADVMKTGYLIIGALPQSGVIPRMRTDGQRAGFMALTK DFPADHIIEIDTKNTLQESFAQMNNVIGRIPPGAPILIIAINDQATMGMLQAVRAAGR VDQAIAVGNGADEAEALATDPNLVAATGSFPGSYGNYLIPIALSALAGKPVPEATFVT HQMVTKANICKFYKEFPCAGEADGYVFPEAEFSTYLADLKKQDWLKGYEAILPQQ YP_002978594.1 MTVQSTEGSRNRRLQIANVSKAFAGVTVLKNVSLSADAGEIVAL LGANGAGKSTLMKILSGVYTLDTGQIRIDDTARNISTPRDAVAAGIRLMPQELSVHPD LSVAENIALGSMPKRRFLGIPMIDQVAVDAQARALLKRLRLDHIEPGRRMGSLPLSEQ RIVEIARALAGEARILIMDEPTASLSEADADNLFEVIEALKAQGVTIIYISHYLDEVF RLSDRIVVLRDGEVRGQFETRDTNRDEVLTAMLGSELGDLYPVKSKVLQDAKTVLSVD GLSLPGWLDGVALTVRRGEVFGVFGLIGSGAEKIGRAIYGAEPAAHAEAILLNDVDIG LSGPRKRVHSGIGFVAAERKRQGLIGNLSVRTNTTLPYLSLFTQSGVVDQKREDDSTR EWIKTLRVNTSGPDQEIRLLSGGNQQKICLARWLLGNPNVLILEEPTRGVDLGARREI YMEIRRLAEAGLAILIVSSDAEEVAGLADRSLVLEDGKVVAELGQDATATDLMQAAEP RAALRDRNELEKT YP_002978595.1 MTNSSSIPAASESSKRSANSLSDLLGRPAAGIALLLVFYVLLLI AFSVFSPYFLTLSNLSNIGTNMAFIGLMAAAGTPLIIGGGLDLSVAAVAGLAGVIVAL MHAKGINIWAGCVTALIIGCAVGVLNGFIVTRLKLNPLIATLGTMSIFSGLSMVLTGG LSKPLFIPAFNWLGSGRLFGIPFPIILMLLVFIALWLILSKTPFGRFVYASGGNPEAS SLLGVPVERTQMVLYVVSGLSGAAAGLILAAMLGAAAPNAAGQHLLTIIAAIILGGTS LFGGRGSVWGTLIAVLILGTLNNGLTLMNVSSFWQDVTRGVVLLMAVGLDQLRVRLQS YP_002978596.1 MSERPLKAGLFGIGLEAYWSQFNGLEARLRGYVDTVEAKLARPG VEVVNLGLIDTPEKAIEAGHQFRQADVDIIFLYITTYALSSTVLPVVRRAKVPVIILN LQPTAAIDYATFNALGDRTAMTGDWLAHCSACPVPEIANVFRRAGISFHQVTGVLTGD PVVENEIDGWIEAARVAHVMAHNRLGVMGRYYNGMLDIYSDLTAQAAAFGTHIEVLEI DELAQLRRDLSEEDVSTCLATIIAEFDVQPDCDPKELARAAKTAVALRKLVDKKSLGS LAYYYESQPGHEYEDIITSVIVGCSMLTASGVPVSGEYEIKNAQAMKIMDSFGAGGSF TEYYAMDFAADVVLMGHDGPGHTKIAQGRTKIRPLNVFHGKVGSGVSVEMSVQHGPVT LLSIVEDAGNIRLLCAEGASVAGPILEIGNTNSRYRFPLGARRFVETWNAQGPAHHCA VGVGHIAGRIEKLGKLLDLDVVKVC YP_002978597.1 MNVKTANAIDSYVGARIRMRRQLLGMSQERLGEQIGVTFQQVQK YEKGINRIGASRLQRIAEVLQTSPSFFFEQENSEPLTLQGLDLSANMEPVAEFLRTKE GLVLNRAFLKIADSNIRETVIALVKAMAQAESRGVTLGASVADITLPLGE YP_002978598.1 MATVAESAPRFEKTTMSILMAVSFCHMLNDIMQSLLASLYPLFK ANYDLDFVQIGLLTMTFQVTASLLQPLVGIVTDRWPMPYSLPVGMASTFCGLILLGNA GSFTLLLVAASLIGFGSAVFHPESSRVARLASGGRHGFAQSFFQVGGNAGQAIGPLLA AFIVLPLGQHSVSWFAAIAMVGMVVLSWVGNWYISHRRQNASKPAISRTLPLPQNRVV WALLILVLLTATKNVYMASVSSYFTFYVIDKFELSVQQAQLMLFLFLGSVAVGTFLGG PIGDRFGARFVIWFSILGVIPFALLLPYANLFWTGVLSVVIGLIFASAFSAIVVFAQE LVPGRVGLIAGVFFGFAFGAGGLGAALLGSFADTHGIDFVYRICSYLPLLGLLTVFLP RIPKQKPV YP_002978599.1 MSAHKLVGLAGSFNRPSKTFALVENVAGLAGEKYDFDTTIYDLT DVGPSLGQALRRDDLDSRAREVIDDIVNADVLVIGAPTYKGSYPGLFKHLIDLIDPHE LRAKPIIITATGGGDRHALMVEHQLRPLFGFFMSHTLPTAVYASDRDFTDYRVSSDPL SKRISEVIGELEAFFPSRNQALIAAE YP_002978600.1 MTKNKNLRAFHLSRRAALAAVAISAAAVFSFAAPAPSFAEDKSI KVGIMAGEEEDIWRVVASEAGKKGLKIETVIFNDYTQPNEALERGEVDANAFQHQPYL DNQIQQHGYHIVRVGYTGVWPIGLYTKKYKSVAEIPEGAVIGVPNDPSNEGRALRVLQ SEGLIKLKDGTGILATVADVTDNPKKIEIKELDAGIVGRSIDDLDAGIVNTDWALKSG LSPAERIAQEPIADNPYRNFIAVKDDNKDAEWVKTLVSSYQNDTVKAEFDKVYKGTGL SAY YP_002978601.1 MNSLVSTTAIEAQSQAAASEEVVRLTDVKRRFGTTAALDGISLT VKRGEILGIIGRSGAGKSTLIRCLNGLERADSGEILIEGRDITGLSEQELQPLRRRIG MIFQHFNLLSAKTVEENVALPLKIEGLAKSERLKRAHELLELVGLADKAKAYPVSLSG GQKQRVGIARALAARPALLLSDEATSALDPETTRSILALLKDINRKLGLTILLITHEM EVVRGIADRVAVIDAGRIVEEGQVWSVFANPQAEITGSLLGGIRPQLPEHIAGRLSAT AGSEAILSVDLAGPQAQGALFAELSAALPHSFRLVHGGIDHIQNQPVARFFIAVPARD PALAGKVEQFLTARSARVEVLGYDTDHA YP_002978602.1 MTPIMLELLIRSLWETVLMTLASGLISLVAGLPLGLALVATARG GIAENLWINRMLGSVINGFRSVPFIILLVALIPLTRLIVGTALGTWAAIVPLAIAATP YYARIAEVSLREVDRGLIDAVRAMGSNRWTIIREVLVPEALPGIVAGFTVTLVTLIGA SAMAGAIGAGGLGDLAIRYGYQRFETSVMIAVVAVLIVLVCGIQWLGDRLVARLDHR YP_002978603.1 MKTMQIYAFDMNCVGHINHGLWTHPRDRSAHYTDLDYWTEVAKT AERGKLDGIFLADIVGVYDVYKGSPAPVIETGAQIPVNDPMIPISAMAYVTKHLGFGV TVNTTYEPPFLLARRMSTLDHLTKGRIGWNIVTGYLDSAARSMGFDKLPEHDARYDAA EEYLEILYKLWEGSWDDDAVVRDKVGGVYADPSKVRSVRHDGKYYRMEGIHLSEPSPQ RTPLLFQAGASARGQDFAARHAECVFIAGPNPKAARPTVDALRAKAEEFGRGADALKI LSLITVVVGRTEKEAQDKLEEYRRHASVEASLAHYSASIGIDFSKYELDDVIDQSSTN ANQSALAAITKQAPKPVTLRNIIDQMVLGSRMKPIVGSPEQIADHLTAWIDEGGIDGF NLARTVAPESLRDFVDLVVPVLQERGVFKADYAAGPLRQKLFGGGNGRLPAAHPAAQF RR YP_002978604.1 MTKKTLSDWAELAQKELRTSPEKLTWQTPEGIAVKPLYTAEDLD GAGHLGSLPGFSPFTRGPRATMYAGRPWTIRQYAGFSTAEESNAFYRRNLAAGQKGLS VAFDLATHRGYDSDHPRVEGDVGKAGVAIDSVEDMKILFDGIPLAEMSVSMTMNGAVI PILASFIVAGEEQGVSRADLSGTIQNDILKEFMVRNTYIYPPESSMRIVADIIDYTAR EMPKFNSISISGYHMQEAGATLVQELAFTLADGREYVRAAIAKGLSVDEFAGRLSFFF AIGMNFFMEAAKLRAARLLWTRIMEGFHPKKQSSLMLRTHCQTSGVSLQEQDPYNNII RTAFEAMSAVLGGTQSLHTNSFDEAIALPTEFSSRIARNTQLILQHETGVTKVVDPLA GSYYVESLTAELADKAWALMKEVEALGGMTKAVADGLPKRLIEEAAARRQAAVDKGEE VIVGVNRYRLDNEQPIDILEIDNSAVRKAQIRRIEETKRRRDGKAVREALAALAEIAQ SGKGNLLEAAIEAARARATVGEISDAMRDTFGDHAATPVVIKGLYGQAYENEPELAVL KTRMTEVTEAMGHRPKIMVAKLGQDGHDRGAKVIASAFGDIGFDVLAGPLFQTPEEAA GVALSEKVNVVGVSSLAAGHRTLLPQLIDRLREQGGGDIIVVCGGVIPRQDYAFLHEH GVAAVFGPGTNVLEAANSVLDLLQGRRRNQ YP_002978605.1 MSQPLLDVAASDGLFVGRIWNPEVQGPSIVTLREGILVDITSRE APTLSALLERQDAATFVRAASGKAVGSLADIAANSTGAPDQTHPYLLAPVDLQAVKAC GVTFAQSMIERVIEEKAAGSPERAASIRERVSTLIGGSLTNLKAGSPEAAKVKQALID EGMWSQYLEVGIGPDAEVFTKSPVLSSVGWGADVGLHPISTWNNPEPEIVLAVNSRGE ITGATLGNDVNLRDVEGRSALLLGKAKDNNASCSIGPFIRLFDAGYSLDDVRKAELDL KVSGQDGFVMHGKSSMSQISRDPTDLVKQTVGAHHQYPDGFMLFLGTLFAPTQDRDAP KQGFTHKIGDVVEISSAGLGALINTVRLSTECPPWTFGISALMSNLAKRGLL YP_002978606.1 MLRFAVVGIDHGHTFDHVKGLLAAGGEFVGYCPQTSVPALREGF EKTYPDAPQIDRQKLFDDPSIDVICISAIPRDRAGLAIRAMRSGKDVMTDKPGVTAFA QLEDVKKTVAETGKIFSICFSERHCVRSAVKAGKLVKEGAIGKVIQTLGVGPHRLQLP TRPDWFFDPEAFGGIIVDIASHQVDQFLFYTGSTTGEVIASSIGNFGMPDKPAFEDFG EVLLRSDKAAGYVRVDWFTPEALPTWGDGRLTILGTEGYIELRKYIDISGRPGKDHLF LVNGKEMTHIDCSGEKLDYFDAFTTDVGNRTQTAMTQDHVFEVCRLSLEAQAKAARIG AR YP_002978607.1 MTRKLRVGVIGAGIAARHLAGFGWNKELFEVPVLCSLDEERGRA LCEEYGIGEYTQDADSLFARDDLDIIDISTPPSSHFELCRKGIESGKHVICEKPLFGS IAEVDEMGRILARFPSKKLMPIFQYRYGSGLQKLKLLIERGLAGKPFLTTIETHWWRG PDYYAVPWRGKWASELGGGLLGHAIHAHDMLNYVHGPCAEVFSYGATLVNPIEVEDTA ALSVKMQNGSLATLSMTLGSRKEISRLRFCFNDLVAESIIEPYTMGRDPWTFVAGTEE HQARIDEVLAAHVPGEDGYTRQFELFHKAIVEDTDPPVTLQDARNSLELVTAAYFSQR TGQPTPMPIAADHPLYRSWLPAGEWRAAATV YP_002978608.1 MLKSFEHVGMTVGDMDRTVDFYCGLLGLSLVLRKTMANGMQVAF LDAGGGMLEVFAPPGGASTAVDVPDDTAGVRHLTFCFDNVEQTFARLEQAGVEIKERP RFAVHSEMLNKIAFVRDPDGIIVELAERSLNRQG YP_002978609.1 MAAKLIRRLIDLLRDGLTARSEGIGSTCVSPGGSENVRQARR YP_002978610.1 MLPTILDLSSLRAAYQSGLTPLDAIEEVIARRAASKDPAIFITP VPDDELRAAAKVLMARAPEANSLPLWGVPFAVKDNIDAAGLPTTAACPAYEYRPEADA TVVARLKAAGAIIIGKTNLDQFATGLNGTRSPYGAPRSVFDAAYISGGSSSGSSVTVA SGLAAFALGTDTAGSGRVPAAFNNLVGIKPTPGLVPNTGAVPACKSVDCITIFAATVG DGVAIRKVAEGFDGADAFSRHAKPANLPVSGLRIGVLTDAEREFFGDKEVEALYDQAI ERAKALGATIVPFDYAPFREAAALLYDGPWVAERLAAVETFLATNAADFDPTVRGIIE GAKGKTAVEAFNGRYRLEELRRKTEAEWEKADVLLLPTAPTTYTVADMLANPVVLNGR LGRYTNFVNLLDCAAIAVPAGFGKDGLPGGVTVIAPAFTDDALAPLADALHRAAASGM GIDRQAAIPEASRVVPGDDGFIEIAVVGAHLTGMPLNHELAGSGGRLVKTCRTSGDYR LFVLPNTMPPKPGLLREPGHRGQGLEVEVWALPADAFGRFVQKIPAPLGIGKLTLEDG SSVSGFVCEAHGVKGAEEITALGGWRNYISAKLAS YP_002978611.1 MFTKVLIANRGEIAVRVIRTLKRMGIASVAVYSDADRFSMPALT ADEAVRLGPAPAAESYLNVDAVIAACKVTGAQAVHPGYGFLSENIGFAERLAAEGIAF IGPRPEHLSAFGLKHTARELAKASGVPLLPGTDLLQSVEDALSAAEIVGYPVMLKSTA GGGGIGMQLCADAAGLKASFESVQRTARASFGDARVYIERFVAEARHVEVQIFGDGKG GVIALGERDCSLQRRNQKVVEETPAPGLSAEIRARLHKAAVDLGSSVSYESAGTVEFI YDPQREEFYFLEVNTRLQVEHPVTEAVFGIDLVEWMIRQAASEDVLSGAMGLTPKGAA IEMRVYAEMPHADFRPSAGLLTEVVFPDNARVDGWIETGTEVTPFYDPMLAKLIVTAE DRPAAIEKLKAALAETSISGIETNLDYLRTIAASELLAGAKVATTALRDFAFVPDVVE VLAPGAQSSIQELPGRLGLWHVGVPPSGPMDERSFRHANRLVGNDDVTAALELTVSGP TLRFYADQTIALAGARMAMTCDGAKLPHDTPVLIRAGQVLSIGTIEGPGQRAYLAVAG GFAAPVVLGSRATFGLGQFGGNATGTLKTGHVLHFARQVATEPATPAKEPATLTREWD VGVVYGPHGAPDFFEDNDIETLFSTAYEVHFNSARTGVRLIGPAPVWARHDGGEAGLH PSNLHDNAYAIGAIDFTGDMPIILGPDGPSLGGFVCPAVIARDEQWKMGQFKPGDRIR FHAVARPEDPIAGPAVRRPTEETGSPILGISEDGPVSVVYRRQGDDNLLVEYGPMTLD IALRLRVHLLMQAVIEARLPGIVDLTPGIRSLQIHYDGTQLTRKRLLGLLAAIEATLP AAQEVTVPSRIVHLPLSWNDPDAELAMRKYQELVRPNAPWCPSNIEFIRRINGLPDEQ AVRDIVFDASYLVLGLGDVYLGAPVATPTDPRHRLVTTKYNPARTWTPENAVGIGGAY MCIYGMEGPGGYQLFGRTIQVWNTWRETPVFAKGKPWLLNFFDQIRFFPVSNQELTEA RAAFPHGGYPIRIEETEFSYAAYEKELQANSASIGRFKATQQAAFDTERQRWKEAGLD SFVTDEGTGESPDGDIPDGCFGVASAVPGNIWKLLVEPGASVAAGDTLAIIESMKMEI NVTAHAAGRVRDLRAGPGRNVKAGDIIVVLEEC YP_002978612.1 MTDFIKTSASRSLENAVQDHFIPAEAPWSGIVRKGQTIRIEDSY GQQAIDTLFYRADDFAERYSNQDTMRAQGGAYIGTGTKIISNEGNVMLVMTADSCGRH DTSAGACSCESNTVRFGHGTKYLHACRDNFVIEVTKHGMSKRDIVPNINFFMNVPIKP NGEMTIVDGISAPGDYVELVAEMDVLCVISNCPQINNPCNGFDPTPIRVLIWDGED YP_002978613.1 MMHVRRSPEEIAANRQRYEEHQKKGLEFAPKAFPAPSPLPAPAI DAAAIIHQETIPGGWHWSSALKRGEALRIDQGEGGSTVALVAWNAADTSERLNLVDTV KVQWTTALGKGRVIFSDMGRVMFSLIEDSSGAHDCLMGGSTAASNAAKYPGVKTRNTR DNLVLVAGKLGLTRRDIPAILNLFASVRVDDDGGFHWRGKLSNSGDYAELRAEMDMIV GFSNCPHPLDPDPVYAPKPVIVTRFRAPAPGADDLARTATAEALRGFENNASMLA YP_002978614.1 MSELVIEKVWKEYGDQIVLEDVSLTVASRAFVALVGPSGCGKST FLRMLLGQERPTRGTILLDGEALPQEPGPDRGVVFQRYSVFPHLTVLGNVLLGRELST SRYKAKLFGAARRDAIDEARRLIAEVGLSGAEDKYPAQLSGGMQQRLALAQALIMKPK VLLLDEPFGALDPGIRAEIHTLMKRLWHETQMTVVMVTHDMREAFTLATRVVAFERKR DRPEEKERYGATITKDISIWPPRLAGAPSIFSPDRDGPVISLGLRRDDPASSPSGEKP YP_002978615.1 MRWINTRPSRGAQLALTLLPFVLLIVAYTFGSAARLAENANDKL LPGLAGFADAIDRLAFIADPRTGQYLLWSDTGASLIRLFAGLGISTITALVIGMLIGM LPYLRALLSPFVAVISMVPPLALLPILFIAMGLGEASKIALIVIGVAPTMIRDLALKA LELPREQIVKAETLGGSSWQIGLRVVLPQILPRLITCLRLQLGPAWLFLIAAEAISSD SGLGYRIFLVRRYLSMDVIFPYVVWITLLAVIMNYILDRIRIAVFPWSELEKQA YP_002978616.1 MQTFSKILSITALTASLALGLGSIAKAEQKTDFKVAWSIYVGWM PWGYAADHGIVKKWADKYGIKIEVTQFNDYVESMNQYTAGAFDAVTLTNMDGLSIPAA GGVDTTAVIVGDFSNGNDAVILKDKAGLADIKGQNVNLVEFSVSHYLLARALESIKLT ERDVKVVNTSDADMVAAYKTADVTAVVTWNPLVSTILEDPTAKKVFDSSQVPGEIIDL MVANSGVLKDNPNFGKALAGIWYETAALLTADSADGKAAREAMGQASGTDLKGFESQL AATKLFAKPADAVAFTSSGSLPKTMDLVRNFLFEKGLLGNGAPSADVIGIEMPDGKVL GDSGNVKLRFTETYMKAAADGSL YP_002978617.1 MNLAESKVGTRAIYQPGASSIEGLPTALQMFHAHPPVMAMPHWH AQVEVNYVMRGTVHYRMSDHEFRLNAGEMCLFWGGQPHQMDESSDDSLYAGAHLPLVY FFRLRLPISVSSRLMKGETLLTSATDAADNENFARWFRYANSGDAAKAQHAVDELLLR IERIALEPYSMTSQAIISLEGDHPHPHSSRSVARMCDFIAANFLHDIDSVDIARAADL HPKYAMNLFKRSTGMTLSKYVTLLRLSRAQAMLMSEGANVLQVAMDSGFGSISAFNKS FRHIAGMSPSDFRRDIRLVTTVPAGAFRN YP_002978618.1 MRFKLLAATAAVAVLASGSAYAQSANLTIWSWNVAASALKSTLP GFNKQFPDIKITVEDLGNSQVFDKTLAACAAGGDGLPDIVSIENFEAEIFWSRFPDCF ANLKELGYTADIQAKFPDFKRTELEVGDVAYAMPWDSGPVAVFYRRDLYEKAGVDPST ISTWDDFIAAGKKISAANPGVVMAQADFNGDSEWFRMIANEQGCGYYSTDGQNITINQ PACVATLQKVKEMKDAGTLTAANWEEKIQADTAGKAASQLYGGWYEGTVRSTSPDLKG KWGVYRMPSLTADGPHAANLGGSSLAISATSANKEAAWKFVNYALGTDEGQITMLKEF GLVPSLLSAEKDPFVNEPQPYWGGQKVWADILATLPKIVPSRGTAFQSDAEAIFKATQ TKFFAGGYPDAKAALDDAANQIASATGLPIAQ YP_002978619.1 MPFRTRSAYAFLAPYLLVFATFWVWPIINSFLISFQNTRINPWK FSFQANWGRLFYDPAFYNALYNTLIILVIQVPVMIALATVMAVMLNSPLLKARPLFRF AFFAPVVVGEVAYAAVFRLMFSLDFGIINKLISAVGLSPVSWFDNANAAMALIILAVT WRWAGYNAIIILAGLQSIPDDVYEAATLDRVSKIQQFFHITLPLLKPIILFCVVLSVI GTMQLFTEPFLITNRGGPGGGTETLGLLLYRQGFTSLNFGYASAIAYTMAALAVAISL LNLWVGRDPK YP_002978620.1 MKSRSQSLLLRQIALHAALAPLAIIWLFPLWMMFVFSTMPDNGI FSPDIVLWPSTNFVENFKNLQADTDFIGAMVISIGVALIYTVLSVLLTSMAGWALARY RFVGRSIVIAIILGTITLPFSVVVIPQFIMVAREFKLANTWVALIVPPLFNSLGVLFM RQSFSMMPGELFDAARVEGVKEWQIFLRIALPLARPTMAALAIILFLASWNNYLWPLL INSKPGMMTAPVALGTLIGLTKVSWGGIMAGAVLLTAPILVIFVALQRHFIAGIAAGA IK YP_002978621.1 MAELSLSNIVKRFGGFEIIHGANLEVKDGEFVVFVGPSGCGKST LLRMIAGLEDITSGELQIGGRVVNDVEPADRGIAMVFQSYALYPHLTVEENLSFGLRM NGNPKGDTERRVRHVAEILQITELMKRRPKQLSGGQRQRVAIGRAIVREPQVFLFDEP LSNLDAELRVQMRVEISRLHKKLGTTMIYVTHDQTEAMTLADRIVVLRAGNIEQIGAP LDLYDDPANQFVAGFVGSPKMNFLKAVVVETQPGRAVIALESDANTRLTLPVADTIEA GAKVTLGIRPEHFVDAGTGDADLTVTIDVAEHLGNTSYIYATIGPEQLIIERPESRVV GNRDTLTVGLPANRSFLFDGAGKRLR YP_002978622.1 MTSDQPIRWGIIGPGTIARTFADGVAHSRTGRLVAIATRNPAKP GLAEGFPGARIVDGYEALLSDKEIDAIYIAVPHTGHAEWAIKAARAGKHILVEKPIAL SAYDAEAVYYEAKKAGVFAGEAFMYRVHPQTEKLVELVKSGVVGTVRIIRSSFGFNMG SYKPEHRLFANDTAGGGILDVGGYPVSMARLIAGAAEGKAFLEPEKVSGVAHLGESGV DEWASAVLKFPNEIIAEVSCSIMAQQDNVLRIIGSEGRIEVQDFWFASGHKGGVGKIE IFKGSSRETVELREDRWLYSFETDAAGDAIRAGKTEFSSPGMSWADSIGNLRVLDQWR ASVGLEYGVEKASKRTANIAGGAIARGNTVPQRQIPGISKPASVVTLGFEFFPNFASA SLTLDAFYEAGGNAFDTAYVYGGGKTEAIFGDWHTSRKVAREEIVLIGKGAHSPLCYP DMIAKQLDQSLARLKTDYVDIYFMHRDNTDVPVGEFVDAMDAEVKRGRIRGIFGGSNW TRARFDEAIAYAEKTGKTAPAALSNNFSLAEMLDPIWAGCVAASDDDWKKWLNEKQIP NFAWSSQGRGFFTDRAGRDKRDDEEIVRVWYSERNFGRRDRAIELANKLGRNPIHIAL AYVIAQPFPVIPLIGPRTVAELEDSLSALDIKLTPEQVKWLEG YP_002978623.1 MWYFAWILGLPLAAAFAVLNAMWYELMDDAARKKASEPLK YP_002978624.1 MILHELIDYETLRLIWWLLLGILLIAFATTGGFDLGVGTLLPFV ARTDAERRVAINTIGATWEGNQVWLILGGGAIFAAWPPLYAVSFSGFYLAMFAILFAL ILRPVGFKYRSKRESASWRSGWDWALFVGGFVPSLIFGVAVGNVLQGVPFRFADDMRI FYEGSFFALLNPYALLCGLLSLSMLTMHGAAWLVLKSSGPVAERARSYGSIAALAVIV LFALGGLFLWIGVGGYRITSDISPIGPSNPLLKTVALEKGAWLTNYTTHSWMIIAPVL GFVGAALAFIAVRARREVMTLLFSKVAIFGIISTVGLSMFPFILPSSLDPRSSLTVWD ASSSHMTLFIMLVVTAIFLPIIFAYTAWVYKVLWGKVDEKSITDKNSHAY YP_002978625.1 MELDIVALSRFQFALTALYHFLFVPLTLGLSVLLAIMETVYVMT GRQIWRQMTKFWGTLFGINFVIGVATGIVMEFQFGMNWSYYSYYVGDIFGAPLAIEGL MAFFLEATFVGLFFFGWDKLSKVGHLVATWAVALGSNFSALWILIANGWMQNPVGSAL NPQTMRMEITSFFDVVFNPVAQAKFVHTVSAGYVCASIFVLGVSAWYILKGRHIELAK RSMTVAASFGLASALSVVVLGDESGYLATENQKMKLAAIEGMWKTEPAPAAFTAFGFP DQEARETHFAVHIPWVMGLIGTRSLTTEIPGIDKLEQQAETRIRDGIKAYDALMQIRA VPAQGQVAQEVRTSFEDLGHDLGYALLLKRYVDDPRQASDEQIVQAARDTIPHVPTLF WSFRIMVGLGIFFILLTATFFWLSARRHLDKYPLLLRIAVLAIPLPWVAIELGWVVAE FGRQPWVIEGVLPTAAAVSSLGAGTVLLTIIGFGALYTVLIVIEMGLMIKAIKQGPEP DDEPEAILISETLVPAAE YP_002978626.1 MSGFAADLKPILHLFLAERRRALLLGAALSAATVTAGIALLGLS GWFITATSLAGLSAAAVITFDVFAPSAGIRLLAIVRTAARYGERLATHDATLGVLAAL RERLFRGFAEPGAARALSHRPARLLFRLTADIDALDSLYLRILVPAAVAIGAALAASV VLGLMHSLFGLCFGLFLAGAGLGLPVIAGRAASKHARRRAHGIEALRSRTIDLVAGQT DLLMAGRLAAQTGTIAAADAYSARADDQLNRVETGLTFGFGLVSTLLLTGSLLALAVL AETKTITAPVAAFGLLVAFAATEPFAALRRGALELGRTLLAARRIAPRLAVAATPEPL AVPSPGCVFSLTGGSAFHENSAVPALQGIDLALQPGERLAVIGSSGAGKSSLLALLSG ELPARTGDVAAMTATLLTQRTELFEDSLRGNLLLANTDASEARLREALAAAGLLADIE AMPRGLDTRLGEGGLGLSGGQSRRLALARLFLRDTPLWLLDEPTEGLDGVTARDVLCR LSAMAPGRSLVIATHIRREAAIADRIAIIEGGRITEVSRRGEAAFEKALDRLRPD YP_002978627.1 MGTAFFDAKDRQEAEPLPDGDIVSPVTGPDDAKGGLRRAAMLQS LAAAVWIPQAGLLAVSVGRIADGGGLHDVLWPALGILVLGFARSCLDAVGGRLAFQAA RAELSRRRQIAVAALSMSSPVDRGRPASGKAASVIGEQAELIVPYLARFQPARMKASL VPLVILAFILPVSWIAALVLLFAAPLIPIFMALIGWRAQAASEKQLVATGGLNGFLLD QLRGLATIRALDAVDATALRLRREAESLRTRTMAVLKIAFLSSAVLELFAALGVAMIA VYVGFSLLGEIRFGTWVGRLDLTEGLFILLLAPAFFEPLRELSAVWHDRAAGEAALKA LDALAAGRLSIRGAADVAPAVSAVVEAPSIRLENVDFRYDAGEPLILDGFNLDITAGE HLALLGASGSGKSTLLSLISGLAPCTGGCIIIGGVELVDDSTHALRASMAWIGQRPHI FAGTIAGNVALGRPGILRDDVTDALDAARLGKVAAAYGNRPLGEGGIGLSGGEALRLA IARAACNPHLRIILADEPTAHLDATTAAEVTESLLLLARGRTLIVATHDPLLAARMHR TMRVDADIVMREAAE YP_002978628.1 MNLPPLVQSFVLHFGEMGSRWGINRTVGQIYALLFVSPAPVCAE EIAESLGISRSNVSMSLRELQAWNLVILKHKPDDRRDFFTTPDDVWQILRTLAEERKK REVDPTLSVLREILMQRPASEAERHAQQRMSEMHTLIEQLTHWYDDVKQLETERLATL LSLGAKVTKLLEAKDRVVSLGRSRRPNPANRS YP_002978629.1 MTANLKSVTGAAAVVAGVLAACFCHPVWSQEAEHTDIGDLDKEK AAQLFPKQRPYSPYADRNFPTRPFFGDTHLHTSFSMDAGAFGARIGPRDAYRFARGEQ ITASSGQPAKLSRPLDFLVVADHSDNMGFFPDLFAGKPNLLADPTGRKWYDMLQSGKG AGAAIEIIVAFSHGKFPKDLMYFPGTDSYRSAWQDTVAAAEEFNEPNRFTAFIGYEWT SNTNGNNLHRNVIFRDNADKASQVEPFTVYPPFGSDNPADLWKWMAAYEQKTGGRVLA IAHNGNLSNGLMFPIVEQFGKKIDVDYLQTRAKWERLYEVAQTKGSGEAHPFLSPNDE FADFEIWDKGNLDGSVAKTNEMLEFEYARSAYKNGMKLEQQLGTNPYKFGLISSSDAH TGLAAMEEDNFFGKTTPQEPSPHRMTATFVDNKQTGVKIMDWEVSAAGYAAVWATENT RAAVWDAMQRKETYATTGPRMVVRFFGGWDFEAKDAQNRMPAEIGYTKGVPMGGDLTA APTGKVPTFLVAALKDPIGANLDRYQIVKGWLDKDGNAQEKVYDVVWSDDRKPAADGK LPPVGNTVDLSTATWTNTIGDPELMAVWKDPEFDPTQRAFYYGRVIEIPTPRWTAYDA YRFGVKPLPGTAMTLQERALTSPIWYNPS YP_002978630.1 MLIRKVLVEPLFHFLILGVVVFSLYTLTRQPMTVGEDLAIVVDE GQLARMFDTYSRTWQRPPTEDELKGLVEGYIKEEIFYREGQKMGLAQDDTVFRRRMQQ KMEFLLEPSVEELAPKPGELEAYFTAHAQDYRLPEKLAFRQIFFRSERTGDKGDLSAT AVLSKLKSDAASIDMTSLGDSSLLPERTALSDAELIATSFGQDFVSELASAPENRWYG PIRSAYGAHLVFVSERLMSHPLALSDAGTAVLADWESARRKDIADAHYAAMKKRYDIE INWPRDIDTSPIKTSSLP YP_002978631.1 MSRILGLFFGCLLWATCTANAHELRPAFLEINEIAPESYAITWK VPARGEYKMALSVHLPDACQQMTDPVGGYIDTAYVSRWQVKCPGGLSGKTVFIGGLSS TFTDALAKLTNLDDTTQTSRIAPSDPNLTIAKSLTPWGTAKTYFFLGVQHILEGVDHL LFVFALLLLIRNPRMLLLTITSFTVAHSITLAIASLDVARLPQPPVEALIALSIMFVA AEIIRSGRGRRNLSSRYPWIISFVFGLLHGFGFAGALREIGLPQKDVPLALFTFNLGV EAGQLAFVAIALLAVASFRLVRLFDFSRMHFWLAYLIGTVSAFWFVQRVAGF YP_002978632.1 MKSALKTGLFALAAAALPAVAYAHTGVGQTSGFMHGFSHPISGL DHILAMVMVGVFAFQLGGRAVGLVPATFVLVMAVGGGLGIAGVNLPFVEVGIALSVIV LGAIVALNVKAPTAVAMGIVGLFAIFHGHAHGAEMPEDAGGAAYAAGFMFATALLHAA GLGLGLLIASIGERQGPFVVRTAGGLAAVAGVGILTGVI YP_002978633.1 MTNTERPLAISAPEPRTLDLIFSDKARAELQEKYEIVEADPENI AGLGDDILGRARYIIGQPPLSAETLARMPALRSILNVESNLLNNMPYEVLFQRGIHVV TTGQVFAEPVAEIGIGFALALARGIVDADVAFRQGTELWGGEGNASARLIAGSEIGIV GFGDLGKALRRVLSGFRAKIRVFDPWLPQSILEENGVEPASLDDVLTKSDFVFVAAAV TSENRGFLSAEAFASMRKGAAFILLSRADVIDFDALMAAVSSGHIVAASDVYPEEPLP PDHPVRSLKGFIRSAHRAGALDSAFKKMGDMVLEDMDLMDRGLPPMRCKRAERETVSR MRSKPVAVN YP_002978634.1 MPSAVSRFAKIAAIAALTSATVFASLDDAEARRAGSGGFGSRGT RTFQAPPVTSTAPGATAPIERSMTPRSQTTAPATAQQPLGAQRPGLFGGFGRSMIGGL IAGGLLGMLLGHGFGGGFGLLGMLLQIALIGGAVMLAMRYFANRRQPSYGVGGQSRSY NMSPTNKSSFQIPTIGSGGGFGGQSRGNRPSDEIGLAQADLDQFEELLTQVQTAYGAE DYGTLRRLTTLEAMSYLAEELGENATNGVRNRVSDVKLLQGDIAEAWREDGQEYATLA MRYSSIDAMVERDSGRVVSGDDRRPSESTEVWTFVRKSGADWKLAAIQGAEQRAA YP_002978635.1 MSLESVRAFLSAHAPDIEIIETSESSSTVALAAEAHGVEPAQIA KTICLRVGEQMMLVVAGGTARLDNRKFKDTFGAKGRMLDAEEVVAVTSHPVGGVCPFG LPSPLPIYCDISLKRFDEVVPAAGSTNSAVRIETGRLAELTGANWVDVCQ YP_002978636.1 MTILPTLKSLAVAAAILASTSAIALAKDVHISVWAGGTGPNDVY RLDAIEIAAQQLQREAALKGEDLKITVEKKPYSAWEDFKQALTLAAEAKTAPNIVVSG HEDIAPWSQAGLIVPIEDYVDLDSWPLSDIYENLLQIASYNGTVYGIPQDAESRPMFF WKPYMKAIGYSDADLDALPQSVQDGKYTMKNLLEDAKKMQDKGLVQPGYGFYPRTSNG PDYWQFYTSFGGTMEEGGKLVFDKAAMTRTYQFFADAVKSGVTKKNHIGMPGDQWWKE VATGKAGIWDGGTWHYARLVNQEGLKDFFGNVIFTLIPAGEGGKANTLTHPLVYLLTA GHDQEDTEIAAQLVKIASEPRINALHAVKSAHLGISKSESTVEFYSADRWTREATERL LPHANAMPNNSDFGKYWNIMWKNLEASWTGAKTVDAAVGDAESELKSTLGDKIVIR YP_002978637.1 MKSSRTLGLVMIAPAAIMIVLFFLMPVVLTAVFSMTSMTTATGI SGGVYQIAPNSMIALKSAIPDIAAEMAEPRYTIDEAGLKAVEGLGLAPGIAGELRAKH AGEVFTARRDVERMLKDLADRPSTRDVKQISEQFNRSVLNTRFDSKEQLFSALDSLGF KLTPEQKETVAKATYTGWVWTTDNFSRMTTSPDMARVLLNTVLYVALVLMLFNVGYAL LLAIWTHYMPPTPASIFRGIWLLPRITPVVIYVMLWKWLAWDTGFISILMGKFGYPPK NYLLDNAYNAWFFVVLINGFIGASMGMLVFSSAMKAIPKSQFYASEVDGASRWQQIRY IILPQMRWPILFVTCYQTLSLLASFNEILLATNGGPGNATEVWALSAYHTALRNYAGN LEYGLGAAMALVLVVIGVTLSLLYLRVFNYGTLVAKPLIED YP_002978638.1 MAERSQPSANYRSWPVITALTTVSLPLLLMYVYLFLDTVTVKQA DALLPSGLTLDHWRFLWQTTEGKANIWQVTVNTLLFAACTTSLVLIVSSMAGYVLSRL NVPARGFFLAGVMVLHAFPSVTLIIAIFIVLQMISLYNSLIGVILVKAAIDLPLGIWL MKGFYDTVPWEIEMAGVVDGASRFRVWRSLVLPQVKPGIMALGLFSFLSGWGEFILPQ VLAPGNQVQVLSVYLAAFLADDNNYDFNMFKAVGVFYLVPVLIVYALFNKYLMNIYGG GSKG YP_002978639.1 MRILLDNFSKSFGSTKVIENMTLEVGNGEMLALLGPSGCGKSTT LFSVCGIHRPSGGRILFGDRDVTDLPSQARNVGVVFQSYALYPHMTVTENIGFPLKVK GMPAAEIRKEVDRIAALVQIGNLMGRRPAELSGGQQQRVALARALIRKPDVLLLDEPL ANLDAKLRLEMRSEIRRLQRETGITAILVTHDQVEAMSMCDRIAIMKEGEIVQIATPA EMYNDPKTAFVAGFLGNPPITFLRGVVDKGAFTIPQSEIRVPLPDTVGAAEGTKLMLG VRPEHFTPAGDIAVPGKVTFAETQGRENLYDVALAGGPLLRSIQPVRSDVHVGDDVRW AIDSRGIFVFDENGRRL YP_002978640.1 MTRDFSVFFQRYGWPTAKGRLPFCIGHRGASGHERENTIAAFRR AAELGAEMWELDTQLTKDGVVVVSHDDHLERVFGIDRRISEMTAAELARLDGVDVPSF SEVAALGRETGTGLYVELKAPGTGLRCWQHLVEMNQRFACLGSFDTAQVRELRDAACD FPLSVLIRVGHDPHALGDEAGADILHLCWERAGERPQDLVSEALMRRAFEAGREIVLW HEERPAILDDLMKLPVLGICTDLPDLMRPPAAKEKAIGRQG YP_002978641.1 MADRDKGPRKVTSFDVARVAGVSRAAVSRAFTPDASVSPKTREK VYQAAKELGYRVNYLARSLTNKRSDLVGLVAAGLDNPFRTLQIENLARVLLARNFRPI LLPTSPEADTSTVIGQLLHYAVSGVIVTSDAPPTEICEQCAAEGVPIVLINKGNDIPF VDRIISDDRMAGHLAATHLIDSGVRKPAVMAAPAISYTARRRSEAFIARCKQLGVEAQ FLQVRINDYRSGYDAATELAASGIDGLFCANDYMACGVVDRIMQGRGREDAPPLSIIG HDDIPQASWAAYDLTTIRQPCDVQAEKTVDLLMSRIVEPDLTARVEFTPVTLIRRRTA YP_002978642.1 MNSVFDAAAIRARAEVAITVALDVGRETARFRHDSDPGALAVEN KGLQDFVTVADRRAEQAIREGLLSLFPDDTFMGEESGGRSGKGGTWVVDPIDGTTNYI RGFRHWGVSIAFVVGGQVEIGVVYDAAGDKVFHAVRGGGAFKDGLPVHAAATADPANA LVILGHSRKTSFDDYLALSKRLHERGMDYRRTGAAAIDLVRVAEGAADLYYERHLNAW DMLAGALIAEEAGAAVAMPSVERLLAQGGPVIAYSPGLAGEFAFILDIEGL YP_002978643.1 MLVSDMQIVDAEANTRQANSSLQEAWHLGCTGRSVEALTLAGKI LVDAKARGDDRLAAQCDTDIAWYCFQIGKAELGLTHVRRAIDFWKLHGERKEEACARA YFGWLLLELGLPEEAIEEATRALDLADKAADPKAQSLATNVIGIIFWCNKQPDRAILM SERSVELARSIGNKNYECWWLINLGGAHSEGGYIARALGQPEEEHQMLVRALALTEQA LDIAIEIGDSWAARLCLGNQAEFYSHLGEHEKALQCMARYRLFQENSYVRDRQQYLYT LGQIYNNSGKFTEALSPLLEAVELIDAGGSFDSYVQIYLYLSQAYEGLGQFDPALAAH KKYHQAYLRNSAESTQRRARLAEIYYETKRFKEVAETESKRAETLEASYQKLQEQTDL LANAVYLDALTGLYNRKYLDSRFKALTSEKRPYSIAMLDVDHFKSINDDFSHMIGDRV LSAIGTILRSQLRVTDQAIRFGGEEFVVLFAGAPRGAADLCERLRSAIERWDWSEICN GLHVTISIGVAGTLSAKSPNEILAIADQNLYAAKKTGRNRVVA YP_002978644.1 MTRLLDAQGLEISHEGHRTRLKWHRLRKRFADPLFSAEVMAEGF AAGASMELDLRVRADGGFVVLHDKDLEGETTGHGPVAEKSIGDLSDIRMQEGDRPLIL SEDLAAMMQSTHPAALLQFDMKDDFEAIGAKGVAHLAAHFRDIAASVIVSGDSLDLIV AVKEKLPHLLRGIDPTNKLYDIRMANGWKAVETELRADLRGPTEPDTIYLHWPLILDA ANQGLDLIALCRDEGKRVDAWTFTLKDPEAGFSEEEWRGFSALMALKPDQITTDEAPA TERGWGRRMAN YP_002978645.1 MSDLGWNPLHAVPSYPAERYAVLADRIGDILCSRNDILLVQAEA VVALEAAALSLARPGLSALNIITSPYGGWFGQWLRRGGASVADIVAEPGLPIEIETVA KALQTGPKIDVLALVHAESASGILNPLPEILALARARGVVTVVDAVASVGGHQLDVDG LGIDIAVIGPQKALGGPAGVSALSVSGRAWDLILSDGAPRDSILSLADLKSWIDGGRR GLPGTPASLEFFALETALDRIEAEGLENVVARHALAASATRAGLAALGAPGWVPAVKA SNLVTAVPVPEALAPAALIAAAGQLGVELSEGVGTAPARLVRLNHTGSRAAFQTVLSN VVAYGAALRQAGHPSDISAASEAISAAYSR YP_002978646.1 MNDVLLSVENLSKGFASAGRQVAALDNVSLTIAPGETLGLVGAS GSGKSTLSRILLRLVAADAGSIRFEGEDWLALNGAPLRRRRARMQMVFQDPLAAFNPL ASVSAVLDDPLRIHGVAKDRRPGEIVDLLERVGLTADHAARPVRSLSGGQRQRVAIAR AIATRPSMLVLDEAVSALDVTVRGRILELLVDLQKEQGIACLFISHDLAVVRAVSHRI AVIDGGRIVETGPAAAVVAAPQSEAARALVGAVPRLVTDLC YP_002978647.1 MSGIFCSLRQLSVTYGRGAAAALDSVDLDIAAGERLAIIGESGS GKSTLARALAGLLPEGAKVRGEMLWPALGHPPRPGRDFGFVFQDPGSSLNPVLTIGEQ IAEGARRHLGLSSKQAYARAEELLERVRIPQPDKAMRAFPHQLSGGQRQRVAIAAAIA ARPALLIADEATSALDVVVQAEIVRLLDGLVREDGMTLLFITHDIALVSGFVDRVAVF RNARLVEAGPVRSVLSAPKSDYTAALIASHRDLATPPLIAEELP YP_002978648.1 MSRLLRTPEGVIGLVILLGLLSAGLLAPILSPGDPLKIAGRALL TPFTDPAFPLGTDRLGRDVLAGLLYGARTSLAVGLAAAFSAMVLGLCVGMAAGFAGGL VDEALMRVVDAFQIVPAFLLALAFVSTIGVSTPVVVIAIALGTWADPARLTRAQVLAI REQDYVASARVIGMHPAEIAFREILPNALPPVLALSATIVAGAILTEAALSFLGLGNP NIATWGSMIAEGRSVLRSASYLSVIPGAALAITVLGVHLFSEGLGKALGDNDARAA YP_002978649.1 MKRAIILLRRRAISSIPVLLIVVIFTFFLLESASGDAVDAYLGS IGGGDAALRQALRESYGLDQSMFARLWLYLSSLARFDLGWSVAFGRPVGALIAERLPN TLLLMGSAMALSFGLGSALGILAGARPGGLRDRLLSIGSLIVYAIPSFWLGLVLSIAF SVKLRWFPIAGVETIASGKTGFSRALDIADHLVLPVGALALIYLALFLRVMRSGMVEA WKLDFVLFARAKGLSRSRIVLRHVARNALLPLVTMLGLQSAAMLGGSVVIESVFAIPG FGRLAQEAVNGRDAPLLMGIVVTSAVLVISVNFLVDLVYAALDPRIGASEGGT YP_002978650.1 MTVSSISRRTLMKGTALLLASTALARQALAQAAPAGGRLIVAAD SEPKNLNPAIVASNGVFFVASKVIEPLAEASFDGKDGLAPRLATSWEGSDDGLSVTFK LRDGVTWHDGKPFTSVDVAFSALNIWKPLQNLGRLVFANLEAVDTPDDYTAIFRFSKP TPFQLIRNALPVVTSVVAKHIFDGTDIATNNTLIGTGPFKFAEHKPGEYYRLARNENY WDKDQPKLDEIVFRVLPDREAAGSALEAEEIQLAAFSAVPLADLDRISKVAGIKVISK GYEALTYQLVVEINHRRKELADLRVRQAIAQAIDKKFVVDTIFLGYAAAATGPVPKNA LQFYTPDVAAYDFNPAAANDILDKAGYKQGPDGNRFTLKLRPAPYFNETRQFGDYLRQ ALAVIGINAEIVNADAAAHQKAVYTDHDFDLAVGPPVFRGDPAISTTILVQSGTPAGV PFSNQGGYVNPELDKIIKQASETVDTAARTDLYRKFQQLVVADLPLINVAEWGFITVA RDTVLNVSNNPRWAVSNWGDTALQS YP_002978651.1 MTREIRLNAFDMNCVGHQSPGLWRHPRDKSSTYKDLDYWVHLAK TLERGKFNGLFIADVLGVYDVLNGNVDAALRHSAQVPVNDPLQLIPTMAYETEHLGFG LTASLSFEHPYTFARRISTLDHLTKGRVGWNIVTSYLNSGALNIGQPAQTKHDDRYDL AEEYLEVCYKLWEGSWEDGAVVRDRESGIFTHPDKVHPIRHAGKHFNVPGIHLSEPSP QRTPVLYQAGASSRGKDFAGAHAECIFVASPSKPVLKRYVANVREAAERIGRNPREIL AFNLQTVILGETDAEAQRKFNEYRKYASFEGALTLISGWTGIDFGQFGPDEVLRHRHT NAVQSAVETFTTIDPTKEWTVREMADWVGIGGFGPVFVGSPQTVADLMQEWIEDTDVD GFNLAYAVTPESFEDAVDLLVPELQKRGVYKTDYAKGTLREKLGGAGPRLVAPHPGAA YRNLAGEPVRLAAGG YP_002978652.1 MTTIDDETLPRTGAVPQAGGSRLAALGAFLRAGAVFILLALLIV GFTFAQPAFINIANLMSILQAVSVVAILGAGVTVTLAVGGFDLSIGAVAASSVMAASY AMIVWHLDAYATVPLVLAFGALIGFVNAFLIVRLKVPDLLATLAMMFLLSGLQLIPTA GRSISAGLTLPDGSKATGAYDPAFLLIGRYSILGTLPVAVVLMAVVAIALFILTERTR IGRLLFATGGNEVATRLAGASTVRLKTLAYVLSGTLASLGGIVIAARVGRGDISSGGS LLMDSVAAALIGFAVFNLRRPNVLGTIAGAVFVGVLLNGLTMLNAPYYTQDFVKGAVL VGALALTYGLGRSNP YP_002978653.1 MPLLHIENITRSFGSTRALAGADFSMERGEIVALMGANGAGKST LVKILSGVLPADGGMVSLDGRAFAPRSPAEAARAGVVTVHQSTDLVGAPGLTVADALL LNRFGDRSTPFFVSRAGIRRAAQSMLDAAGFTLPLDRDFGDLASADRQLVAIARALAN RADLLILDEPTASLSGEESRRLFDILLRFRKRGLAILYISHRTADLEAIADRALVMRG GRVVGTFSRPIDFSSAIETMIGRRLDAARPDARPATGPVILEMRDISLLSGAASFDLS LHEGEVVAVTGVLGAGKSRLLSAIFGVTALAGGAMFLGGRPYRPKGPAEAIAAGVAMA AEDRHRSSLMPPAWPGHSLSATISLPHLGKWYPRGFLVGGRERREAELAITRLGIKAA GPLASVWSLSGGNQQKAVIARWEAEPSRLLLLDEPFQGVDVGARRDIIQAIRTRTDRA TLIATSDPEEAYEVADRILVIDRHVLRPAAGEAFHSAIQGISA YP_002978654.1 MKSLARLALSAAVIPFIFIQGAKADGLSGAPAPFDKGGVKVALI SYISAGDFFQAYQAGAEAQAKALDIDLRIFPGRQDAAEQREQILQAINLGVSGIVIDH GLPESLGDVVQQALDKGITVVAFDVNLNNPKIPQVEQSDHELASLALEQVVKDNGNSF NAGYVYVAGFAPLDRRNEVWDKFKSDNKGVVEKARFGNVSDTTATSTADQAKAVLTAN PDISVIFAPYDEFARGVKLAANDLGISNKLKIYSADVSTADIQEITEEGSPWVATVAT NPAVVGAVSIRAAALEIAGQTVPHQITVKPTLLTQESLRAAGVKTIEELAEKIPAFST SDAATANWIPDKLF YP_002978655.1 MSQSNVVFATSRNPTREDLFARAQDISADLSGRAADLDREGRPP LGEIVKLKNAGLLNALHPREIGGGGLDWVDGLRLVRILARGESSIGQLLGYHYVNSQY IYWALDTARAHALGSDTVARNLYWGAAVNPRDPGLVLTRRGNGYVLNGRKSFSTAARV SDYINANATLDDKIAGFAVPTNRQGYVANDDWDNIGQRLSDSGSVEFHDFPVYEEDFV GVPAAPDAAPPVLSTFNTPFIQLVFVNFYLGTAEGALHAAVDYVRNTTRPWITSGVER AADDPYILERVGEFTAALKASAALADSAAAAVQAGLARGRDVTERERGAAAAEAYGAK VHATHVSLDITSRVFELTGARSTADKYRFDRFWRNVRTHTLHDPVFYKAREVGEFVLN DKIPQVSLYS YP_002978656.1 MNTVLRQADQIRPVADRIGSDDEAIATARRLAAQFAARAAERDA ERILPFGELDLLAQSGLLAITVPAQYGGLDVSNAVLAEVTAILSEADGSIGQIPQNHF YILEALRTDGGEEQQRYFFGRVLAGDRFGNALSERGTKTVGHYNTRITRDGPGYRING RKFYSTGVLFADWITIFALDPEDRLTMAFVPKGTEGVEIVDDWDGFGQRTTGSGTTIL DNVYVSADSVVFHHKGFERPTTIGSVGQIIHAGVDLGIARAAFAETLEFVRTKSRPWM DSGLERAADDPLTIAKVGQIAIRLEAATALVERAGHKVDAAQVETTEEKVIAATLAVA AAKVLTTEVALEASNTLFELAGTSSVQTGLNLDRHWRNARTHTLHDPVRWKYHVVGNY HLNGVTPPKNGAL YP_002978657.1 MKLLPEITTAGLDLISPTSYVTDIRCVAAAAPDRTPNAGQAAAL PDP YP_002978658.1 MTPRQLRLGAFMRPVSLHTGAWRYPSSYPDANFNFAHLKSFAQA LERAKFDAFFMADHLAVLNMPVEALRRSHTVTSFEPFTLLSALAAVTERIGLVATAST TFDEPYHIARRFASLDHISGGRAGWNIVTTSNPDAALNFGLDEHVEHGERYHRAREFY GVVTGLWDSFADDAFIRDRESGLFFDPAKMHVLGHKGEELSVRGPLNIARPPQGWPVI VQAGQSDPGRQLAAETAEMVFCSPRDLAAGRALYADIKGRMEAIGRNREHLKILPAAF VIVGDSIEEARAKRATLDSLVHYDSAIASLSIALGHDASGFDPDAPLPADIPDTNASK TGRGQVLKLAAEENLTVRQLAQRFGGYAGLAFVGTPASIADEMERWLDEQGSDGFNVV FPYLPQGLLDVTDRLVPELQRRGLFRSEYEGTTLREHLGLPRPENRFFASGT YP_002978659.1 MKGIRQLAEYLDISIGTVSRALNSKPDVNEETRRRVLAAAKELG YVANQSGRSLRQGETKVIGLMIESSKETVENADNFFLGVTSGLQSVFARHKLDLIMLP CPSDEDPHEYLKRMVARRIVDAMIISDTQRVDRRIDFLSRAKIPFVALGRSLSANNFP WIDLDFEGVADHAVERLIALGHRRIAITAPSSEANLGYLFIDSYCRALERHDIPFDPS LVIRVKSSEQGGYQAAHELLLLEERPTAVILIYELMAIGLYRRLMESGVMPGRDLAVV GFRDAPRARFLQPSLSCFRISLYDLGVALARMLLANMPVYRDFYPGGDRNIIWPLELM PGESDAFRVGVMA YP_002978660.1 MKFSAILCGCGAMSKGWLRAIASNPLLAESITIVGLVDLNRETA EKLAAEFGLEGAVIGSDLSAVITATKADLVFDIVIPAARYDVVSTALKAGCHVLSEKP MAASLAEGAALVDLAAETGRIHAVIQNRRFISGVRRLRRFVDSGAIGELTGIHCDFFL APHFGGFREEMDNVLLLDMAIHTFDAARYVADRKPLAVYCVECNPKGSWYRHGASANA IFEFTDDIVFTYRGSWCAEGERTSWESQWRLVGSKGMLTWDGEESFKATVAGEEPGLL HGSAAVNVPGPEHDEETHGHASVIADFIAAIGTGKRPETVNSDNIRSLAMVFGAIESA KTGRRVEISA YP_002978661.1 MSNPAKSIRIGTMVSGNKGDAAARIGEIAGMGFESFEPFFWQTT KGQDLAELGKRCLDAIGDRDITISTLGMFGNPLEETAIDLETLEGWKHCIDNAHHFGA TCVAGFTGRIRGKPLTDSLPRYKQIWSELAKRAADKGVKIAFENCAMDGNWATGDWNI AHNPDAWELMFNETPDDNIGLEWEPCHQMVYLIDPLPQIRKWAHKFFHVHGKDATIRW EVIKEHGIFGKEKFVFMRTPGFGDSNWTDIISELRLAGWSGSIDIEGWHDPVYRDALE MTGQVYALNHLKHARGGEFVVDPI YP_002978662.1 MAIRKYAILGALALAGVSLFGLSAKAEDVTLTLWSLDKDTQPAP NLVKEFNAQNNGIKIEYRLIQFDDVVTEAMRAYATGQAPDIIAVDNPEHALFSSRGAF LDLTDMIAKSTVIKPENYFPGPLKSVEWDGKYFGVPKATNTIALYYNKDMFKAKGLDP NKPPQTWDELVEDARKLTDPAKNVYGLAFSAKANEEGTFQFLPWAQMGGGSYENINAE GAVKALGIWKTIMDEKLASPDTLTRGQWDSTGTFNSGNAAMAISGPWELDRMTQEAKF DWGVTLLPVPKEGAERSSAMGDFNWAIFATSKHPAEAFKALEYFASQDDKMFKNFGQL PARSDISIPETGQPLKDAALKVFLEQLKYAKPRGPHPQWPKISKAIQDAIQAALTGQM SPKDALDQAADKIKAVLG YP_002978663.1 MKRILMSVRDGRGFDIVLVAFPLGFLFLMAGLPLIYNVVMSFQE VDMFSLGTFSRPFVGFKNYTDLFAQPETLPIFYNTIIFVVGSIAGQFLIGFGLALFFW VNFPGASWMRGLFLVSWVMPGLVVGAIWNWILSGDFGVLNFILKESGIISGNIFWRSD PHYSLYAVIIANVWLGTSFNMILLSVGLAGIPADLYEAAELDGANVWQRFWTITLPMM RSTIGAIIALGLIFTLQQFDLFAAITSGGPNNSSNVTQYWAWDLSFRQYDFAKGATIS VIMIVFVMFASVVYVRSTRHEVRG YP_002978664.1 MITTNRDRLMLAISIVMAAIYLFPLYWMYITALKSGSEMFATPP SFWPASPQWGTYAAVWESRDMGRYLWNSLVIALGSVALITLLGVGCAYVLARYRNVWV DIGLFLILMLQVLPASLMITPIFVGFSQIGMLNYPRLAVIIAVAAKSMPFFVILVRAT FMSVPQELEEAALVDGNSRVGAFFNIVLPLARNGILVSAILIFMQAFGEFVYSKSMIQ AVDLQPASVGLNSFMGPNTNEWNNIMAYATMYVTPILAIFVLLQRRIVSGLTSGALK YP_002978665.1 MTTQIELRGVNKYYGAFHALKNIDLSIAKGTFVALVGPSGCGKS TLLRSLAGLESISSGDLRIAGELMNGVPPRKRDVAMVFQSYALYPHMTVEENLTYSLR IRGIAKAEAKKAAEDVAATTGLSHLLKRYPRELSGGQRQRVAMSRAIIRHPKAFLFDE PLSNLDAALRVHMRKEIRSLHDRLHATFVYVTHDQVEAMTMADHVVVMRDGIIEQQGA PLDLYDRPANRFVAGFIGSPAMNFIPAIAAEDGKSLILDFGAVKQTLAISRAIEPGRK LIAGIRPEHIGVVEPGHGSFDVPIAFVESTGSSTFIVAETQPELTIVETRRDRVKAGD MIGLSVDPGQVHLFDASTDHLI YP_002978666.1 MAKGTTPSLKDVAAAAGVSVTTVSRFVNGSLDLPFQTKKRIEDA IKTLNYRPNPHARRLSRGRSDTIGLVVPDIANPFFATLVAAVEQAADEKKLAVSLHAT LNRPGREIEYLQLIERNHVDGLIFVTNHPDDGALAALINGSGKVIIVDEDIPDSKAPK LFCDNEQGGYLAGQHLAEQGHRHVLFIGGDERMISARRRYDGLLKALRERHGGEARAD RYAGEYTIEYGRAAALDYLSGDRKATAIFASSDEIAIGLVEVFRSRGVSIPGDISVIG FDDVGPLHLFAPPLTAIRQPVREIGRRSLELLLETNWHEWKPSASEELLPVEIVVRNS VAPPAK YP_002978667.1 MTLNLTRRTMIAAAGFTALTFIGLSSAAAQEKKTVALVQINQQA LFFNQMNEGAQKAADAAGVKLVIFNANNETTAQNSAIETYVQEKVSGLAVVAIDVNGI MPAVKQAADAGIPVVAIDAILPDGPQKAQIGVDNAAAGADMGKYFLDYVKANMGGKAK LGVVGALNSFIQNIRQDGFEKTLKGVDGIEMAGVVDGQNIQDNALAAAENLITANPDL TAIYATGEPALMGAIAAVQSQGKQDKIKVFGWDLTAEAIAGIDAGFVVAVVQQDPAAM GGAAVDALVKASAGEAVTKTISVPITIVTKENVEPYRAVFK YP_002978668.1 MIESGQRDIAAAGSGGVASSGTGTSGGTRSDPRISLRGIRKSFG SHQALRGVDLDIFPGECLGLVGDNAAGKSTLTKIISGTYIPDAGTISMEGEEVRFSGP ADARGRNIEMVFQDLSLCDHIDVVGNLFLGRELSRGPFLDTRTMLSEARKMLDSLEIR IPRLTGKVAQLSGGQRQAIAIARAASFKPKVLIMDEPTSALAVAEVEAVLALINRVKA NGVSVILITHRLQDLFRVCDRIAVMYEGTKVAERQIGSTNLEDLVRLIVGEGARQ YP_002978669.1 MTAYTERGHGSRVADFFGEHAQVLSIAIFFLACMIFFSIGSETF FTLGNILNIVRQAAPILIVAIAMTFVIITGGIDLSVGSQVALINAVAAIVMAMGVPWP SVVIGMIVLGGFMGLVQGWFVAYQGIPAFIVTLAGLSILRGLALYLTQGYSIPIKDAP GFFALGRGEILSFPIPAIIAVIIAILGYVVITATKYGRQVVAVGSNAEAARRVGMPAK WIIASVYIISGVACAVAGLLIAARLGSGSSNAAVGFELQVIAAVVLGGTSLIGGRGTI LGTVLGTLTIAVIGNGLILMHISPFFTQIVTGAIILVAIWLNTRIFTANFHFRLGRKG YP_002978670.1 MSEQAAESLSEAHVRSGKVMTVTGPISADALGVTLMHEHILNDC RCWWHAPKTPERQYLAESFVCMEILGELRQDPFVNKHNITLDDEHLAVTELKDFATAG GRTVVEPTCKGIGRDPLALQRISKASGLNIVMGAGYYLGSSHPEGVAAMSVDEIAGEI VREARQGVDGTGVRIGLIGEIGVSSDFTAEEEKSLRGAARAQVLTGLPLMVHLPGWFR LGHRVLDVVAEEGADLRHTVLCHMNPSHDDIAYQSELAERGAFIEYDMIGMDFFYADQ QVQCPSDEEAARAIVRLVEAGYLNRILLSHDVFLKMMLTHYGGNGYAYILRHFLPRLE RHGLDRTVLDEMMRGNPRRVFHAGA YP_002978671.1 MTKKILLVGESWVSSATHYKGFDQFGSVTFHLGAEPLVKALAGS AFELTYMPAHEAVEKFPFDMAGLDAYDAIILSDIGANSLLLPPDVWLHSRTVPNRLKL LKAWVEKGGGLLMVGGYFSFQGIDGKARWRRTPVEDTLPVTCLPYDDRVEIPEGTVAE VVKPEHPTVQGLEGAWPVLLGVNEVEVRARADVEIVARLPEDQGGHPLLVVGTHGTGR TAAWTSDIGPHWLSPAFCEWEGYGRLWKNILGWLTEKQA YP_002978672.1 MQEISDRPSHAIRDIFGRDKVLIGMIHCPAFPGAPRYRGAAMNA IYDACMRDAEACMEGGLHGLIIENHGDVPFSKPEDIGPETTGFMSVVTDRIARAAGIP LGVNVLANAPIPAFAIAMAGGAKFIRVNQWANAYVANEGFMEGRAAEAMRYRSLLRAE HIKVFADSHVKHGSHAIVADRSIQELTRDLAFFDADGVIATGQRTGNSATMEEIEEIG AATHLPLLVGSGVNKDNIVDILARTNGVIVASSLKHGGVWWNPVDIERVRAFRAAAEP GLEG YP_002978673.1 MAAESLSARILRENDAVLGAMLNHRFVEDVKNDRLSKEAFERYL VYEGAFVDSAISIFAYAAATANTMTQKRWLIAVLDALANEQIAYFERTFAGRGIDTSS FDTGIAEVEAFRAGMLEIARQGGFLDTVAAMFAAEWMYWTWSKEAANRPISDPLLKEW VDLHVDPNFAAQAQWLKNELDMAGETLEEDEKARLSAIFGRAMQLEIDFHDAPYL YP_002978674.1 MRAYIVGNVAIDETIAVSELPVIGASILGNAGGSDLGGKGTNQA VVMARCGVPTTLVAPIGRDARADTIRHYLADEPLRSELIEMENASSDVSIIFRLPGGE NAIVTTTEAAQSLSLSDVRRILSTAGPGDLMMLQGNLSDQTTRDILEHARAMGMVTAF NPSPVRSYFSDLWGLIDIAFLNKGEAQTLTGTTGGQAAEYLLSRGLRDVVLTLGSDGA MLVNRHDSVEVPAQVCDVVDTTGAGDTFMAAALASCVMRRQRLDRLAIEHAAAAAAIT VSRHGTRKAFPTISELEAILR YP_002978675.1 MTSPSISARLSPTASSRLVVLAETVLKAGETARGSLRRRTSAEM LAKAPRDYQTEIDVAVERTIVNEMTKAFPDYAIQGEEAVGNRTAGPETPIIYIDPIDG TTNYAWGIPHFGMTISIVESGRLVMGVVYDAMQDELFSAEIGGGAYLNGERIRCADVG DIENVLVGAGLPIPGQIKAVAKETYFDAIKRLMANTAGVRRLGSAALSIAYVACGRLD GFFEDGLAIHDFGASALLVEEAGGIVTRFSGAEVNGRGDILAASKALHPWLLEGFQSK A YP_002978676.1 MLAARPEALTIRPVDRPDAATVHRAVDFGTHKMVDVDLADGSRL KAMVAPDDRIRTGMRVEPSFAGFFVFRDNELVHQSMPTKGDVEIEELLRV YP_002978677.1 MTEERAVLAGGCFWGMQDLIRRYKGVISTRVGYTGGDVPNATYR NHGTHAEAIEIIFDPARISYREILEFFFQIHDPSTRNRQGNDVGLSYRSAIFYVTPEQ ERVARDTIADVDASGLWPGKVVTEVVPVSDFWEAEPEHQDYLERIPNGYTCHFVRPGW KLPVRQKIA YP_002978678.1 MDTRFLETFIVVAERHSLAEAAQRLNLTPAAVAQRIRALEAELG VRLLVRSGRVMRPTEAGFAILDRCRDLVRDTRDLKAMAGTATISGEMRIGAINTALTG LVPDILHRLAQDYPLIEIFLKPGASMDLYAEVLNGTLDAAFIIEPRFPMQKTLTFNKL RQEPLVLIAPRDCEGADPLELLQTLPFIRYDRNQWGGHIADEYLREIGIRPVERYELD ALEAIAVMVDRGLGISIVPDWAPPWPAGLDILKLPLPRSSAVRTVGIVVTRSSPRTNL VAALLETSRAAMGA YP_002978679.1 MDFGLKDKTALVLGAGGGLGSAIAVKLAREGARIAAADIDLAAA EKTAASVESEGGKALALQWDLSDLGSIDARVAAIERQFGPVDILINNTGGPPPTTVSG QDPTLWNQYFQSMVLSVIAITDRVLPEMRARKWGRIVTSTSSGVVAPIPNLGLSNALR LSLVGWSKTLAREVGRDGITVNIVLPGRIATGRITFLDEQKAKRESRSIDDVVAESTG SIPLGRYGRPEEYGNVVTFLASEPASYLTGSVIRVDGGMIQSI YP_002978680.1 MALNAEAIATLKTVSTATLTTVLLKKGLRNVWIRGAVPLKPGQP RIVGPAFTLRFVPAREDLATPASWASPISTRAAIEAMPEGCVAVVDAMGVTDAGIFGD ILCARMQKRGVAALVTDGVVRDLAGVLDTNLPVWCRGVAAPPSVAGLTFVAWQQPIGC GGVAVFPDDIIVVDQDGAVLIPADLLEAVLAEAPEQERMEAWIMTRIDEGVSLPGLYP MNAETKALYEASKK YP_002978681.1 MESVLPMTPDEMKIVRRAYAKQITAAARVADERVETAFAEVPRE DFLGPGPWPIFRMRKAYVPTPAADPVYLYTDDVVGIVPERHINNGQPSLHAFLLSQAA PRAGEHIVHVGAGAGYYSAIMAKLVGASGKVTAIEFEPELAARAKANLAPYPNVSVVP GDGSSVAFDTADVIYVNAGATRPANIWLDRLNNGGRLILPLTTDLGFTSSNWSNMHLR GAVFLVMRRGEEFHAQWISPVAIFPCEGMRDEESEKALAAAFESGEHKRVTRLYRTDE VPVERCWVRAPGWCLAYA YP_002978682.1 MFDLIVRNANVPDGRKGIDIGIQGGKIVAIESNLQAQAGEEIDA TGRLVSPPFVDPHFHMDATLSLGLPRMNVSGTLLEGIALWGELRPIVTKEELVDRALR YCDLAVTQGLLFIRSHVDTSDPRLVTVEAMIEVREKVAPYIDLQLVAFPQDGYYRSPG AIDALNRALDMGVDIVGGIPHFERTMGEGTASVEALCRIAADRGLPVDIHCDETDDPL SRHIETLSAETIRFGLQGRVAGSHLTSMHSMDNYYVSKLIPLMAEAEINVIPNPLINI MLQGRHDTYPKRRGMTRVRELMDAGLNVSFGHDCVMDPWYSMGSGDMLEVGHMAIHVA QMAGIDDKKRIFDALTVNSAKTMGLADYGLEKGCNADLVILQASDTLEALRLKPNRLA VIRRGKVIARSAPRIGELFLDGRPARIDGGLDYVPRY YP_002978683.1 MMQLFDIIASAGLWAAILRIATPLIFGTLGALLCERAGVLNLGI EGIMTFGAMIGWLSVYHGADLWTGLLIAAVAGGVFGLLHAGLTVTLGLSQHVSGLGVT LFASSFSYYVFRLIVPLANTPPTIVPFQPIAIPGLSTLPFIGPAFFTQTAPTYLAITI ALLMAYFIFRTPVGLAIRMTGENPHAAEAQGVNPMKVRYGAVIAGSALMGMGGAFLTL SAFNSFFPTMVQGRGWICIALVVFASWRPGRALFGALLFAFFDAFQLRLQTALSGLVP YQLFLMTPYILSIAALAVMARRARVPQALMQPYRRGER YP_002978684.1 MRFERREHRPLYLLIVTPVIAVIAALALAGILIAIAGAPVLDAY WRILTGAFGSRLSATETLTRATPLMLTGLAAAVAFRARLWNIGAEGQFYLGAIAVAAA SSKLLGNLPAPILIPLLLLVGAIAGMVLILIPLWLRLRFSVDEVVTSLLLNFIAVLFV SMLIDGILKDPLAFGWPQSQSVSDHAMLPKLVARSRLHIGFAIAIALAVVVHFVQSRT VFGMQSRAAGLNPGGAVFAGVPLGKTLVKVACLSGGLAGLAGAIEVMGVKGYVTTDLS PGFGYAGIVVAMLANLNPLGVVFAAIFTATMFVGADGMSRGLGIPTYIADVTVALSLL TMLIALFFTQYRIRR YP_002978685.1 MTGPVLEIIGVSKRFGDNLANHDISMTLAKGEVVALLGENGAGK TTLMSILFGHYMPDAGRILIEGTEVPQGKPRAAIRAGVGMVHQHFSLAPNLTVLENVM TGTERLWSWRSATSAARKKLLTISGRFGLKVDPDARLGDLSVGEQQRVEILKALYNDA RILILDEPTAVLTNIEAERLFTTLREMAHQGLSLIFISHKLDEVMAAADRIVVLRGGK MVAERKASETSKAELAELMVGRRVTRPVREPSTPGAVALEASGVTVRIDGVDRLKSIS FRLHQGEILGIIGVSGNGQAALAHLLSGTLARSAGDLMLFGEAIGNLGVADVVDAGIG RIPEDRNEEGVIGEMAIWENAVLERLASPAFSRHGLVNRKAGMAFASEIIDGFDVRGG GPAIRTRLLSGGNMQKLILGRNLHRRPRILIAAQPARGLDEGAVAAVHARLLEARRQG TAVLLISEDLDEVIALADRIQAIVVGRLSPPVEADGADARRLGLMMAGEWQETPEVGH AI YP_002978686.1 MRGDATDLAAAIRPGSLSAAEAMQASLASAVLQEPLGAIAYLDA AMGLASADDRDSERRSAPDRFAARRFAGVPTLAKDLGGPFAGLPVTAGSGLFERKGGE ADSDLAARFRDAGFCLFGLTTSPEFGLSLASEPVIGPICRNPLDPARTAGGSSGGAAA AVAAGIVAIAHATDAGGSIRVPAACCGLVGLKPTRGAIPGGPSFGNHLAGIASELAVC RSVRDTALIFDRLSGKSRGPFPDPSPVDSDNGRLRIGLLVDTGSTYPTEGDRLAAVED AARALESDGHVIVPLNWAEFDWSVASSGRAFADIVSVNLAALIKAAALDESRAESLTQ AFAARGRAFSATSLWSTLDDAVLVSRNLWALFDRVDCILMPMLSSAPLAIGSFPSDHA DTDLHLERMTAFAPLACLANISGFPALTLPFGEDEHAMPLPVQLMAPMGHEPRLLSLA ARLEAEGRWQHRFPVAGLPS YP_002978687.1 MTKDILISRRAVIASGIALGVSGFAPLARAAAPLKVAGIHASPV ENAWNSCLHKALQDAAKEGVIEYVFSEGVSGTDYPRAMREYAEQGNKLIIGEAYAVEK EARQVAVDYPDTAFVLGSSGEQAGDNFGFFGTWNHDGAYLAGMLAGKMTKSNVVGSVG AIPIPEVNMLINAFAAGVKSVNPDAKHLVSFIGTFFDPPKAREAGLAQIDAGADILFG ERIGTADAAKERGIKSVGSLIDYTPRYPDTVFANAMWYFRPILDAAIADVAAGKPVGR NYTSYGLMKEGGSDIVFVKGVAPAEAEAAMEAKRAEIKAGSFEVPKMMDEPK YP_002978688.1 MGEEEGASRRPIASRSSSWAIGLSAWLARSGATPNGISLLSVVF AGIGAALIVFTTHPIAMVCAAISVQLRLVCNLLDGMVAIEGGKKTKSGPLYNEFPDRV ADSLFLVAAGYACGFGWLGWLAALLAALTAYIRVFGGSVGLPQDFSGVMAKQRRMAVL TAGLLAQSVETLISGSHWSLILACAVIAAGSLVTCITRTLRLKHSLERL YP_002978689.1 MIAIIRRFLVLLVRILVGARSEWRGCAPDPSRRIYFANHNSHID TVAVMAALPWPVRRMTHPVAARDYWGTSAFRRFIAEKGLRAVLIDRKPPPDTDPLAPI ERLLEEGRSVLIFPEGTRSTNDEIAPFRSGIFRLACRFPDVDLVPIHLDNLQRILPKG SMLIVPITCTARFGKPLRVEPGEEKTEFLARARAAVIELADGGHSA YP_002978690.1 MTSLFSIVLAAILGLLAAASAIGFILQRRATEPGSVATVQNLNA RIRSWWIMVAVFGGAILLGDTATVILFAFLSFMALREFWTLTPSRRGDHLALFLSFFV VLPLHYMLLGTEWYGLFAIFIPVYAFLILPAVATLTGDVNEFLARSARVQWGLMLTVY SISHAPALLMLETGTPSALLLVYLVVVVQLSDVFQYVWGKLLGKHRFSPNISPSKTIE GLVGGGASAILVGTLLYRLTPFSPLQAAAISTVIVVAGFFGGFVLSAIKRDLNAKDWG YVIEGHGGVLDRLDSITFAAPLFFHIVRYWFTT YP_002978691.1 MDDLEFRLYLKIDIVQLDLWIEQGWLIPETSGGQRQFRDADVAR ARLILDLMGNMGVNEAGVDIVMELIDELHGLRGTMGKLMTAIGKQERDVQRRLFESLE EADRL YP_002978692.1 MSQDPYELLGVKRDATQKDIQSAFRKLAKKLHPDLNPGDKKAEE RFKQISTAYEILSDEQKRGRFDRGEIDITGAERAQRNYYRDYASKSGPGDPYHNSAGF ADFSDADDIFASFFSRRSGGGQTRGRGRDRQFSMEVDFLEAVNGTRTEVKMPNGPALD VKIPPGTRDGQTLRLRGKGEPGTGGGPVGDALIEIRVRPHRFFTRDGDDIRLELPISL SEAVLGGKVRVPTPSGPVNLTLPPHSNTGKVLRLKSKGVSKRGGGHGDVYISLKIVLP DAPDERLTALMKEWATANSYDPRKNMEA YP_002978693.1 MYRTIICGIGMGPRQTASRLLRRAAALMDEGGSIVVMHVIEHIP RRHLTELPEEFETTAIVDAEKKLASLCKELGIPAMTEVRIGVAASLLVSVAREKSADL ILVSSHVTDITDYVFSSIVDKVVRHAACSVLIDRRPDRAQEGSASPAEDAALF YP_002978694.1 MPNFAIIGSGAMGSAVAKRLIDHGATVLTYLEGRSEQTIARAKA AGMVPVGPQELTKAELILSIVPPAEAIKVAELVADISSAMQAPPPFIDLNAIAPKTMQ ALAARFESSGVEVLDGAIIGGPPSPGKPGPTIYISGDIAERSKLLVDCGLRIRRLDGP LGAASALKMCYAGINKGFVGLGTAMLLAASRSGAAESLKAELSESLPDIDRKLSGSIP DMYPKAYRWVAEMQEIADFLGEDDPAATIFRGMADVFSRMASDVEGDRVLVGQLDEII ARREKI YP_002978695.1 MARNTSISLSDHFTSFIDTQVQAGRYGSASDVVRAGLRLLEEHE AKVKALQDALIEGEESGPATPFDFDAFNARKRAAFEAK YP_002978696.1 MSGSPDYTPPKVWTWNKANGGQFASINRPIAGPTHDKELPVGRH PLQLYSLGTPNGQKVTIMLEELLALGHSGAEYDAWLIKIGDGDQFGSGFVAVNPNSKI PALMDHSGPKPIRVFESGAILTYLAEKFGAFLPTEPSERAECLSWLFWQMGSAPYLGG GFGHFYAYAPTKIEYAIDRFAMEVKRQLDVLDRRLAESEYLAGSQYTIADIAVWPWYG GLVKGWTYGAAEFLQVEDYKNVLRWADTIHSRPAVQRGRMVNRLSGEPSSQLHERHDA SDFDTRTQDKLAAAE YP_002978697.1 MTNRWKSIGLAALLAGLTLSASYAEAAGVLTIGRREDSTTFDPI KTAQNIDNWVFSNVYDVLIRVDKTGTKLEPGLAESWTASDDGLTYTFKIRDAKFSDGS PLTAEDAAYSLLRIRDDAASLWSDSYKVIDTAVATDAHTLTIKLKNPSAPFLSTLALP NASVISKKGMESLGADAYGEKPIASGAFTVEEWRRGDRVILKKNPNFWQADRVKLDGV EWISVPDDNTRMLNVQAGELDTAIFVPFSRVEELKKDPNLNVDIDASTREDHLLINHA HGALGKKEVRQALDLAIDKKAIVDTVTFGQGTVANSYIPKGALYYYADNLQRPYDPGK AKELLAAAGASDLTLNYLVRAGDEVDEQTAVLVQQQLQKAGITANLQKVDPSQEWDMI VAGDYDVSVNYWTNDILDPDQKTTFVLGHDSNNNYSTNYKSEAVKELVAKARLELDPK KREQMYVDLQKMAKDDVNWIDLYYSPYINVSRKNIENFYQNPLGRFFLEDTVKN YP_002978698.1 MSGSVLSVRDLTVRAHVDSGPRTLLDAVSLDLGKGQILGLVGES GSGKSLFCRSLVRLLPSSLLKIESGSVLLEGRDLMRIDDGEMLKVRGGEIGMIFQNPT SHLDPVMRIGDQIAEGIRYHQGLGAREARAAATEILAQVGFPDPKRQYDSYPHEFSGG MRQRAMIGVALSCNPKILIADEPTTALDVTIQAQILRLLIDIRDRRGLSIILITHDLG IVAQTCDRIAVLRGGKLLEEGPKRTILARPQHPYTINLINSHPSIPGETVAPLLELAA ASQPARRPLLEIDDLHVRFRAGGALLRGGAKTVSAVAGVSLQIMPGETVGIVGESGSG KSTLARAVLGLTPLSSGHVTFDGVDLALQKSAGLAKLRRETAMVFQDPYNALNPRLTI GQMLAEVLKVQGKVARADIPVRIGELLDLVGLEREFAGRKPRSMSGGQCQRAGIARAL AVNPKLIIADECVAALDVTIQAQIIELFRELTAKMNLTLIFIAHDLAIVRNLCERVVV MYRGEIVEEGRSEEVFARPKHPYTAALIAAIPDIDPDKRLLQDADGKDDPHSMPIQSI KRMP YP_002978699.1 MSIEAIAPASPRWRRFFGRRPMLVLSAGLLLFFVLLAIGAPIVA PYDPIMQNAEVRLQAPSLLHPFGTDNFGRDILSRVIWGARLDLQMALIGVIFPFVIGT TVGTIAGFFGGIVDALFMRLVDIILAFPFLVLMLSIIAILGPGLGSFYIAMALVGWVS YARLIRAQMLVLKGSDYAVAAVSLGFSRPRIMFRHLLPNAIAGSIVFSMSDATLVLLS GAAVSYLGLGVQPPIAEWGVMVAEGQSFITTAWWITLFPGLSIVCLAFGFSMLGDALG ELLGVHE YP_002978700.1 MHRYKFVLTRPLQFLPVIFGISVITFILVRLIPGDPARNILGTR ATPAALASIRAQYGLDQPMWLQYVYFLKNLANGEMGKSILYKIDVLKLIVTRIEPTLA LVVSSVVLSVLIAVPMSAIAARNAGRAPDHAVRIVSTFGIGFPPFWLGLMLIILFSVE LGVLPVSGYGATIGEKLSHLVLPSLTVALSLSTVLTRSLRAAMIEQLKSDVATAARAR GMPEGIVFWRHVLPNSLVPTINLLAVNIGWLIGGTVVVESVFALPGMGQLLVRAIFSR DYMVVQGVAMVFACATVLINFIADIVTVAVDPRVKL YP_002978701.1 MWREIRPDERFEIDVDGYRVVAYSFGTGSETVFCLNGGPGLPCD YLREAHSCLIDKGYRVVAFDQLGTGASDRPDDLSLWTIGRYVEETETVRKALGLGKVH MLGHSWGGWLAIEYAVTYPENLKTLILEDTVADMPHLISELERLRAALGPETVSMMQK HEAQGTYNHPEYLAAVTILNYRHVCRLPEWPAPVRRSLDDWNMAPYETMQGPNEFLYI GNLKDWNRIPDLPRLTLPVLITTGEHDELTPACALRMKLALPNAELKVFANASHMPFY ENPQDYYPALLDFLARHEAG YP_002978702.1 MLDDPIRDDPILDDIGTIRRQFTAHETLDGRIDQAFEAMKQIGF EALIYDYTPVPYDLDGAIMIPSLLKLRNISDDMHDYWFNRGYFRIDPVQQVALRTSAP FFWNYDPDADTLINRFMTEDTAPVTRYLSERDMSTGVTVPVHMPRGDYATVTGIRFGR NKDFERHALRYIADFNLLAHVFHETAYSLFDTRAKSVGTIRLTERERECLRHSAEGYS AKEISRIIDRSVPTVVMHLNAATKKLGARNRTQAVVRATHYRLLEDRPTHNWPPYNL YP_002978703.1 MGEVTTKEAYLPFRDYRTWYRVTGSLESGKLPLVVAHGGPGCTH DYVDSFKDIAALDGRPVIHYDQLGNGNSTRLPEKGPDFWTVGLFLEELDTLLSHLGIR DRYAFLGQSWGGMLGAEHAVRQPQGLKALVIANSPANMHTWVSEANRLRQELPKEVQD TLLKHELVGSLTDPDYIAASRVFYDRHVCRVVPWPPEVARTFAIMDEDNTVYRNMNGP TEFHVIGTMKDWTIENRLDRIEAPTLLISGKYDEATPLVVRPYLERVPGCEWVLFENS SHMPHVEEKQLCLATVSGFLSRHD YP_002978704.1 MNDHSPTGSATILATSRGRGWHGLEAELLRIPPGSTHISGTPYH RLGVHVGRPVRAQCRCDGREHRRLQKHGDIDVVPAGLDGVWEDDRECMILRLKISKDL FHRAAIDLGRDPATAIVPQFQLRDPRLEAIVWALKAELEADVPSDNLYADTLATALAL RLVEAGSGSSRPVYSGRALSPRQKRMLADYIEDNLDTSLSLAELAALAGLSLSHLKTQ FRNSFGMPPHQYVLHRRVARVEVLIRSSGLPLSQIALEAGFAHQSHMANSMKRLLGIS PGTITRARN YP_002978705.1 MIMFVIFGATGKIGKATITRLRAEGSPVRAVLRNPAKAAPLAAL GCEIAMAEVGDADAMALAMRDATAVQLICPIDPRAADASRQMLQSIERMAEAVDAAQS PRVLAISDYGAHLTIDTGITRLFRLMEERFRQCSARMVFLRSAEHMENWARYLKIANE TGVMPSMHQPLARPFPTVSAADIGDMAADLLLADEDHGPSPRIVHGEGPREYTALEIA AALGRLLTRRVVAHELPRAEWPATLIRAGLSESYAELIVRLSDAHNKGLIGAEAGIGE IRRGRTELSAALETFRPDVGQAVS YP_002978706.1 MTTHRFIPTSFHNVIGSLPPALHIADGDTVVTETLDAAGHDKDG IKQAPGGNPMNGPIFVEGAEPGDALKVEIISMIPTRDTGFTRSVVAANVVDPESVRDL PPRDIAIWAIDREALTVRLSEPVAGLENFVLPLAPMIGCFGVAPSLSQAISTATSGEY GGNMDYRLFGPGTTLRLPVAAPGALFFLGDCHAVQGDGEIVGTGIETTFEVTVRLTVE KKAGLVWPRGETAEDIFTIGNARPLDQALQHATSEMLTWLASDYGLDRTAASHLLGQV VRYDVGNVFDPAYTMACRVAKKWLVRG YP_002978707.1 MQQFKGLQRLLRVFSDTQRCRSEIAGTDEVGSKRGIDRNIQQG YP_002978708.1 MKLRDKVCIVTGSASGIGLAIARKYVSEGAKVVIADLKLEAAQA AAKDLTAAGPGEAIGLAMDVTSEEAVNAGVAAVVAKWGRVDVLVSNAGIQIVNKIEDY AFSDWKKMLAIHLDGAFLTTKACVPHMKAQKGGAIIYMGSVHSHEASPLKSAYVTAKH GLLGLARVVAKEGGPDGVRANVICPGFVRTPLVDKQIPEQAKELGISEDEVIKKVMLG GTVDAQFTTVDDVAEVALLFAGFETNALTGQSLVVSHGWYMQ YP_002978709.1 MKIEDVVRNAFAMPLTSPSYPPGPYRFVNREYMIITYRTDPEAL RRVVPEPLQFDEPLVKYEFIRMPDSTGFGDYTESGQVIPVTYEGVHGGYVHSMYLNDD APIAGGREIWGFPKKLAEPSLTSVKDALVGTLDYGGQRVATATMGFKHRTLDKAKILE SLKQPNFMLKIIPHVDCTPRICELVRYYLEDLTVKGAWEGPGALALFPHALAPVADLP VLEVKSAIHILSDLTLGLGEVVHDYLAK YP_002978710.1 MSTIPTEKTVLVFQGGGALGAYQAGAYEALHEAGIRPDWLAGIS IGSINSAIIAGSPVDQRVDNLRTFWHRVSSGLPGHFLGNGNAMRKWFNESSAFLGSLT GVPGFFTPRVFAPWNIPGDPMAAISLYDTAPLQETLAGLVDFGLINSGAIRLSLGAVD VVSGNFNYFDNRDCAFSPKHVAASGALPPGFAPVEIDGRYYWDGGIVSNTPLQRILGG SELEADLCIFQVDLFSAKGVLPKDVFDVDAREKEIRFSSRTRLNTDQFRKLQSVRMAA KRLTEKLPPELKDDPDAKLLEKIGNDCAVTMVHLIYRHAAYETGSKDYEFSRLSVEEH WKAGHDDVVETLNHPDWLSRTRPTNGIRIFDLAEQRLRGKNHEN YP_002978711.1 MSFMITMSQKELHRLEVIQKIRDLRLSVVQAAELLGLSRSQVHR LLQAYDRDGPAGLVSKKRSQPSNRRHSEEFRNAALDLIREHYLDFGPTLAREKLIELH RISVAKETLRQWMTEAGIWISRRERKKRVFQPRGRRDCFGELVQIDGSHHWWFENRGP KCALLVYIDDATGKLLHLRFAGSENTFDYLHATKAYLQQWGKPLAFYSDKHGVFRSTH ASEKDRTSGLTQFGRALYELNIDIICANTPQAKGRVERANQTLQDRLVKEMRLRGIDT IEAANAYAPEFIADFNSRFGKQPRNPKDMHRPLADHENLDGAMCRKEVRTLSQSLTLR YDKVLFILDPTEISRPLAGKKVVVCDYPDGRLEIMHESFTLPYRTFDTLRSVHRAEVV ENKRLDDMLSIVAELQAGREQQRSKSGPRRTGQTDHMFGIPDGSQGNGYQKRGRKPGR RTDFMNDPEVIAKRQKALMRQPAE YP_002978712.1 MLPEINLQGHVDVAALSPLLRGMLLSVAYADGEGGIGLTATGAM NRKFVHWAAVHFLWPSFTAEDLYSMNKVLNESDMPPLWVVRDMARHLKLLRRKKDVLL PTKRGREFLVDPQAFFDLVATDYLYSYVHATEREEEVRARLRWWRLFLNLLNIAAREG CTPMEVVEILYPDLAPLSDTEITLEAWKLKSDLQHGVFRRLCWLGLLYEAREGLTLLQ DGSFHKTPLWAACLQLESDTQSDIGVH YP_002978713.1 MVKKDLGLLLLIVVVGIVVAIINPRFLLPINLANTANLIGLFGI LSIGQAFVIITGGIELSVGSLVALLGVLFVDFIAVQDMSWMLALPFILALGAVIGAVH GWLITRLNLQPFVVTLCGLLIYRGAARFYTADGTAGFAFGQNFPDLEFLTAGRFYGVP NTFIALVIIAVVMWIMLHRSVFGRYLYAIGKNEEAARYSGIRTGRMIMSAYVICGLLT ALSAIYFAMYTRSISPASHGQFYELYAIAAAVLGGFSLRGGEGSIVGVVLGTVLLQEL QNLVNLLGIPSSLNFAVMGGVILIGVLIDQQWHAIRAKRRLVFAARQTETRLSNEGSL PVVANQD YP_002978714.1 MGMIAMSERDLQRIEILSKVVDGHMTMVAAVHVLTRDLAGAN YP_002978715.1 MNAHIRKPSENLPVPSGKGPSDKGPSGKGRELTARPPLPPAIAE FQSDAVELEERAPPRIARMTLYCVTALIVSAIIWASVSSIDEVVIAPGKLVTTQPTIV VQPLETSIIRTIEVKVGEVVHAGQTLATLDATFSQADVDQQQAKFSALDAQVRRIEAE LAGDDYTKMAGDAPDQVLQAQLFGQRQAFYMAQLQNFEQQIAGQSAALAASRNQEAVL NDRRDGLSQIEAARERLYNKQSGSLITLLGSRDARLDVESDLTAVRGRADEAAHAYAK LRADRQAFIEDFRRAAMEQLVELRGQRDMADEELKKMELRRNMVALTAPADAVVLDLA HRSVGSVVREAEPVVTLVPINVPLEAEVSINTRDIGRVAVGKEARIKLDAYPFQKYGT ATGEVRTISQDTFLTGQQEQTETPSQPAAPFFKARILLADTRLNAAGMPVRLLPGMTV STEIKVGNRTVISYFLYPLLRGLDNAIREP YP_002978716.1 MSGFLHTNLHCLALVARHHGVDLAPERLQHDYAVGNDPVAMRQL LRMAKDAGLRARHLTLDWRSLFQLGEAFPVLAELTNGNWVVIAGAVGSGEDERIRVLD PLASRAEVMMLSEEQFAKAWLGSVILLKRNYRMSDEDRPFGFRWFVPEIIKQRSFFRD VALAAFVLYGLGLTTPIFFQLVIDKVLVHQSYATLTVLTVGIAIALVFDATFTFLRRY LLLYATNRIDIRVATRTFGHLLNLPIALFEHASAGVLVKHMQQTGRIREFLTGRLFLT LLDGVSLLVFVPILLLYSVKLTLVVLGFAALVGLVVMMLVGPFQRRLQALYQAEGDRQ ALLVETVHGMRTVKSLALEPRQRKVWDDYSAQSISVRFRVDKISTIAQAMTGLLEKLM SVAIIGLGALDVFSGAMTIGALVAFNMLAGRVSGPLVQIVTMVHEYQEVALSVRMLGE IMNQRPEQAGRGRGVRPHLQGRIEFDRVSFRYGPDSAPALDNVSFAIPAGCLFGVVGK SGSGKTTITRLIQGLYQSQEGLVRMDGYDSREIDLVHLRTSIGVVLQDSFLFRGSVRE NIAAAKPDASIEEIMEVARIAGAEEFIERLPRGFDTMLEENASNLSGGQKQRLAIARA LITDPKLLIFDEATSALDPDSEAIIRDNLSRIAAGRTVIIVSHRLSTLVDADAILVIE RGKVADIGRHDQLVSRCMTYRHLWSQQMRQVA YP_002978717.1 MSNELYADGIGEITITGTIVRIDLMSLSATDRDANNNPKPVFRQ RIIMPVDAFANAVDLMQKALGGLVESGAVRRIGDMPAAAAADIQTVQAGASNASPNFN YP_002978718.1 MTSADNRLPVTVLAGFLGAGKTTVLNHVLHNREGRRVAVIVNDM SEVNIDADLVRDGGANLSRTDETLVELTNGCICCTLRDDLLSEVRRLASAGRFDYLLI EGTGIAEPLPVAATFSFRDESGAALCDVARLDTMVSVVDAVNLLADYASAEFLRDRGE SRDGDDERRLVELLVEQIEFADVVVINKATEVTPVALAEVRRIVAALNPDARVIETGF GRLKLDAILNTGLFSEARAARHPLWHKELFGWGDHVPETEEYGISSFVYRSRRPFDPS RLRDFLDRKWRGLIRAKGHFWLATRPNEIGLLSIAGTQCRIDTKGFWWASVPKAHWPR HPQFRQLLDRHWDEVWGDRRQELVFIGAGFDEEAIRTALDDCLTGEETGFDPQTAAGL RDPFPAWQHAH YP_002978719.1 MRNREYLSTAGGTPSVCHPPSVWTALVGTATVVSSSTQGSYGFA NQDSATVQSSFSGTVTSSLISSGDTQSSSASSTAMPAPAGDSGAQDSFTKTASAATVF QTPSSTSQRTVLAPDPSEEAATLPAAPALTGVTTDADTGSAKTAAPVSSSSTLGATSL RLQASIASVSLEPSQDVSAETTAAPTALAAAAVSAAATPNKIALENLKQGNPISEWGL EGDGGGTIQGFATEISTNIGQTVDFKIATDSTHYRIDIYRIGYYGGAGARKVDSIEQS LTSAQIQPHPIVDMSLGLIDCGNWSVSASWEIPDDAVSGVYFAKLVREDGTEDASIIP FVVRDDASTSDIVFQTSDTTWQAYNAWGGASLYYGEVPVDPADMIGYLPPNCSCGLTA IGRASAVSYNRPIITNTSPIGGSHDFIFGVESSAIQWLEQNGYDVSYISGVDATRSGS LLLNHDAYLSVGHDEYWSAEQRANVEAARDAGVNLAFWSGNECYWKVRWESSIDGSGQ AYRTMVCYKETWGTSTDPSSTSTGTWRDPRYTDPGQEPENSLTGTLFQVDSYRSDTIT IPYDYSNLRFWRNTDVAELEEGETFNLVQNLLGYEWDSDVENGFRPDGLINLSLSSIA VSTYLRDYGTTVGDAQATHSLTMYRAESGALVFGAGTVFWSWGLNANHQGAETPTDPN VQQAMVNMFADMGIQPTTLDASLILATQSTDALKPTSSISSPIIGASFVEGQRVTITG TAQDFGGGIIAGVEISTDGGQHWFKATGRESWSYNWVVQASGTYTILSRAVDDSVNLE APSAGKQVTVTLPGTQGLWTLAEKPAVETAIDRDPVELGLRFQATTAGSVQGIRFYKG FYNTGDHVVSLWSGDGTLLATGVSAGESLSGWQTVMFSSPIQIAAGTTYVASYHSNGF FSVTENYFNEPYASGALKAVDGGGVFAYSGTSTFPGQSPGGSNYWVDVVFGAGPNSQP VATDDGGLIIGHNGTLVISIAALVANDVDANGDALTITAVGNALNGTVALNTQTGAIT FTPASNYSGPASFTYTLSDGRGGTDQGNVSLTVQPSPAGETLFTGSEGPTGGSFNDNT AMELGMKFVASANGTISGIRYYKAAGDTSVHTGSIWRADGTLVATVTFTNESLSGWQT ATFSTPLQITAGATYVASYHTTGSYVATAGYFNTAHTNGSLTALAGTNGVYAVGSGST FPTQSYQSSNYWVDVVFNQSTGNTVPVAANDNGYTTYANTALSITAANLLANDSDSDG DPLNITGANGAVNGTVTFNSQTNSVIFTPNSGYTGVASFSYSISDGHGGTASATVSLT VNSQPGGGTTSSLFTSADTSGAAAANDANSVELGVKFIASANGQITGLTYYKSAQDTG THVGSLWTTSGQLLGQATFINETGSGWQTVSFTQPINVTAGVTYVASYHSNGFYSATA NYFTTDFTSGALTAPASSVSGGNGVYAYGTGSLFPTASYNASNYWVDVLYQQGAQNSV PVATNDSGYSTNTGTPITIQASALLSNDSDADGDPLTITGVSGGVNGSVAYNAQAQTV TFTPTAAYSGPASFSYAISDGKGGTATAQVALIINNPAAGPEQNLFAANATPAIVSTN DNQQVNLGMKFQADTAGWITGIRFYKGVDNTGPHNGYLWTASGTLLGSVAFSNETASG WQTATLTQQVEVAADTTYVVSYSTNGNYSATGNYFSADVTNGDLRALSGSNGVYAYGS SGLFPSASYNSTNYYVDVAFRPQLAA YP_002978720.1 MTVAPNALIQGMLINSIDRLPVTVLSGFLGAGKTTLLSHVLTNR EGLRVAVIVNDMSEVNIDASLIRDGGCNLSHTTETLIELSNGCICCTLRNDLLTEVRR LAEEGRYDYLLIEGTGIAEPCPIAATFSFRDENGVSLSDFAKLDTMVTVVDATSLLAD YTSADLLRDRGLQRDSEDRRTLIDLLVDQIEFADVVVINKISDATEEIRAEVRKTVAA LNPDARQVETDFGKVSLATVLSTGLFDEAKAAAHPLWHKELYNPGDHVPETEEYGVSS FVYRTRRPFDPKRFRAFLDEPWPGVIRAKGHFWLATRPRHVGLMSVAGVQRRCEPMGL WWAAVPRQDWPSHQQFRQHLESRWDSAWGDRRQELVFIGVDMDETGVRTALDDCLASS DPRDWSALEDPFPTW YP_002978721.1 MYRNARRWTSRSLLLDSLVPRWLTVGGKGRPGKPADKREDSAQL ATSVQTADGNKHDGTLVDNPYNNAALNEHDVGPSGGVIGDAEGGVDINHLALPLPALP DAFTANRETGVRSGDTAASRLFAHTPGFASFERDAHGVAFGAQSSLYRTYAGMSLIGD DPLAPYLSQSSSPIVSGQGSAAVTGERAHSGNVVILESSPSAQATAQHDGVNSLAFGM PFGVCGCGYFTSPTRILDWAHGGAVLQQDGQLPGTRLTEGPDFVSTIKRAIGASISDP LLDRNLSPLSGWRGTATWTDSPILNGSLPGGGEIGTGTATSKGIGTLSGSVTTPPSTQ RSLTTQNLAAAAAASNLIVLENQKQGNPESEWGIDGAGSSNIEGFATDISVDNGKTIS FKINTNSTNYRIDIYRLGYYGGMGARKVDTIQHTGLQNQPNPLRNATTGTVDAGNWAV SASWTAPEDAVSGVYIAKLVRQDGTSGENHIPFIVRDDDSHSDIVFQTADQTWQAYNG WGGANLYGGNGPATGQGAGRAYAVSYNRPIATRGGVGTFAGPQDYLFGAEYAGIYWLE QNGYDVSYLSGVDADRYGSLLLNHKTYVDAGHDEYWSGQQRTNVEAARDAGVNLMFWS GNEVYWRTRWGNAYSADGTPYRTLITYKETFSPGASIDPSNEWTGTFRDPRLSPPAVG GGNPENSLTGQLFKVDDVGSNLAAITVGYDDANLRFWRNTSVANLQPGQTATLTKNYL GYEWDEAVDNGFDPAGLVKLSSTTLPVSTYLLDYGNTTGNATSTHNLTLYRAPSGALV FGAGTVYWTWGLSDNHDNQATPTDPRVQQAMVNLLADMGIQPGTLQSGLVATTGSTDH VAPTSVITVPATVAVGSNVTITGTAADTGGGVVASVEVSTDNGASWHPATGDENWTYS WSPQVAGSYTIRSRAVDDNINLETPSAGRTVTVSGPSYTSLFGSATPAVVNTDDTSAV ELGVKFQTSVAGTVTGIRFYKGSQDTGTHTGSLWSSTGTRLATLTFTNETASGWQIAY FTSPVALTAGQTYTASYHTNTGHYSTTADYFISNVTSGPLTAPASGNGVYTYGSASLF PTGTFQSTNYWVDVMFSTSGSNTTPTAVADAGDATEKGGVGNGSGGVVASGNVLTNDT DTDAGDTKTVTAIRFGATTGTLGSALNGTYGSLVLSASGVYSYAVNETNAAVQALRQS TNTLSDVFSYTMRDTAGATATASLTVTIHGANDAPVLAVQTASQNATVGSAFSFTLPT TTFTDVDSGETLAYAATSADGTALPGWLSFNASTRTFSGTATTSGTYGVRVTTTDLGG LTATETFNIAVSTSGNTTPTAVADAGDATEKGGVGNGSGGVVASGNVLTNDTDADAGD TKAVTAVGFGATSGTLGSALNGAYGSLVLSASGVYSYAVNETNAAVQALRQSTNTLSE VFSYTMRDTAGATATANLTVTIHGANDAPVLAVQTAAQNASVGTAFSFVLPTTTFTDV DSGETLTYTATAADGTALPAWLAFNATTRTFSGTPTTSGTYGVRVTATDLGGLAANET FNITATVAPATYSLFNASSTPAQTNLNDGQQLEVGVKFQSNVIGDVTAIKFYRNANDN GQNVVDLWTTTGTKLATATFTNTTASGWQTVNFTTPVTIAANTTYIASYHTTGAYVAT DGFFANGVSNGPLSALSSAAAGGNGVYAYGGSATTGLFPTSTFDSANYYADVVFRPQL AA YP_002978722.1 MKLSYRVLNVFFPATLVISTLVFLAGTVPPALANDAAFAPQTKI RLTIVQWMQSKGQYERWDALGGEYTVSDEGAVFLPFLGSVSVGNLDNTSLTTEIAKRL QEKIGLVQPPAVTIEILEYPPIYVVGDVTTPGEYKFRSGLTVLQSLAMSGGPFRATSQ QQSQTIKLAGELREIDHSLLRSTAKLARLQAEMIGAKEISFDQTVGVDQRYAAGIYNE ERVIFQARANALDRQSKALTELRDLLNSEVGMLGEKVQGSEDNIKSIEEQLTSVKTLV SKGLTLSSRQLDLERLLTTYRSDRLDLVTAIMRGRQAISETTRNLEGLYDTRRSEVAS ELQSEQASLDQFKLKREMTQKLLLDDLAAGGSTTTDEALPLTFTVSRRSEGQIRQFQA SETTALIPGDVVRVVRTPIADPVSQAAPADLSRETETHASQASQ YP_002978723.1 MTLRMIPASGQTYTQILKSTMLMGGSSLVNVALSIIRNKALAVL LGPEGVGLMGLYQSIVDIAQTAAGLGLGGSGVRQVAEAAGTGDEARIAQSAKALRRIS IVLGVVGALLLAALAFPVSGFTFGAYQHAGGIALLSLAVFFRIVSSGQTALIQGLRGI ANLARINVLTGFFGTVITVPLIYLFGAQAIAPSVVAIAAVTTLSTWWYSRRIGAHSPR MSARQFGQEWAPLLRFGAVFMASGLLTFGAAYAIRIIILKDGGVMAAGLYQAAWGLGG LYAGFILQAMGTDFYPRLTTTADNHAECNRLVNEQMEISILLAGPGLLATLTLAPLMM SLFYSSEFHGAVDLLRWICLGMMLRIIAWPMGFIILAKRAQSIFFWTEVAATLVHVGL AWHFVSKFGTPGAGMAFFGLYVWHSILIYVIVRRLTGFRGSAANRRHVLLFLPASVLV FSAFWVLPLWPAIGIGTLAVALTGIYSLRMLVQLLPPEVMPAVIRGWIAKFA YP_002978724.1 MSASLSTIPVAKPVLGEEEAEAARRVIMSGWVTQGPEVAAFEGE FAAFVGAAHSCAVSNCTTALHLALMAVDVGAGDEVITVSHSFIATANAVRYCNAVPVF VDIEADGYNIDPSLIEAAITPRTKAILCVHQLGMPCDLRSIVEIGKRYQLPVIEDAAC ATGSEILWDGRWEKIGKPHGDIACFSFHPRKVVTTGDGGMLTTANPEYDRKFRLWRQH GMSVTDAVRHGSKQVIFEDYDELGYNYRMTDLQAAVGREQLRRLPGLIAQRRRLAEQY RERLSTIPGLSPVEPHWARSNWQSFCVRLPDAVDQRKVMQTLLDQGISTRRGVMNIHL EGAYSDQSSYRAATSLERSVAAQRQTVVLPLYAQMTDLDIVRVVEALRSSLAEVANIP ALQHDRDIALV YP_002978725.1 MRDQRVLITGGAGLIGSHIADLVALEKPREIIILDNFVRGRRDN LSTAIGGGSVNIIEGDIRDRALLAKTFEGVDIVFHQAAIRITQCAEDPRLAFDVLAEG TFNVLEAAVKAGVSKVVAASSASVLGLAETFPTTEAHHPYNNRTIYGAAKTFNEGLLR SFAEMYGLRYVALRYFNVYGPRMDVYGAYTEVLIRWMERLATGMPPLIYGDGSQTMDF VDARDIARANLLAAKSDVTDEVFNVASGEEISLLQLAQMLSSIMGVSLEPQHKEARAV NGVTRRLADISKAEKLLGFKAEISMEQGLRDLVAWWQSKTAAAGGQAA YP_002978726.1 MSVNRPGEARLVQAVHGRHERPADPDYQTGLVEELRQSYGRAGL IDLYGRFATGDGVVDTLMRKAIWQAIARNCGAGLQVAGGAGFKHPETFEIGNGVFIGA QAYIQGRFDGTCVIGDNVWIGPMAYFDARDLVIEDCVGWGPGAKVLGSTHTALPVEVP IIRTDLEIKPVRIGAWADIGTNATILPGVSIGKGAIVGAGAVVVSDVEPFSVVAGVPA KFIRWRTEADAVVDISRGGRS YP_002978727.1 MIPFLDIKAQYQSIKGEIDAAVLGVLASGQYVLGDEVAHFEQEF ADYCNVKHAIAVNTGTSALHLALLAAGVGPGDEVITVPFTFVATVSAICYTGARPVFV DVEPVTLTMDPAEVEAKITPRTKAIVPVHLYGQMADMDAIKAIAERHGIPVIEDACQA HGAQYKGHRAGSIGLSGCFSFYPGKNLGACGEGGMVVTNDDDQAKTMRMLRDWGQEQR YHHLLKGFNYRMDAIQGAILRVKLRHLEDWTEARRAHARRYSSLLAGSTDLTIPVEAA DRRHVYHVYAIRSHDRDGLQRLLSAEGIPSGLHYPIPVHLQKAHADLGYQAGDFPVSE AAAREVLSLPIYPEMPVRHVDQVAAALEYAYVS YP_002978728.1 MIGIAVVGYGYWGPNLVRNISEAAGAHLVSVCDLNVERLAAVKS RYPAVTITDDFEEVLRDPRVDAIAIATPVFTHFKLAMQAMMAGKHVFVEKPMASTTEE ASRMVEEAARRRLVLAVDHTFVHTGAVRKMRELVENGLGDMYYYDSVRVNLGLFQHDV SVIWDLAVHDLSILDHVVQERPVAVSATGMSHVLGEPENIAYLTLFFESKLIAHIHVN WLAPVKVRRTLIGGSNKMVVYDDLEPSEKIKVYDKGITLNPNSQAYGEKVHQMMVGYR SGDMWAPKLDMTEALKRELDQFVECIEQNSRPITDGHAGLRVVRILEAASRSLAQRGR IIELEEARRIA YP_002978729.1 MIASNVKLDDGTIIHHPDLVNLYGCTIGAGTRIGTFVEIQKNVL VGKSCKISSHSFLCEGVTLEDGVFIGHGVMFTNDTYPRAVNPDGSLQTEADWIVVPTL VKRHASIGSNATILPGVTIGEAAQVGAGAVVTKDVPDGAIVIGVPARVIGRVNDGPVD MYASGGMK YP_002978730.1 MNSALIPNLDLRQENVALPLRIDNPNTAVVPGRHTHSLVILDNR ELDRQCLAQCMAAQKADLQILAFGSIEEWKQKRDEYPPLSAILLNVGGKKIDEPAVSE QIRKLSSEFVSTPLIVLADSDDFGQIVRALEYGAKGFIPASVSVSVCMELIALSVAGG IFVPASALFAMRHLLDSSNSTARPLAGIFTDRQVEVVEALRRGKANKIIAYELNLRES TVKVHVRNIMKKVKATNRTEVVFKLNDLFLSGGSATNSLC YP_002978731.1 MNSNVNDRKPRLVFFQWDHQPNANAAGYLLLHMQQQVKCLTTHF DVVVINRDCDYAEICDRYEPDLTLFESGYRSHGSRRIKITNTNTHIVVPKLGLHNADA WCDRRAGFLSDMEQWGIEAYFAIATMTPEYMPAVKENLFVWPNFIDPEVYHDYGQQKV IPVMLTGQAYGLYPWRQTVFPMIRDRYPCLVSPQHAYESKLASQLLSGEAYARALNSS LVVPTCGTMGGEVVRKHFEIPGANACLVTERTAAVEAAGFVDMENCVFVDGQNVVERL DYLFAHPDEIRRITKAGYSLIHSCHTLSHRPQIYQWFMLNKGLQFGEKIIQSGPFGDL TKVQRSSRRESVHIVGEASDRALLKQGDLLLEQGRVAEAKQCYARCLDYVSYLPEAKF RLAICALQEGDADHAYELLVDLVKVTMSEYGALNPDPVEWAYFLLALISRGQFERARR LQDFYPSLSHDEFRRARLVIAQLGRSGDGVVSGRYGRDRKSIHQIPDRSDSEWLAWFS DILERCQQPDLANVLRHAPVGGSSAGEKVTSLQFKRDAGWRLRLYSGVDGLMVRLRLN GLRPNVPPLPEFRYFWHLARGLVPHSQRGPLRKIRIALSRLPIGTGN YP_002978732.1 MHGQKRIMVTGGTGFLGSFLCERLLREGNDVLCVDNYYTGSRDN VLHLLDDPRFEILRHDITFPLYVEVDEIYNLACPASPVHYQFDPVQTVKTNVHGAINM LGLAKRTKAKIFQASTSEVYGDPAVHPQTEDYRGSVNPIGPRACYDEGKRCAETLFFD YHRQYGVEIRVARIFNTYGPRMQTNDGRVVSNFIVQALRNESITIFGNGTQTRSFCYV DDLIDGFIRLMGAPAGVTGPINLGNPGEFQVRELAEMVIEMTGSKSGIVFNPLPVDDP TQRKPDISRATQQLGWQPKVNLREGLERTIAYFEWKLSGGVRNRLSAKSSQEAYPHLA SANVELTAPEAIR YP_002978733.1 MPAALRRRLPRLATSSLLAAGDIASYLVAYFVVLSFFPSGLEGT LAERTFAIAALAAIILYASAGLYPGYRLHEHEHLRRRTVAAVKVAVLAAFGAIILPEG ERLLLAVIGFLALGLIVQPFVHWLARGLSWKLGVWGEHAVVIGGGNLTPALVAHFKNH WQYGIRPEAISPDSVEALPDGGPSIAMIAGDTGVLAPDLAAMRRKFAEVILLSDTPSL KVTGLRPADVGGEIGIRLTNSGSSVNSDLVRRILDLAIAIPASIVVAPFIAFAAAAIY AIDPGPVFFRHTREGRSGKPVHVLKLRTMYQDAEQRLEAVFRDDPNMRAEWLSHFKLK DDPRVLPVIGHMLRSSSIDELPQLANIIAGQMAIVGPRPFPEYHLSAMDSEFRDKRRS VTPGLTGLWQISERSNADIELQQQLDEFYIDNRSLWFDCQILLSTIPAVFKRGGAY YP_002978734.1 MRKQLADSSQDSVLNRPSYSESINVLGVRISAVNLKSATGFIQT AIEDGRKEYVCVRDAHGVVRCQDDPELRSIHNRAFLVTPDGMPLVWALKRAGHAESDR VYGPDLMLSVFDAGSSKGLRHFLYGATAETLEQLQARLLAKFPQAQIVGSYAPPFRKL STREETEIADQLNRSGADIIWVGLSSPKQELWMARMRDRLEASMLIGVGAAFDFHAGL KRQAPRIIQRSGFEWAFRLLCEPRRLWRRYAVVVPTFISLTAFQRLGLRKFPIEDAVF GPSAPKEAAAKV YP_002978735.1 MVYDVLFLMSAVAKERLTVAKSKKIVAVAQTGPGVSAQAGREMW GSDRRDDGLGWRAVRAGRQNPLQKDLSSDSYTVIRELLAANQVECLRLIVTQYLKSEG RYQYGGKFQLQARYVAEEVARFLTSDVILNHLKEITQPLDVVLTGECDMMINTTSSWH NEVPHHLACIDGTIFADESFRGIRSHSTCRIGTKTRQRH YP_002978736.1 MRLRFAPILSILLAMLFPQPSKLASVSPNADAPERLQTTVREAT CAEASPATFSDKLKGTSLSSMVTGAPAARAVFYVSPDGKDTWSGHLPKANSRRTDGPF ASIERARDAARQKGGQNTIAMGNGDYYLAEPIVFDARDAGLIIAARCNEAPVLHGGPI VRNWTAQADGRWKASLKLPAGRDVGDLFVSGARQTRARFPNAPLDGDPRKGWLFAAKC TQDDDIWHGNTRFCFHAGDLPISKNAAGLVAHIVGGFHPGSQWGSDTLPVVSIDAANR AINTQGTSYFFTAEGSRYFLTGAAAMLDAPGEWWYDRVNGQLDYIPTDRPPTSSTVVA GILPTFFRLDGADGMVISGLQFRDGDPQGSGKFGTDTRSFGAIRLDHSDGVRLLGNSI DNVGVGIHVSESKDVLIAGNVIGDVAGNGIYVGTTYGSFGKSDRARILSNHIHDIGRV YFETAGIWFQAADSIRIAHNLVENTAQFGIAGGSLWGAQDAVHDAVIEHNVIRNANQQ TADGGAIKLMGEQADLLNSSIRYNLVTGTGQLMNRADGTFWPPGYENINEWPTPISWA IYTDGKASGVRIEGNTLSDNISAIGINGGWSNLVTGNVITHGSGAAFRVDDGTGREWH PPWARPNRIEGNIVSIGSSSGLAAYVYAPGHGPGYVQFARNRYIGNLNDKSFRIHPSI MRSGEFGNLRDLQKAGADTASVVAPPE YP_002978737.1 MRAYTLRIANGPTASWRTIECWGAGLCLFLQTGALFPLMLADAD GGLSDHARSILRLLCLPVYGFTLLMLARNFPHFITALKRNWFVPLMVAMPFLSVFWSV GPSTTFRRAIGLLFTVLLAYVLAIRFTPRQLLLIAFATFGTCIVLSLLLLVVSPGLAR MPTDSAVRGIFIHKNSLGWYAGMMILVSTAVVMDGNRGLRRTALILLMAGGACLFLSG SMTATIATVSAYCLIGFYSMLQRIRGIGRIVFILFFVQMFVGILLLLHEFLVPFLEAL GKDATLTGRVPLWELVDGQIADHLLLGFGYQAFWTEANPEAWIIWSKIQWMAPHAHNG FRDTLLSFGISGMTLFALMLLQALRQGAALQCGDPRYGWLWLNVFTVVVLVMNLTETI FLIQNDAIFILFTTAIIMFSLYKPVVVSTAPGRQLRASARSPTAELQIS YP_002978738.1 MERPTFSVLINNYNYGRFVERAIDSALGQEASNVEIIVVDDGSS DQSRSVLDGYDKRVAVLFQENQGQAAAINAAVKLSSGDILCFLDADDWWAPGKLSATA VAFHSNPQASLVYHRLQPTQTDGSPAFKPIPRTLCSGNLSPLLAKSAGWWPFPMTSAI AVRRSAWDAAGDIPEQFRMSADAWLTGIYPFLGGVVALSDPLGFYRIHNNNWYRPVDD AAMLRKRMAHWQATVEATNRFLSAHDLAGRLRLTDHYPYRVASARLQGADTRTRFSLA VEGLFFAGEPNLLRRTRDALRTAYDLPRLGQDVGVSESAG YP_002978739.1 MKALLLVSELEDYTISFASGVARHAHVVLAVPRRRYAHLASWID PAVDLHLMDWPRHRSLSNPWFLHQLTRLIRQERPNVIHLLSNSTLWLNFAAPFWRPIP IVTTVHDVEVHPGDSDTRTLPAWAPQLMVRQSGHVVVHGEGLKQMVLERYSKSPDCVH VLSHPAIHRYAELARRHKMARRGADGTLRVLLFGRIFAYKGLEHLIRAEAMLKDLLPN LRITVAGRGDDPRIFQPLMGDATRYDIRNRFIEDMEVAQLFLDADIVVLPYTEASQSG VLNLAAAFGKPVIVTDVGELRATVLPNGLGMVVPPGDAEQLATAIRTLAENSELRSSF GINALAWATGPNSPQQVGAQAAAVYRKVVGAC YP_002978740.1 MLMNTLTDGNRVATRNAAATVRHYVPGGCENGGGIGRLVGYISN TAKEAGETHLVTDTRGSRWSSVASPLRVLGAVLMMAKDRIIAPARIHHIHVAGRGSTA RKLILTEAARLLGCSHILHLHDYDYARDFAARSPRQQMLVRRMFQHADQVVALGQRDR MTLTTLLGVDERRVVVIGNCVPDPGPRNVHVGEMPLIIFLGRLSERKGVQELLLALSH PIMKELQWRAVLAGDGPVEDYRRQAAAMGLSDLVKMPGWLGADEARALCTRADILVLP SHAEGLAMAVVEGLAHGLAVVTTRVGAHGEVISDGETGVFVPVGDKDALAAALAKLVT DPEVRNYLSAKARAHYLNNFSMKAYMRSLDKLYDAISAQPQTTAGER YP_002978741.1 MTISTVPPDRNTSLSSMRYDPRLQVETRSDEIDLTSGLRLIRRR MVMIMAIITVLMAVAATVISGLKPTYHAESRLIIHTPLATKLGTDESGRNDPLDATSE TERLLSRSIAERVIRDLRLNEWPEFNPALQEISPIDKIRSMLRGWVDSEKPSLPVRDS IEPIIPKYYKALRVWRDGQGDVIQIGFDASDPELAASVPNRLISIYLEERKDSLRGRV DAAEEWILLRIDEQMGRAKAARDAADGYQKIMDVASSDDDQVEQIKSIMELGERRTKI EQSRVEARATISALEAADDPSLALQNMVIPDSIGAMQRELRAQEQDLERLLETYGNTA ESVLDTRAKILKSRTDLSLATDRYLQSIRAKLAALDHEDDAVRSALAAAHEKRARSTL AQTELARLERMADKEQTALDKLDEQRRGLAAQAMLPGAELEVLSPAAVPLAPTGRGRL FYLIGALLASVSIAVTAAFVVEMLDNSVRSFDQMAGMSRIVPAGFIPHLKRKDRRDPS MLFGSIQDGMFDEAIRSVMTSLKQSNGGKLPNTIVVTSAHSGEGKSLVARSLAIDLAA NGIPVLLVDGDLRLGNLDSFFKSELKQGLNEFLCGQAGLRDIIHHHPSGIDFIPAGNA SLHRRVRLTDAADIVAMAASLGQIVIFDSAPVLASADTMHLTALAERTLVVVKWGKTS RRAVEFCLHQLKTARNAEIAVAINNVNTNKHAMYNFRDSELFASSLRKYHEFT YP_002978742.1 MKNENKVALVTGITGQDGAYLAELLLEKGYIVHGLKRRSSSFNT SRIEHLYEDPHVENPRFILHYGDMTDSTNLIRVVQETQPDEIYNLAAQSHVQVSFETP EYTANADGTGTLRLLEAIRLLGLTKKTRFYQASTSELYGKVQEVPQSETTPFYPRSPY AAAKLYAYWIVVNYREAYGMHASNGILFNHESPIRGETFVTRKITRAAAAIHLGLQER LYLGNLDAKRDWGHAREYVRGMWLMLQQDEPEDYVLATGETHSVRSFVDKAFAQVGMP IDWRGNGVEEKGYDKTSGQCVVEIDPAYFRPTEVDLLIGDPTKAHTKLGWKHETSLDQ LIAEMVRADLKVMARNVPSVGVTKGFAHA YP_002978743.1 MPEVIYSLTRKRVYVAGHRGMVGSAIVRRLASEGCEILTSTRAE VDLRRQDQVEAWMSKHRPDAVFLAAARVGGILANATYPADFLYDNLILQANVIHAAHR TDVEKLMFLGSSCIYPKFADQPIVEDSLLTGSLEPTNEWYAIAKIAGLKLCQAYRKQH GRDFISAMPTNLYGPGDNFDLGSSHVMPALIRKTHEAKVSEQQEICVWGTGTPRREFL HVDDCADACLHLMKTYSAESHVNVGCGEDITILELAYLVSKIVGFEGKITRDLTKPDG TPRKLLSVDKLRSLGWSPKIGLKEGIADAYRSFLDGHHLERSDRAVSSDLIGQSDISF EKAKSSAPHAPTLSTVAHHPSP YP_002978744.1 MAIYHLSMKPIARSAGRSAVASAAYRAAERLTNERDGLTHDFSN RTGVEHAEIVLPTGSSAYWAMKRSALWNAAERAEKRSDARIAREFEVALPHELSSDQR LVLTRAFAEDLANRYGAAVDFAIHRPGGASDIRNSHAHLMMTTREVRETGLGDKTLLE RENRWLLANHLPPSQLQLKDLRQAWEHLANTHLERAGHDIRIDHRSHLEAGITIEPSE HVGVHATQIDRQGGAVSRARLSPQSAERNAETIRRRPEEILKLITNEKSVFNRYDIAR ALHRYINDDAQTFQNAFASVMASKALVELRPDSSSVRGRDGEARYSTVEMVAIEGAMA TATVAMKARQTHGVAKRNVDAAIADQDRSIQAGNPSPGQGLSAEQRQAIEHVTGPGQI AVVIGFAGAGKSTMLAAARQAWEAQGYRVHGAALAGKAAEGLEQSSGISSRTLASWEY SWQADRSRLNARDVLVIDEGGMVGSRQLARFVDEVKRAGAKLVLVGDHEQLQAIGAGA PFRAIAEAVGHAQLSEVRRQRTDWQKQASIDFASHRTAAGLSAYAARENLHLKTDRAE TLKAIIADYVADRSANPNDTRIAMAHRRIDVAAINAGIRSRLQERGELSRSTGTSDDR GEELTYQTNNGKRSFARGDRIVFLENDRDLGVKNGMLGEVIAVAPDAIQVRLDGKAQT QDGQRQVTVPVNRYQSFDHGYATTIHKTQGATVDRSFVLASTTMDRHLTYVAMTRHRE AVQLYAGLDAFKTERSLTETLSRSGVKETTLDYTHDFANRRGMEDRRGQGESEVAPAV ITKEAERIADTTVPKPMQEPRPPTPLAARSIADGGSSHQDPTGDERRDEREDERRVLV AAVKTYAMSVEEVGRSKAMPAFERDWAAAKQLAPQVFNDAPAAMDVLRGRILDENADP VALASQLSTSPETIGALAGKTGLFGDNAERKHALLRIDALASHIRQSANTWQRRLAAE CGSERWKREKQDVVEVLGPSRRSEALLRNLDDLPYSDKAKFVERLAATPEGRQALAEA KDIATAIETRFGRADPSDLADQLKRVGPDRDGDVERIRQVARLADRSHRAELTQQMEL KRSLKRGKSLGLGM YP_002978745.1 MARKTIGERLAQLEAQRKTLQIRLGKQERAIDTRRKVLIGALVL HRLENDRDVQIGAGLAAWLRSELPKFLTRDGDRDLFDDLLKPRANEPTIGDIGNGSAT S YP_002978746.1 MLKTMAVGLAALIAMYVLGGMSARHEIFPWPQLSALKKMVGGEK AAAPSRYTFDDNERLIGDESKTLVACPPQTDRTAVLLILGQSNAANYGGQRHRSNYGA RVVNAFDKRCFIAASPLLGSTNTRGEYWTLLGNNLIASGQNDNVILAPLAYSGSEVAR WAAGGDFNPVLVDTVKQLQGSGYRITNVLWVQGEADLVMGTTAKAYQERFMSMVDTLR QHGVEAPVYISIASKCLEPSNGGFKEHIPDNAIVRAQLALSKSSHGIREGVNSDALLD GDDRYDDCHFGGTGGEKAARAWLNLLRGDRHLETSQ YP_002978747.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CPLFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLGKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFSSI AHERYLLAVARSNRLAERAEIAIDDLRSERIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRDFELKAVRGIDFRIGLGVAWSKDD PTASRDDIVDIARSLARPGR YP_002978748.1 MLPIQCKMARTALEMGVKQLADAAAVSTNTIVRFERGEDLKPRT IAAIRTVLEEAGVIFLDGDYSGVGGPGIRLKAME YP_002978749.1 MTEINETTLNELVGRVLGDLGGAVSVPLVRIGDALGLYRTLKET GPVKADELADASGCAPRYVREWLAAQAASGYVHHERGLFSLTPEQAFVFAEPDSPVNL IGAFDTAAAMVENQPKVQSAFKTGRGVAWGDQAGCMFCAVARLFRPGYVNALVQDWLP ALDGVTDRLKAGAKVADVGCGHGLSTILMAQAFPNSRFVGYDFHPGSIAAASAHAQAH GLTNLHFEVGRAQDFDGRDFDLITCFDCLHDMGDPKAAAAHIRKALKEGGAWMVVEPM AGDTLEENINPVGRLYYSASTMICVPTSLAQETGLALGAQAGERRLAEVIRSGGFTHI RRAAQTPLNMILEAT YP_002978750.1 MKLSQTIRFATAEDGTRIAMASCGRGQVILRAAHWLSHVDYDLE SPVWRPWLQALSAHNRFVRYDPRGCGLSDRHVFDLSVEAWHADLAAVAASIEEPRFVL LGLSQGGALAIAYALKYPERVSHLVLLNAYGQGARARAQTEAERLEAETLVNFVRVGW GRDNPAFCRFFTNLFIPDGTPEQHRWWGDLERQTATADVAAQLLWQMQGIDVLDLAAM LSVPTLIVHSRGDMRVPFDQGCKLAAAIPGASFVPLESKNHVLLPDEPAWKVFQTELA AFLGQDRSVRPRAVSEAGLTPAEAALLDLVAEGLDNRAIAERLGKSAKTVRNQLSVIF SKLGVHSRSQAIVVALSR YP_002978751.1 MPASDRYDYIIVGGGSSACVVAEKLVREGKARVLLLERGPAKAN PIMSFPAGYMKFLAKDTYLAMHQTKPQPQLDGRGPIVPQGKVLGGGSAVNAMVYMRGQ EADFDLWNELVTPKGSNDGAWSYGDLLPYFKAQEDNDHLAGDFHGVGGPLKISHLGHT SAMTRTYVKTLQAMGIPYNPDFNGAHQFGVGFMQHTIDWKTRRRSSAVDAFLAPVMSD PLLTIETEATVTSIRMESDRATGVDYIRNGSRKSASAGEIILAAGAYQTPKLLMISGV GPDDELTKHNIQKKVVLPGVGKNLQDHYECPVVATTKGAFGYYGADRGWPMIKAGLQY LMFKTGPVSTTGVETCAFYDPDGNNDRPTIQMFCVPTVYLDRDVMGTEPGDGVTINSL LLRPKARGSVTLASSDPFENPIVDTQIFGHPDDLRLTIAGFRFARTVLEASPMRELIE KEIFPGADATSDEALAAHCKRTVKTGYHPVGTCKMGQDSDPDAVLDSSLRVWGTRGLR VVDASLMPTIVSGNTNAAVMAAAGKAAGLILA YP_002978752.1 MSIVSLEAGPLSLRVSTRGGLVLGFWRDVGSARTALLRPCLSDD VDALSSSCYPLVPFGNRVKDNKFSFEGSDYHFRLNTDWDKHYLHGEGWQADWSIGRRT PTSVELVFAHEGQGTPYEYQARQRFALDEQGLTMTLAVENRGQRSLPFGLGWHPYFPM TPKTTLLAPARKFWTEVEGWLPGHQTEIPKELDFSSPASLPQHWVNNGFEDWSGEALI TWPERNTSLHLTADAIFKHAFVFVSDTTFDTSFKRDYFCFEPMSHLANGHNMPDLGGL KVLKSGEAISGSIHMRPSSI YP_002978753.1 MSLDQTAKSESATEGTRSRFSLSQHMNELSLFVAIVILYVVFTA TANGFLSFNNQINILRDAATIGIAAWAATLIIIAGEIDVSVGPMVAFISVVLAFLLQW GVPTPIAFALAILVGAMLGSIAGALRAYFDVPSFVGTLGLWSALRGTALFVTDALPVS IGRNDTLDALDRSFLGIPPAAIIMLILFAVFTFISRKTPFGRSVFAIGGNAHAAFLSG ISVSKIRVALFAIAGAMAAISGILLLSRLGSGNATAATGLEFDVIAAVVVGGTSLSGG RGSMLGTLLGVLVITLIGNGLVLLGINPFFQQVVRGLIIVIAVLANIQAIKRSMSRNK S YP_002978754.1 MTQTSRTAELASHPIASIAGCTRQYPGVLALDNASFSVAAGEVR ALLGKNGAGKSTLIRLLTGAEIPDSGTVMIDGEELRHSGSSRAQDAFEKGVRVVYQEL SLVPGMTLAENLFLGRWPRKGGVIQYADMEAEASAAMRRLGLNRTPNALVASLSPAER QLLEIARVLLGRPKLVILDEPTSSLAAAEAEKVMAAVTRIASEGIAVIYVSHRMNEIR QIAHSATIMRDGRIIDTVDVKGADTREIVRLMLGAEASKAAALDNRSQENTVLEVRDI ALAPKLTSVSFQLRAGEVLGIAGLLGSGRTELLQAIMGVRSYDHGQVLVDGQAAQPGR YKRMIAMGFAYTPESRKEEGIVPLLGVDENTLSTNFAGVSKHGTLSAKMMADATRKVI QRLHIKTAQTNTPIGTLSGGNQQKVVIGRWVYANSRVLLLDEPTRGVDVEAKAQIYAI IRQLAAEGRSVIFVSSEIEELPLVCDRVLVLRDGTLKEEFKSPKIDQDALMAACIAGH YP_002978755.1 MKLIVRAAILALTTIAGSHATSAFAQDKDLKVGAIYMDAQGFYA GVRKGIQTGANDAGRKLDIVETNAQGDVSKESSFIDSLISSGVQAIIVSPVSADGSNR AIRRAHEAGIPVVCYNTCLNDADMKEYISAYAVGDPYDFGHKLGDAAADYFIAEKNDA PKIAVLNCEFVEVCVTRRKGFEEALKAKVPGAQIVANQEGAVLDKAVSVASTMLSSNP DIDAFFGEAGGATLGAARAVKSQGKTGKVVVFGGDMTTEIAQELADFTVIKAVVDISG QGLGKLALAQAIKSIDGQPPEGIKVAYNIDLYKSSEDGKAWLKAHSDGIP YP_002978756.1 MTISRNEWKLTDGGIEIASRGKRSVREALLSKFVERIVSGALPE GSTLPNEADLTDQFGVSRTTLREAMQYLSAIGLIRSRTRAGTTVLPRENWNYLDPLVL DVMLSLGGDESFYTSLIDARHLLEPAAAEHAATKATAKQLARIAQAFEDMVDANARDN EEWSRADLEFHTAIINASGNWVFRQFASAIRAALLASFRLTNRASQSHEQAIQKHQDV LEAIRVRDPVAARKAMETLIGVARAEITDALRKNKGSR YP_002978757.1 MRLLALVAAAFLLTVFQTQAQIYGPYDGYDDFDGSGYDDPYSPY PPPLDYDPAPPYQEPLHDRMQPPTGRAKGTIEIVTSEHTLIYTTPWGEQFAYPIAVGR EGKQWYGSTRIVSKRAHPEWRPTASMRQKNPRLPAVVKPGPANPLGTRAIYLADGLLR IHGTNDPSSIGTNASSGCFRMYRQDVEELYEIVQPGTKVIVRR YP_002978758.1 MSDTSRTPEADAKHVIGVDVGTGSARAGLFDLTGRMLASAKRNI TLFHEPGSMVEQSSTEVWAAVCAAVREVVSSAGVDPASVMGLGFDATCSLVVLGEGGK PLPVGPSEDPNRDVIVWMDHRAVPQAERINTLGHDVLRYVGGRISPEMETPKLLWLRE NRPEVFDAAWQFFDLADFLTWRATGDLSRSTCTVTCKWTYLAHEKRWDGDYFHQIGLG TLADEEFARIGQAIVEPGSALGRGLTAAAAGELGLKPETPVAAGLIDAHAGGIGTVGI GTDPQANLAYVFGTSSCTMTSTAEPSFVPGVWGPYYSAMVPGLWLNEGGQSAAGAAID QLLAFHPAAAEARELSKRAGVPLPVLLADKAARKAGRSSDAVTLAAGLHVVPEFLGNR APFADPHARAIIAGLGMEDDIGSLVSLYIAGLCGIGYGLRQIIETQVDAGVTIENIVI SGGAGQHDFVRQLLADASGKPVIATKAEEPVLLGAAILGAVAGGLFADVREAMTTLSA VETTFLPSEGPIPHIHDKRYEGFKELQALARKLRIES YP_002978759.1 MTDLMKGKVAAITGAASGIGLECARSLHAAGVTVVLIDRAKDKL EALCKEIGRGALPLVIDLLDGKQVSGILPRILDVAGGLDILHANAGAYIGGPVVDGDP DAWDRMLNLNINAAFRSVNAVLPQMVAQKSGDILFTSSIAGVVPVAWEPIYTASKFAV QAFVHSTRRQVAQHGVRVGAVLPGPVVTALLDDWPKAKMEEALANGSLMQPKEVADAV LFMLSRPRNVTVRDLVILPNSVDL YP_002978760.1 MLDSRVDCPGMPDRQALENIDLVIFDCDGVLIDSEPIASRTLAE TLQGAGIAITAAEAHLKFTGNSESIIGEMCRRDYGIVEIPELFETWHQRLFEEFSRSL LPIPGIADVVYGLQRPKCVASNSTMQRLRNSLGILDLWSAFGDEVFSAEAVARPKPAP DLLLHCAERFRARPSRCVMIDDSSHGVAAAVSAGMTAVGFVDPADPRPDRHTALVTAG AFAVAMGAEQLVAILEDIGGTL YP_002978761.1 MVHIIGHRGGRNLWPENSLSGFRKLAQMPVDGVEFDIHLTRAGE VLVIHDPTLERTTDADGLVADLHPGENRTVRLKESDGETVPTFEEVLAVFKDTSLELH IELKTNADGTPYEGLAAKAAAEVDRLDLAKRSILTSFHPDVLVDIRKVAPHIRTLSSF DSKSAERLGLINGLKLLNECSDIIAVEKSLLTVQWEQITELVPLDRLGAWVPNDIGDL EYWLAKPIRQITTDRPDLALQARR YP_002978762.1 MPERHLGLSIFCHAILLIGAVFVCLPLYFAFVAGSLTLQEVQQA PFPVIPGPHFLENLAAAWQQGEFSQLFLNSIIVTAGIVIGKLAISLIAAFGVTYFRFP FRMTAFWLIFVSLMLPVEVRIIPTYEAVADAAGPLRWLAGSIGLSGVVEGLTGYSIET SLKWNMVNSYAGLILPLIASASATFLFRQFFLTVPDELCEAAKLDGAGPLKFFKDILL PLSSANIAALSIILFLYGWNQYLWPLLFTTDKEMGTAVLGLKQLVPVSDSAPAWNIAM SAALLVMLPPAAVILFMQRWFTKGLVDSGK YP_002978763.1 MMGGATRRLRIPHALSAGRLIAPSSDAGLKSAHFNRPWLAFGLV APQLLILLFFFFIPSYKALSLAFVQVDPFGGTQIFVGLKNFYNLFASPEYRSSALFTL WFTLAQNVATLVLAGLFAFATDFVVRGRGIYKSIILLPYAIAPVISGVIWAFLFNPAV GPVAQFLHGLGFEWDPNRRPFDAQLLVTIASVWKNVCYDYIFLVAALLAVPVSLLEAA KLDGAKPVRRFFTISLPLISPTIFFLVVMNFVYGLFETFGIIDAVTRGGPAGATNSMV YKVYQDGFMQLDLGSSAAQSVLLMFIAILFTIVQFRALERKVNYQV YP_002978764.1 MTLGLANAGDASAADRVKIEWWNAANGRLAEITKQLISDFNASQ DKYELVGISKGNYEETMAAMVAAYRVGQQPVLIQAAERGFLTMYNSGAIIPVPELMEK EGYKIDWGNFIAPVAGFYLVDGKPAAMPFNSSTPIFWYNADHFKAAGFDKPAETWQEL DKQLHAIKEKGISKCQMALANDFYWSLIENYAAIQDQPYGTKANGFGGLDTEFIFNKS PLIVGQVTRLKTWLDDGVLQIAGQGLSPDQLFTSGSCSTYVASTAAHAAVESGAKFQW SATFLPHEEGIEPKNSTIGGGALWVLKGKSDEEYAGTAAFLNFVALPKTQVWWSKQTG YVPVTNAAYEEAKSEGYFKEHPTREVAILQLTRGTPTDNSRGFRFGNHNQSMALLVEE IQGVWTGQKTPQQALDAAAARGNQILRQYEQLHAAK YP_002978765.1 MAAIELIDLKKNYGPVSAVKGINLTVADGEMIVLVGPSGCGKST LLRMIAGLEAISSGHLRIAGSDVSHVDPADRNIAMVFQNYALYPHMTVRQNLEYGLKN RRVARGEIDRRIANAASILEIGEFLERRPRQLSGGQRQRVAMGRAIVRDPAAFLFDEP LSNLDAKLRVQMRVEIRRLQRQLKTTSLYVTHDQLEAMTLADRLVVMNGGRIEQIGTP IEVYRRPETVFVAGFIGSPPMNLIDLDQLGPCQLALPRDTDVIGIRPSAINLGGRSAH DLRFDAFVELIETVGDENNVHLRIDGADKRVVASVSTNQRLQEGDRISCHVGMDGLHP FNRATGRRTE YP_002978766.1 MTQNRNSPFAPVALPDEQMQVRVVWLYYMEGRTQGEIAEALSTN RLRVNKIIAEARRSGLVTITLNSRLTSCVALEQQLAAEFSLTRAIIVPTPEDEDLIPV LLGQAAADYLVQLLNGGNIRGIGVGWGATLREMVRHMPSQRRPEICVNSVMGGLTHGI EINTFDIASDLARQLNAECSYLAAPIYAGSPESREAIVRQDVFEAAFRQIEANDVIVL SIGDMTERSLLMRYGLPSNINIEELVAAGACGDVLGQFVDKTGRPIEHPINRCAIAPE FEVLRAIPNVVFASGGLNKVHAIAAVLLSGLGNVLICDEATALQAQLVATELRTRGGS YP_002978767.1 MDMTRIRDRMVEHHVTCRGIRDQNVIEAMRMVAREKFVPPGSEE FAYEDAPLSIGEGQTISQPFIVALMLEKANLNAGDKVLEVGTGSGYASALISRIARYV YSIERHEKLALEAKERFEKLGYRNIDVRVGDGSKGWAKAAPFDAIIVSAGAPEVPTAL KEQLGLGGRLIIPVGGGEGQRLKRLTRTGATVFEEEDLGGVRFVPLIGEDAWTATHPM YTATAPSLPKVVASEPRSPQDAQGGVWER YP_002978768.1 MAEVNGESLNAEAGPPGKPERGKGVKLVDRVFDQLLERIRGGNY PPDSRLPGEHELASMLGVSRPIVRDALARLRDQGMVYARQGAGTFVSAHGSPTTQLAY SPVKTIADIQRCYEFRLTIEPAAAYFAAKRRNESAIQKIASALADLREATSHQLHRTD ADFLFHRAVTEAANNHYYTASIDALKAHIAVGMHLHGLSLLGPRQGLEQVYEEHNAIY KAIADGRPDDAQRLMKAHLEGSRDRLFEGRVLDLSF YP_002978769.1 MTLKAVIIADDLTGALDTGTPFVEAGLSISVAVDVEAAEDAIAT GCDVVVINTASRALGEREAAERVRFATEALRGVKPAVVMKKIDSRLKGNVAAESLALA DALGLETILVAPAIPDQERVTYRGCVVGRGVDKPLPIADLFESRAGSVTVADAENDSD LDQIVVDQDWRLALAVGARGLGAALARQLGETGRQPVPEFAATRRTLFAFGSRDPITA TQMDRLEASGVLRMVVDAPMGQVEVGEGMALPALLRCTGDMTADAAEVARCFAAGVRS VIDDTRPDMLMVGGGDTALAVFQALGVRVLAPQGEIEAGVPWFDVTAADGRHFRCAVK SGGFGKPDSLLRLVLWNRAA YP_002978770.1 MGAQPLLKIENLVKHFHVKLGAFGERSATVYALDNVNLDIMEGE TLSLVGESGCGKSTTGFTILNLYKATSGKVVYKGQDLATLDEKQMRPFRRDLQIVFQD PYSTLNPRMTVGEAIGEPILFHKLCTKAELKDRVTTLLTDVGLPTRFAQRYPHELSGG QRQRVVIARALACQPKFIVCDEAISALDVSIQAQIINLLLDLQEKYGLTYLFIAHDLA VVRHISTRVGVMYLGRLAELATREELFDNPLHPYTRALLSAVPETDPEHERTRQRQIL QGDVPSPLNPPTGCRFHTRCPIAMDVCSKVIPAWQEAKPGHLVACHAVNTGQTA YP_002978771.1 MMDTKSTDRLLDVRGLTVEIDGRNGPAVVVDGIDLHVNKGETLG VVGESGCGKSLTMLSLMRLLPNKIKVTKGSATFDGRDLQTMSNRELRKVRGGDIGFVF QDPMTSLNPVMRVGDQICEPLVYHRGMKKAAARARAVELLRLVGIPGPEERLQAYPHE LSGGMRQRVMIAIGLACNPKLLIADEPTTALDVTIQAQIVDLVKDLRAKLGMSVVWIT HDLALIAGLVDRVAVLYAGTVVEDAPVDELYARPSHPYTRGLLSSIPKLSDPPASRLS SIGGTPPEPGRRPKGCPFAPRCPLAETICHEKVPQLEPLSGSANHRAACFVVQRMQEA A YP_002978772.1 MSAIPLSTTETVEEPVSLWRDAWYRLKRNRLAIFGLVIVLILGF TAIFGPYLTPYDYLSQDLNARNVLPSMSHLFGTDDLGRDVFSRVVFGTRTAFLVAIIV TVFAVLIGLVLGAVAGFFGNPFDRAIMWLTDVTMSVPNLLLVVVINASLKSPISKWME ARYLATLNPFYRETMWVDFILVFGSMALISWPPYARLVRAQVLSIRSRPYITAAQALG LSNWIIIKRYVIPNALGPLIVSVSAGLGTAMVLESAFSFLGVGVNPPTPSWGNMISDG LRVWQHYPHLLAAPAAVLGLASVAFSFLGDGLNDALNPRGSK YP_002978773.1 MLRYVLTRFAIWIPSVLVVMLAVYALAFYGAGDPIKLIFLRAPG DVAYNPQRIEAIRESAGLNKPFIVQFGLYIWNLLHGQFGNSLTSGRSVWAMVSAAAPV SFQLAICSIILTAVVAIPLGMIAALNQNSRIDYAILGSALFLWAIPAYVAGPMLMVGL IVLLPGASVPYGWGGIFDVRILLPLLVLSFQPIALIVRQTRAAVIEVLSEDFVRTARA KGVPEIIVALRHILRPVLTPVVTQLGLIMITIVNGAIFVELVFGLPGLGRLTVQALIN SDYPVILAITLIGSFLVMVSNLLVDVLYPLLDPRANDSRRSR YP_002978774.1 MSDQSDKTKISRRNALKLGLAAGVGLTVFGMNARIVMADEGQVL KVANPAFDQDWSPLRGGGRTFRWNSIWWASPMYFDSEGNIKPYVFTSWESADNTVWTF KLDPKAVFSDGSKITSADVKGSWEVSSMPNTKNQRADQVLSRVKGYAEIAAGSGKELT GVATPDEGTVVVTLGAADPIFFMRLANHIAPITKASQSRGSDGEEIIDWYKPDSKPVF SGPFKLTSIDIDAGKLSFEPNENFFGPKPKLARIDITSIEDNVTATSLIKSGEFNAHT ELVTSTIIQDLGPEFSAGPLIPTSQHFWFNISRAPMDDPKVRQALIMAVDRDGLFKAS YPDGPHKKADQILNSVPGAENSGFEPYPYDPAAAKKLLAESTYGGPERLPKILFVGIS APAIQAAAQFIAEQWRQNLGITAVDMKPQQDSYAGPDQNSVQIFRDDVGTRVPDAVSY LAGCIASTSSNAQNKLGGYKNDKVDSALAEATTKAADDPKRIALAQEAQKAFREDWAF IPWYSQAMSRWATKEVKGLEKNLDWQVVEPWNISIG YP_002978775.1 MSHHRITGVFSASATPLTADNRPDFALFTDHCRQLLTEGCHGVA LLGTTGEANSFSGAERRAILEAALKAGIPADRLLPGTGVVAIPETVELTRHALSLGVT KVVMLPPFYYKGVSDDGLFAAYSQVLEKVADTRLQVILYHIPQVSGVPLSIPLIGRLI AAFPETVVGIKESAGDFNNMQAIIAAHPGFSVLAGADPLLLPLLKAGGAGCITATSNL VANSLRTVYDHVHDEARAADVEAAQARINAYRTLSNSYVQIPTIKAMVGLKTGNPAWK RTRAPLMPLGDADYAALAESYAKLP YP_002978776.1 MSFTGLPPEAIPALMTGAIAPHPTIEGRADAYLPSPCIQNHAAN LAFLPDGTLTCVWFGGTMEGMGDISIYMSRLAPGSGRWSVPEKMSDDPEKSEQNPLIF KAPDGNVWLLYTSQTSGNQDGSVVKFRVSGDGGQTFGPVQILCDSPGTFVRQQIVVNG RGDWLLPVFRCVGLNGQRWSGDADTAAVLMSRDGGASWQMRDIPDSIGAVHMNILPLG GDEMIAFYRNRFAENILSSRSSDGGETWSAPEPAELPNNNSSIQATILNDGAIAMVYN HSNAGMSDARRQSLYDEIEGGDAGETTVVADTSARKAVWGVPRAPLSLAISRDGGKSF PHRIDLDTGDGFCLSNNSKESLNREFSYPSIVQGGDGTLHIAYTYYRRAIKYVRLAPQ SLP YP_002978777.1 MASLDSPITIVRPHLIEFGVGTAGKLGKWAAEKGYRRTLVISDA FNASRIDVLELKGEVTVFAEVTPEPDTANLAKVLAAANAADAELIVGFGGGSAMDLAK LAAVLAGSAQTLHEVVGPNKVHGPRKVALAQVPTTSGTGSEAGIRALVTDPATMAKLA VESLHMLADIAVIDPALTFSVPARTTAATGVDAMAHCVEAFTNRKAHPMVDIYAIEGT RLVGKYLARAVKDGNDAEARAGLSLASLYGGFCLGPVNTAGGHALAYPLGTRWHVAHG AANALIFPHVLAFNTPSAPEKTKAVMEALGRETSGNVTSVFDAAYAFCAELGIEMKLS GLGVPESDLDAMADDAFAIRRLLDNNPRDLSRADIRAIYAAAF YP_002978778.1 MDRNAKVAVTLGDPAGVGPEVIVKALAALPREERRDFVIVGNVE ALERADRVTGTGLRFGPADAPGDDRIAVDEVALGAALPEIGKVSPVAGDASVRYITRA VDFAMSGQADVIVTAPINKEAMNLAGHHHDGHTGLLAHLTGSKSSFMLLASERLNTIH VSTHISLKGAIERAKTERVLATIEAGHRHFLRLGKTARIAVAGLNPHCGENGLFGTED TEFLAPAVEQAQAKGIDVVGPISADTVFARAYNGAFDLVIAQYHDQGHIPIKLVAFDT AVNVSLGLPIDRVSVDHGTAFDIAGTGKANHVNMLSAIAYARLMARSPRQRA YP_002978779.1 MEWQLQDAKNQFSKVVQKARQEGPQVVTVRGERTAVVLSARDYD ALRAGRPTLVDDLLGGPAWDDEFADAVEARNKTPSRDVAF YP_002978780.1 MYLVDTNIVSEARRGTPQAVSWLRSVDPLTIHLSALTLGEIMRG IALKQKSDPKTAAHLTEWLRKLRYDHGDRILPVTDEIAVEWGRIAAIRPRGDIDGLIA ATAIVHDLIVVTRNVGDFDDTGAAVINPWETDA YP_002978781.1 MSAQVLDRTLTSVRIGDPHGTYPIFDATGSTIAPGRWNTSGSPI IYTSEHYSTALLEKLVHGSGRLPPNQHYVTITLPRGLSYEVFSEPALPGWDSMPANVS KAFGERWCQEKRSAILLVPSVVARVDRNVLINPAHPEFPSITTSLHQPVFWDRRLFGM YP_002978782.1 MMGFAGIADVLGLPAREPVSRSAFGLLSSIEEGLPVKALDRMAL LLAPDDAQFKYRLVPKATYERRKSKHRLSSDEGIKLARLARVWGQALDVWQTEIEARD FLFRPHAMLEDRRPIDVVIQSEIGGELVLDILGSLKYGSAA YP_002978783.1 MKEVAYVTAGRLIQQYQDFCLSKGINFIRIESVRPHDDTTLFCS AGMQQYKPLFSDPSHSGTVANSQACLRMGDLDEIGDGTHLLHFSMLGLFSFREMTVGN AIDFWLEFLETVGLVPDHVTIHPDRLVEWTPLYGGRVPIVPDPECIWSDGGISGYCTE FYKDGVEIGNIVNPLGTCIDVGFGAERLDMIVSGAPQDDALGTLCETVMTIVESGYRP GNKEQGYVLRKLLRRIHKMGGTLDHPFFAEEVERQKRLRAKYLRLRDRHSEMSPDWWF DTHGIDLSDIGETMDE YP_002978784.1 MMHRPLSFAEAIGLPVIGALSLLLAWQWLVPALGIPAYIVPTPL AILRTLGIEWRFLLSNAVPTWGEAGLGFLLGNSLAVIMAIAFVYNPRFQAAYFPVVLL FNTIPVLALAPIIILIFGLGMLPKVIIAALICFFPTLVNTARGLNLATASELDLMHVL SASGWETFWRLRAPRSAPLLFASLRISATTCVIGAIVGEWIGSNQGLGAVIIQSTFNY QAERLFAAVVLASFSGIVFFAAVAQIERIFRRLQPARN YP_002978785.1 MRADLPEAAAIETKDLAVGYAGAVETTRILSGVDLSVGRGEFLT ILGPSGCGKSTLLRAVADLLPPLDGRLSVLGRTASEARRRREVAFVFQDATLLPWRTV KENVALPLQVGKKSVLRSVDPRPDHWIELVGLSHLADRYPHQLSGGQRQRVAIARALQ CEPDILLMDEPFGALDEITRERLNDELLDVWRRTGTTILFVTHSVVEAIYLGGRVLVL AANPGRVQALIDLAPLKDERGLCRRESLDVQETAAHLRHLLQQGSSAA YP_002978786.1 MRGPQVARSVTSARNSERNRSVVQQSNKGKGKQMNGEDKQIVVG RRTVLKGGAFALAAATAGISVFVPRHSKAAASKVVIKYDWLMSNGQIGDIVAVKRGLF EAEGLDVEFSPGGPNSATVPPVITGDAQLGQFSDSAQLLLARSSGVPIKIFACGFRMA PFAFYSLPKAPIRTVKDMIGKRIGIQPTARYVLDAILLKNNIDPSSLTITNIGFDMTP LMTGQVDAVTGWITNTQALSIIGPDRIDLIMKDTGLPSYANVYFATDDAVTGHAETLA KVLRAVAKGWAWTHDHPEEAVKLTVEAYPQLDLAVELKTIPRILSLSFDAATGKDGWG SFDPAALAEQISVYDKIGQFKSGAPKLEDCYTAKILDMTADDRPKIA YP_002978787.1 MTAFAQTNPITDICFLVEDIDKASAFYVERLGFKPRRRAPGFAD FKGAGVTLALWEIEHIAENTGVSSRRAPQGAHKACAAIELASPEQVDAAYAELKAAGV LFHAPPQDYVWNARCVYFADPDDNLWEIYAWSAGGPIGDIGPQ YP_002978788.1 MPDIDPQIFSISRPSLGGYKAFVQRDMIVETYRSAAGQMVSRGS LHRISINRTAHGKYAYRLGSGAFRKVERPPFTLGFQPAATVLEVDGDAADYISIFQSP ALYSSIGGSRFDSEHWDSDALSATTDPTTLQVALSLAFAAEKTGRDDPLLMQHLGMAL ACCVVKLLGAKPEAGDRPLTSENLRRVIDYIENLLGKSDLSVEELAGVAHMSPFHFSR EFKRAAGMAPHRFVLERRIERARLYLADGKETLANIAYATGFSSQAHFSSVFRRLMGA TPKEYQRSVRL YP_002978789.1 MSLQPPIKKNMHCIILAHATKFAEGELLPGRGAVWRDSRRKITI YP_002978790.1 MRRVAICSCGELSIAADGEPVKISACHCRACQRRTGSAFGVAVF FHADQTETSGVSTSYIRSGDSGKSVEFRFCPSCGSTVLWIPEFRKELVAVSLGCFADP SSLAPTQSVYEERRLEWVVFDFT YP_002978791.1 MPRSGRRTSGLADDVYEGLAGFRLAMRRFVSFSEAALAEAGVTS QQYQALLVVRTAPGWQIRLRDLAEQMLMHHNSAVQLVDRMCSAGLAERIPAEDDKRSV LIGLTANGERTLESLAKVHVEAMLAHEPLLAESLSRLRQVTELA YP_002978792.1 MQSGEGGWSNYRPSKTLWAWSIVGASALTMALGFTWGGWTTSGR ARVMTDIAVRNAKADLVAGICVHNFVTAKDAQENLKALQAKSSWQRDDFITDGGWAKI AGIDGTITNAADSCADQLVKMKELPQSGGDAAVTDS YP_002978793.1 MIDRRAELGLWTLRLETILIGRGVLSADGELVAEIGSRFPKDVE DALDGFIENPIELMGLLKICRAARDGGPLSPVVRVAAHLMTREVLQALQDSEAVGDFR S YP_002978794.1 MVGEKILIVEDDVLIAADLEDIVTTLGYEISGIADSFETAQQLA PFSTIALVDVNLRDGATGPRIGQYLASDFGVAVVMVTGSPELIETDLSKVIGLISKPA HPNLIRDVLEYLRTIREGERGVPPGGMRLFV YP_002978795.1 MTKTAFLSAVFACYVAVMFAIASIPGETPSEVSQAQQLQAVN YP_002978796.1 MQPFLVEPSRTYVSRNANRPRCVSRIENGVVTYRAFRFGIPFSR TETLDEFAKWAHEDVELRERREIFQPPPQSA YP_002978797.1 MLMATSKKEAVLYRMVMKDHICPFGLKSLDLLKREGYEVEDHWL RTREETDSFQKEHGVETTPQTFIDDARIGGNDALRRFFGKDVRDPQATSYWPVLAVFV TTALISVAANWASGSIMTVRIYKWFIAFSTCVLAILKLRDVESFSNMFLGYDLLARRW VRYAYLYPFGEVLAGVLMVAGALVWLSAPIAFFIGAVGSWSVFQAVYIEKRELKCACV GGDSNVPLGVVSLTENLMMVAMALWMIMKPVAL YP_002978798.1 MKKLASLVVAASMPLTAHAAARMVSQSGDWGVYSFMRNGSRVCY ALSVPKESAPANVDHGKNYFLIAPAQKGGGNEPEAILGYALKSGSTVEASIGERTFKM FVKGNSAWVSDAALEPEFVDALRSGSQLTLHATSARGTRTTYAYSLTGVTAALERIAQ CK YP_002978799.1 MRDDLLTADHLVLIIPQHLQSSSEAGDTPLEGSGPIEHART YP_002978800.1 MNKLRPSLLLLLCATIPVLVVGVVIGEYFLRQQRAAIDRDIAQR ADFSATGLARALEMQRQLLSVVSESPRLDPPLERKAFKEIAHRLLGRIPVWQMLHISD LHGNIVLSVPTPEDGASHSTVNDMESYRRVIESRTAVVGSVVRREREIPTFPIRVPVE RDGTITSILTALIRPEEITNILYANGLAKTWTAWIADGDGRLVAATGAAPALIGGPLN AFVRDTGSEGSGIAGVKIVSGEDVRTSSASIEGSNWTVHVGMPLAEYQEIGRTEYRIL LATIFLIVGLLVAAAFLFQRELAARRRQDLALASGQRMEALGKLTGGVAHDFNNLLMI FQAGIEGVRRRKNDEQKLNVTLDMMSEGVSKGKAITHRLLSFSRRSNLDAETFFIQDK IGPLEHLVKQAATDQIIVDTEVDPDMWPVTVDPQGFEVAIINLVTNAREAMPDGGRLR IHGRNISEGSREIKQLRGPCVAISISDNGPGIPQADIHRVFEPFFTTKGGRSSGLGLS QVYGFAQRSGGAVVASSLPDQGASFVIYLPKAAGQVAPSSSATVANPLPRKVLVVDDT PSSLEASKMLLEMEGITVATAPSGQEALTVLASEPNVELVLSDIMMPNMSGLELAMEV QSRYPNTSIILMTGYSDALEQGARTQFPVLSKPFSRAGMLGAFAAAASQRNSASVVRL HPG YP_002978801.1 MNTIDIARAIAWASLAIIGLVTIAPPRWRAPTVVSVHVDRVLTF VLLAMLFAFAYPNNRRAVAIFCILGAVTSEFSQLISRRRHPKLNHALLKAFGALAGVL IGAVIMQFISFERH YP_002978802.1 MPFGQFAPAIAISSLEYLHNAKCADIMAAEGVYSMSLLILITSG TIALAEPASPPLVLLAEARAQVVDCSDAVDEILMKTQGRLLSIRPHADRCTVVVLITR EGERPQKKIFRVSPVTDQSEPH YP_002978803.1 MAFAGLQPVEAGPLWVGPKPVVSPQIEQVQYHHRDHRGWYHGHR GYKHYRPGYRRHRDGWWYPLAAFGAGAIIGGAISGRPAVGLPSRHVHWCAAHYRTYRA YDNTYVPRIGVRAVCHSPYR YP_002978804.1 MYPSSHTDELRWTAPVAIRIGRRSSEFIHGPAEAIDYLEHRWPF LDGPCLDAAKRRCVEAMNSLAHIEVAREAFISAAAEANVLT YP_002978805.1 MKVAINGAGIAGPTLAYWLRHYGHEPVLIEQAPRLRSGGYVVDF WGAGYDVASMMGLRPRLHELGYDVEQVRFVGSEGRQCSAFSTDAIRRKLGGRFVSLKR SDLAAVIYAALDGKVETIFDDSIAMIDEGSAGVHVCFNRHGDETFDLVVGADGLHSRV RELQFGPVGQFEVYLGYKAAAFELQGYPLRDERTYVSYAEPGRQISRFSMRDGWTLFL LVYKDPNRDIPLTHVGRKEALRDAFSDAGWESPQILKRMDDTEEIYFDRVSQIQMHSW TKGRTALVGDAAACVSLLAGEGSGLAMSEAFVLAGELARARNNPLTGLANYQTRMMPF LQDRQCAARRFASSFVPKSTFGITVRNIISSLFGFPIVGDFLLGRLLRSNLDLPRYDR AN YP_002978806.1 MNLALVLGTLTLTCWTVGGAIAVFGNAEQCHPHRQRISEFVTLG LNILGLVCAILMGAVLVA YP_002978807.1 MIKKDSKTAAEVRGRIQSGDSGDIRSGFDPAAAPLETDAEAAGQ PMNPEEIETALHTQNWGAADRQRNYDVAMREPGSAGTIPQTTRSNPLRIFITTLALVA LAVAIASWIYS YP_002978808.1 MDQGSPRWLLSSHVAAVIIVGLIITAGSISTWWSSGGDGAAPTS SELGEPR YP_002978809.1 MAVGFGKNSTILQRAFTEREEFYDDVDVKEVAAHWVPRSSLDC YP_002978810.1 MKRSKAVSIASHVVLAVIGLSGSASAADRPLTVVELFTSQGCSS CPPANANLIKLSKRDDVLTLSFAVTYWDYLGWKDSFGKREFTDRQAVYEPALGQSGSY TPQMVVNGRTTTVGNNLAEIKQLVSQASPLTSPSLTVGKSAVAIGSGRTPDVIADIWL VSYDPNLVEVPVARGENSGETLPHIHVVHDLTRLGGWNGKAVSYNFTPVSGRLKTAIL VQGARGGAILSAATD YP_002978811.1 MKPVLSILVAVSAATLAIMTTQGALAAEVKNYEAAGFKAAQTSG VPIVIDIAASWCPTCAAQKPIIQSLVADPAYKQMVIFHVDFDSQKDIVRSFGAQMQST LIAYKGEKETGRSVGDTDQDSIKALFASTLVN YP_002978812.1 MIATAALALLAGIFSTLSPCVLPLLPVVLGAAVSHHKLGPVALS LGLGIAFVTIGLFVAVIGFSIGLDLTVFRMIGGVIMIGIGTVLMAPMLQLHLASAASP FGNWTEQRYGNFDAGGLKGQFLVGLLLGAVWSPCVGPTLGAASLLAASGKNLGQVAAT MLIFGLGATLPLLLLGFLSRDMLLRSRSWLLGAGQGGKFLLGSLLIVVGLLIVTGLDK KAEAVIVSHSPAWLTALTTRF YP_002978813.1 MASPWKFLARLVSPGREQKRENGSTEKVSPEALTSSGSTETPAE DSLNSDGRPAGEELPRHGQPGAISLKPVHSEEAENDVHDKVDGEVAEIVEVADPATSG GTGIDVTAVHDAARIKRTAEVAPREQRSCGKEAVAIANDPQVIHTANEMSLDDEIRVL RDQLAKKLTLQNAQLRKMLERFER YP_002978814.1 MADETDTATSADAPIVVETPIAPKKQRKPRAKKAAALERASAGA MAEPAAALAGAGGVKRRGRKAKVMEATASAKRAPVRRAPKAVQTAPAAPMTAIDEMAD LLQLEEENQRLRKLLAEKLRAENADLRKRLKLE YP_002978815.1 MWPIEWELRRSTLNALERNGYQQFVARRFYRFPTIHYAIFLA YP_002978816.1 MVGGFDPLVWGGVLGVSFDEGVDVGFRFCSGTVYAALQLLSRQL REPPFDLIDPGCRCWCEVNMPVWAARESGLDPRRLVGRIVVHHQMHFRPIRHFGIDPL QEIEEFSGQVTLVAMTDYRPSGNVERGLVKKMQHADEALRGRRCGGPRLLDPAGDVKH GSIS YP_002978817.1 MKVMAIIMLVIAGLVVSSSAIAGGGDGGEYICCHRPV YP_002978818.1 MEQVLSALYPVAAQVAQPLALAGTVSLLCFVIFGKIVEALKPVI EKITGTGAYKLLMAIITSASLLALVSIVGGIASYLVKYGYETYYKRTALINRGYNELD NQMAENAIRIANEILSEWPDEVQGFNIRGSAYFYQRSFELAANDFKHIIENQYGSIGD WCEASKLAVTQNLIAAMGASDKVTEALSYFAEIETCTLGKDAIINHAKLLMVSNQTAS ASERLKSVNTETRPDYRDRVNFELGILSVQAKGPDWLPQAASYFNDSACLDDGFKRLI QQATTTPKADPPGNLVQDFGYELKVMKNGLSDQERHAVAEAMNSKQCKSQPGAIN YP_002978819.1 MKYLLRMTAVALATLLNYTLAFSQTNGTATPVDGAELIAKLDEL SAALAQLDSARFAKIPDAEAKAETLTNRLFELSHGLAEKVDGNRSSSITRAATDLNGA LAAAPQALKTLLLQGDESSEALNKLRETILTLGLRKPGLVVRVVEAKFGDTYTGRVSA SRWCDATSYLRGKCDRAGSCTLDQNYQDVVCGFNPAPSADLRDRGLYVDYQCVPNIEA SFAKDYDPAAHLSTSPFLKKSRAQYVVLRGNGSIVCGAAK YP_002978820.1 MIGSVTRSFKVCAVAVLLLVLANCQVMDSSVTYEVRPDGSQARR CDSGLGSYALPYSTITFKVTQPYKSGVKVGRPRLEMSRPEAHPDPKHIYCLDFLENSF SDDNITVAYDKRTGSTDSFNKAGISASGLLSLIASKNVDQTGDIIRNFLRAIFIFSSG DYNFSFNRLTGDFDEIVMMTEQTVDPFDLSAMASLNKSFAEYGFCITLGKFTVDESRY TPNRYCDNPDISFTNGAGPPFLRAAKEQRYLVETLPTGVFYRPRQRYPLDIYVRDDPP AHKAWELRWTDGVMLENLSPILVLHINRTIFAEYRTALAFDRGNLLDVCIAKGSEVAG GLSIPLDIVYGLVSLPAATIGQEITSKTTTAALISKQKDILALQDQILQAKAGQYYGG GATSPEPLGANLQFPNPSPISPSAKPLNENKFGSFCGLLKTS YP_002978821.1 MSYFLIPKVVALLAVLGTVQSCSSVYSSSALEVSATSGTSECLA NMGTYFLPKGELSFVVLKKPTIGMTGFRYDMKTVADNTGTDGLSVVMSPDERHQYCLD FKPNSSYSDVVRAQRNELGLLTSVYSNVEDQSKTIVEDTARGIALAVAAESRLANRDF LVADPATVVHMKMQFDPFDLDRITSVNRALEKSGYCIYIDPKSDPFVPFWMRNQCSST PQLVAYNFKGDAEEVFSSASYTAGEGRFGILYKPALSHTLVILKRDDPTSGKPWRIWK RQIVELPNRAPVFMLQVSRGFFTARKSEITFQNGMLASVEVDKKSELKAVSEAFVNVV SIVVRIPAKALIIGTNEAKNQEALIRANQALLQAYAELEAEQRKQANLKQGLDVDGLP RTSSARTRAACLDYADLSAVEDPNVYCQDKAETQ YP_002978822.1 MNSPGIASCRLAFTLAFLAIFGVASAQDDSAGVHVRYGEAALFD PEAGDAMVADDTNAVADLKAESQEMPSARVANGVDVVLSNFSEVVKIEFSDARGRHAC TGVMLSPDAVLTAGHCGCGRAYEVTMQTAPVERAGDTAFSILRIEGGPFLFPGYSCSY PETTGVGHDLALMRIVPPAAKEGNVFELDDGVAVELSFPVIRSGVQVLSQQLLNSIFI LGFGRTETGAVAKNLQGANVGVLSRHCIAGHVFMSYCAPFREFSLGRNSNTPGIAPDS CGGDSGGPAYRMDSDLIMDPSGLFPLHLSRRTLVGIVSRAVAGVVHPYRGYCGGGGIY TTVGTRPVLDWLRSQKVSFLYDPNPTYRAAGG YP_002978823.1 MTLRNLENFRGQCLRAVREQWLSKARVFALAALAFLVLANASKG VEPKYLQERPPTYHAFIFGNDAYEALPEIKSAARDFEKMREYFANAKYRIWDMDGAGK FKSVDQFYKYMKDALTQIQPGDVVVLYYSGHGFHYGNQDWLVPLDYPAGTVDQQLLFK HAVGVDDVIAGLAKRRIDYAVAIIDACRTQPPFQFRTPGGTFLNGEPRLPAFSYTGPS LTAWSIGVPTYAGGTAIGTDSPDEMSVYSGIFLEALRKKPRISELQPELAVAVLRLAS EGRIAPNEIAPRFFSSRDFTFDASPDPTLVAGQKQEWLTAITEPSRSIVSAFMVRNPG SAFSSAGWKYIDDHAGEPEDAGGSSLTSADAIDYAFHEAQLTGKMVAIATSGFDVNFP RNTIGLPAVDRDLLQNAIAYVDKPGEIGDAYTARYEAKGSKQNFDIDIIGAAGALAVN TGQTSRPVPDVTALPTFTFGRSSSIQINRTFFDDNSGKLYAEIAPGQEAVGPPSSSVW TDFNYRGGKQPSFNNLNRALREVIIDGPQLADHSIAKITEDVRRSSKDILWVSIAVEY RPPDLSEYQNRKAKAETDQAAAAIDRELDNTVRTDRLALAEARLRAQDARIQLIDAGI DGKRITTVGVDAGQSLGNRVRLRFFGSR YP_002978824.1 MPSVRAILLAAFASMLLETTASSQEAKYADILDREALRQGVPTV SCPAETVDCTGSLVPKIINGRRADAGMFPWSVSVGKANATNFRGHLCGGTLISDRFIL SAAHCFPDTARPEDYRIQMGTVELEGYTDKISIQRILIHKHFNRATNEADVSLLELNT PITPSASLNWIPIQDQAGFESSGHESSEARLQYTITGFGYIGPGKSPVRLQFSNDIPS LTTAECHELKVWDESIFGDTLKPGMICAGNTNNVYKSDACKGDSGGGLILPQPDNTQV VVGIVSRGALPDGSLDCTQQPLRVGVYTRVSTYASEISSCLDPGGTGCDFVAPGQQTA AVQD YP_002978825.1 MADRKVFSNSVVPLPDHPGLTHNGLMVNAVEPASTTPVDVMFSM DIAPDLRKELENKIGAGETVSPNELQSKYGGDPENAGALIKWLKSQGFEILDVAADKS AVYARAAVPVVEQVLQVKMVPVTRDGITYMSAQNPPSLPAEIGEPVHAILGLQPFRRA QKHFRKRFSRVANRNRLRAGSPQPNIDNSPPYLIAEILRAYGAHGLGLTGNGQQIAVL IDTFPAEADLKAFWKANGLKWDGSRIKKINVGNTPLPPPEGEETLDVSWTGGIAPEAE IRIYASGSLQFSALDRALDRIIADVPANPGLRQLSISLGLGETYMGGPDGEVAAQHSR FLKLAAAGVNVFVSTGDAGSNPDPTGHSPTGPLQAEYESTDTAVVAVGGTTLQLTPDG SVSSEIGWAASGGGRSVLFSRPVWQAGVGIPPGTDRLVPDVCAAADPNTGAFLVLHGQ PTGIGGTSWSAPMWAGFCALINEARHKNGQPALPYLNPLLYPLSGTAAFRDISHGTNG AYTAKSGYDLVTGLGAPNLAKLISTLVGQEV YP_002978826.1 MPIQTDFKFNQKHSGSPTVPMTTAQAISQDDFLGLLRELCGDTP EGNAQRTWKGPGFNMIWRPNKPDVTPGFGNQPHFLQLNMTDETLSFTDISGPTGIANR GLLQKDIFLGGVAYLQTINDSFDNTGQHFEPGVFNNVPETENPGVVPTVVRMGSIPHG TTINMQGQSFTAPQPRIDPSSIIPFQIGSVDDGATDLVRFDEQDLSIPTASRTDLARV VGLDQAHLNDPNLFLKDVLAGQTITETVVLVLSSDSTLPGSVPDVGGGAANIAFLVGK GTPPAGGPNANMPRASATFWIEKGTDKDGKPLLQLQYTQRVILDFNGLSWPHVTVATL RPIDPSAGTA YP_002978827.1 MKFATGRGFPTRSYPYREPESGLALDNSVDPLIDIPKASFVRFF LGRDDLPEAIDTADIPKHVNDPFAQLILAAGFRPLTLQDILHLLNQATGPNEVSGQRL YRVADGGQIPWDAATANLDRHLRLVVTRHRGEEAELFISSAPPFNSAEIFLQVFAWDP VSGAYNFYERRRGIWSWAGSSWEAFDPQMRGLGPFDSHVSGAPVMKELKLPWMHWHSQ SAPILDNILAPDDPLHNDPLYHGSELKGGEDLELIVRAGVSRWTRSRFDRTISGGILK DAKLFFRHILSTTTVNLVTSPQQGASLGDGEMLRLPTSFFLNSDCLLDELGLPATISR PKSPGTFYLDCLTTYGVQLKDGPVTLARDTPFAFAVPEPAFEDRTVLAELISRGALSR RLAASLLMVDFTNPVFSPRREKLHRYIPEEVALDGGQTLNDSFVAAVQASSEAAEPGS VEAEFLSTWSANPAAWQDPMVAAIESYWTNLLQRLTTAAGFDEIFRLSESRRRQFRKR PLAEFGLTLAVAAKLEIPVPLQMTEKARVIPNPPTEDQNHAIV YP_002978828.1 MPSFDPPGFLKDFNSQQADAWSDWISQQLDEAKAGRPDLFDFDA PRPRFFNASLVAPAADAVEKDITWTAFPRLVSIDAATDEERWRTADLSRDAQDEYCEW SVARRADGRINSVTFTCEGPEYWEFLAATNFQKVLDLYQEFVDPAVEAKDLRLTGGRY NARNKWNNSTSRGAMHLIQPNNTLGAEIELAAGASNARAPGGTLLTNDQDLIRCGRYG QPERHSDPTIGGGINALARANADITLANPVGIYFAGLNTSGWTTPDGSDASLYWKVAR GTTAKPVRMVYAVPDGKGFTVSDISINDNPIRFGGQIADAISMKLTGLAMNIGQSNHP PLADCRRDAATPTTVSTSTMDVATTLNITRQSTR YP_002978829.1 MTKKPTSQSSTKKTSRKKTADAGANATLPAGAGTTAAPGNLPRP TPSITAPAAGDWLGHLAMTLASQVASRSFSSMPDLSAALTTAVQVLRPLVQSDKLSTR ISAALPTLVDNFQNLKRLPLNVTASMDPRLQLAIINRLSGKPGLSLTSTAGNEVAVIA RVDSVDDWINLEDVIPGAMLGKANPISDNDPECWIVTGRLPIERIEHVRTQPSVISLK ASQPVQPTLAATLPAMQVTAADLAAAGVDPAGGAGVVIGIVDFGCDFMHANFRRADGS TRLLAIWNQGAVAQVGSPFGYGQLYDSASINAALGKANPYDALGYGPRPDNPSQTGTH GTHVMDIAAGNGLGSNQPGMAPAADLVFVEASTTDIAWQGPSVVNQSFGDSVQLLEAV RFIFDTAGDRPCVVNLSLGTNGGPHDGSSLVEQGLDALVAEKPNRAVVIAASNSQQDG IHTEGTVDGAGELDIVMQQVGTGGGELEVWFDGARRLSVTLIAPDGTAFGPVDPDANL PVGAGGQVSMFVGNRLNDPNNHDNVIAIWVAEGLSDGDWTVRLRSLDGQPVDFHAWIE RDDVTQASFNTPVPTHTLGSISTGRSSIVVASYDAHKPSLPLSSFSSSGPTRDGREKP EVSAPGHAVIAARSRTGSGVTRKSGTSMAAPAVSGLIALMLAEAKRKGQSLTINQVRQ QLTTQALKNPPATDAGQWDPRHGFGRACGKSIQ YP_002978830.1 MDFKTLQKLESMPGSMQAKPRDPEEDVLVLLKLRNGHSVPGYVR PRARISGDIVSGEIRAGDLERLADDPAIESMSLSKALPVIE YP_002978831.1 MRFLDDTTRQSVQQVIDENAAALHSIPGFVSTEPGFPVVDGRIL REPAILVFVAHKKPASHLIREERAPRQLGAFRVAVMQASPERQLMELQDFEPIAESIT AASSSELTYELIEGNPIDNPFDVTAPMLCHVGPDAGWPVLKPFLEATRDTLTTAMYDF NADYIAKIFIDTVREGGIKAVLTWDDSMVPAETMIRKKLRDSLGAQLDGWIVKCGADR RFASAYHEKVAVRDSEAFWLSSGNWSTRSQPEIDPIAKESDARGMYSKGNREWHIIVE DEALSKLFERYIIYDRDGSQAEVAAGDDGVVLTVEDLESLPDVFVPLQSLFPSLELAA SVVEPVAPKRLPSSARSVHVQPVLTPDNYLRRILDLIGGVERSIYLQFSYINYSEAVK DQPFRDMLAMLADLSFKPALDVRIIVGSADAADKIRKLAENGFNDSVFRTQSNIHNKG IVVDGKIVLISSTNWSSDGVLRNRDAGLIIHDPEIAGYFQDVFLQDWNDRARTTLDDD SPVTLATDGAETPPGMVRMAWRDYFG YP_002978832.1 MRKKQAVVILHGMGEQIPMETLQGFVDAVWVHDSTLIRAERPDS ATGEPRKINATWSKPDRRNRSYELRRITTESLDTSGSTDFYEFYWAHLMYGTTWEQVK AWLFDLLWRWPWHVPGGVLPAWIVLWLISIAVGLGSLVTLVPLATLRACLFDACATQP VASPNLLWSVGLPMLTGLVSLVIGAFVSTFLLKYFGDVARYVKADPPNVARRQEIREK GVELLETLMGRNDNGNYMGSEYDRIVVVAHSLGTIIAYDILAHCFARLNTKFPVTSAE AAIAVQPERAALESMIRGAVGLPNAKGADAPDPVALDLDEFQRQQELCRKELNSQGNP WLVSDFITLGSPLTHAEFLLAKDKKCLRIAQDQRVFPTCPPSLEFDASTGLRHFTYKL SGAAPDLEGTHFRLPHHAAHFAYTRWTNLYSRHFGIVWGDIISGPLSGQFGLMANNAS VSGIRDIRVLPSKKPGRRWKWPPFFSHTKYWLMKDPAQTEDHIQALRDALALKHGR YP_002978833.1 MIFIDRNSVTNPLSDGTALAMKVGLENRRAAEFFSSPSRQRRFD FTVYRQFKPALMALFSNKCAYCESPFMSSDGDIEQYRPKSSVVEAPDHPGYWWLANDW NNLLIACHFCNRTGSQVGADGIREMIGKGNRFPILGSRAMSPADDLDGELPLLLDPTR DRPETHLVYSESGYVSSETERGNITISLLGLNRPALVQQRAETLRRYRDLFQTAEKLL TTEGPFESDIAYRMRDDAQQMASYQAPYAAIVRQFMQADLRRLTSAHGQSSSEVVPVL SSLQTFQSVSSTATPARQKRAEKTSRDFRQRMADYSLSTEDGIRRYKSQRRVIEKISI RNIRALSEINVNLVAMESAPGGWLMLLGENGTGKSTALQAVSLVLAGSANVVGLIKSG TLELRDLVRSGARSGHVEIKLSGFDKPHRLTVFRNRLEFFAPSGEKTIITRSGTVEGT SWIPQTVFVAYGATRLLPRDNALTNDPDEYARIDNLFDPFVPLIDAQQWLSKLPANYF DRAVRVLRDLLAIKEEDTFFKRAGKIAVRTSGGSSIPVSRLSDGYQTVFAATLDILEM LYRIWPNLEDAEGIVLIDEIDAHLHPAWQMQIVGRLRRALPGVQFIATTHQPLCLRGI RAGEVAVMRRLGDGQIEVVSDLPSPEDFLVEQLLTSEFFGLNSTVDPKTEEDFDRYYM FLAMEERSEEQERELSALTISLHDRRQLGTTRRESLYLAAIDKIIAEQKTRPYQPHQM VQELAVREISEIFDNLVDELDNEAGGDRV YP_002978834.1 MIFIERSDAADSLPVYTKPTVKSDNGAAMISRSADELEKAIAFY TDKTRFKNDAKLTNETFNFTVYKDKGLADVLALDFFHKCVYCESSIGHVMPDDVEHFR PKSEVECDAGSLRPGYYWLAGDWRNLLISCRDCNAPRAHREPGQAKGVAVGKGTQFPL SDPSKRVRNHSGNVKLEEAYRLLIHPCIDDPEEHIIFDESGLAKPAALEGEPSIKGKT SIDVYALQRKVLVERRLAEITKLRGHLLDLISLVRKHERYVAMPINTSFDRLENAKDI RRSLKTIIDMLRPEEEYVGAKRSIIRAAYARGDFDILTAHGIRLDQFLKIKRRRKEPP R YP_002978835.1 MSAPSSGTRNFHMIEAVPERLEGAPRQVRRRWSDDFKARAVAEA MEPGASVSAIAHRIGIHPSQLFGWRRDARDGQRSSSQDRAGRTGTWTIGTRAMIELVI GDVVIRADADIGEAHLQRVIRAVRSA YP_002978836.1 MAHEFENFLHSYWKDDKPIFSPSEFGRELGNKLKAKVEKAYKFD SFVYHFKDGSHVVALHAHRKNQYFCRVDLQKFFYSIKRNRLRRALRTIGIEKPEYYAK WSTVKNPFPDGGYVVPYGFIQSPILATLVLSASAIGEFIRGLHPAITASVYMDDICLS SGDEHALWVAFEGLKVAVEEANFTLNADKTREPAPQIDIFNISLESGDTQVLPQRVEQ FFENERTQAGIDSFQTYCDIVASHKWQTGDGRRRRRRMYWQRRNARAAATPAAVVEPQ VAPLSNEAAMNALMDKVFERNFP YP_002978837.1 MESLQFVTELELQHNNLAQILETNIEAEIGLMQEIWQKRRRMKP DALQKALCFTRYAISVRILRQPFMKIDHQRSETRVKVEGVSSKMSPRQERNHLRSDK YP_002978838.1 MPTTAFSAHFSRELDVEQLGWLLAASVPAGSQQTVHDFGPYADW IRTDIRCSSCGKSGAQVVRSAKARGAQALVRQAHFRFVDQQGGDAHHPFCEFSGDDNA GVRQPDSLLNFGSEKSQETRNVRVLVCKGIELGLFNQATVRTMRQWFFDMKAASRLQV SATPASISWARTLQRHPHHHRWQFHPAQADLPAFDWAAAAKHQFTQENLPLFDFLKGA PHEDAEWKRANALAEKNLGHEVFNVTTLKPYYEASLTLCTFVARNGGISFGKVLPDYY RFKGAPLPLLALCALVLFTSAWDMNVAIAKFAKLLSAPSPADYTLGNVIGLNPFHEYA AWNLVVIAAELAAKSPNGLDYSAQLAAIESRLREQYRIWKVENP YP_002978839.1 MSPLAIKRGAPDTDRDADLAISACLNPNDPKSFFLYAGAGSGKT RSLKEALDGFRDRHGDEFRRAGKKVAVITYTNAAADEIAARVGQDTLFPISTIHSFCW SHIGTYYTDIQAWLLATLPNDLADLREKQSKGRAGTKAADDRERAIAATIKRLGWLSV PRRFTYSPNGDNFGADSLSHSEVLKITATFILAKPAMQAVLVNRFPFLLIDESQDTNK ILIDAFFALAATNRGKFALGLFGDTMQRIYADGLPDLGRNIPPEWARPVKRMNHRSPQ RIVQLGNSLRSAVDNQIQLARDDSMVGTVRLFIIAADATDKPDKERKARERMAELCGD AGWKEDATVKTLTLEHHMAASRRGFAPMFQALDKDSRLSTGLRTGELAGLRLFTERVA PLLAASTAGEEFAVMAHLRKNSPLLKRSVLANSTTPDDPLLPARVAVGALLALDPENP STRLLDILECVAEHRLFEIPAAMRPFIETEPAPVAPETTVQNLEDNEAEEEAETSPSS LQAWRAFLETPYNQIAPYAEYISNRGPFGTHQGVKGLQFDRVLVILDDSEARGFLFSY EKLFGAKPLSDQDRKNAAEGADTGIDRTRRLLYVTCTRAEKSLALVAYTESPDTLAAA VIKQGWFSADELERL YP_002978840.1 MRIKFVEVSNFRKLKSTHIDFDKKTTIFVGANNSGKTSAMVALR YFLLSPNRLALRDITIANWTKIDALGDAWETEAETDINLDDLLPALDVWLDVPLSEIQ HVVHILPTLDWSGGLLGVRLKYHIEDIGSLKAEYLLQRNAAQAAVTKGLGGEAVKIDI WPKSLTDFLERRLRAHIGIEAFALDPSAVVAPAKGLAAPQILPTTALPLDKAPFKNLI KIDEIAAQRDFADSHEKSQSGDEGSESSTRRFKRRLSDQLRSYYDRHLDPAKTPSEQD YEALGAIQTAERNFDKRLEVGFAAAFGELEELGYPGMANPKLKISTLLQAIDGLKHGS AVQYQVADPSGDGTKTLKLPEDYSGLGYQNLIAMVFMLMGYRDEWMRVGKASFDSGGG AQEQIQPLHLVLVEEPEAHLHAQVQQVFINKAYKLLRKHDRLGDKTTHCTQLVVSTHS SHVAHEADFANLRYFRRRPAPSMGDTPTTTVANLSYIFGEGDETRRFVRRYLKATHCD LFFADGIIFVEGQAERILVPHFIRHQFPDLSRRYVTLLELGGSHAHSFRELVDELGLA TLIIADLDATVATKVPIKGGGEAIRWKAAKPKRGAEQKSANQVLKEWHPSKVLIDELI ALDPADHQSSGSDGYELYVAYQKPVSVLAPDGKTQEVIPRTFEDALILENLTVVADVE GSTTSAKIKAIVNDNPNGEELEDELFELLKTAEKAAFALDCLMLSDPTALKPPQYIRR GLEWFERAVGKDIAASEPKGASA YP_002978841.1 MTAPMRPAFDAGVALTRLDERIARSPVGQGFLERCQFTDACASL WIDGELGHLEDLVLHDATKDIRTPTHELTIARDVLRTRRRIAAQSPDWALSAEGIRTL RRTSDINPAGAEAVEPAGVIRPAVTADVEGEWKDIDEAEGIPGVDYAAIDALLARSDA AIERAKKPGRAPADPLIYDLDWDEDARLEEWRGVLRQAQGQPAVLQAIVALDAWNELS VLQHAPWLGRLLAASILRQAGITSGAHLAAINLGLKTIPVDRRRHRDRDTRLLAIAQG LVAAAEIGMKEHDRLVLARTLFERKLEGRRTSSKLPELVELVMAKPLVSAKMVAKMLE VTPQAARRIVLELGLREMTGRGRFRAWGV YP_002978842.1 MAKPKKSQTSVERRAEELDTIAAVLPIERRDELAKLLTDQDVET LRHLVNQGMGDNTLRALTSDLAYLEAWGLAATGQSLPWPAPEALLLKFVAHHLWDPQH RETDPDHGMPADVDEDLRSQGFLRSAGPHAPSTVRRRLANWSTLTRWRGFDGAFASPA LKSAIRLAVRAVPRTRRRKSAKAVTGDVLAKLLATCTTDSLRDLRDRAILMVAFASGG RRRSEIAGLRSEQLTVEPPIPGDDGPSLPSLAIHLGRTKTTSGDEDDVVYLTGRPVDA LNAWMVAAKIESGSVFRAIGRWGTVSRRAIDPQSVNAIIKQRVELAGLEPGEFSAHGL RSGYLTEAANRGIPLPEAMEQSRHRSIQQASGYYNNATRRSGRAAQMV YP_002978843.1 MRAPTGSVTGLCSASATMFAVGMAFLGYWGVYEHGAWHSFDYFV MGLAIIGFAALGSVPWIVTTPVAEDDADKVVVARRAMVLGTALIWMAVCIALFT YP_002978844.1 MIERRISTKLIELIDSSPAVGLIGPRQVGKTTLALAIAEQRPSI YLDLESDADRAKLTEPELYLSQHSDKLVILDEVHRLPNLFQNLRGLIDRGRRNGRKAG HFLLLGSASIDLLKQSGETLAGRIAYLEMGPIDGLEVPVDDLNTLWVRGGFPDSFLAA SDRESQRWRQDFIRTYLERDISLLGPRIPAETLRRFWTMLAHHQSGLLNAAEFARSLG VDGKTVASYLDLLVDLLLVRRLEPWHVNIGKRLVKSPRVYVRDSGITHTLLGLTTQED LLGHPVAGASWEGFVIETLIAAAPPGTQSNFYRTSAGAEIDLLLTPPGERPWAIEIKR SLTPKLEKGFYLACEDLDPARRIVVYPGQEAFPLKHDVEAMPLASIGKALISLIANR YP_002978845.1 MKWNWTQEGWPHFIYDHAPLEPLESRFLLSSGEVIGAVRHVGDE ERNLLRIELLSDEAVKTSAIEGEMLDRLSVQSSLRRQFGLDADNRPSTPQERGIAEMM VDVYDTWSAPLNDETLFRWNGMLMTGNRHLGAIGAYRTHEDAMQIVSGRLDNPTVHYE APPSRQVPAEMAAYTEWFNKTAPHGELPLPALTRAGIGHLYFESIHPFEDGNGRLGRA LAEKSLAQNIGQPSLIALAYTIENGRKAYYDQLERHQRTLDITEWLVYFAGTILDAQQ TTLMRVAFYISKAQFYDRFRGQFNERQEKALARMFREGPGGFKGGLSAENYISITRTS RATATRDLHDLVEKEALTRTGDRRHARYALNLP YP_002978846.1 MANDIPDTSKSEISLLLKKAAPILGIDGTTYHVLDILLGLSRAD DWKGAGRPIVAISNAKLAEYTLRSERTVMRCLRRIVEAGIAAYRDSPTGRRFVYRDND GMVASGFGLDFTPARVRLAEIRESVENYQRELKASQDARRAVTRFSRAIIDACEAFPE KADIWRSAAQAIIDAVAGRADQASGLESLHGEVLEYAEQRFREQDMSCAGDIRVTSNI NTIPKNLPDSNQRTRSSERDIISTDVGYAADMAYENKPEAAAGDMQSRLRQAEKTVGD IQGVVLAAVPIGLLQVGCRAAQECVSVEFDGWSDVVGAAETMRRMIGLSESAWVDGVG RVGRYAASAILATVLEKSLRDPELIAKPGGYFRAMVDRAVDGSLHLSKSLYGLAESEM NTRDR YP_002978847.1 MTNAKERSSRMKSLFANVDSAELAKQISAGPAVKVGSGAVKSMD RAFVAVEEENERLRLQLSGSEAIVEIDSALVVPSFVRDRLDIEGDPNFQGFVEGIRES GQRLPILVRPSPDKQGYFQVAYGHRRLRACQILERPVKAIVRDLTDDELVVAQGIENT ERANLSFIEQAFFAATLKARGFRRETIAAALGRADGKLTYVSMLIGIAEQVPAELIGI IGPAPSIGRPKWEKLAAQFKDGKAPAAAQTIIDKLTSTAVWAAATSDQRFAALMSALE HKAARGNQGEEVDLGGGAVITAKSSRSSTLITIPESKVPGLSAWLVERLPALVEEYRK QTGETPMG YP_002978848.1 MDQMTTRKPTSESSAAKITRQAQMLSAQLQSLRTHMYPPEAMKS LRTFTSRDVATMLGIAESTLRQMSLDGEGAMPERLENGRRSYTLAQVNEIRRYLAVKR PMEALDFFPRRRPGEKLQVIAVANFKGGSAKTTTTVHLAHYLALTGLRVLAIDLDPQA SLSAMFGYQPEFDVSDNETIYAAIRYDDDNRRPMRDVIRKTYFDGIDLIPGNLELMEY EHETPQAIASGGGRGDGIFFRRLGAVINSVEEDYDVVVIDAPPQLGYLTLGALCAATS LLITVHPAMIDVASMNQFLAMMSDVMHVIEERGGVLEHDFIRYVITRHNPNDVPQVNV VALLRSLFGEDVLAPAVVDTTAIASAGLEKKSLYEMARGSVGRDTLTRALDSVDAVNL EIFNHLKSVWGRS YP_002978849.1 MKLIALKTTKSRKDAALLDQMYRLRSRVFADRLAWNVSRSNGRE RDQFDEFGPTYILALSDGDVVIGCARLLPAIGPTMLQQVFPQLLSAGRLDADPAMIES SRFCVDTAGSRGAGEGGLSDVTFGMFAGILEWCLHHGYREIATATDVRFERILRRAGW PMQRLGQPIMINETLSVAGILPVKWENFERVRPHAYRSAFGARRQHAA YP_002978850.1 MSIPNLALPRLIRKLEDALGEELCLALNDPSVVEIMLNPDGNLF VERLGRGIVHTGQMDKRAAEVAIGCVAHVLNTEVGDRKPIVSGELPLGGHRFEGLLPP IVPAPCFSIRRRASQRIRLEDYLTSSAMTAGQALMIRQAIDKRWNMVICGGTGSGKTT LTNAVVAAIAEQAPNDRLVILEDTVEIQCAASNAVALRTSDRVDMAQLLKSTMRLRPD RIIVGEVRDGAALTLLKAWNTGHPGGVATIHSNTAQSALRRLEQLTAEVSRQPVQAVI GEAVDLIISIDRTPKGRRVSDILRVHGFIGGEYQLETRGDLQHAA YP_002978851.1 MRLERSIDLAAIALVLAFILADPAWASSGGGLPWEDPLQQIQES ITGPVAGFIALAAVAIAGGMLIFGGELNDFARRLMYVVLVAGILLGATQIVALFGATG ASIGIADHKLKARDGEEGRAGNGG YP_002978852.1 MAVEATTLQRNPIHRALSRPNLLMGADRELVLITGLAAIILIFV VLTIYSALFGAALWTVIVAALRMMAKADPLMRRVYIRHMAYRPSYRATSSPWRRY YP_002978853.1 MVSLRAFRHTAPSFADLVPYAGLVDNGIILLKDGSLMAGWYFAG PDSESSTDFERNEVSRQINAILSRLGSGWMIQVEAVRLATVDYASAGKSHFPDRVTQA IDEERRAHFEREQGHFESRHAIIATYRPTEQRRSRLSKYIYSDEDSRKQSYADTVLFI FRNAIREVEQYLANIISIRRMLTRETAERGGACVARYDELLQFVRFCVTGENHPVRLP DIPMYIDWIVTAELQHGLAPKVEDRFLGVVAIDGLPSESWPGILNSLDAMPLGYRWSS RFIFLDAEEARVRLERTRKKWLQKVRPFFDQLFQTQSRSVDQDAISMVAETEDAIAQA SSQLVAYGYYTPVVVLFDNDAERLNEKTEAIRRLIQAEGFGARIETLNATDAYLGSLP GNWYCNIREPLINTRNLSDLIPLNSVWSGNPQAPCPFYPPDSPPLMQVATGSTPFRLN LHVDDVGHTLVFGPTGSGKSTLLALIAAQFRRYENAQIFAFDKGRSMLPLTLACGGDH YEIGGDEDTGLSFCPLSELSSDGDRAWASEWIESLVAMQGISITPDHRNAISRQIGLM DGAPGRSISDFVSGVQMREIKDALHHYTVDGPMGQLLDAETDGLALGLFQTFEIEQVM NMGERNLVPVLTYLFRRIEKRLTGAPSLIVLDEAWLMLGHPVFRDKIREWLKVMRKAN CAVLLATQSISDAERSGIIDVLKESCPTKICLPNGAARETGTRDFYERIGFNRRQIEI VATAIPKREYYVASPEGRRLFDMALGPLTLSFVGASSKDDLKHIRALHEEHGPEWPLH WLHKRGIKDAASLLKDA YP_002978854.1 MLHRFSKTHRLLMVAALSSALVLPDPANAGSATGAATEWTQLAN NAELIKLLESSSVQVENQLTQISQLAEQIQNQLKIYQNMLQNTAQLPNHIWGEVESDL KRLQSVVSQGQGIAFSMGNADDILKQRFPSYADLKTSLSDGTSFSSTYQSWSDTNRDT IAGSLNAASLTADQFETEESTMASLRSMSQSADGQMKALQVGHQIAAQQVAQMQKLRG LLSQQMTMMGTWLQANQTDKDLAQKRREKFFEPAGRGIPDGQMMEPRW YP_002978855.1 MSPVAIIAFRVGTVGVATAAVLIMVDTHSHPASTTATAAEQRLR ISLSR YP_002978856.1 MLAIGLAIVAVGPAFAQQGSALSTLENQVVSAAKDWESTVSRAA RSLFWILAGIEIGIAAVWLALQAASLDSWFAELVRRIMFVGLFAFILDRGPALAKAVV DSLYQLGAEGGSASPAAIFDAGIEVADKMSEQVQFGLFEDNSLAIAAVFAMVVVVIAF SLVAAVFVAVIVEMYVGLLAGMIMLGLGGSSFTKDFAVKYLVYAFSVGMKLMALVMIA RIGSEVLIGLAEVSVAAEQQLITSLAIAGLSVVVFMISIYVPNILQGVVQGVSVSGGM EVIRHGGQAGSFALGAGILAGGGASAGFAAARAARAEGASIAGAALRGVGAGLSATGE AVGSAAKEKAIGSPGAHASSLLGLANAKLDQAQARGGLRPSPLRDDKK YP_002978857.1 MAMQRAPENPYLAARQEWNERYGSYVRAAAAWRVVGMIALAMAV IGFVYALYLSTQVKLVPYIVEVDKLGTSVSAGFPQQIEYADPRVVRATLGGFVTSFRS VTPDAVVQKQYIDRTYALLRTSDPSTEKINAWFRGNSPFEKAKNATVAIEVSNIVALS NQSYQIDWTEFERDRKGKETGTRRYRGIATVTLTAPQDEGVIRLNPIGLYLKDFEWTA QL YP_002978858.1 MRRATYLAALGCAWVVVFATNEAKAQSMTANEAKGANLSGKWRG GTGLVTKGADGKVIFLFGETQPSVVCSPLQVCDIELQGGEVVRDVLVGDTVRWKVEPA TSGAAGGQAIHLIVKPSEPGLVTSMVVTTSRRTYHIQLKSHTSQYMARVGFDYPEDVS SKLADVNARLEAGRTPGAGVPSEQLTFAYSVSGKASWKPMRVYSDGLKTYIQFPRSIA GQDAPVLFVVSGGQNRVVNYRMKNDMMVVDYNIDRAVLISGVGKHKQKITIRRGG YP_002978859.1 MRSLLLSVIGCLLAGCTTATDGPTASSVSRDLSDPAASAIAGDM VGRLAEQVGPGINKVKFNEDATPFGQAMEAALKAWGYEVVTEQKLDETKRMVALTYGV DDLEGQALVSISAGSIELARAYATSATGASPASPVSVRRN YP_002978860.1 MVQSLQLGGASNKDSSNKRLNRIPIIIAIALIVLFLGVISYGLS SRGFYFQGADGIGQASNASASTYADQLKRGVKDAIIGDPEQTQIYQPAPVSNRQEEKS QHTVETEKTERARNKPGLEPEEEWLARLGREQREQFLRERHRQQMASLQAKAAALDSP LAVDVSLKDLSAADTTQANTQSAKTPGGASDLYAAAMQAGLSGQNGDPNMQSAKESFF NQDIKDLGYLPNKVAPQISRYELKRGSVIPATLITGISSDLPGRITAQTSQNVYDSAT GHQLLVPQGAKLFGRYDHKISFGQSRVLVVWTDIIFPNGSTLQLGGMAGTDAEGYGGF RDQVDRHYLQTFGSAVLIALIGTGVDMAMPESSTEDTASDAARRNFADVFGRLSERSV GKNLDVQPTLQIRPGYKFNVLVDQDVVFPGPYRN YP_002978861.1 MHGSSLFDRLIDATVAAANEHSLKTALSDVARAHGFERFAYLNL HTAKSFAVSTYQKEWQSLYFSRSYMAIDPVVTAAKRLRRAFRWSADFERRRADDGIKE FYDTAAEFGIRSGLSIPIWTGFGQFAILTLASARHLETSGPDDLDTVGAAGAAALIHA RLQGTTTPTQRPDFIFSDREALCLRWAAEGLPMHSIADVTGLTYHTVRWDLDRVKAKL GVYTQKQAVAVASRFGLI YP_002978862.1 MEQSIAFDMLSELTASLTEAGGEITSQPMFEPQMGNSHADFLID ARFFDAPLKLVVEVKRSAFPRDVREAIWQLKKYIAAMPPGNSRVLPLLMANTISPGAR ALLREEKVGYFDRSGSLYLSADNLFVLVEKPASKQQARSLNNLFVGSRAQALHAVWTF KDQWFGVHELAERASVSPTTASQVLIDLERREWVSSKGAGPSKERILSNPRALLDEWS SYVASIKPKPLRSYYMRMTNIDEAIHEIDRICDETGVRYEISGLMAGQIHAPHLSKIS QIHCRIDHGGESLLRKLGAKAVKEGWNLGVIDSNPRHDFLFRQRVGHVWVTDPLQTYL DLLQLDSGRSKELADHLRLTKLAVPE YP_002978863.1 MTKPQILTEYSADVTRDCERVLVTLFSGLGPWRDSVFLVGGLAP RYIVTKRPPDVPPHAGTGDIDVVVDLSILADTEAYRTLEQNLKKMGFERARNDKGNKV NWRWQTMTEHGILVILEFLADDPKLRGGALQELPTEGNVSAVNIPHASLVFGHHDRME VTADLLGEKGRSTESIPYADIVAFTCLKVFAFDHRRERKDAHDLVYCLEHGEGGLAGA IAKFQEALKGSDREVIERALTILLTRFCDPEPDEGYLREGNVAVAQFEIEGAADDTEI REARILRQRAVNDLMLEFLSALDMAFK YP_002978864.1 MLSEAIIHKGMVATTVTFARARALISRHLFDLMILDAVVRAEDA LRLCRQIRAVSTIPIIAVVAQGREDIILAAFESGADDCISSPFGTREMIARIRSVLRR ASRDRVIHSLSKPFRFNGWRLDSWQRALRRPDGTVVDVTAAEFDLLVVFCQNPGRILS REELLASTHAGLAGPIERSIDVHISRLRHKIEDNPHNPILIKTVRMGGYFFAAVVEAD G YP_002978865.1 MRGVVIDGRVANSVSACGSLKGDATTAGLKVIALVGANSARQYA DFINAGADESFVRPVSPDHLLRALHPLSSQLGASQELLSCGDIEMHVLARRVWRRGVE LHLPRLEFAILLHLLKDPGRIYLRHELASAAWPAGVFVDPKTINVHIGRLRETLTAVA PTDPIRTVRGVGYGLVATGWSETEAPSNAP YP_002978866.1 MPPETQIVIVGGRIVTGDGTTLHERGVVRIRGTRIIDVAPGEAD ARSEAVVLNAAGCTVIPGIVNAHAHGCIHGPSMPSGSVPVRPTDVDYFRNRHLLSGTT TLLNVCGLALPDEIDGPSNQRHAMDIHLTTAHTTSNLAAAIAIDGGGLSERHKIARID DMVAKGAKALGEAGGGQTLGGGAQDYRFIPAAIEAATGTSIHPKEARALKEAVLGRYL DRGLPDLPRLNALLIECGLAAKICTSDLTKLIRDTVMPPVALSLKGFDEIAAASERLN FPAIFHNAAPTAATLLKLAETYPKARIIAGHSNHPMFLPEEAVRFGLQLRERGVAIDV STLDCIETRWRNDTANIDALVEAGLVDTLSTDFAGGDWDSILSAIQRMVRKSQLLLPA AIALATGNVSKTLPELAADRGLLETGKRADVVIVENHNLGRVRHVVANGELVVFNAAM GVGDLHAYAMAAGR YP_002978867.1 MKTPTDPMYTTHLLAEHVHSLSSAPPPVSTRDTALRCILDLIGA AVAGAALQCSRAARNSATALFGKGEAAIWMTDRTVMPIAAVIANATAASALDLDDGHR AARGHPGACVVPTVLTLAPFAGVSADDVLSAIVSGYDVGVRVAAAQNAEGIQTRQSGR WAALAAVAAAASLFKVEPALIAQALSIAGVLAPNQQANGSSGYSRLTGNDVKEGIPWS AATGLMALDLARNGYTGPEDLLDHPGYYDRQRILEGLGQRFEICGTYFKPYACCRYIH PALDRLFDLVKAHELRAADIVSVEVETFGWALKLGNLLSPANLVDIQYSLPYCVAIAA IEGRGALAPVSESLLNRPDLTHLARQVRITVNLEIDALFPAETLAKVTVETRRHKYVS ALDGPSGDPQRPMDWRAIEEKFLRVTRHVLSPSKQGAIIDGVLGLAAGELDPLLRHLR SPG YP_002978868.1 MFDNATDCNVRFLTRQDLQSAGAELTIAEIHAATDAAWADIKSG RTVGGKAVLSLPEEEFWQRQSVAPFRRDFVDERLGWKLSALYCVNPTHGGVKIIGANA FNRHLGLPRSTSTFVLLEKRTLRPLAILDGTGISALRTGTYASKVAELFVRCRVPVSG FIFGSGPVARSVVECLDFACSDLIGDIFIRSRSIEGVERLLSDFADRTSFRLHAVTDN QRLKECALLITATNARQPLFEDEELNRGAVTLHLGGDEVPEAYLQRALKSGTVVCDDI KTVSRRNSQSIALHFSRKGLSLEEIGPLLGVRELSAPNDWVREADTPVCVTCVGLPML DLYAVQATYEKYLARTQENT YP_002978869.1 MKIALVQMSSQPDRAYNLAEAARLMREAMIGRPDLIVLPEHFDW LGGTVADKRRAADRIPGGEAYVLVQRFAKDNAVWVHAGSLMERRGQDHRVYNTTVVFN AKGEEVGLYRKIHLFDITAPDGKTYSESAAVAPGRDLFIYELDGHRIGCAICYDLRFS RLFDRLAEEKVDIFVLPAAFTYQTGQAHWEVLCRARAIEFQAYFAACGQCGSYAMRDG ELRRTFGHSMVCDPWGQIVARAENDVCVLVVEIDPARLPEVRRLIPMAEHRVLLADRQ VRLSPGTKSRR YP_002978870.1 MTAFYQMIDRSPPLPADLIERLSSVDTATIGHVEHLGFVGGDIR PVFPARVAGAAFTVAAPGRDGVIIYKAIDLLLPGDILVISRVDRDDIACVGGGVAAAA KAKGAAGIVIDGPCTDVDEIIATGVPVWCRGVSAKTTNRQFQIGGSLNVPIACGAVAV LPGYGVLADNEGVFVADRNRLRILADAALERQKRSVAVRSHLASGRSIFDFDEEPRS YP_002978871.1 MLTKIRLRAVQHASNVCGDIEGFDFNDYDADDVAAVRKFWLQYG VVRFKKAGITDAQQVQFSRHFGEFVIHPKQLQEGGHPTHPEILVISNAMKDGKPSGAM GNSEATWHTDTWFYERPPAGAILRAVAVPPSGGDTYFLSTYIAYDTLPAPLKNAVDGR QIFFQNVYDKTGKLRLGKSTPKSQDFREWSGIVHPLVRTHGETGRKALYLGGTTEGAW IVGMSRDESDALLAELWDHTTNTKHIFVQQWDEGDIMMWDNRCTMHRRDSFDPASIRI MHRTTTSGERPV YP_002978872.1 MSSIDIELVSVSKSFGAISVVKDISLQIPQGEFVSILGPSGCGK TTTLNMIAGFNQPSFGDIRIRGRSQGRVPPERRNIGLVFQNYALFPHMTVADNVGFGL KMKSVARSEIESGVASALRSVHLEDYGARYPRELSGGQQQRVALARAIAPRPSVLLLD EPLSNLDLKLREAMRLELKELQQQLGMTFVYVTHDQEEAMAMSDRIVVMWQGVIAQTG GPASIYRHPASSFVADFIGKSNILTIEGTSEQEATLDLHLSGSAISLRAKRPPNRQQA RFCCIRPEDIAVLNNTGDAGGCGVNRLSGTVRRVINLGPSLELAVEIDPQVVLTVLTR SSLSASLPVIGDVVALSIDPDDIQVLPE YP_002978873.1 MNWFLSVLFRLMLAGVLTFITLPLAVVIAASFSPTSAVTFRPWE WTARWYGDLVSQRWLLPFLLSVKVAVIVSIVSGIIGVMAAYAIVYEKIRGSEAVMSVL LSPLSVPQIVKGVAIVLFLSLVGLQSFLGTPALIAAHIVLALPFVVRMVATSIANFDR NLDRAGQILGASKRQRVQHILLPAIRPGVLSGMTFAFIISFNNIPLSVFLVRPGDTTL PITVINYLEYSLDPVMAAVNVASMIFILAVIFLFEKIGGFSVKLHGGSK YP_002978874.1 MNTITLAVPAASLSPIRRSYSYMALSPAAVFLSVFFALPMGLMI GISFSQEEVGGFSVESYRRFFTDGLSVAGFCRTAIMSGLVAIAVTVLGYPVAYFLARS RSRWRAVVFALALAPELAGVVLRTYGWLIILEDRGFINDVLLDLGLISSPLPLSKNLF AVVVGLTHVVLPFGILSLMTSIQGIDESLERAAQMLGASRVSVVRHVIVPLSVPGIVS SLLLSFTMAASAYATPALLGGAGFKVLATMISEQVLFYVDWPFAAVMANALLLLMLVI SFVGVRFETRHRTKRSGAGRATA YP_002978875.1 MGTKQSRILLSAIMTAILSTPLRAETLVVNSYGGPYEEIIEKAI IEPFEAKFGIDVIYDPVGSASQDYAKIKATGGRPGFDVVVMTASQSVQGCRDGVLEKL DAATIPNLAKLMPRLAAAAGPCGAVHEVQYLSLLYRKDKLATPSSWTALLAPELKGRI VLPTFQNIMAIYLVEMMSVINGGDLVDNLDPGFKAMEAVARQSIGFEQSSAILESYIK DGTVWAMPFWNGRAQLLVDAGLPVDYIRPKEGTIPLIATLNVPAGAQNKQSAMKFIDF FLEKTSQEAWIGGYKVGSARTDVEVPEAIRTRQITSEADLKTLLLPDLSLVAERLPRW AEQWDRDVVPQAD YP_002978876.1 MVPVSHIYTPAEAAAVSEIAIKSVHNAIDKRIVANRPSSTKGRA LTEEDLLRLKLWYGVGSILSAERRKRMFDTIDENPGADTVRADDYLIIDVARAREQLA ARAEALREAGKLIQSVKGVVGGEPVFKGTRVPVRTIAAMKAQGASTEEIVEGYPSLTG RMVGLADIWTAAHPVRGRPRKLSELGLTVKSEKRLRLANERVPQSSDKPS YP_002978877.1 MPRIVAEDFTFVTNNARDFRKLYVKERLHAGLVIIVPQVLPALQ RELFVLIPRELVGVQYLVNEVLEVTIDDDTAVLTRYLLPET YP_002978878.1 MLDAAMIEKCADPSLTPAIVEQFVQAAGSSDPLAVAVKAGGRLI LVPKPRTPDEAMDLIRKYVGQAVVRVGITQVPAGIGVKDVSELKPDLVEACENLRLGT GMFAKVVRIVAKWYGNPTDRQVFPQIFEDAVYAWKTGEFEGVSVFRAKDPDVSVKLTV PPVAEQDADTDSAPASSKLKGSENGGPVGTAEMRIDLSRIGAN YP_002978879.1 MRRDHVGTAALIGLALAVASIGWSGQVLLLPVALAFPVLWAHAP NRMAAGLVSAAYFLAASRGLPQGVATFYSSDLWPGLLLWLAASVSFFGVYTVLWTSVR TKRPHRYVAAGILMALPPFGITGWAHPITAAGVLFPGWGWWGLAATTAGLIGLVTRLW PAVAIALAGAWVWSGLTWTDPEVPQSWRGVDWQMGSSLGREAGLQRQRDLIATVQKVA SDGVGYIVLPESALGFWTPTIERLWTRALAGSPVTVVAGASVVGADGYDNVLVAVSAG GGRVLYRQRMPVPGSMWQPWWGDSKGARADFFANPVVVLNGYKVAPLICYEQLVAWPA LQSMLHDPDVIVAVGNGWWTANTSIVAIQRASARAFARLFAKPLVLSFNT YP_002978880.1 MIPTIRRQCPTHEKIHPAATLLLAAALLAFVLSVAAAAGGFRIN MTPSEPLGLWRVAALDRSPAVGDVVFICPPENDRMREARQRGYLRSGSCPGGFAPLIK TVIATEGQRVEIRSAMFVDGITVANSAVARADGKGRALSAFASGTVPAGHAVLHSGFA GSYDSRYFGPLPVSGILGLAQKVLTYAP YP_002978881.1 MAVPHFSVSIVARGSGRSAVLSAAYRHCAKMDYEREARTIDYTR KRGLLYEEFVIPAGAPTWLRAMIADRSVSGASEAFWNKVEAFEKRSDAQLAKDVTIAL PIELSAEQSIALVRDFVERHITSKGMVADWVYHDAPGNPHVHLMTTLRPLTERGFGAK KIAVTSPDGNPMRNDAGKIVYELWAGSADDFNTIRDGWFACQNRHLALAGLDIRVDGR SFEKQGIELTPTIHLGVGTKAIDRKAEQANARSSAWSPKLERIELQEDRRTENARRIQ RRPEIVLDLITREKSVFDERDVAKILHRYIDDAALFQNLMVRILQSPEALRLERDRID FATGIRTPAKYTTREMIRLEAEMVSRAIWLSGRASHGVREAVLEATFARHARLSDEQR TAIERVAGGERIAAVIGRAGAGKTTMMKAAREAWEASGYRVVGGALAGKAAEGLEKEA GIQSRTLSSWELRWNQSRDQIDTRTVFVLDEAGMVSSRQMALFVEAVSKAGAKLVLVG DPEQLQPIEAGAAFRAIADRIGYAELKTIYRQRQQWMRDASLDLGRGNVGQAVDAYRA HGHVRGLDLKAQAVESLIADWDRDYDPSKSSLILAHLRRDVRMLNDMARAKLVERGIL ADGFAFKTEDGTRMFAAGDQIVFLKNEGSLGVKNGMLAKVIDAAAGRLVVALGEGEHR RLVTIEQRFYNKLDHGYATTIHKSQGATVDRVKVLASLSLDRHLTYVAMTRHREDLAI YYGRRSFAKSGGLIPILSRRNAKETTLDYEKSSLYGQALRFAEARGLHLMNVARTIAH DRLQWVVRQKQKLADLGARLAAIGVILGRAASAKTSPKSKAKESKPMVSGISTFPKSI DQSVEDKLAADPGLKKQWEDVSTRFHLVYAQPESAFKAVNVDAMLKDQAIAKTTLAKI AGEPERFGALRGKTGVFANRGDKQDRERAIANVPALARNLERYLRQRAEIERKHETEE RAVRRQVSVDIPALSAPAKQTLERVRDAIDRDDLPAGLEYALAVKMVKAELDRFAKAV SQRFGERTFLGIASKDPSGETFKSVTAGMNPAQKAEVQAAWNSMRTIQQLSAHERTTE ALKQAETLRQTRSQGLSLK YP_002978882.1 MKKHSSKIRDEIAKLQEQLKTAETREAERIGRIALKAGLGEIET DENELQAAFEHLAERFRRGEVVPAEGKGANEHGRKGAQATPVASGTAAGGDSEA YP_002978883.1 MARTKTFDARRKDTREKIELGGLIVKAGLRYEKRALLLGALIET ARRIRSDEDERSRLTAIGSEAFGNDGE YP_002978884.1 MTANKIALVSVPIALMMLVTIGMTGIEQWFSEFGKTETARLTLG RMGIALPYIASAAAGIIFLFASAGSTNIKLAGWGVVGGSSATIVIAALRETVRLAAIF DHFPAVRSALSYADPATMIGAGAALTSAGFALRVALVGNAAFARSEPRRIRGRRALHG EAEWMKSTEAGKLFPDTGGIVVGERYRVDQDITAMRSFRADCVETWGAGGKSPLLCFD GSFGSSHGIVFAGSGGFKTTSVTIPTALKWGGTLVLLDPSNEVAPMVIGHRTNAGRDV RILDPRTADTGFNALDWIGLHGRTKEEDIAAVASWIMSDSGGARGVRDDFFRASALQL LTALIADVCLSGRTEENDQTLRQVRKNLSEPEPKLRARLQSIYDNSRSDFVKENVAAF VNMTPETFSGVYANAVKETHWLSYPNYAALVSESNFSTNDISAGNTDVFINIDLKTLE THSGLARVIIGSFLNAIYNRDGALKGRALFLLDEVARLGYMRILETARDAGRKYGITL TMIYQSIGQMRETYGGRDAASKWFESASWISFAAINDPETADYISRRCGMTTVEIDQV SRSVQSKGSSRTRSKQLAARPLIQPHEVLRMRADEQIVFTAGNAPLRCGRAIWFRRDD MKACVGENRFHSPDSGRKERSNAINLANTDEATSGVASTGI YP_002978885.1 MTRREINPMDGGAPKLRPQQSDLLENLRHNFDAEVHLPFDIPRE FLSAALLFAIDNKVDFGLFHEDHRIIIAYFGGDEIYLPSRWSDKRWHIGVEDRETFFD PAD YP_002978886.1 MIAQPYHLYIERADAAKNMARYYAMEVGQTMFGEVCLIRRWGRI GQHGQEKQHVFEREEEAVRLFLALVKQKRARGYRPRSTLRYLQR YP_002978887.1 MATIGIFTANENGFTGSIRTLALNVKARIARVENPSDKGPHFRI YAGNVELGAAWQKRSSESDRDYLSVKLDDPSFPAPIYATLSEVEGEDGYQLIWSRQNR D YP_002978888.1 MTSGVRNLQIAVAGRRSRRSRSPVRHASSSPRRSGLRQQWEVLE HGGPRQGIPYRNSCSGKPALCPQVMASNSVATSSADRAVALVIDVTCRAWFAARRIHA SRQAVYRRSQAAPKQWPPIGPTGEAFDRRAAYPVHLLARKTAEARMTAVDARRLPIAE RLRRGQLVKGCSWTQGEPRMHGVIDVNRQGAPQFK YP_002978889.1 MKLARFAVEGKTRLGRVEGDHIFDLTNVAPDLNGSMKLLIERLH ELQSAIQSVSAPCFLLSEVRLLAPIDDPRKFLAIGMNYKAHAEEAAAAGIATPKSQLW FNKQVSCINGPFDDIVIPEVSEKVDYEAELGFVIGTRCRHVSREDARSVIAGYFVAND VTARDWQFRSPTYTLGKSFDTHGPIGPWITTADEVADPHDLTLTLSLNGEERQRTSTG DMIYNIWDQIAYLSTVMTLEPGDIIATGTPSNVGIATETFMKAGDVVRVEVSGLGVIE NHFVAE YP_002978890.1 MGFTLHPDHRYRMPVVFGPAVGPRQKPGGGMWSREETGTMNAEW MAITYRTDPAKLAALLPPGMSLRGEPLLSVSCAWFKNLYWLAGRGYGILSVDFPVTYQ GKTETLDGSFCPVIWEGQPEAILTGRDEMGFPKLFCDMPEIAWERDKGTASCETSWFG FKFFDIALTGMIEEDAPPSLPGSGGGAAMYYKYVPRTSPRKGAGADVAYVTTPALRPG EGRPDAISFEGYDFKRWRANGSFNWHRATFEQLPTTFHIVNAIADLPCVDIVRTEMVA FSGPGIGVSVNSIRPVEPAPE YP_002978891.1 MTEYDVIIVGYGPAGKVLARQLVDGGHKVAVVERWPEAYPLPRA IGYDHEIKRIFYAMGIARDVAAISRGMGHYVWYNADWKVLIDIDETRESISGGATGYT FNQPELEKILHEDLRRRDGISYHLGMEAIAARDAGDHIEVEIARFDAQSLRIDHSETR LLTGRYLVGCDGANSFVRRLMDVPVIDHGFDEAWLVVDVMPNDLEKLHIPDAAQWCNP ARPTTIVPSGVRNRRFEFMLLPGEEPTQMCAADEVWELLAPWLSPDDGHLIRSATYRF RSLLTRGWRKGQMLLAGDAAHLMPPFMGQGMCAGLRDAWNLGWKLGLVLDGSVAESLL DSYEAERSPHVEAVIRISMAMGQVICVPDPEAARRRDEAFFAGIMPPPPVFPPLSDGI IARDADGKPMGFAGELLPHDDLVRDSSPVRLDDLAGRNFVLVTNVAVDDARLARLGVR QVLLGGAGWRDINGRLSHWLESHDAAAYLARPDFYAFGSAANSKEIDSLLEAFEGMMR GRDHVLNEVA YP_002978892.1 MTYLIRQMGHVVISSPDPLGAANDICNVVGLRITEQDGDTVYLS SNDRHHEVTYVKGDGKAVACGLEAVSPGAVDEVKRRALSDGLAVLDDRPLGRHYDKAV RLVAPGGAIFEVHTPIARNQPRRHNYSTPGARPRRIEHINAFAPDTQAFGEFCEKVLG MKLSDQTGEDGLRWYRAEDGFHHTIAMGPGKSGLHHYAFDLHSLEDLAAIADNLTLKD RALVWGPGRHGAGGNIFTYYADPHGCLVENSIELERIDNDATYEPRTWDISEGLAGRW LNLWGTPPTPGFLCPGIPFSPDV YP_002978893.1 MKLYQVPGACSTASRIVLEEAAIPIDLANVDLRSKILEDGTSYL DVNPKGQVPALVLDDGQILTEAAVIMQYVADQAPASGLLPPPGNFARYRVLEWTNYIA TELHKTFSPLNRPNTPAEYRDQTRAMFLPRVFGVLDARLADSSYLAGETFTIADAYAF VVLGWAKKVELDLSAWPRIVAYLQRISERPSVQKILQGVSGH YP_002978894.1 MAQENDQQLERNKHLVREFYRRVFDGQNAEVAKDFVTEDYLQHC NHIPSGLSGFEGFVRAIFPNGPVPEPAEMRIPPAFILAEADMVVVAAYLPQPEPDGSG RTYDYFIFDAFRVRGDKLSEHWSSVNKIAPPKH YP_002978895.1 MRVRLSHLTIIALVTASSASAEDAGLAITVPPINPIGGVQEPVF IGPLSSTGKWLHDNGIDINLDYINIYQNAPSFGFERGSSANYGMFVFGATGNLTPDLR VKWVETINYPSFNTDNYLFDLSNSFFPVPVVDSRTDLTRLTVEADFLEDRLEIEAGRM GLGQDFMTRGYCGGLGCVNSTLAITLNMPGEGLSVWGARGEYHLTPNTAVGLGIIEDN ADNWQEGSGWDWGRGDADGMIAIANLTHQESFFDDPNPLRFEIGAYHRSSRYEDALYN SGWGNPTFGPSPTIIEHQGTTGVYGQVRKVVWSAPDSGPIPENIALYGGLFHTFGDGQ SYPWEAYAGIEYSGFWAENPLATIGASVHYLGLSEKRAEYERNARLFFSGIDEKQPRD TFMFDVHGSVGVFERGVLDLGAAYIVNPNTSVLSDYSTARQQDGFVLYATLAFDLGGG LGLSPLRRP YP_002978896.1 MEQGARVYAVGGPTAAHGFEYSTDAWRVLGALWVVVLLVVAVPS AGISVINAQMIADLDLDRSVFGLGFGMFVMMMGFQGPIVAMLMQKFGYQRTVTVGCFV LLIGSVIMATLVNTGWQYVLAFGVIVGTGVCVGGMLPAQSAIARWFHVRRALAVSVVL SAVEFGGFLSPPSLDRLLAASNNNWRLGWWVIAGLATVALLITRFALNERHVERLVAA HPTKIETSGETNVFKSQVHWLLREAVKTRAYWLILTYMSVAGVAWVFLMAHGVVHLQD IGYSSADTAMAMAITIVASFFGNMAAGFLGDRISPAIIAVASMVFVTLGFFLLVHPQG FTGILMYAAPAGIGYGASQVCVMALLGNYFGRESFPSMFGSMMPVSTLCAASGAAAAG AIFDQTGSYDLMFNIIVGLCVVGALAMLLASPPRPTRIDRDRAAEGVAQ YP_002978897.1 MNMTMKLSEHSVASNVLESPYSSAIGRSIRQKAADLAPLLRREA LEGEKLGALTHESLQALSDIGAFRLTLPIDFGGYALGARDVVEIVSEIGRGDGAAGWM VFVAGGLRNIISFPDEAVQEIFDDGQSWVGPLAAGASIFATKVGSARRVEGGWMVSGS WHFGSGCKHAKWIAVGVDYECAPGQTSRAMAILSAKQVTIIDNWHVMGMKATSSNSLT VDEEQFVPDRRFMDMREFPLRMDETRRRYSGLASKFGARGLMLLTNLTHMAIVLGMAR GALECYVEMVKKQQPFNLPYPRVAEMASTQVCAGKAFAMIKMAEAVAYRNADILDRHA VEGIEITAEQEQAMTMEAVYATNSLDGVLSMLQISVGSTTANETNPVQRFARDVRVAL LHGAVRLDPTAENFGRLLMGIDPFPMFAGGLPDRSKQAPAA YP_002978898.1 MGLECIAAPPALPCSPNSATYPAKNELKDAFGRLPSGVVIVTSI STDGRFTGATVSSFSSLSFDPPLVVLGLAQRSKTLQAILQSTRFAAHIVSEPNRALAL RFASDRDDKFEGLSSTLSQNGVPLLPGFNTSIECILDSSQTAGDHQLLIGRVLSTAID DEVGSPVAWFRRDFHSCLPFAAA YP_002978899.1 MFDSSDRPISQAQRVFDELVRQWELDFPGEDSSPIAIAMRIRHL HQLDQESLQVILAPFGLGVGDIDVLTHLSQGPEGRPIRPSDLADLCMVTTGAITGRLT KLEQRSYLKRVPHPSDKRTIYVEITDAGQELLNKTREEVARSSHLLQGIRALERSERR NLVETLAKLISILPS YP_002978900.1 MIDAHEKGFFTEENSVEVVTSRNATTKDQRLKRVMEVVTRKLHE AVKELEPTQDEWMEAILFLTRTGHTCNEWRQEFILLSDVLGVSMLVDAINNRKPSGAS ESTVLGPFHVADAPELPMGTNICLDHKGEDMVIGGSIRSTDGRPIAGAVIDVWQANDE GFYDVQQKGIQPDFNLRGIFRSGADGRYWFRAVKPKYYPIPDDGPVGKLLGALGRHPY RPAHLHYIIKADGFETLTTHIFDPDDPYIHSDAVFGVKESLLAKFQQVEDSVRADELG FSGKFWQIEHDFVLARPEE YP_002978901.1 MADITTDVLIIGTGPAGSATAALLSSHGVENLVINRYRWLASTP RAHITNQRTMEVLRDLGRDVEDEAYMFAAEQDLMGENVFCTSLTGEEIGRMKSWGKHP LSRAEHQLSSPAHMNDLPQTFMEPLLFKTACSRGSQSRMSTEYLGHAQDEDGVTTTCL DRLTGKEFTVRSKYLVGADGGNSKVAEHAGLTFEGKMGVAGSMNILFEADLSRLVAHR PSVLYWVLQPGADVGGIGMGLVRMVRPWNEWLIVWGYDINQPPPSVDEAHAKKVVRDL VGDPDLEMTIKSVSTWTVNNMYATSMSNGRVFCMGDATHRHPPSNGLGSNTSIQDAFN LAWKLAFVLKGAAGPKLLDSYQAERAPVAKQIVTRANKSIEEFGPIFKALGLLDSIDP VKMQENMDARCNNTVAAEEQRAAIRKAIADKVYEFDCHGVEMNQRYRSGAIVTDEQAE PAFARDPELHCQQTSWPGARLPHAWVYSATGEKLSTLDLAGHGKFTVLTGIGGQGWIE AARTLGKELGIDIAAHLIGPRQPWQDFAGDWANMREIRDSGVLLVRPDHHVAWRSEAI VDDPSAELRRVLTSVLGR YP_002978902.1 MTMAIPSFTVETRTRASTLSAVAVVALLLLAFAAPFIVSRGVIQ DLFFILTMLVLAQNWNLLAGYAGLISVGQQVFVGFGAYTMFGCVILFGVDPVVAILIA GVLSAALAIPTAFFTFRLYGPYFAIGTWVVAEVVRLLLAQWKALGGGTGTSLPREATR DMMGVGAMRDLFGMKASEAGDTLTYWLALILAVATIGFIYGLLRSKQGLGLAAVRDNE QAARAVGVDARRMKTLVYLATAFMTGIAGALIYVQKARISPDAAFSVTDWTAYVIFIV VTGGIGTIEGPILGVIIFFLLQNLLADYGSWYLLMLGLLGIAIMLLAPRGLWGLFSER TGIQLFPVRRVLKGGPLNLTHRGGPHG YP_002978903.1 MNWLDTILQGVLLGGLYALFAAGLSLIFGIMRLVNLAHGDLIVL AAFLILVLVTTLGLNPFVAAAIAMPLMFAIGWGLQYFLLNRTLGKDILPPLLVTFGLS IVIQNGLLEGFSADSRRVFAGSLESASVNLGPVTAGIMPLMTFFSAVAVIVCLNQLIY RTSVGRAFRATSDDPVTAGLMGIRPQRIFAMATGLAMVVVTIAALYLGTRANFDPTSG PARLIYAFEAVIIGGLGSLWGTLAGGIILGVAQTVGAAINPEWQILAGHLAFLAVLLF KPRGLFPRAVD YP_002978904.1 MALLETRGLTASYGGFQALFGVDIVVGAGETVAIIGANGAGKTT LMRSISGVLTNAASSILYRDEPIGALSAPDILARGIAMVPEGRKLFPSLSVEENLLVG NYGRKVDGPWTLESIFALFPILKERRDNPATALSGGQQQMVAIGRGLMSNPAMLLCDE ISLGLAPVVVRDIYAAFPRIRETGASIVIVEQDIAQALKVADRVYCMMEGRVTLSGRA ADLSRDDIHKAYFGTDHHELA YP_002978905.1 MAILELDGVSKKFGALTVAEQISFAVGEGEALGIIGPNGAGKST LFNLITGNIPADSGSIRFLGGDVTRTPPMARCLAGIGRTFQIPQPFEKLTVFENLLVA GAFGSRRREVEVSDRCAEILVDTGLIDKANVLAGSLSLLLRKRLELARALATEPKLLL LDEIAGGLTEGECRSLVATIRAIHARGVAVIWIEHVLHALNSVVERLLVLHFGKVIGI GKPDDIMASRDVREIYLGIEI YP_002978906.1 MFTRRDFLKTTAATGALAATSGLAAPAIAQDAAIKLGYVSPQTG PLAAFGEADKFVIDSFLAVTKSKGLNYEVVVKDSQSNPNRAAEVAKELIVTDEVNLIL VASTPETTNPVATTCEAEEMPCISTVAPWQPWFIGQQGNPGDPTSWKPLNYAYHFFWG LEDVISVFTNMWAQIETNKKVGGLFPNDGDGNAWGDKVVGFPPVLEKMGYGLIDPGRY QNMTDDFSAQINAFKSGQCEIITGVVIPPDFTTFWNQAKQQGFAPKIASIGKALLFPQ TVEALGNAGHNLSSEVWWTPSHPFKSSLTGESTAEVAAAFTKATSRPWTQPIGFAHAL FELAVDAMKRAGDPTDGDAVAQAIAATKLDTLVGPIAWDGKGLPPFAAKNIAKTPLVG GQWRLKDGGGYDLVITDNKTAPNIPVGGKMEAIA YP_002978907.1 MSRIFTYSGSPAHIVFGEGKSAAAGEWVEKLGCTKALVLSTPQQ KADAEALATRLGSLAVGVFAGATMHTPVDVTEEAMEVVFQTQADCVVSLGGGSTTGLG KAIAYRTDLHQIVIPTTYAGSEVTPILGQTEAGRKTTVRHASILPEVVIYDPALTLGL PVGMSVTSGLNAMAHAVEALYAQDRNPISTLMAVEGLRAFKTSLPDIIANPHEPDARA DALYGAWLCGTVLGTVGMALHHKICHTLGGTFDTPHADTHAIMLPHTAAYNAAAVPEL LAPVADIFGASVGGGLWDFARQIGSPLALKGLGLSVADLDRAAEIATENPYWNPRPID RKSIRALLQDAWEGKRPA YP_002978908.1 MTPGPMALNFSEATSHDIFAQRLQPSKDNILPQVLAAAVTHLHT LIREVRPTQAEWRQVIEFLTDVGHASDERRQEWVLLSDLLGASTLVEEINSRRPKTAT PNTVRGPFFRADVPQLPLGGNISLDGIGEPLEVSGRVQDLDGDPIANAEIITWQANAQ GLYENQQPDLQPEFNLRGGFRTDPDGRFHYRTIKPCGYGVPDDGPVGKLLRRAGYPLR RPAHLHFIIKGPDFETITTHIYDGSDPHLAEDAIFAVKPELVRTFEQQGKGWLLKLTF VMVRARQGADT YP_002978909.1 MKIDERHLVQLAAVVKTGGVTEGAALLGLSQPAVSRTLAMLEAR IGEPLFVKGRRPLQPTSLGRALADHGQTMLSASRKASDVVESFRAGRSGVVRVGGTPF FMDALIAGMIAEFQNLHPDVRIDQSYGYFPDLRAALNADQIDLAICPIDILDEGSGLE FQQILPGRNVVACRVTHPLLLKRRPQPAHLLDFPWVAPPPGSPLLTDLRSMLLSFGAT EVKIRYSGGSLMSVVQYMKAADALTIMPHSVVFALRNEKSITALPVPIPHSERALGLL KRSDAPRTPAADNFARHIRTGFDNLKHLIKRHEQSVVWGS YP_002978910.1 MSRQQSNQRSDIYSLITNTIIADLERGVRPWTKPWTTGHATSEV GRPLRHNGQPYTGINVLLLWSQAIARGFPSSRWMTFRQAIELGGAVRKGETGTTVVFA SSFIRTETTETGTEIEQDIPFLKAYTVFNTDQIAGLDGRFDDGAPHQDPMSRIGDAGR FFANTGALIRHGGSAAYYAAQRDYIQMPCPDAFRDDASYVAVLSHEITHWTAAPRRLD RDLSRYAKDRSDRAREELIAELGSAFLCADLGIVPELEPRPDHASYLDGWLKVLHHDK RAIFSAAAHAQRAVDYLHSLQPELDEEEAA YP_002978911.1 MASPWKLLAGLVSRRRQQKQEHGSTDDVKPDVSAIAEPTEAAAG NRSKAADRAANEKPVTHEQHEAVSVDLPRHAEEAANSADHTTDVESATLEKAADLASS DKVDIAAHAAPKPSRIDDGAARKRSRQARKADVVDVVSRPSPRLAIVSDDAISLEGEI RMLRDQLARKLRLQNAQLRRMLDRFER YP_002978912.1 MKSVAQDMQDEAMPFMSLAPQARSTGMLVSEEEQAGPLLTLPIE QETNASALQETIMADEKDTTNNADTPAAAAPDGLKKVRKPRAKKVVPATASAAVSSQP AAASDAKAGKQTRGRKAKPDEGTTSAKRVPVKRAPKAVSIAAAPSVAAVDEISDLLQL EEENQKLRKLLAEKLRAENADLRKRLNLG YP_002978913.1 MDHILVADGDLEIRRLLTRYLVDQGFRVTAVACRQDCERWIDTS TPDLIVLDVLLPDGSGLDICRNLRASQSRIPVILLTALKEEVDRIIGLEFGADDYLGK PFNPRELVARIRAVLRRAGDAEDSDTDVKVFTFAEFIADTSTRRVCKLRGPEIELTGA EFDLLVVFLERPGRLLSRDRLLDLTQGRKSEPMDRSIDVLMSRLRRKLGQTQSGPLFR TIRNGGYQFTLPVKAGVPDVAASIAVLTGNDNVRSL YP_002978914.1 MESGFNRAGSLALGAAAPSEQLLVAADGIKEKYDDWGVECAVVD VGKQCAAGQFHEDPKSGLVAFAIQVYPSEELGTRVLIRMPLGLKLSDGVRLELDTQPS KQHADVATCLPDGCVVLLIMSDATIDILKAAQVLTVSATSFASGGKLTFRVSLKGFPR SLERLDELR YP_002978915.1 MDIEMNDGKSRQSLLPWRGVDHPLRTRLLCLIAGLVASGLSTST FAEDSATDSTSLFQVGSSIADTGTGYSLFRGPQHGSNDYWIECSCSNGALAVRSCPSL RYKCYCADKPSISCSQ YP_002978916.1 MISAIVCNAMDAALNIRVHPLRKTDLGKSSVHAGWIAHTVLLLT LLSSATYCRAENVISVTETGVNVTRHVSIGLNKTLIVELPQDAHDIVVSDPGVSDAIV RATRTIFLFGKKVGQTNIFILDANKRPIVNIDIAVERDIAGLETDLRRLIPDAAIKVE IISDNIVLTGTVRSAQDSAQAADLASAFVKGGEATTRTQSASSGGSQGSVALVAEDRQ ESKIINLLRIAADDQVMLKMTIAEVKREILKQLGFDNELKNAGGSTIAQLGTASTDAT TATSGGGLSALFSGSFGKHGLSTTLNALEQAKVVRTLAEPTLTAVSGQSASFQAGGEV LYSNTDRDGNTTQTPYSYGISLSFKPIVLTSGRISLQISTEVSEPVTSISGSSPTYGK RSTSTTVELPSGGSIALAGLIRDNFNRTSNGTPVLNKIPGFGALFRQTSFERNETELV IIATPYLVRPVAAKDLNRPDDNLSPADDASQGLLDRINKLYGNGKTLEPTAQYHGTVG FIYK YP_002978917.1 MLTAAITGNFASTFFGQTRPNSDVPCSILGINTGLEKQETTSLA LRWVTSLSHCPPLNRMRLDFAGLGRADPKFCAEAWSLSSNHRAIVAAIPRPPFAIRPA GGPHECRVRISHNEAARPARKQFVKHGNVQSMIVPRTGELLAGRPSKIHRRLKKSKGA NSWLTSIYRLLRSEKVTVPIKSNANSRWSPHLPGFSKRHAPPAGTHST YP_002978918.1 MRQVRLADPQANNPTEDRQAGRTHIGCSGWYYWHCKGAFYPADV PSSQYFAIYQKSFKTVELNAPFYSWPTIGAVKAWIRQAAPGFVYTIKVCELITHIKRF EHAESLIQDFGYIADLLGPHMGCFLFQLPPSVRYTPEMLQSILSQLDCRRRNVVEFRH KSWWNDEVFEAFNAAGVIFCSCSGPRLPDELVRTADDIYVRFHGIRQWYRHDYSDAEL LVWAERIRQSRAKTVWAYFNNDRDGHSIKNANRLSELMNISAT YP_002978919.1 MTATFLVIAISSLDPDGIDTRNEPMLVYPDALKTARQLKSEGKA FRVIADGDQTEEQLQSFLALGALV YP_002978920.1 MDAVANCWRSGKDTAIQGSVSCSGRAWGHRALLDSAPFVDAAGR DQAAFDLERVPGISSSPWNICATRPLLAQFERLSEMPNQRANDDELRDIIDRKLTALI QEMEDADWSAKDVAFAIDDVLKSKWLDRMMALQDARDAVPKGFLSDGNEG YP_002978921.1 MRNCLGLLTAGVFLLQPCSFAKAQAPNALERAIGDVQPCRSLKT KVSSFGISVEVGVDKLDSVKIENLQLSVNGDTAEASARGTLACKTSDEALVEGGFSAT AEVRLKIDLSTCKTTDTSIEIVKTGGRFGDVVKGLETQISGVLRQSLEKNLAKLCQK YP_002978922.1 MRNDFDFAPLYRSSIGFDRVFNLLTNAQQRLQAIDTWPSYDIVK TGEDGYRIQMALAGFADADLEITQERNVLVVKGQKADEKDGEYLHRGIAGRAFERRFE LADHVKVETASLTNGLLSIELQREIPDAMKPRRIAIGGNAETQAPLQIEGEKHEAA YP_002978923.1 MKTKGSDHTNPHNAVDAISASRILHTHRHFDHPEEVVSAGLSTD EKREILASWASDLHAVESLPELRHPPGVHHPVRYRDILDALKKHDEWRGEGSQTQPTR RPVLLG YP_002978924.1 MKVRQTQPRSVTTTHDPMPERLLVISRYVENIRRLARLADRLGR SELGTAMMEVAGCMERMSNDIAMSDSGADILRQAARLVGTAEGLLERQAKLAVLH YP_002978925.1 MPLNDVPWTLPVRIRLQCGLERTFTGAYDALDFLENEWPLRHGK RHERAVKTCRGVLNGIIPSTVAREAFVAACLEAGMPSVLAPWKGKPKTHPARPARLVA H YP_002978926.1 MTGHSREKLHGDLPSASSKAASADRKELAAIAFERTRMPMVVTD ARKSDQPIVLANKAFLELTGYEAEEVLGHNCRFLQGPATSPIAAAEIRAAIAGEREIS IEILNYKKSGEQFWNRLHLSPVHGDDGRILYFFGSQIDMTEYRRIEALEASEHRLLME VDHRSKNVLAIVDSIVRLSNADDPALYAAAIQHRVQALARAHTLLAARRWTSISLEEL IRQQVSPFAATRTFFSGPDIDMPAPAVQPLALVLHELAVNAAHHGALAAAQGRLSISW KPGPSGAGFRIRWQEVGVDTPPRSAKRGFGTVIVGAMVEKQLNGRLEKTWSDEGLLID IEVPSAGS YP_002978927.1 MIMKLFSNSSRSRERFLEAILQSAIEYAIISTDLDGLVTTWNEG ARRILGWDADEIVGQPAAVIFTEEDRQAGILQLEMTAALTEGHGNDERWHVRKDGSLF WASGQMMALTSDDGKVEGYVKILRDRTEQRENEERQRILMHELSHRMKNTLAVVQAIT SQSFRNASSLEDAEHSITARINAYSKAHDILLQQNWLGTSIATIVEATAINLGLETSG RFKASGPAVELGPQAALCFSLVLHELVTNASKYGALSVDTGTVEIEWSVRDEAGDQRL TFTWQEVGGPAVAAPSKKGFGTRLVSSSLSAFGEIALDYPPTGFVLKLDASLQKLQYR NYSDAEA YP_002978928.1 MKALTWHGKHDIRCESVPDPQVEEGRDAIIKVTACAICGSDLHL FNGVMPDMHNGDIMGHETMGEVVEVGKDNKKLKVGDRVVVPFTISCGECFFCQRGFYS GCERSNPDPAKVKKMWGNSPAGLFGYTHLLGGYSGGQAEYLRVPYADVGPIKVPDGLT DEQVLFLSDIFPTGYMAADFCDIQPGDTIAIWGCGPVGQMAIKSAFILGAERVIAIDT VPERLALAEASGATTLDFMDEDIYDKLMELTNGRGADACIDAVGTEADPSASWDSRLD RIKVATFMGTDRPHVLRQAIHCCRNFGTVSIVGVYGGFLDKIPMGSAINRGLTFRMAQ TPVQHYLPLLMERIQNGEIDPSFIITHRATLDEGPELYKTFRDKKDGCIKVVLKP YP_002978929.1 MKKIIIASLAISLAGPVLAQSAAEKSGVNSLIGVAPKTEDFVQE AATSDMFEIESSRLALDRGDAATKTFAQQMVTDHEKTSSELKALISAGKVKAQLPSAM TSAQQDMLDKLKGLQGDDFMKQYHSDQESAHEDAVDLFKRYGEGGDNAELKAWAASTR PALEHHLQMAEDLNK YP_002978930.1 MNYLWLFAVAGGAALLGIALAFGMIKQDGRRSVFAIAGAFIVAI GALGLGVYVAKAPTAPVRASDREQSQQRLPAAATTEKDLPGK YP_002978931.1 MDRLVYFKRMASDPAALDTVVRRIIVRKIVDELQEICDSEASGG ADRYPAVDGLLRTIRASTISIGKADHRCWQKIVDELLQLRATMVDLKAGHGGKTSH YP_002978932.1 MTQHSGITKPALQPGDIAMLQAVLQGWCQEKSCGMASPAAQHVA RELVSWFECGIRDQGKLSGLMRYMQVDFNSLSIPTKPRRPEPLRSAVNIGETSVL YP_002978933.1 MKSLSPVAGKTIVIIGEPGFLSDSVKKAWAARQAVVVTSSVDMF AMHGPKETFACDAAIIDVTIPDEAMLAMNEWLEIRRIPFVFAQGHPAEALSAGFVLSD RAADINAIVEALFGFGAGYYH YP_002978934.1 MLDSQSAHLKALDSDDLSHLRAILNTICCETGKPLRAPETQEIA ALLISLYRHGVADQDKLLSVGRLASARKRRL YP_002978935.1 MDDEQLNFRNELLRAMGPQERDLIVPHMEPITLDVPLILEMEDT LVGDIYILESGLASIVARFPGGRDIEVGIVGREGMTGAAVMLGGDQSANRTFMQVSGH GFKLPAPILSAAMEESRTLRGLLLAYVQALLAQTTSTVLANGHAKLEERLARWLLMVH DRTDGMMISLTHEFLAVMLGVRRAGVTVALHLLEGKGLIRSTRRQIVILNRRGLIEEA HGCYGAAEEEYRRLIGSPLAR YP_002978936.1 MSVAGTTSILLMEDEIIIAMDVEDILHGAGFDRIETVSSCRAAE EWLTANDPDLVVMDIELTDGVAGAIARSLRGRHIPFIVYTGAQRKVHPDALSFSEGVW LEKPCEPSILLTEVMRCIGQGPTSIVVDGRGESKKTR YP_002978937.1 MNQQSDGRASFIYIGAITLVGLLIVFGSMVSFNASGGNDEPAKI STKAKP YP_002978938.1 MDAPEHDPIQVTRPSTEQQRNSLKGGRIAVTLIATVAVVAFLLY VTILVYGLAHRM YP_002978939.1 MPNAADILIDTLIEWDVKVIFGLPGDGINGVMEALRKRQDQIRF IQVRHEESAAFMASAYAKFTGNLGVCLATSGPGGTNLLTGLYDAKLDQMPVLAITGTQ YHDLIETFTQQDVDLTRVFDNVALYNAHVSDASHMENVASLACRSALSRRGVAHLSIA NDVQEMDGKSRSKRNRPKHVPNRYFHGRQVPEEIELDRAARILNDARKVAILAGRGVV GAAEELREIADLLAAPVAKALLGKTALADDDPLTTGGIGILGTAPSQEIMEQCDAVLI VGSSFPYIEYYPRPEAARGVQIDSDPQRIGLRFPVDAGLVGDARETLRLLRPRLTRKT DRSFLEKAQTAMSEWRRKMETMETERSAPLKPQAVVRAFGRRIAADGVLVADSGQNTE LAARHIDLRTTNQFAVSGALASMASGLPYAIAAGAADPKRPIYAVIGDGGFGMQLGEF STAVRMALPLKLLVICNGMLNQIAWEQMMFLGNPQFACELAPIDFAKAAEAMGGRGFT IRRFDQIEPTLTEAFSVAGPVVIQAMVDQYEPMMPPKMPKDYAKSFRQALPETPGHRA IEANVSRSPLREMMDAGEQEKELSENTTDLPGIP YP_002978940.1 MAGVVICILIVVFLFRHVTEADPRLDAENAALTAGDATKGKLVF LAADCASCHAARLSRTD YP_002978941.1 MSMPKSEQQQTTISRRLDRNIEALLKRREQEARQSSRQERAADA ITRFAGSLMFVYLHLLVVTLWIVVNIGLVPLVPAFDPSLVILAMVASVEAIFISTFVL ISQNRMAEADDKRADLNLQICLLAEHEATELLTLVSAIAEKLGVEIETSEELEELKEH VRPEEVLDEIEEKMQRRGTREPPA YP_002978942.1 MASRPARKTSKPRAASSDMLVRSKIPVWIVKALLSSGIKRVSKL SSMTDDELLALPGIGKRAIELIRSSRKARESDGSHARGSPVRR YP_002978943.1 MLMNGMIERSPRTRVKSVRLGFLGVGWIGRSRMEAILRTQMAEA AAVADPSEDMLNEALRLAPDAEIVGGLDALLSRDLDGVVIATPSALHAEQSIRALRAG VAVFCQKPLGRSGAEAAAVVAAARSADRLLGVDLSYRHTQGMQRIRDLIRNGELGTVF AADLVFHNAYGPDKSWFYDKSLSGGGCVIDLGVHLIDMALWCLDFPQVVDVTSTLMKG GQPISAAGDVEDFAIATLTLASGAVIRLACSWRLQAGCDAVIGADFFGTSGGASFRNV DGSFYDLAAYRFRGTSAEDLALPPDDWGGRAAADWAVRLASGEAYDPSCERLVEVADI IDDIYRHA YP_002978944.1 MNMLARAAEGATRAAIITGPGDVSVEERPLPQPRPGQVRIRLEG SGVCASNLGPWAGPEWMSFPTEPGGLGHEGWGRIDALGDGVSGLALGDRVAALTYHAY ATHDIADEDMVVSLPRAFDGQPFPGEPLGCAMNIFRRSRVEAGQTVAIIGIGFLGALL TQLVSGAGARVIAISRRPFSLEIAKRMGAAETVSMDDHWRIIEAVRELTNGAFCHRVI EAVGKQWPLDLAGELTAERGRLVVAGYHQDGPRQVNMQLWNWRGIDVINAHERDPRIY VSGMREAIAAMISGRLDPSSLYTHVYPLEGLGEALDATRDRPDGFLKAMVTC YP_002978945.1 MAMILVTGGCGFIGRHVVEELLDNGYEVRVLDALIDQVHGDAET APPEAAEIVRGDVRDKTAVERALLGADGVIHLAAEVGVGQSMYEIARYVGGNDLGTAV LLEAMIGRRPRRVVVASSMSVYGEGRYETAEGAQLDLVRRRSDQIRAAQWDPRGADGK DLRPVATDEEKPVDLASIYALTKYAQEKQVLIFGEAYGVEAVALRLFNVFGAGQALSN PYTGVLANFASRLANGQPPMIFEDGEQRRDFVHVRDVARAFRLALEKPDAPGHVINIG SGQAYTITEVATLLADAMGVPEIRPEIMNKARSGDIRNCFADIAKARELLGFEPRFKL ENTLGPFADWVRNAGAIDRGAEMKRQLEERGLVS YP_002978946.1 MTISAFSAGTGTCSRPYGFVEWFRPGEYERTSQTIADVGTSGAS YLRTHLSWAEYLAPGGEAWFDWLIPKLGREIDLLPCIHYTPPSLSRTGRSSGAPADLK SYADFVDHVLTRYGRYFSHVELWNEPNNLLDWDWREDKDFLLFCEMVGAAAYWAKHRG WKPVLGGPCPFDPYWLNLMGERGVLGVVDAVGFHGFPGTWDSEEGTWSGWDMHLGEMR NIIGRFNDKAEIWITETGYSTWRNDEMEQARRFVKALSVPADRMYWYSWRDVPPDVPV QEGLWFDPRHYHLGAVTHENQPKLLARLLMEGGVERLEQVARLATPNVAKGAAPIVIT GGCGFIGSNLADSYLQDGEDVVVLDNLGRPGVDQNLGWLTERHGSNVHPVLADVRDAR SIEAAFADAKAVFHFAAQTAVTTSLIHPIDDFEANARGTINVLESVRKAGRRAPVIFA STNKVYGGLDDLAMREAEDRYLPVDATVRSYGIGEDRPLDFCTPYGCSKGVADQYVLD YAKSFSIPTAVLRMSCIYGPRQFGTEDQGWVAHFLIRALAGEPVSIYGDGKQVRDILH VADAVAAYRGVLDGIDGVKGRVFNLGGGPTNAVSVLAVLREIGKLIGRPVETSFDDWR PGDQYFFVADTRKLQHTLGWSARVGWESGLRHLAEWLIEHRFGGRPILRRDRKVSA YP_002978947.1 MIRRRNRILMTLDAVGGVWRYAMDLGAGLRREGMEIVFAGLGPA PSATQTSEATALGQLVWLDAPLDWMAASRAEISAAPAEISRIARDHGADLLHLNLPSQ AAGIGTPLPVVVVSHSCVVTWFAAVRGTPAPPDWAWQSDANREGFDRADAVLAPSRSH ADALEAAYGPLSRLKVVHNASRVGSDPRPKKIFVFAAGRWWDEGKNGAVLDRSAAVMP LPVVMVGSCSGPNGQRLQLDDADDRGPLPYSKTIALMRRAQIVVSPSIYEPFGLTVLE AARCGAALALSDIPTYRELWDGCALFFDPHDPKALAAACMRLSEDEQLRAELVVRSLE RSRAFSLERHAAAVLETYARLMNDKFNLVAAEQS YP_002978948.1 MKFLFYTHSLVSDWNHGNAHFLRGIMRELTRRHHEALALEPEQS WSRGNLLSDQGPTAVEKFHDMFPQLRSQIYGAHFDHEAAIAEADVVVVHEWTEPALVE RIGRARRKGADFTLLFHDTHHRAVSAKGDIAGLTLDDYDAVLAFGETLRQRYLRAGWG RSVFTWHEAADDALFYPRPEIEKTGDLIWIGNWGDDERSAELMEFLVRPAHALGLRAT VRGVRYPRQALDALEDAGIAYGGWIANAEAPLAFADHKVTVHVPRRPYVENLPGIPTI RVFEALACGIPLICAPWHDAEALFRPGRDYLAARNGTEMTSLLREVTADDALAASLIA SGLETIRARHTCRHRVDELFAILEQCGTAGVVGRLQAKEAAE YP_002978949.1 MKIAFYGSSLVSAYWNGAATYYRGLLRALARKGYDITFYEPDVY DRQKNRDIDPPEWCKVVVYQGTIDALRQVTAAAAEADIVVKASGVGFEDDLLLQEVLR HARQGALKIFWDVDAPATLSELRQTPEHPLRKSLSRIDLILTYGGGDPVIDAYRGLGA ADCVPIYNALDPQTHHPVQEEARFTADLAFLGNRLPDREARVEQFFLEPAARLPRQSF LLGGSGWSDKALSSNIVHIGHVLTRDHNAFNATPKAVLNISRTSMAENGFSPATRVFE AAGAGACLITDYWQGIDLFLKPGEEILVARDGQDVADLLTGLTWQQARAIGQRALRRV LAEHTYSNRAETADAIFRAHAARAEAAE YP_002978950.1 MTNPLDILILGLSLSSSWGNGHATTYRALIGGLHAGGHRVLFLE RDVPWYAAHRDLPDPDFCQLVHYSDIEEMIENHADRIKAADAVIIGSYVPSGVAVIDR IAALKPRRLCFYDIDTPVTLAKLDRGDEEYLARRQLATFDAYFSFSGGDVLAGLERGY GARKAIPLYCSVDASRYRPTDEAFRWDFGYLGTYSPDRQPTLERLLIEPARQLPHLSF VVAGPQYPENIDWPANVERIEHLPPADHPSFYSRQRFTLNVTRTDMIAAGWSPSVRLF EAAACGTPIISDEWRGLNEFFADGQAIIIAKGSGDVVDALTTIAAAGRRALASAARAT VLERHTGEVRARELAAALRELPEEGGERQSSPASIHFSLGDA YP_002978951.1 MLQRTRNGRVKTVLVAGGAGFVGSHLCDALLARGDRVICVDSYI TGSEDNVRPLINHPKFHLIEKDICHLHGVDEHLDQIYNLACAASPPQYQADPVHTMMT CVAGTGNLLSLAEQHHASLLQASTSEVYGDPVEHPQTEDYRGNVSCTGPRACYDEGKR AAEALCFDMLRAGKVDARVARIFNTYGPRMQPSDGRIISNLLVQAISGAPLTIYGSGD QTRSFCFVSDLVAGLMALMDVDPNPGVPVNLGNPGEFTINRLVDMVLAMVPSTSVVVH KPLPQDDPQRRRPDISRAKDLLGWQPTIPLAEGLRLTADWFIAAARPDGDFDDAQVTR RSTWRRRAKAGRAAIGI YP_002978952.1 MDAAQPTRDPNLQKRIQQLGPWFQNLNIGGHMTAADHFLGDYPT FKWKGFQHVLPADLSGLSVLDVGCNAGFYALEMKRRNAARVVGIDSDPRYLAQARFAA EQAGAEIEFRQMSVYDVARLGETFDLVIFMGVLYHLRHPLLALDLLYEHAVGDMMLFQ CLQRGDERVPDLREDYDFSEWSIFDQPEYPKLFFVEERYASDPTNWFIPNQAAVEAML RSAGFRINDHPEREVYLCRRGQRHYAVEPPPVAAP YP_002978953.1 MNSRNRAIFSRERPAGFVRINRASWIDTLRVVAAVMVPTAAKGV IIRRPIMERLAQRQDLDTGAVSQMQRLVKKYGREPLLLPIPFRPQLLVFDPSDVSTVL ECSAQPFATATWVKRSALAHFEPKNVLISDAARRAELRPIHEHALASADRLHPSSAGF KTIVDSELLEILDGIGSDRPNELDWSAFSRAWFRIVRRTVLGDRARNDVTLTKTLNDL RSRANWAFAASIDQRKRRQFQLQLGQYLREPEEGSLIARLPKGSDFDLESQVAQWLFA FDAAGVAVFRTLALLACHPSYWANAVDEVKDPDLDRPFTRNCLLEALRLWPTTPVILR ELTEDVKSGDRTVVRGTGVIIFAPFFHRDPELAYANRMEPSIWGRNDTLPTVGFVPFS AGAAICPAHNLVPAIASFAIGALLSEADITLLQPSLTVDDLPGTLNHFDIRLRLSKRP VKARAPHAAAGFPPH YP_002978954.1 MPDEPAANASDLEPRKGSPSPRLDEREFKRRFLSQFKDRVYDTL DNELGKVAAAAWNAYAHSRKSPITKKAGPGFADPDYDLGVDWLEARDQIRAAQARFED ENAPSRVLLVNGSSRSEHTCPGEISKSFRMVEIAKDVFAEAGLTVNVLDLSRIASEYG RQIHPCKACFSTSAVLCHWPCSCYPNYSLGQIHDWMNEIYPMWVEAHGIMIVSPVNWY QTPSPLKLMIDRLVCADGGNPDPTSTHGKHAKEAKELEMQGWDYPRHLSGRLFSVVVH GDTEGVENVRRSVSDWLTSMDLVPAGALAEVDRYIGYWEPYATSHDAFEKDTAFQQEV RNAARTLLEGITSRRNGKMVAAGRRLKQPREK YP_002978955.1 MAAWEMVAELDAGTAHSGQDNEIDDENGNRALAAPFLSID YP_002978956.1 MDKHELGKGLAVVTGASTGIGYELAKCAAREGYDLIVAADEARI QQAASSLKEFGTSVQAIETDLSTEDGVDRLMEAIAASGRSVDLLMANAGRGLGKGFLD QDFAEARKVVDTNIVGTIYLVQQVGNLMRSRGEGRILLTGSIAGFMPGSYQAVYNGTK AFINSFSFALREELKETGVTVSCLMPGATDTEFFRRADLMDTSVGQAKKDDPAEVAKI GFDAMMDGEGDVVSGWKNKLQAAVANVTPASILAHQHAKMAEPGSGKE YP_002978957.1 MTALSSQRPASQETSSVELEIVELTPALHAFARRFLRSEDDIDD LVQETLLKALNSIQLYHPGTSLKSWLFTILRNTFCSNYRRRKREPVGMDVTMEQVSVA PSQEWALRERELRQAMTRLSDDRRRALTLVAAGTSYEDAARICGCRIGTLKSRVSRAR ETLHSLLGNQLID YP_002978958.1 MATEKTLNDLFLDTLKDIYYAEKQILKALPKMARAAQSEEGKAG FLQHRDETQAHVERLEQVFEMIGKPARGKTCEAIQGIIAEADEIMDEFKGTVALDAGL ISSAQAVEHYEIARYGTLIAWAKQLGFKEAVPLLQATLAEEEATDKKLTQIAETSANV KGKRAA YP_002978959.1 MSVISFDKLRDTRERPDAQFVRKDEHGQTMYLFALEYEMGPSTW SAKIWAYSFEDAEMRVKAMRESLAVCGQMHASIGA YP_002978960.1 MHLIQLFIPAAPQSTIKIEDVIAKVQREMTERHGGATAYLNSPA KGLWSNGEGAEEEDVIVVEVMVDTLDRAWWRRYRRNLEQLLRQDELLVRAIPVEAL YP_002978961.1 METQFRKLEVHRKGDEFAGWPANYGLWSWGDEVVVVFARGKLGA KGELHELDRDYPFVPWQARSLDGGLTWMSERFSGRVPGGMSLSADEHLNADLKVGVRL SADDELRCLEQPIDFLDPETIVMCARTDVQGDAVSWFYVSRDRARTWRGPYPFTGLDI PISARTDIVPMGRNDALFMLSTSKEDGAEGRVFCARTADGGRSFVLQGFVGPEPEGYS IMPASTPLSGGAILTLTRCMGTGGDKGWIEAFTSDDQGKTWTRKGRVVDNTGSNGNPP ALGRIDGMLLLVYGYRDPPFGIRMRVSCDDGQTWGAEKIIRSDGGTADLGYPRVVKRD QASILAVYYFNDGEGEERYIAASIVASIGD YP_002978962.1 MLFIAIGFVVAHIALATAIFALRGGDQLWVLGLVFGWYCFAMFG LIVYLWVRHRKSTRRG YP_002978963.1 MPRFYFNILSEAGSLDDWEGTELADLDAARVEAVRDARALMSSA VLLGYDISSRSVEIRNETGDILLVLPFAEAVKPMG YP_002978964.1 MPHQSTVENTLLKLLSVDGFARLSGEMERVDLPLRHVLVASDVP STHVCFLERGLASMVVSSIDEEVVEIGHIGREGASGMHVVLAVETTPTRTFMQVAGSG IMVPMETFQRALADDPEMKDFFLRYVHTTVLQLAHSALANARYNMHERLARWILMCHD RLEGNDLAITHEFLALMLGVRRSGVTNELHVLEGVHAIRSTRGNVRIVDREKLIEIAG GCYGVPEREYERLLGLPLGAR YP_002978965.1 MAYERDMAIVFDSVTKAVIVSFRGATVYLPGPYADRKEAVLIAE AHCRRLGWRD YP_002978966.1 MLIGYHASHEQFSPSELLCFVQAAERAGFGAVMTSDHIAPWSEE QGNSGNNWAWLGAALATTSLPFGSLAIPGGWRYHPALLAHLAGTLAEMYPNRFRWIAV GSGEALNESVVGSGWPEKAERDARLRAGAGIVRDLLRGETVTVRYPWFAVEEAKLWSL AERPPAIFGAALSAKTAGWMGDWVDGLITVRKSKESMQELVGRFEDNGGHGKPLVLQL QVSWAMSREEARLAAWERWRNAAVPPTVLADLKRPKDFDEVTNTLRPEDIEEFVPLIT EGSELLEIISELASCGFEEVYLHNVSLDQHGFMRFMAQEVLPHIR YP_002978967.1 MNIKLICASMLAAGMATSAFAQSSTGAGTTTEPNAIGTDGRANV QTMQPMTSDPTSTGSTTNGSMGATMPGGCSGGSDPASSTASGSVQTQGGLSDATPQGQ ACAQ YP_002978968.1 MCTAIFLANRDVQVTLLEKGRIAAEESSRNWGWIRKQGRDADEL PIVIEACRLWQQLADECLEDIGLRQTGVTYVARTAKDMAAFEDFMKIAAAHDLDTRLV DGNDVSSVASGMSRRFSGAMTTPGVMLVSAKL YP_002978969.1 MRYAELQVTTHFSFLRGASSADELFSTARELAIEALGVVDRNSL AGVVRALEASRATGVRLVVGCRLDLQEGMSILLYPTDRGAYSRLTRLLTLGKGRGGKA NCILNLDDVALYSEGLLAILVPDLADETCAVQLRKMAEIFEDRAYVSLCLRRRPNDQL RLHELSNMAMRHRVRTVVTNDVLFHDPSRRQLQDVVTCIRNNTTIDDVGFKRERHADR YLKPPEEMERLFPRYPEALARTMEIVDRCRFSLEELTYQYPEEAILPDKTPQESLEHY VWECVPNRYPEGLPPEVLKIVRHELDLIRTMKYAPYFLTVFSIVRFARSQGILCQGRG SAANSAVCYILGITSIDPSTNDLLFERFVSQERDEPPDIDVDFEHERREEVIQWIYKT YGKDKAALCSTVTRYRAKGAIRDVGKALGLPEDLIKALSTGMWAWSEELVSDRSLRDQ GLNPQDRRLALTLRLAQQLMGAPRHLGQHPGGFVLTHDRLDDLVPIEPAAMVDRQVIE WDKDDVEALKFMKVDILALGMLTCMAKAFALIEEHKDEHLDLATIPQEDQATYAMIRK ADTLGTFQIESRAQMAMLPRLKPRTFYDLVIQVAIVRPGPIQGDMVHPYLRRREGKER VVYPTPELEAVLGKTLGVPLFQESAMKVAMVCAGFTGGEADQLRKSMATFKFTGGVSR FKDKLVSGMIRNGYTAEFAEKTFSQLEGFGSYGFPESHAASFALIAYASNYVKCHCPD VFCAALLNSQPMGFYAAAQIVGCARNHGVEIRPICINNSRWDCTLERIGDTDHHAVRL GMRMVRGLAAADAARVAAARMDQPFESVDDMWRRSGVPAASLVELAEADAFLPSLGLQ RRDALWAIKALRDEPLPLFAAASEREARAIAEQQEPEVALRQMTDGHNVVQDYSHIGL TLRQHPVAFLRKALAERQIVTCAQAMNARDGRWLMTAGLVLVRQRPGSAKGVIFMTIE DETGPANVVVWPKLFEQRRRIILGASMIAINGRIQREGDVVHLVAQQAFDLSGDLSGL AERDAGFRLPTGRGDEFAHGSPGSPDSRDRVAGARPRDIFIPLCRTPHKGTYPEPETM PSPFPKARDFR YP_002978970.1 MPRVVSIFFPDLSTDRIRRADPAIPVEQPIAVISKSGSKRWVSA ADAAARKAGLHVGMPAARAQAILQGLRMIDADPAADAVALERVTMWALTQYSPIVAVD ALDGMVIDTEGADHLQGGEERMLTSIANRFRAKGLTARVAIADTWGAAHACARAINRE TVIVPSGETIRAVERLPISLLRLPEKIVGDLRMLGFRTIGELSATPRAPLALRFGPEI GRRLDQMFGRVREPIDPIRSPELVEVVRSFAEPIGAAETIDKYVGRLIVQLVAELQRK GLGVRRTDLIVDKVDGTRQAIRAGTAKPVRDIAWLTKLFRDRTQTIEPGFGIERLTLV AVMSEPLEEKQKASSLVDDEDADITPLIDVLGNRGQRVYRVAPVASDVPERSVQRIAA VGEDATEDWVHHWRRPVRLFARPDRIEAIALLPDHPPASITWRGKRHRVKRADGPERV FGEWWKRDSEFEAVRDYFVIENESGERYWIFRSGDGIDPETGSHKWFIHGIFG YP_002978971.1 MNSAVAPIAILDGLREQIAHLESASRRRRGVLPFGVAQIDGRLP GGGLAHGALHECAGGGAGTVDGAAAALFVAGIAARTKGKIVWCLTRPDLFFPALAQAG LHPNRVVFVESDKEEDVLANMEAGLSYGGLGAVIGEIVRLPMAASRRLQLAAEKTGTM ALAVRRWRRQTEASDFGQPTAATTRWRVSVIPSEELPVPGVGRARWFLELMRVKAGEC AEFEVGACDAKGRIDIFSRSVDRQDQAGRSSHSR YP_002978972.1 MAISSTSNRDSAAYPLQSLFVPFPFVCFTLALATDIAFWQTGNL MWQNFSAWLLFAGLMLGSLAILAGLMDLMRRRTRPLRPPFLSALLYLIILSLAFANNL IHAGDGWTAVVPYGLMLSAVTFVLCLVAAAISARKYARLAWRI YP_002978973.1 MKKSQILGASVLSISVGVGLAAYAQSGDFDISQQIGPNPVLPDP APSLLPDLKVAEVVGWKDGETPAAPNGLTVTAYAKDLANPRTVHTLPNGDVLVVQARG PSGEPASRPKDLIRGWIMSIAHGDGGEQKESNIITLLRDANRDGKVDERHDLLKKLDS PFGVAWVDNTLYVASTSAILAYPYELGQNEITAQPKTITPLPGGPINHHWTKDLALSP DGQMLYVSVGSNSNIVENGLEAEKGRAAIWQVDRRTGAARVFASGLRNPNGLAFNPET GSLWTVVNERDELGPNLVPDYMTSVKEGGFYGWPWSYYGNHVDARVHPPRPDMVERAT PPDYALSSHVAALGLAFSMNSALPAAYANGAFIGEHGSWNRDSFNGYKVVYVPFEAGK PSGKAQDVVTGFIQDDQAKGRPVGVGIDGTGALLVADDAGNTVWRVASSDGKITPQPI GTDQVSANRQVSTDATAGGTADMNPGIGTERTGSTPQSQMPAAPADERPTDQKPLPGQ ADKSQPAQMQIAPAGGP YP_002978974.1 MVAMQAPNIPRRIVVISDFQNGDWRDAQFKTIAWFRQQWLSGNR GPLPEMTVTPRQNVEVVGGYIERLPLAALKGGLADRAEIWTHSRGASPKMHRPDGSPF LTRRSFQMNGPEAPFASNDMLGHIEAFGPPSILCVWGLGVSEDILLACPDSFKIYNSI DAPALRVPSEVSRHFDLILTGAAWQSEAVRVLYPDKRVAVMPIGPEFASEVTFRPLGL EKIYDVIYVAAAQAYKRHDILFNALSQLPRSLRALCVCGYGEMMEALRRHAGELNIDV DFIDPPGVPFAEVNRLMNQARIGVVCGVDDGAPAILTEYMLAGIPVLANSELRCGLQY ITPKTGRAASADEFHAGIRDMLGGLQSFDPRQVVLDNWTWPHSLRTLKSLIEIT YP_002978975.1 MNLLSSVPLSGCGLPQDAPLICFSHLRWDFVLQRPQHLMQRFSR ERPVFFFEEFIPTDHPLAYLEIHPFEGTSVKAVRPRIPNGWSEAEREAALGKLLDELI TLYGGKRPILWFYTPLMFAFARHVDAVVVVYDCMDELANFKFAPANLKASEQTLIAAA DVVFTGGISLYEAKRDQHDNIHPFPSGVDSHHFRTARNALPEPWDQVDIPHPRLGYYG VIDERLDLDLIESAAAARPGYSFIFLGPIVKISPDDLPRAANIHYLGQKAYGDLPAYL SGWEATLMPFALNDATRFISPTKTPEYLAAGRPIVGTKIVDVVRGYGDVPGVFLANDP QAFAEACDAALHISRNDQTWLQAVDEILARSSWETTFRRMSALVEEAAAQKVLSPGLP QRFSVRTQRPAPGSYDYLIVGAGFAGSVLAERLASDGGRKVLVCDKRPHIGGNAYDHY DEAGILVHKYGPHIFHTNSEDVVAYLSRFTAWRPYEHRVLAQVGALRLPIPINRTTLN ALYGLDLTDEFAAARFLADRAEPCDPVITSRDVVTSQIGSGLYRTFFEGYTRKQWGLD ASKLDKSVTARVPTRTDTDDRYFLDRFQAMPRDGYTRMFERMLDHENITVMVGTDFAD LKQDGPASHTIFTGPIDEYFGHCLGRLPYRSLEFHHETHDVRRLLPVAVINYPSEEVH YTRVTEYKHLTGQVHPKTSISYEYARADGDPYYPIPCPENQALYRRYELLARALTNVT FVGRLGTYKYYNMDQVVGQALATYRRLKLRDEAGKAFAGARHE YP_002978976.1 MNRRPHILLATDSAEPSGMGEHMLALGRALSERWDVTIALLTED RTGLLPRAARHGIGIKLSEDAGVFQQWLARSSIDLLHVHAGIGWEGHRLAAAADALAI PIIRTDHLPYLLTDPDQIELYRRETGRLSHHIVVSEASRESFCDANVAPSRLTVVRNG IFPLSPARAAAELRRALDLVDKTVLLTVARFTEQKDHVSLVRALPNILGTHPKAVLLF VGSGPEEDRVQTLAEDLKAFGHVRFLGHRADVAEIMAIADLFVLPSLFEGLPLAVLEA MSLAVPVVATRIGGTVEALGGDHPYFAEPGNPASITAVVNQALSDPHLKATGRVGHAR FERNFSARRMADETGAVYERFLTPHADQKQKDTEMRKTRLGFIGVGGIAHRHLDILTG FDDVELVAFADLDLPRADAAAMRFGARSFSHHREMLDTQRLDAVYICIPPFAHGEPEQ DLIDRNIPFFVEKPVTLDLALAEEIAAGVSKASLITGVGYHWRYLDIVDEARSLLADN PAQLLSGYWLDSTPPPQWWWKKDQSGGQMVEQATHLLDLARFLVGEVTDVYGRAGYKE RVEFPGLDVPTVTTASLTFETGVVANIAASCLLGWSHRVGLHIFADRLAIELTDRDIM VDVGRGRPVRSADGDPVWREDRDFIDAVRGGENRIRCPYGDALATHRLAVAVVSSARS GEPVHLDAPALKRSELSPLLAQPRAEMSQEPRPGHRKIRSLGIEAPGRAYFLEYEEGP PADGHVRLDTLYTGLSAGTELTFLKNTNPYFRARFDGERGVFIENEPDLNYPVPFLGY MEVARVSQSRARGFADGELLAASYAHKTGHTADPSHDLLVMLPPDLDPLLGVFVAQMG PIAANGILHADAEAFGATVPALGAGVCGRTVIVLGAGTVGLMTALFARSLGASDIVIT DPSEFRRGKAEAMGLMAMAEDQAWQHAKARWHDGTMGRGADVAFQTRAHAGSLHTALK ALRPQGTVIDLAFYQNGASSLRLGEEFHHNGLNIRCAQINRVPRGLAPRWDRRRLAGV TLDLLKTEGAAIREHMITHIVPIDEAPAFLVDLIENRPEFLQVIFKVGE YP_002978977.1 MTVEPSPLRILFVFAWLVVGGEETEVRLLAKNLDRRRYRLDVVA CFRKPGMPEQTHRQLRGLGIDVDTTPYELSFEDTVKYLAGKISGYDIVVSCQNVADIY PALERLHLRPPLIEHGGLVSEALAGPKHLTARYVGVCRTIRDAAASRMPGRDRHALEI PSMIDLSAFDPAHRERARAGLGIATDEVLIGWVGRLDPKKNVEDFIEAAALVHATTKS ARFVIVGGPDAFQPEYAVQLKALTTRHGLDGTLQFLGDRSDIPPLLAAFDIFVWLSSG EGMPHVIAEAGAASLPVIATPDNGAMQQIDDGLSGVFVPHRSPGIVANNIIALIESPA RRHALGTALRRKVEMDYSVEAVLPRWERLLADVHRERKAARPTGLFQSFLQGGFECSS HRLRPRNGQTQGNRLDLIAATGHDRHAETDYRQLQGFGLTTVRDGFRWHLIEKNGRYD WSSIRPMLQAAKLTKTQVVWDLLHYGWPDDLDIWSPRFVDRFARFARACAELVREESD GIPFYCPVNEISFFSWGGGDVGYLNPFANGRGFELKVQMARAAIAAMDAIISVDARAR FVHCEPVINVVADPSRPHDAHTAEGHRQSQFQAWDLIGGRMWPQIGGGERYLDILGVN YYSNNQWIHGGRPIDVGHPLYKPLSRILVETFARYGKPMLIAETGIEDDRRASWLDYV ADQALDAIRSGVPLEGLCLYPIVNHPGWDDDRPCANGLLSADVAQGGRAPFAPLVAAI RERAKEFASFGQHPIGGAKASDT YP_002978978.1 MTDDTLRPTPPYPAQQQEPPGQTAAMRPVPDHGEKSYRGNGKLE GKTALITGADSGIGKAVAIAFAREGADVVISYLSEDEDANDTAKWVKHAGRKAVVIPG DIKSEDHCKMLVQRTVDELGGIDILVNNAAFQRTYADIADIDAEEWDETFRTNIYAPF FLAKAAIPHMARGSAIINTTSIQSRQPSPQLLAYASTKGAISNFTAGLAEMLGERGIR VNAVAPGPIWTPLIPSTMPAKKAAKFGENTLIGRAGQPAELAGAYVLLASDLGSYMTG AVIPVTGGEIMI YP_002978979.1 MATNTTKTLDDLFLDTLKDIYFAEKQILKALPKMARAAQSEEGK AGFLQHRDETQAQIERLDQVFELLGKPARGKTCEAIQGIIAEGEEIMEEFKGSPALDA GLISSAQAVEHYEIARYGTLIAWANQLGLKDAVPLLQANLAEEVATDKKLTQLAQASA NAKGKRAA YP_002978980.1 MTNSNHQSQRQPEQVTESATDARQGRYGKPVLTVLVCGLVLAMA AWGAAEIWGESIDTDTKSTASTAPDPINAQPHGAGTFDNNPAGGGSRPPEATDRDPTP QGNGGGPTMVTTPSGTEKIR YP_002978981.1 MRIVLASLLVYLTGFSATAADDAVKQHATDFAAKAAMSNMFEIE AAKIEIASGKADDAKQFAHDMIRDHGKAGPVLNDAAKHDGIELPADLNAEYTAKLDAL RQSDAANLDQAYLSTQVTAHEEAVSLFDSYSKQGPDGQLKRTAAKILPDLRMHLTRIR GLTSK YP_002978982.1 MDTQKTIDDISLLGGHPALDFVNTVDSRGGRWGPDFLNSYDDLV AWAHRLDVIDDKERDALLVRANRSRSDAEKELGQAKALREALCRLFLSEFDESQISSN DLKLVADMARRGLSQQTLGQVGGTIEWRRSDAKDLDAISNRIALLAAGLLTSRDERRP VRACQGRNCGWLFLDQSRGGHRRWCSDKTCGSNARVRKFRLRST YP_002978983.1 MLADVNKNHLRFLMLCMIWGSTWIGTKAGIEAVPPLLFAGTRFT AAGILLLLYTLAKGETARFKVQDGFRFAVVSILMITLCYGPLFWGMQYIDSGTAAVLE MSLTPIALLVFALLLNEEKLDGRRILAIVLGVCGLVVLFWPASAQVSPPAPEAFPGAS LWGGLAVASAAFTYGYGSVLARPLLRTYPALFVSGVTTLVGGIVLLIAALAFEPGAVS ALAGDWGTVAWLGWFFLVIFGSLIGYTTFMRLLRDIGASRAGSYAFVSPVIAVVLGAA VFAEQITAMDVIGIVVMLSGAYLAMSGVPATDATVLESKPDRKRPHEDTDNVPKCQLR ALTKQIQQCRGRLR YP_002978984.1 MSNEFTEMDGRPRPSSETSLQSKDAMSSPQPSASLAGLKEKLAD DVTAAKNTIKEGADTAVEKVKEVVSDQTTFAARQVGGVAKALEKVGAELEASDQPEVG RYAKQIGRSFQGFATQMQGKDIGEIAAMAEEFGRKQPLAFLGIAALAGLSASRFLTAS AKRAPSQAKRRTSPATPTGSSTTGGYTNG YP_002978985.1 MAKSPETAPLSELIGGLVADVTGLLRKEIDLAKTEVSEKFSQAL NGVEVVMFGLVLAVGAVGVLLSALVAGVAAFLVTQGLTETSAGALASLIVGVFIALIA WAMVSRGLTALRRSNMKLDRTATSLRRDVDVVKEKI YP_002978986.1 METSTEKTSADLQREIDRDRQRIGDRIDAIQERMSPGQLVDEVL AYAKGSGGGEYVSNLGQALKANPLPVALMGVSLAWLMAKGTPASPAASHQPEPEYPLY PASGTVRRLGPPETQNGSRYSHFADSSGKRLKALTDESGHRAGHFVDEAGKTYRGFAD ATGRHVDQITDETGAMFDAATGWAAERWEQAKSAASGMSARASAAASSLSTQSSSAAT SLQEQTSKLNEIILTQFRDQPLVGGALAFAVGAAIGAALPHTDTEDEVFGEASDATTD KLSAQALDVVDQGKEVATEVYEEAASIASDAHDAVKERVVTKVDALKPGPQGGQAN YP_002978987.1 MVAASLVPGAFYWAKSSKYFDGRTTVVQVSTVFGKDPDYWTLAL LGTDQHAMPTEFEIIAPAEFPGEYPIRQAAE YP_002978988.1 MATITYHVGEHDGGYGYRLGDVWSETYPDHDSALAAAKSAAQRQ HIEGRDAEISYQLADGRWQTEHADGGDRPDTEVVDDE YP_002978989.1 MVEARTLFERIRSIRPRFGRRETCSEIEQCVIQERRLGFRDPLA EVG YP_002978990.1 MPTEIWQTPIEIAVGGGDHFKSVKNSREALATLMTCWPEKGGKS FAIAKRACMKSLSGEAEHAAAARAFLEAAIEVGILRS YP_002978991.1 MPAINRILIAALILLTTAAFAAADDWRVVKATNQAKYSVDRTNW LDLSPGDVVPNRAWVSTGPRGRMQLARGVESITFQPNTLASITTNGFLSRKTEIVQQV GSLDLEIEKRSQPHTTVQTPYLAAVVKGTIFHVTVDRTKASVSVDRGLVQVTSFASGQ QSNVGPHQSAAVDSKAGMTVRGQLSKPEIISVAPSAAKVPAVGTTKIAGAVEASEAKS KSTAAGKDKNSSASSDGNSANGKGTDSSSNGNGNSGSNGNSGGNGGGNSGGNGNGNSG KSDNNSGGNGNSNSGGNGNGNSGKGNNNSGGNGNSGGNGNGNSGKGNNNSGGNGNGNS GGHGKGKDK YP_002978992.1 MSARILLIAAMLALPLTAANVGIFQAFNNSLFAKRFEWAPRQAS GNVIFVAIDKHSLDAVGTWPWPRSIYATLVDKLIGSGARDIFLDVDFSAFSSKHEDDR LAAALKRAGGGVLLPVFRQQETASSSQTAVTRPIPQFLENAWPVFANVAMDADGVVRR FDLGSQLDGNRTQSAASAVGRIDSSSGSLLIDYSIRPETIPTISLSEVLNGSVPAEAM RDRSVVVGASATELKDIFPVPVYGAIAGPLIHVLAAETLLQNRFLRIFDQAPLELLFA VLLIVLVICGRSTGIMTMAAAGLTTVIVGEIGAFLLQRQYGLLVGTAVPWSMLLLAWI LALNERVDLGQMLVAVANREARNTRRLMRRIIADSSDGVVAFDGDLRIVEASESAKAL LRVDVGTLLLDGTDTAMIDILRRLVSEHDAEPNRIHTALADFTGSGGSHAAHYEVSIT ISPTEQTTAARSRFAGCLIMRDVTARREYEHRLKKLSERDDLTGLLNRREFASRLAAI EGEVLVSVLDIKRFSSLCATLGRDAGDELLKAVAARLASAFADDLLARLDGDHFGIAT LTNDERRIEDFADSLLRLFEEPILLRGAVVPVSVRLGGARSGDAAPGALLTAAESALD AVKASPGQRWSSFDPSTAVRQARSRRLERDMRDALQNRQFFLQFQPQIEFRSRRLVGA EALIRWNHPEFGLISPAEFIPIAESSGIICDIGRWTLFEACTEAAAWPGELSVAVNVS ALQFEGSDVEADVRDALSCSGLPASRLCLELTESAFLDKGGASIAKMRALRDRGVVIA LDDFGTGYSSMNYLADLPLDKLKIDQSFVRRMNGNPSIFEIVRAIVSLAHGLNLVVVA EGVETEVEAEALHRLGCETGQGYLFGRPDEALRMLSNWNVQMRLSANV YP_002978993.1 MTAITIAIVDDHPLFREGVSRSLSEIEDFVVVGEGASADDATML VAAQRPDVVLLDVSMPGGGIEAISEIVVRSPQTKVLMLTASEEVDPLVAALRQGAAGY VVKGIGSRGLAEAIRTVAGGSRYISPTMRSKVEKSLFDRPASLTARETEVIELVAEGL SNKQIGRRLNLQEKTIKHHMTEILSKLGASNRTEAAIRWRQGS YP_002978994.1 MYFRSYFGVVGPLVADDLALSPAEFGWLASAFFGSFALLQIPVG IAFDRWGVRWPMALMMVVGAAGSALLASSSSFWSAFIGQVAIGIGCAPIFMGALYYLG RLHSPDRAGRIAATVSSVGSIGALVSASPLSFFTAEWGWRVACSTASAMMLACALAVA TSLRHAPPARSSQHTTGGAPWRLPRLAYLIPICFTLSLGGTFRNAWAGPYLTDIFGHG TDTGAVLTAVSVVGIATSFTLPFALLRWRGPTIVTATYVVGLLSGLLLAVSPDISIYA ASAGLALLYAMGNVHPVAMTEAQALLPYQMRGIGLGALNTLVFLGVSASSSVFGIIAG LPLDAAAIYRLVFGATAMALSIALVVYVACRPPGSGS YP_002978995.1 MTTFFFSIKDGDGEFHVDNGLEFIDLAAALGYAHHVLSEMAVDG IPNSPEEEKSVAIEGPDRQPMAVMSIRVTLDFIQPSAPEECRLAAADIDRGERTPSFD G YP_002978996.1 MIEQMQKVLHEFPNLPPVDPREMIVLRTGPPARDRPRWRRDPAQ PNLPLGPA YP_002978997.1 MSDNLSKYRAKRDFKKTGEPSGEAQVKPSNRRRFVIQKHDATRL HYDLRLELDGVFKSWAVTKGPSLDPHDKRLAVEVEDHPLDYGDFEGTIPKGQYGGGTV MLWDRGYWELEGNRTPEEALARGDFKFKLEGKRLHGGFVLVRMRNDRDGGKRTNWLLI KHHDDFSVEENGAAILEENDTSVASARTMEAIAAGKGRKPTPFMMQNGDVEADAVWNS NHVFAAEERKAGVKTAKKPSAGKRANSTMPVFVPPQLCETLARPPSADGWIHEIKFDG YRIQMRVEDGEATLKTRKGLDWTAKYPAIAASASALPDAIIDGEICALDETGAPDFAA LQAALSEGKTDNLVYFAFDLLFVGGEDLRELPLTERKERLATFLSDAGEDPRLHFVEH FETGGDAVLRSACRLSLEGIVSKQADAPYQSGRTETWAKSKCRAGHEVVIGAYAKTNG KFRSLLVGVHRGNHFVYVGRVGTGYGAKVVDTLLPKLQAMETSESPFTGIGAPKKSPD IVWLKPELVAEIEFAGWTADGQVRQAAFKGLREDKPAAEVEAEKPASPAKTEVPDPET SKPAPARFRKGAKVEVMGVMISSPDKPLWPDAGDGEPVTKVDLAHYHEAVGSWLIDHI KGRPCSIIRTPDGIGGEQFFQRHAMPGTSNLLELVTVFGDKKPYLQIDRVEGLAAIAQ IGGVELHPWNCEPNQPEVPGRLVFDLDPGPDVDFSTVVAAAREIRDRLEELGLVSFCK TTGGKGLHVVTPLAVPKSKKLSWDIAKGFAHDVCEQMARDNPDLYLIKMAKNQREGRI FLDYLRNDRMATAVAPLSPRARPGAAVSMPLTWTQVKSDLDPKRFTVRTVPALLAKSA AWQDYCDGQRPLEQAIKRLGKLKQAA YP_002978998.1 MADDSTTTIRAIFETREAADRAVEHLVQQHGISRPDIFIQSTTD RNTVGSVPSGGDASHADGARDDAALEGDIEVSADIAASQIAAVQRSLGDAGAVHVSRR YP_002978999.1 MATSYDYAPLYRSSVGFDRVFHLLENAQRARSINDWPPYDIIKS GDDSYRISIAVAGFTQNDLGITFQSNLLSVTGKKQEAAHEGYLHRGIAGRPFEHRFEL ADHVRVNRAELSNGLLSIELVREIPEALKPRKISILNAPAPNSAAPAQIEAQKAA YP_002979000.1 MKPDMFKQPVSIFVGLGFPAEVRGVMDAYRHLVEWPGSPRDAAH AVALKACRAALRGEIEAETARGLFAAFAKKHDLLAPENNMIAASRLPGDSDPHVR YP_002979001.1 MMHDMLRQAIGNAMAMGPAVLVPRMQLKRPVEVVREPSLSVDDK RTILAAWASDFYAVESKPALRRPPGTPEPVSIDDVRSALDELDRRYGI YP_002979002.1 MQNKRTPPTVWLQKYHPFWQQYPFSSREQVLAIGLSQTVSSKFI GVALDG YP_002979003.1 MIDNRNEWIAARAYALWEQAGRPLGQDELHWEQAVLERNLLERT RASSDGAEVYARVPRRADPDPVEGRSVLIVEDEPQLRYNIVDFLDKAGYRTLQAANAD EALIHLRHNDVDALYTDINMPGSMDGLGLVATVRSRWPHMRIIVTSGFVNLSHRDLKA GVTFVSKPTSGLELLNLMANSA YP_002979004.1 MVRLSSACRRTCWLALMSSRKAASCGNNRWLGWFARNGKRPIVF HEILAELALLTNPADGDTFHFSVEYLTRRKVCFQFIGRRGDGLAEMDGYHIEASLGGV TALPGHLWWRPSSIGYNSLIKFYSPSKLSVGAIANEVWQGIRTPDIANSDGWHYLY YP_002979005.1 MADKTKVTDTPDQHPGLEPPIKESSQQQGMAPAPDEKEKMMPIG KPGVRVKSKKFGKSTTS YP_002979006.1 MLITDHGLPGMSGADLAVACRRRQPELGIVFSSGMIGIPQIDGH QLISDAVLLGKPYDEAGLAMALAQFRKK YP_002979007.1 MAVILVVEDEPLIRFLLSDELVDAGHTVIEAASALEAIAVLGRC DHLDVMITDVDMPGGLSGIDLMKLVRSTRPETSVWVASGRDVRSQIDPGVFFLPKPYD YRELVYIVSERAHVRQVLATDQQRSG YP_002979008.1 MLAKSSNSVRLRLAWGEVRAIEVVRLSRRLEIEIEQQSPSRS YP_002979009.1 MKLIAAATLLALVSTTALAADAVPVISDAPVAAAPAFTWSGPYL GIDGGAGWLNGDFSAGGASASEDFNGGIFGGFVGYNFQFDNIVVGIEGNLEHNWNEEE ALGADVGTDWTGAVRGRVGYAFDKALIYGAAGWTATRGYVDLPGLDKETETFNGYTVG AGLDFAITNNIFARGEYRYNDFGEKDVLGVDVDLDQHELKFGIGVKF YP_002979010.1 MAKTLGTERHRALIALLVEKREASGLTQTELADKLGEYQSFVAR LESGQRRVDVIEFLELARILNFDPLDALGRLARE YP_002979011.1 MILRSVEIALLMEHRSSVFRFSWCASSASRASLPQSILSLPLAA EYSIDHKGLYATGQSGGGMMTMAMDIKYPELFSASLPVAGQWDPALVKPLAHKILWIL VSQDDDKAWPDESAMIDVLSKEGATAARFWDGTWNAEQFHEPLRRSTQNGARSTSWPS DR YP_002979012.1 MRFLARIPHLTAVPLTRMTLAGLVCLLIGCTTARPIFQEVDARQ AEIAGFENIRAPLDGEIDSAIRRRFQRTESRVPRKYLAISGGGAGGAFSVGVLKAWSE RGDRPTFDLVSGVSTGALIAPFAFLGTKYDLVLEHLYTSGVATELLDRKFIVSGLLGE SLYSQKPLREMVERYVDKLLLTEIADEYRKGRNLFVLTTNLDTQRAVLWDMGAIANSP RPDALGLFQNVLIASASIPGVFPAVRINAVVDGRPIVELHSDGGPSAQIMTVPEAVLS NVDLPVPAGARGSDMYLLVNNALMPEFAVTANSTLSVSTRAYSILVKSQTRQSLYAVY EYCRRVGAQFHMATIDVMVPYKISDPFNTGYMRAVFKIGYDRMLNGRLWRDRPTFSTT Q YP_002979013.1 MNFSSWSIRNPVPPILLFILLTACGLWAFNRLDIQNFPDMDLPT IEISASLDGAAASQLETEVARKIEDELTGLTKLDSVTTTITDGSVSISVAFEVGKDTQ EALDEVKSAVDQAQDELPEEMNAPTVTKQSLNSSPLITYVVRSDKLDSAELSWFIDND MSRALMAVDGVGEVGRLGGVDREIRVELNANLLDGLGLTVNDVNSQVEAVQSDLSGGK GRIGGESQSVRTLAAVKSAEQLGAMAIPLPEGSWVGLDELGTVTDSHSDLTSLAYLNG KPVIAAQIKRSKGYSDTAVTDKVRDAMKVFAAAHPEVTIEEAYNTIVPTEQNYESSMH MVYEGALIAVFVVWLFLRDWRATLLAAVALPLSIIPTFLVMYMLGYSLNTITLLAISL VVGILVDDAIVEIENIERHLNMGKNPFDAAMEAADEIGMAVIATTFTLVAVFLPTAFM GGIPGIIFKQFGITASVAVLTSLLVARLVTPMMAAYMMKASGKAHEEDGRIMRCYLWL VKGALRRRWIPILATVGFLAFTALLLSHLSTGFFPASDDGQTQVSLTTPYGSTIEATD EAARKASAIIAGVDHVTSVFQATGTASTGGMNGTSNASTNSATLVVNLTPIDDRDVKQ SQIEADLRKALEQLPGVRLEIGSGGNGTQLTLTLAGDNSELLEKAAANLEADLRTLSG IGNVTSSAAMQTPEVTIKPDLAEAASLGVTSKAIAEAIRVATAGAYDTALSKLNLPER QVAIRVMLDTANRQSLDAISLIPVEGKEGNVALGAIADISLGSSPSQIDRLDRSRNVS LTVELNGRNLSDVTAEAARLPSYQNLPQGVKFVEQGELKRQSELFTSFGTSMAIGIFC IYAVLVLLFHDFLQPVTILMALPLALGGALLPLVLTGTSFSMPAVIGLLLLMGIVSKN SILLVEYAIEARRAGMSRYDALVDACHKRARPIIMTTIAMAGGMLPAALSLVSGDPSF RQPMGIVVIGGLITSTFLSLLVIPVVFTFLDDVLNWLKTRLQEDNRHAAE YP_002979014.1 MRRFAFILVATTVALTPYLARSEDTEQSTAQAAALTVAVAAPRT LEWPVTIPASGRLAAWHEAVIAAEVSGLKISDVRADVGTAVKKGDLLVQFDAETSQAE LEQQQATVEKQEAALDQAVADAERARGLTGSGALSKQQTTEYLISERKAKADVLSAKA ALASAQLTLERTKVYAVDDGVISERSASLGNVVNAGDQLFKLIRQNRVEWKAELPVKR LADVKEGTKAVIKTPVGDVGGEVRLVSPTTSTDNGRVTVYVTLQPDQGMPAPKTGILA SGYFEFDQKDALTVPETAVTLKDGFSYVFILKETEKLTVARKRVETGRRQDDRVEIVS GIDKVDKVVTSGGAFLADGSVVRVSEDAQSAKNEEAK YP_002979015.1 MRIVFSSVSILAILAVLSGCTSLGALKPSRSVVAPYWHATLPHG GRSPELVAWWASFKDPSLTELLTLAERENPTVQEAVANIDKARATLDSARAGLFPSLD GSASATREANTGDDLNKIVAGTNRSGGLDAAWELDLFGKTKKETQAAALRAQGKVWSW HDARISVAAEVGDYYVQYRACRQLEQLYRDELASQRETILATEKSAQSGFTSTADLAL SRASAATSSSNLTAQRGDCEIIVKSLVQLTATDELVIKTLLDHGKSRIPQPSSLRIVS VPADVLRQRPDINALEAEVAATISDVGAAKADLYPSLSLSGSISISQSTVTGRSVPWS FGPALSIPLFDGGTKRAAVRSAVADYDVAVASYKSGVLTAVADVETALIRVNTALKRI GDAKTAADNYQSYFRSVDENWKSGGASILDREEARRSAQSAAITLIEIRRDAVQYWIA LYKSLGGGWAAPAGAPIASANGTH YP_002979016.1 MTNVLLIDDDIELTNLLGEYLTEEGFVVETTADGRIGVAEAMSP STDIVVLDIMMPRMSGIEVLRKIRQVSDVPVLMLTARGDDVDRISVSISGRMITSLFT GRACGTDPCDLPWLGDHTTGGRMARWFGNHAKQGDWGSACGHHSSSFRATSSNAASFL ASTGDVRPDVAHRIRDANQCCLTRGGA YP_002979017.1 MNAQFSLSVAILHELEQLGLAPATDVNCPRHTQVFCVLWRPA YP_002979018.1 MGFDLSEALRSLKPQKHVGSLERRPDEDLPWVADEPAIGGPLFL DTSVYLDVLQGRSPVEVDRLITYRLCHHSAVCLSELTHAFGRLDPRHASTKAVLDTIA ATVDDIPEHRLHAPDAAIWGHAGVLAGLLFRLSSLPKGERHERRFVNDAMVFLQARQL GASVLTGNVRDFDFLSQIIPTGRVILYRARVEARSL YP_002979019.1 MGTQPQSAAEREAKVALARNKLVLEQAKAVGLLGTAKNTRLSGR VPSELIEAAKKRAHVTSDTELLELALSRLALEDDFGSRLVGRKGRIPTDIDLGI YP_002979020.1 MTVILHCVMIYCNAAKSFSAMPSSTAVPLSLTPLTSPTDLGHKR LTTQNAADRFKAERVFADASMVVDPLILIDISEMIRDAGYYVAEATSGDEAFAFLETP GSLELQTRSRRDDGEDPPMSPSSLP YP_002979021.1 MQFKRNEIHELSIFLAIVQYRSFRKAADHLEVTASALSHSMKAL EQRLGVRLLNRTSRSVAPTAAGTALAEKISAGLELINSGLEDLNGHYQGGAGSIRINV LKDAAVLLLRPAIPVFQQRFPNVELEVAVDDQFIDVTADGFDAGIRYSGTIPEDMIAV PLTPPLKWVAVAAPDYLRSHGRPVMPEDLNSHHCIRIRTGRGQIYKWEFERGDDRREI DVPGALISGETDLAINAALEGAGLCYCLERLASPYVSAGRLEVVLPKWASMGPPFSMY YSSRRQLPFGVDALIKIVRGLNGF YP_002979022.1 MSKRIIFTGGSGKAGRHAIPYLVGKGHKVRNLDLVPLNCPGVQT LITDLSDSGQTFNALSMHFSGEDLQAPGGPAKVDAVVHFAAIPSLLLKPDNQTFLVNA ASTYNVIEAAVKLGIPKVIIASSETTYGVCFAEGDKDFHSFPLEEDYDIDPMDSYGLS KVVNEKTARAFAMRSGIDIYALRIANVIEPHEYERFPGFLADPPSRKRNAWSYIDARD LGQIVDLCLRADGLGFQVFNAVNDTITASEPTRDFLGKWAPNTPILRELGKFEAPLSN RKIREVLGFKEEHNWRKYVTGA YP_002979023.1 MKTTRLGKTGLEVSRICFGCMSFGKQTDERPWVLGLEEARPLYK RAWDAGINFFDTANVYAQGTSEEITGVLLKELAPRQEIVLATKVFGRMRPGPNGQGLS RAAILSEIDNSLRRLGTDYVDLYQIHRFDPFTPVEETMQALNDVVRAGKARYIGASSM WAWQFSKLQHAAEVNGWTKFVSMQNQVSLTYREEEREMLPLCSDQGIAVLPWSPLAGG KLTRPWGTETKRATTDRYNKSMYEKTGDRDVVEAVEAVAKARKTSMAQVAMAWVLQKP VVTSPIVGVSKMSHLEDAIAAVGFELTGDDVKALEAPYKSLHVAGF YP_002979024.1 MDYRKLGPSGTVVTAYCLGTMTFGAEADEAASHKLLDDYFAWGG NFIDTADVYSAGKSEEIIGRWLKARPTEARQAIVATKGRFPMGNGPNDIGLSRRHLSQ ALDDSLRRLDLEQIDLYQMHAWDALTPIEETLRFLDDAVSSGKIGYYGFSNYVGWHIA KASEIAKARGYTRPVTLQPQYNLLVRDIELEIVAACQDAGMGLLPWSPLGGGWLTGKY KRDEMPTGATRLGENPNRGGESYAPRNALERTWAIIAVVEEIAKAHGVSMAQVALAWT AAQPAITSVILGARTPEQLADNLGAMKLKLSDDEMARLNDVSAPQPLDYPYGKGGINQ RHRKIEGGR YP_002979025.1 MTRLKHSVAVVTGGGRGIGREIALWQAREGAKVAVLARTATEIE ETVSLIEDEGGQAIAVTTDVTQYSAVENAFVRIASEFGPVDTLVNNHGSFQAFGPIWE CDPVAWWRDVEINLQGTFHTSRTACSTMLARGRGRIINLVGGGTGNSFPHGSGYASSK AAIMRLTECLNDTTIAHGVRAFAVDPGLVRTDMTEKQLFSEAGKTYLPAIQQLFDDGI DIHPSRAALLIADIAAGRFDVLAGRLLRGVDDRDVLEKEMDALAEADGRALRFSAIEQ QKL YP_002979026.1 MTNSSGFTHEIRRRTLLAGAGGAALMALVGSAKAQDIVSGELVV LNWLGGSELDMMHKIQTAFTAKYPKVTIREVAITGQGDMRGGIRTALMGGEVVDVLYN TWPAFRKELLDAGMLRPIDDQWKSFGWDRLISQSWKDLGAIAGKTYGLTYTFGDRSGI WYKKEHLARAGITESPRSWDEFVASFAKLTKAGFAAPVAIPGKYWAHAEWFETLLLRT AGVETASKLGAHEISWTDPAVKNALTRYAEMLTAGCCGAPNSMLANDWDGEADQIFQA NAKNYLLIGMWMNNRAKNDYKLTEGKDYGLFQFPALGMGHDDTSSVDTKELLVTANGP NPKAADAFLDFWTSAEAANILAKNGYASPSSNTDTSLYGETQKVATSAVASSKLQFVL GDLLPGDLVDEYRVQLQKFLQDPSAANIDTVLAAIETKAQGSY YP_002979027.1 MAGTSASPAREAAGVMQVSPLAVSIQGRGRLLRDTPAALILIAP VIVLFAVAVVYPLVETIRLSFWDIQGLRKPSFIGFGNYVRLFSDAAFRSTLLSTLIFT IGTTVISVSIGWALAMTCAFAPRQTLPFRVMIFAAFGISEAVSGYMWIGIYRADAGGL LNSLIQLFGFSGFSHAWLGDANTALWALIIAASWSGVGLPLMLIFAAIQAIPKSVLEA AYMDGAKPLSTMRHIMMPLSMPGVRVAVFINLLGSLRAFDIIYILTGGGPVRSTETVG YFMYRESMTQFKLGYGAAATVILLLAVLIVSIPAIIQRTAGAK YP_002979028.1 MIGKAPRWIVIFVGIIACIWVIPIVGIVVTSLRPPEGVSLGWWH FERFDLTFDAWHRVWDKYPLSQSMWVTMKTAGIATALTMLLTPAAAYAFHFLNFPFRR ILLIIIINAFVLPQQVVIIPLFTLWRDLGLIDNILSVLIPYVGMSFAWSIFLVKNFFE DFPRELVEAAKIDGCGPIATFRHVVLPNSLSPIFAVGILQFLWTWNALLLPMLFLRND IPLPVLLARISGSYEINWDLRSVAAIITTIVPLLVFLVFQRQFAAGSQTRTGAKE YP_002979029.1 MPNTTNKPLRYRQIHLDFHTSEHIPGIGADFDPDIFVSTLKAAH VDSITIFAKCHHGWSYYPTKVGKPHPRLARPDLLGDMVKALSAADIESPIYISVQWDE LTAREHPEWRAMTASNQYHHDRPDDPSAGKQLSPAWHTLCLNHAGLRKYILDQAREVA QSYPTQGLFFDIILTPDCVCPACIERMQCEGLDPENSADRLKNDEAVNEQFRRETSEA LFKEFPNLRVFYNCGHIHKQGPKRFSTYSHLELESLPTGGWGYDHFPSSARYAATLGM DFLAHTGKFHTSWGEFGGFKHPDALEYECAQMIALGSKCLVGDQLHPNGAINPDTYAS IAPAYRRVEKLEPFLHGAKQVSEIAILSAEHMNPKGARNHPSDDGAAQMLQELKRPFD VLDSSARFEEYRLLILPDEIPVDAALASRLKAYLASGGKIIASWHSGIGDDGAFAVDF GIERQPTPVAFKPSYVKAGKDLDPSMPETAFVFYDEAETVRPVDATVLAAIYPSYFNR SYKHFCSHQHAPDDTAAEMLGAAVTEHGGTAYIAYPIFRLYRAIGQPLYKYVVRGLLD RLVPDPAMVTDLPSSGRATLTRQIGHNRHILHLLYGPPQIRGKDVRGDDGSTRVMEMI EDIPAIGPVNASVRLPAKPKRVFDAMTGEDLAWEAGPDGAISITVPRLRIHSAVVFEG A YP_002979030.1 MRIDAFHASDFAPPLSMLVSGQAPGYTREMDAKTRHNPMNNCRS PLEMHETDRTAGRRRGATIIDVARVADVAVGTVSRYLNGETVRRSNREQIERAIQELG YRRNAAAASIRTDLTHMIGFLVPTFDEFHARMLEHLATSVRSTGRALLTYCHGGDPRV VAEALDFFAAQRVDALIMDGTAEVYDRVDDLIEHDVPIIFYNNDVRGLAADRVMVENH RASYRLISHLIDLGHTRIGMLTGDPRNSSGIERLAGYEHALRERGIVVDASLAVRGNW RMDGGYEATKRLMSLERPPTAIFSANYGMAVGALSWLKENGLHVPDDISLASFDDVAV FRLYEAGITAVAQPVAGIAEKITDILVERLTESSSGATHSIVLECDIILRGSTRRIT YP_002979031.1 MASVELQNIDKTYGSFEAIGNLNLSVDDGSFTVFVGPSGCGKST LLRMIAGLEKITAGDLKIDGRRMNEADPIERGVAMVFQNYALYPHMTVEQNIGFSLRM AGLGKAEIQRSVAVAAATLQIEPLMKRKPAQLSGGQRQRVAIGRAIVRNPAVFLFDEP LSNLDAELRVSMRVEIAKLHRRIGATMIYVTHDQTEAMTLADKIVVMRAGKIEQTGTP DTLYADPDNLFVAGFIGSPRMNFLDGTLDPNGVVRLDCGAVFKTGLLHGEGDILIKAG IRPEHFTGHDDAGGAIDLKVDVVENLGGTRFIYGTLSSGQAVIIEDRSETIRRPGESV TAGFPARRALLFGGDGERIRGARPANTLPAG YP_002979032.1 MTSHLLWYDAPAQLWTDALPLGNGRLGAMVFGDPLREHLQINES TFWAGGPYQPVNPDAFGHLGTVRQLIFDGHYADAEALAEKRLMARPIKQMSYQPIGDL RLEFKFAESVSGYRRALDLDTAIATSSYTANGIAYLREAFVSPVDGVLVLRLSADRKR AISCRISIDSPQQGEMSIGERSLLSFSGKGKAESGIAAALRFAFGVRLINSGGTVNAS GGGLSVEGADEVLVFLDAATSFRRYDDILGHPERDIIDRLERAASRDFVSLRDDHIEE HRRLFSAFAIDLGSTPAASLPTDQRIAGFAGGDDPALAALYVQFGRYLMIASSRPGTQ PANLQGIWNAQTDPPWGSKYTANINLQMNYWLPAPANLRECLEPLVEMAEELAETGKV MAHVHYRARGWVMHHNTDLWRATGPIDGAKWGLWPMGGIWLMAQLLEACDYLDDAEAM RRRLFPIALEAAHFLFDVLVPFPGTDYLVTNPSLSPENAHPYGASICAGPAMDSQLIR DFLGLLRPLAVSIGGEPELVADIDRVLPRLAPDRIGANGQLQEWLEDWDMQAPEMHHR HVSHLYGLYPSWQIDMDRTPDLAAAARRSLEIRGDEATGWGIGWRINLWARLRDGNHA HNVLKLLLTPERSYKNLFDAHPPFQIDGNFGGAAGIVEMLVQSRPGEIHLLPALPTAW PGGSIRGLRLRGGMLLDLDWEDGEPLTIRLTASRNVSSILRFGQTRRKVDLAAGESFF EGGSEFR YP_002979033.1 MDLGLKGKTALVLGAGGGLGGAIAKTLAVEGARIAVADIDREAA DKTVADIRTAGGLAMALQWDLAELGVIEPNLAAIDEQLGSVDILVNITGGPPPTLVSS QSAESWRKYFDSMVLSVIAISDAVLPRMREKKWGRIITSTSSGVVAPIPNLGLSNALR MSLLGWSKTLAGEVGRDGVTVNVVLPGRVATQRIAFLDEQKAKREGKAVEEVSAASTA SIPVGRYGDPQEYADVVTFMASRRASYLTGSVIRVDGGLISSV YP_002979034.1 MPLLDRKIAIVTGASSGLGRAIALRYAEEGAVVVIADVCEAPIE GGETTLSCIVAAGGEGMFVRTDISNWADVDGLVSQTVKEFGRLDIMVNNAAIYTSTNL LETSPQQWDRVIAVNVTGFFYCCKRAAQQFLAQEPTGETRGRIINISSQHGMVACPGD FPYGVSKGAVVQMTRQIAVDHAATGIVCNAIAPGKIVTGKPGVANDPDALDYSRRRTP WPRLGEPHDVASAALFLASDMASYMTGVNLMVDGGWMAG YP_002979035.1 MFDTLITGGMVVNASGQLPADIGILDGSVAAVLAPGEPAMARGF VNASGKKVLPGLVDAHVHLREPGMTQKEDFESGTHAAALGGVTTILDMPTDDPWTATA QQLSDKMASAAGRIHVDVGFQAVVSKSYDSLEALLALSPVSLELFTADVPVDYRFDSL DAVTETLRRLAGTGAVIGVSPGDQSILEGAGVRERSVGVPAFLASRPPLAEAGGIARA VLAAAETGARVHVRQINSRLGAETWSRLRSMADVTVETTPQNLFFISDDYETEGARLK GSPPFRGRDDVAALRRAVSEGLITIMATDHAPHSPAEKSAVYGSFADIPGGMPGLQTL LSVMLDLVAEKVIGLSDLVRICAYNPAHRFGLGRSKGSIAVGSDADILIVDTDRPTVI TNTEQASRAAYSPFHGRRTAGGLTDVFLRGARIVKDGTLINPRRGQVVRRTA YP_002979036.1 MADGTHALPNDHLPAEAKTMSGAEYVAGVLKEEGVKQIIGFPAS ELFDASAALDIPPLIARTQRVAVNIAEGYARATAGRELAVVTVQYGPGAESAFAGIAQ AFNDRVPMLFLPTGYPRGSEAIAPNFESRRNFRHITKWCEAATQVDDLPKLMHAAISR VRNGSPAPVLLELPVDLLAERLDALPADHRRPRRSVPQAGDREVVDLAKSLLAAKNPV ILAGQGVLSASATPELIELAELLNIPVMTTPNGKSAFPEDHPLALGTAGRARPATVDH FLAKADVVFALGSSLTRSYYILPIPEDKTILHVTLDDADLGKDYAIAQGVVADAASVL KQMIALIRRDHLPLGERQQPAAEIAAVRAAFMEKWMPLLTSDSTPISPYRVVWEIMQA IDPKTSIVTHDAGNPRDQFCPFYVASTPGGYIGWGKTTQLGSGLGFAMGARLARPDAT VVNLMGESAFGMVGIDFETAVRCNLPVLTIVLRNNVLGGYAKSMPIATTKYGANRVTG SYAPIAEALGGYAECVVDPEMLRPALLRALDKVKAGQAALIEVVTHEEPRLAGLW YP_002979037.1 MSAGAKDQLRDFVGYGPNPPVISWPGGVKLAINLVLNYEEGAEY SWLEDGRNDNWGEYNIPNSPPLRDLGTETHFEYGSRAGVWRLARMFDRYDIPVTISAC AVALEKNPAVVDWMSARGHDLLGHGLRWSEYSGMPRDEEERQLHEALALYEKLTGTRP MGWNCRSFPSVNTRDLIVREGGFLYYSDPCNDDLPYFLDHEGTKLLVVPYSKTLNDSR YLIAPGYSNPRDFAEDCRSAIDYMIGEADETGGRMLTIGVHARWMGQPNRASGLRDVI EHVKKTPGAAFMRRQDIARYWIDHHG YP_002979038.1 MTLLVTGGTGFVMSVLAREWLERDAKARVVILDRAGLDAMAERY FAPVRDRLTVITADICEPAQWEATLDDHDIRYVVHGATVTPISRGSPAEAKRQPEAED PARIVDINFMGTVHILEWLRKRPGFQRLVFVSSGSVYRHHGPDWTGEPLPEDGYVAPL TLYGISKFAAEMVVNRYADLFGLSAASVRLASVYGPMDRVTGSRNFRHVPNRVAHMAL AGETVRPNSLEPVGDYVTSTDVAGAIIALLLAERLRYRHYNIGSGGTSTIGDIIGWAK ERAPSLQVEVSPAETANVIQDLSLKDGMWGAYDIARIARDTGWQPRSSRQSFHDYMDW IVENENQSEGK YP_002979039.1 MTASMSRLDGKVVFLTGAASGIGAAIARRCIDESASVICADQSP EGVLALAQSLGPRAFGIQCDVTDNISVGRAVGTGTRHFGRLDGLVHNAAAPSMDGTVI DLAEKQWRLEIDVILTGAFLVGKHALPAMIEGGGGSVVFIASQFAHVATGKAVAYCAA KAGLVHLARAMAVDHAGDGIRVNSLSPGAVATPRLLQRWPDLEAANAGLGPAHLLGRI AKPDEIAAAAAFLLSSDASFVTGTDLLADGGYVTR YP_002979040.1 MTPAKPYDLVIRRGRVVLPDATRQIDIGVRDGAIAALGPDLPEG KHEVVAEGRIVLPGGVDSHCHMDQQPWEGKATADDFNTGTLSAMCGGTTTVVPFAMQM RGQSLRDIVEDYHERARSKARIDYGFHLIVGDPSAEVLRDEIPQLIAEGCTSIKIYLT YDGLKLDDYEVLNVLDLARAQGAMVMVHAENDACIRWLTEKFIASRKTELRYHEKAHS AIGDREATFRAISLSELIETPILVSHVAAGGAVEEIRRAKARGLPIYAETCPQYLFLS AEDIDTHDLSGSKCVCTPPPRDKSNQPAIWAGILDGTLEVFSSDHSPWHYADKIAGGP GTPFHRIPNGIPGIETRLALLFSAGVNGGLISLQKFADLTAGAPARLFGLHPRKGRIA VGADADIAIWDPDRSMTITNSLLHHATDYTPYEGQVVKGWPIMTISRGDIVWDDGRIM AEPGRGQFIARQRPFPPQQGLSKVLAS YP_002979041.1 MRDPDRIGEFWRKAAGLRAILHVMASICIVATGNSLLTTTVSLH LSDPAIDPHIVQLLLTAFPVGFLAGCLSARVMVVRLGHERAFLAVALLAAFGACGYML TQAAPVWFCLRLINGFSIATLFVVSESWINLYADQKNRGAYFSLYMLMTSLATLFAQL LVEAAGADSPHLFQIVLGVILLGLIYARFIGGPWPTLRLPLAVAVEAGNAHSGHRYGI WRLVALAPVAVVCVFQAGMTNMNVYTMTPIYAERVHLDAAVAVTLVTAFSLGGMLAQA PVGWLSDRMDRRVLLLVQGLAGAGLCAAIAWPGSYPQMLLYGLFFAYGAIALTIYPVG IAYANSQLDSRHMVSASGSLLLLYSIGNIMTPGLAAQLMELFAPQALFLLLGSGAFLV AVAACFNLFRRPIGATKPCLVSGGSE YP_002979042.1 MNIIASSLQGAAMSITPMIDREPLLDIRNLRIEFRGKSETVVAI PDLSFKVMPGESYGLVGESGCGKSTTAMAIMGYLGATGVVAGGSIRFNGNQLVDAAPK ELRSIRGRQIAMVYQDPMSALNPVKTIGAQLAEVPLLHMGASKKDAMAMAEAMLADVR LPDPGDMMKRYPHQLSGGQQQRVVIAMALLAKPSLLLLDEPTTGLDVTVEAAVIDLIE ELRQRYSTSLLFISHNLGLIAESCDRLGIMYSGEMVEEGTTSEVFRRPKHPYTRGLID CIPDITGDKRSRTLAAIPGSIPLPQERPQGCLFGPRCSSFVSGLCDRPDLALEPASEE HLVRCVRWPEISAGEATVAPVETQMIARSTAITLSDVNRLYPVGRRKTVKANEAVSFE AATAEIVALVGESGCGKSTLARIVTGLDKATSGEIRMAGENIAELQASERPRALLQQI QMIFQNPDSTLNPSHSAAFSIRRSIKKFGIRKGKAEIEQRVRELLEMVRLSPAVADRK PNQLSGGQKQRIAIARAFAAEPSLIVADEPVSALDVSVQAAVVTLLLDIQREKHATML FISHDLALVRHVADKVVVMYLGKIMEQGTVEEVFSEGAHPYTEALLSAIRSPHPDEAP RERIRLSGETPSAVNVPAGCRFATRCHRKLGSICDTAPPPLRKMSDTHEILCHIDQAD LAARPSVLAFAEKA YP_002979043.1 MAVRGNISGVIAAPPKRAARPNWMRILHSRTAVVGLCLVLFWVL AALLAPVLPLPSPTDSDVMAMSNPYPSAAHWLGTDILGRDMLSRLIFGARTVLSVAPL SVAVAMIVGITMGMIAGYYGGWVDVLISRFSDIILAFPVLVIYVILIANIGPSVLNIV IATMIASAPGIGRITRGLVLGLKQQEYVAAAKLRAESTLYIMIVELLPNCRSLLIVDA CLRIGYTIITIGILGFLGLGLPPPNPDWGGMVKESVTVLNVWPHMSLIPSAALVSLVL GFNLLADGMREAWKP YP_002979044.1 MANFFLKRLAMMVVTMLCASFLVFAVCEFTPGSVARKSLGPFAT QQQVDLLSQKLKANDPLLVRYGRWLGVLVGAIPDPLQDPTTGLNFKDPRGAQYFGNFG YSTLNKLPVNDVIWDRLGNTSILAGLAFLLIVPLSIIFGILSGLKEGGVLDRTLSVIC ITFTSIPEFASGVFLVTLFVILWPILPGTSPLNSDGGWAVPYQFVLPVAVLVIYDFGY VARMIRVSMIGVMERPYIRTAILKGMSTRRMVLGHALRNAMIAPFTVLLLQINFLISG VVVTELVFAYPGFGRLILDASLFGDIATLEAATLITVAIAVVTQLLGDLGYMLLDPRI RVR YP_002979045.1 MDKSEHSYIPTLVEQMSSGSIGRREFLRKATLLGISAAAAYSLA GVPVPGGARADDMPKGGNLRIGMRCMEIKDPHLADFAEKSNVIRQVCEYLTLTDRHNI THPYLLEKWEVSDDLKTWTLHLRTDVKWRKGRPLTADDVIWNLKRVCDPAIGSSMLGL FTGYLVQEYETGEKDEKGNPKKSSKLWADNAIEKVNDHTVRLNCSSAQIAVPEHLYHY PMFIIDPEENGAFGPDANGTGPFVITEYVVGKGAKYKARTDYWGTGPYLDTFEYVDLG DNPGAGIAAIASKQVDGLSEADAVQINAMKNFPHVAVHQVETTQTVVARMHPDIEQFK DKRVRQAMRYSIDRDKVIQTALLGAGIPAEDHHVAPSHPEYAALPKYPRDIEKAKKLL ADAGYPDGFEFDMVTRPDPIWELNTAQVLAEQFKDIGVKINIKSLPSAQYWEVWTTAP FSLTAWGHRPLAIMTLSLAYRSNAAWNESNYSNADFDKLLTEAEGILDPKQRSKVMAK IEAIMQDDGPIVQPFWRVFSTVMDKKVKGFELHPSQYIFAHQYAISA YP_002979046.1 MTDQTYTLKQLAQGHRPIRIGFFLVPDFPLMTFAAALDSLRQGN RLAKRKAFEWLILSADGGHVKSSSGLGFDADAAIGRAPRCDIVILCAGINYADAYDAN VFAWLRRIHSEGCVLGAVSTAVFFLAKARLLEGRRCAVHWESLASFRSEFPNCLATDD IFAIDGRFLTSSGGTVTLDMMLYLISAVEGRELAALISDQFNHARIRRQDDVQRMSPE DRFGIRNAKLAFVVRRMEASLGDPVEISDLASSVALSLRQLERLFHANLGKSPTNFYI ELRMTRAKELLVYTELAIGEIAEICGYQSASHFGRSYRTRFRESPAATRKAEKRREEP MS YP_002979047.1 MSFAAPNPSRIDEGTARKRRRQARKADVVDVVSRPSPRLAIVSD DAIRLDGEIRLLRDQLAGKLRLQNAQLKSMLDRFER YP_002979048.1 MSSLSIRDVQKSYGAFKVLHGVDVDLEDGGFLVLLGPSGCGKST LLNIIAGLDEPSSGDIAIGDRSVTALPPKDRNIAMVFQSYALYPTMSVERNIGFGLEM RGVPEQQRRAEVQKAAELLQIGHLLDRLPANLSGGQRQRVAMGRAIVRSPDLFLFDEP LSNLDAKLRVEMRTEIKRLHERLGTTIVYVTHDQIEAMTLATKVAVMKGGYVQQLADP RTIYERPANMFVAGFIGSPAMNFIRGELISDDGGSRFESGGVIVPVSIPDSAARRRDC VLGVRPEELKVVERDNAAIHGVIDVVEPTGPDTMVTAVVGDHAIVARAEARFQGRRSD QVRFSVDAQSINLFDAETQDRIETGVNPQHL YP_002979049.1 MTIFKTRRGRRLMADILTYAVLLTMTTFCLGPIVWMFLTSLKNE ADIVTSQMQYIPRTITLQNYRSIWTQSNFPVLITNSLVVTTITVAICVLTGTLAAYAF SRLSFRGRDRLMLSYLLVRMFPAVMVIIPLFVMMRTVGLVDSRFGLALAYTSFLLPLF VWMLKGFFDAAPKELESAARIDGSTRLGAMVRIVLPLARNGLVASSVFIAIAAWNEFI FALMLTTGQGSRTWPVGLQLMVGEFQLPWGVLAAGGMLSILPVVILFAFVQRAMVQGL TAGAVKG YP_002979050.1 MKPALHRGPLTLLGDLSETRYWVYFLLFPSLILLLLVVAYPTLY GFVISVREMRLTRPALNGWVGAKHYVAMMSDRVFWISLKNTAIWVAAAIAIEVTLGFI AAVALNRNVPGTKLFGVLIPLPYFLPNVVAGHMWALLLDPRLGVINDLLVRSGVLSTY KAWFADPATALAATILVEAWHGFPFFALLFLAGLKGIPEDLYKAAAVDGAGPVRQFKL ITVPMLRTVITAAVILRVISLVNSPDLLLVLTGGGPGNATQVLSLYAFQTAYREFNFG YAGALSVVMFVILMVFATLYIKFTRVSKE YP_002979051.1 MQITKREFLVATAALALASGVRSASAATAINYWHHFASQSEMAG LVKIIELFGKSHPGITVTQESIPNSEYMAKVSSAVVAGGRPDTGMVIAERFADLTAMG ALTDITERVKGWKGKANLPDNRWAGMSQDGAIYAVPAYAFVDWMYYRKDYFEEAGLSG PPRTFDEFVTACRKLTDPAKGRYAFGMRGGAGAFKYVIDVMEAFGSPIVKDGQAAIDK AAAVEAITFYSSLFLKEKVVPPSVPNDSYRQIMEGFRTGQTAMVWHHTGSLIEISAAL KPGEQFATAPMPAGPKAHIARVAYAGNGIMKDDNIDAAWDWISFWGEKDAAIALLEAT GYFPASTAALEDERIKTNPIYQAASQTLDFGRLPNSFVGAAGWSENVVNPTFQSVLTG QLTPEQAVDRMIEGLETALR YP_002979052.1 MKKSQARKAKATVPEVAAPRLSDLAYDRILESLFERRVPVGAFI SQSELSEIVSVPVAPLRDALRMLEAEGILTIHPRSGIQFVRPGLELTRSTYQFRAIIE RSAIRVFAEEAGEDLMNSLEVRHSRLLRKLEKDGLTPDHIAEMDLIELDLHGQIIAAL RNPLIDTAYRRMHNYLRLLRLERKVTPPMLIRTLKEHLEILEACSSRNADAAEKALQA HFQAAINRNLGLA YP_002979053.1 MPLSGFRITRFQFARDRVIGDSQVRADDVNVAALELIGETGKVG LGFIQTLFHPLPDQAEIEAVFKHEAWPAIAGQEAIGLVHRVNRPRGGNQRAFSLPFHE ALQVALWDLAAKEVGLPLHKLLGSRRDRVRAYASGLDFHLSDEAFRALFSHAAALGYQ AFKIKVGHPDFRRDMRRLDLLKEVVPAGSQIMIDPNEAWNSKEALVKLTAIRDAGHDL LWVEDPILRHDFEGLRTLRHAVNWTQINSGEYLDASGKRLLMENFATDLLNVHGQVTD VMRIGWLAAELGIPVCLGNTFLEVGVHMAVALPEVEWLEYSFQNFDHLVEQPILIRDG FAYAPDRPGHGLVLSEVSREQWSRPKRLIGSELGEPPENSRLPRLNGM YP_002979054.1 MSSIKVRPPLLAIFVIGVVGVGFAIGLTIRPGAWYESLEKPFFT PPNWVFGPVWTVVYLLIAIAGWRVALSEGFSSSAFRLWGLQMVLNWAWTPIFFGAHSI SLGLITILSLSAVAMFFMAKARDGWAFWCFAPYIVWLCYASALNAAILALN YP_002979055.1 MRLRQLECFRALMLHGTMTRAAEMLRMSQPAVSTTIAALEHSIG LTLFVRRGSRLHPTPEAQLFYVEASKALDAIEGTAQAAREIRSGRRGSLSIVAYPNVS ISLLPRLMSSFAADRPDLQLKIITRPSQAVKELISTNTFDIAISELPTNYPISHMEVF SFDCVCMLPKGHPLCRFDTLTPRELDGIPFVTLFKGDPLYLSTAAAFSKMNAAWNVVV ETEFLTSACEFVASGHGVGLIDPVISAAFTDKVELRPFEPTITYQIAILYPIHDQLSR VALDFVEILRKAFNDGRVD YP_002979056.1 MTIVLQQDSVLKALSGGAETDSFLGNVVELAIVTSDHKRTMDGL LKLGIGPWRVYKFSPENTENQTYRGEAVSFELTVCFAQSGNMVWELMEPVSGPTIFAD FLKAHGEGIHHVAYDCNNIPFEERIAEFERRGFKKIQSGSWMGRNHFAFFATEEDTTT CFETYAFPDDWDYPEPDSWYPPLRD YP_002979057.1 MSKSANFTSDELSAYDVHGIAPVPVSHRTSSPLDQFWIWAGANV APINWVLGALGIQMGLSLWDTFLVIAIGNLVGAALFATFCLMGYRTGVPQMVLTRLAF GRRGAYLPTFVQLLMAMGWVATNTWIVLDLSVAALDRMGIGGGMEVKYAIALVIMVVQ IGIAAWGFNAIKYFERYTMPAILLIMVAMTFMASFTVDIQWSTSTVTGIARWSAMSQL MTAIGIGWGISWLVYASDYTRFSKPGLKPSSVFKATFLGMFVPTVWLATLGAAIASAG VGSDPAQLIIAAFGVMALPVLLVLVHGPIATNIVVIYSAALSSLAMDINKPRWVVSLA CGVAGSIILYGFMQSQDFAHAFETFMVTMVVWISPWAGVTAADFFIMRRGSINVDELY KPHTTSRLGDVNWTGVLSLLVGVFAAYLFQMSVVEVLQGPLALGLGGIDLSWLAGFVV AFMAYIVSHKLRRTADVGASALTLPVRGE YP_002979058.1 MTTILHIDASPRGDRSLSRRLSAAFVDHWKTKDPSAVVITRDIG RSPPPIITEEWIAAVFTPAETLTPAQQRELKLSDTLIDEVGRSDLIVIGAPMHNYGMP AALKSWFDKVIRIGKTFTFDLQRGDYPLAPIMSGKTLVILSARGEFGFGVGGVREHMN HLETHIVTCANYLGVEESHVLAIDYQEFGDERHEKSVREAFEAVPCLVDQLIGQVCPI AAE YP_002979059.1 MQSLSEVALALATGRTTSMQLVDEALSRAVSAPHAFISIQADQA LVRAEASDRRHREGKPLSAFDGVPIAVKDLLDIAGTVTTAGSEIRRNLAPAREDAEVV SSIHGVGLISIGKTNLSEFAFSGLGINPHFGTPIPGYAPEQRVPGGSSSGSAIAVERG VVLAAIGSDTAGSIRVPAAFNGLHGFRPSTGRYPMRGVHPLASSFDTLGPIARSASDC LLIDQAMRGIAPEPVRPLPTDQLDLVIDSAILNELDVQPDVRKNLEIALAAVARAGAR VTSGRVDVISRVRDLIATSGWLGSHEAWIFLKDVVTSKNGIILDRRVRSRLLASSGFS QEQVTTLYRERRQLMLDAAQALRGRILVIPTVKHAAPHLLDVEDDDVFSRVNLETLSL TMIGSFLDMPGFAIPTGTDGSGGNTSALFSAPNGQDGRLLRSAVRIDHILRSQGK YP_002979060.1 MIQNPIPWPNGARCACSISFDIDADSLIHVAKPKDSFDRLYPIT MGRYDQVAVPRILETYRRLGLKQSFFIPAWTMNQYPDIVDAILAGGHEIGHHGYIHED PSEISPPEQRHWFEKALDVHVRMTGRRPRGYRAPVYNATQSTIDLLIEHGFTYDSSLM ADDIPYRMKTAGGALFEVPPHWGSDDWPPFAHFAEIGYMMPVKSPTEGLAASFEEFDA QYEAGGFWMGIWHPFLTGRLARWRVVERWLEHILSTKNVWFAPLEEIVSYVDELEKAG RYRARTDDLPYYAKPVAL YP_002979061.1 MNKQTELDSNSVYRIPARKGIAAHVAAGRVVTVINTHGSQVVDT WAYNATDLTEFMSMEHSRASMLTLFPKAGDVFKTNRRQPILTIVEDTTPGIHDTLMAA CDIHRYEQLGAHGYHDNCTDNLHNALAAIQLKAGGTPSPLNLFMNVPVEADGALSFQP PASKPGEYIALRAEMDLIIVFSACPQDMVPVNNMDPTEAHFKIG YP_002979062.1 MRKLPPLNSLRAFEAAARHGSFTAAAKELFVTVTAVSHQIRQLE ELIDKKLFERSGRAVVLTEAGAAFYPRLRDGFDQLAEAFAQVSGEKEADVVAVSMTKV FAERWLMPRLDRFHSAFPDVIVNVHASEKVVDLRAESIDLAIRYGPVDLAAKPMILLR DVYLAVAASSISNSERQPVIDDYRKRPLLAYKWKNPAFPCPTWSTWLAETPHEVGGDF KISWFSEETLAVHAAERGLGPLLTSNVLVDEKLRDGTLRRIEGPILPGYAYRLIEGPS FGKKKSAVRFADWLKEEAVTFARGIPHPMNTPST YP_002979063.1 MTFRLGGYGIEYGGDPEAYARAHVEFGYNAAYMPTIKLENRQEI ADLVKSFAAADLVIAEGGAWKNLIAHDDVTRKANLEYAVHQLALADELGAGAIVAYHG TVGHPDDRWQLSDNYDYGPHPDNQSEAGFQRAVDTARYVIDMVKPKRTKFSLEMVPWL VTDTPENYLKLLKAIDRPQFGAHIDAANMVISPRLYFNTGKMIQDCFALLGPWIVSCH AKDLVMKGGPGTISFHLDEVVPGEGNLDYKAYVTEIAKLGREVPLMLEHFDVPGYRRG LAHIKTVARSAGVV YP_002979064.1 MLCVEILGPRQAQVSQRPEPQASGDIVKIQVLIAPMCTEWQSWR SGKLSCELGHEAVGVVVDAAQSKRLKVGDRVIVMPHAGCGVCPACRSGEHIHCTSQRD LLDETGSSSGIGCYAEFLLKPDYLLQLVPDDIETHHAAMAICALGPSFTAMHRMHVTA QDTMLISGCGAVGLGAIINARTIGARVIALELNPYRAALAQELGAELVIDPRAPDLIE QVRAASGGYGVDGALDTSNNEGAPAVVLELLRARGRLAFVTWSGSLPVNRITGKGIDI FGAWHWNHDVFAEELLQRVRDARPLLDRLTTHRFAMSEVASAFALQETGNCGKVLLYP GKIPQA YP_002979065.1 MNADMIVAWAVENGFHAMDSGNYRRHDNAGVITIEIKRMPLALS SSS YP_002979066.1 MNSKGAEEAFFPTGSASAPQVSRPAAFEQKPADFNSELHARPSI YFTGPAIVEHFAFMPSDGVIKEFHDSLQADGGISVRVERHTEFVTVTRVRKLASEPED WPETDLCEGDFARLAGLSSPLLVCHVSILVLGNPPDQLGTVLKSLDFGDTAASSIGGG AAQVCSDFRVRGDNSSRIILFNKDLNAHRLGRMVRRIFEIETYRSMALLGLPEARRLA PLLGGYDAELVRLTNRNLSTPAHQHKQLLEEITVLSSHIISATAETRNRFGATAAYAK IVEERIALLRETHVPGFQRFGTFVERRFKPAVRTCEATALRLEHLSRAAMHLLDLLQT RIQVEIEFQNSTQIQAMADRAATQVKIQRAVEGFSMIAISYYLLSLLKFIFETADHAG FHFDPMIMLVAVPVVVGSVVITILRVKHALKAES YP_002979067.1 MTTTNEIADKIAGDHSLTKAQGKAIVEAVIASITQAAMDGNETS LPGFGKFKVKATPEREARNPATGATIKVAAAKKLAFTPAKALKDALNK YP_002979068.1 MRSTINLDDTLLDKARSLTGTKETAALIRQALETLVRVESGKRL IALGGTMPDAEAAPRRRSPASK YP_002979069.1 MSIAAIAKTPALDGGRIGLSTQWDLSTGMCRKAPAFSAAARKCL SLVHSVARCSRRIDANKWASV YP_002979070.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CPLFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLGKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFSSI AHERYLLAVARSNPLAEQAEIGIDDLRSEKIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRDFELKAVRGIDFRIGLGVAWSKDD PTASRDDIVDIARSLARPGR YP_002979071.1 MDVRQTIGWNLRRLRVAKGLSQERLALEAEIDRSYVGRVERGME NVTVATLEAFARVLSVNVSALFAEIDPRAPKPKPLRSGRKQKETGR YP_002979072.1 MVATRLVASLRRSERSLCYVALSEGAATKIADAVSALFPDIEII VLPPWDCLPYDRVPPSQRCMGLRMDALRIWSMPSDAPRLFLTSLDATLQRIPPATVIA NSRLELVVGKAFDRDAFSDFIQRTGYVEEGVADDPGELAVREGVIDIYPAGAPGPLRI VLSKDDSVLELRGFDRVSQRTESYFDKVIFGPASEAILTEGITEEFVPTSDTVMRLLL RLYQDMPTVFDFLGDATVVLAPGAADQVERYLEIVDDARQSRKDFGEVEAPSSRSLYL DRAEWERQASSVSARELDLEEGGDLPTEASDTYPRTALINWMQDCLRHGLKVVISGKG NGSEALCRRLGQATGTAPQSIDTWEAVLKAEPGTVLKLACELDQGFIDVTERIAVVAA ADGISTASNCSTLLVEPELRIGDVVVHEDHGVGVLRDLESIAVENVCRDAARLEYRDG DSVLVPMEEFGKLWRYGSEPEAVTLDRLHTAAWQNKREKIAADIQSTARHLIKLAKHR QASRAEAFVPPRAGFSAFTRRFPFTETHDQAEAIRSVLSDLATGRAMNRLVCGDVGFG KTEIALRAAAAVALAGGQVVVIAPTTVLTRQHFATFTRRFAGTGVSVGMLSRLLKPRE AKKVKAALAVGEIGVIVTTQAILAKDVRFAHLALVIVDEEHRFGLKEKRAMNTLVPSL HTLAMSATPIPRTLQSAMVGVHEVSLLTTPPFRRRPVRTSLSVFDHASMRTGLMREKR RGGQSFVVVPRIEDIDVVKTILRKIVPELSVKVAHGDMPAAAIDEAIVGFAEGDGDVL LATNIIENGLDVPRANTMFVWQADRFGLAQLHQLRGRVGRGGAQGIATLLTENAELSD ETRLRLSTLVENDRLGAGLSISLRDLDLRGGGDIAGEDQAGHMKVIGIGLYQKLLAGA VARLRKQPAGTPLRAIVNLGVAGTIPSDYVSDPAVRLNLYAKLLRASTLSEMDDLEEE FEDRFGELPQDVLLLLRTTRLQLAAGRLGIAKLEAGPKALAMTLTPKTPAKVIAALTK KAGAVRRVDRLIFEASNMTGEEQLLFFERVVPAANRPKFRNQ YP_002979073.1 MFKLQETLVKRVSAGVLNVGYYETGPADGMPTILLHGFPYDAHA YDEVVTNLAGAGHRCIVPFLRGYGPTRFLSSETRRSGEQAALGADLLALLDSLGIERA ILGGYDWGGRAGCIVAALWPERVVGLVSAGTGYNIQDIAGAAEPGPPDQEARDWYQYY FHTERGRKGLDENRGEISRFLWKTWSPSWSFDDATFKRSAAAFDNPDFIDVVIHSYRH RYGVIGGDPAYAEIEERLARKPDIAAPTIVILGADDGVDPPQSNDEEARKFIGFYHRR ILQGVGHNVPQEAPTSFADAIRELRERD YP_002979074.1 MLRLGRSREINEAKRRNLKVKTKFGTEILQKEPMMVPNASLVSR LAVAGAFISLAQPASAQTSDKLTNKAKELVASITGQTDERLQKVATFDHQPTGVTVSE DGRIFVNFPRWSEDVPVSVAEVMTDGSIKPYPNNEWNAWRNAKMSEISPKDHFVTVQS VVADQKSSLWVVDPAAPNSEKTVKDGPKLVQVDLKTNAVKKVYPFSPDVAGPASYLND VRIAPDRAFAYFTDSGIPGGLVVLDLRSGRAWRVLSGDPSTQAEKDVIVETDGKPLRR PDGRQPQFNADSIALTPDGKTLYWKALTGKTMYRLPTDALQKAETDPNAVRPEKVAET EPTDGLWIDQQNRIYLSTMANNGIKILGADGTVTPLLEDSRLRWPDTFAQGPDGTMYV TASHIQDSPWFNQAWTDKTFTLFRFAPPN YP_002979075.1 MESPINFPAERLQQILNSAIDTGIITLDAEGNITSWSKGAERLL GWSQEEMLGKTLIEIFLDDEGAPDLLAAELEDARLNGKGGGEGWRMRKDEGRIWAVGE TTPLFDDGRELVGFVKILRDRTEQRQIEIALRERTRALEILNRAGASLARENDLEKVV QLVTDAGVELTGAQFGAFFYNVINKAGESYMLYTLSGVARDAFSKFPMPRNTEVFAPT FLGQGILRSDDITKDSRYGKNPPRHGMPEGHLPVRSYLAVPVISRTGEVIGGLFFGHE NVGVFDEESEKGLAGLAGEAAVAIDNARLFDAAQRELLERRRAEEALRELNANLEDLV RQKRDELTRNADALRQAQKMEAVGQLTGGVAHDFNNLLQIIVGNLDTLMRTLPPEAGR LRRAASQAMNGARRAAALTQRLLAFARRQALDPKPVDANALIRGMSELLHRTIGEIYQ IEVVLAGGLWRTEADPNELESALLNLVINARDAMPGGGKLTVETFNAHLDEAYAAMHA EVIPGQYAAISVSDTGTGMEAETLSRVFEPFFTTKDQGKGTGLGLSQVYGFVKQSKGH VKIYSEVGEGTTVKIYLPRLLAEGAEEEVAERAPIPEAADGEFILVVEDDPDVRAYSV ESLRELGYQILEAKDGPTALQLLAAHGPVNLIFTDVVLPGGMSGADVVARAREMYPEM KALFTTGYSRNAIVHHGRLDKGVNLLTKPFSFEDLAVRIRDVLDL YP_002979076.1 MNIVTPESELDWVLVLAPFRKDAEYIAASLREQAVEVKAVKADE DLVQHLALSPGIIVITHEALSSEVVGKIAEHLAEQAGWSEVPIVVLLERSAPVARIRT RLQASWPDARLLFHTRPIAPLEFVNAIQSNMLVRLRQRQVRDSIERERELRLELNHRV KNILASVSSIFRMTRRGAASVDDLADDFTSRLQALSNVHTAVFEAGGEEVSLCDVVGL TVSPYNSDGMSRINVSGPEITVGREAGTTIALCLHELITNAIKYGALSEPDGTVEIVW TIAEAGGPKLSLKWIETGGPPVREPTRQGYGTRYVRSAFASLFGSLPDISFAPDGFRC SVSGPLSRVTPQNHNTAD YP_002979077.1 MTKRKRTSIVSTGIGGLDEILRGGLPASNLYMLQGAPGSGKTTA ALQFLRAGVEAGESCIYVTLSQTAAELEAIAVSHGWTLDGIRVEELSTSGAVNEADDQ SIFLTTDLRLDETRKAIEAAIEKHKPRRLVYDSLLEIRLITGDSPRFRRELIGFKSFL AKQDVVALLLDTQTAGNDRSGEEVEGLAHGVIRFDKSLEEYGGVRRRIEVSKMRSVPV ADGYHDMAIREGEGVVVFPRITPSTAPEIAKPQLIKSGVAELDEMFGGGQEAGTTTLV IGQSGTGKSTMSSLYATAALERGENVALFLFEERLETFFRRSEGLGMELRQFHKDGKL VLRDFNPNEISPGEFGQIVQEAVTQNRSRVVVIDSLTGYLNSLPHREKAVRDIQSLLK YLARSGVLTMLIVAQHGLLGQNVGIDVDVSFLGDTVLLLRIVEHEGRLRRNITVVKKR HGPHDLNVRELLIESGSVSVVAYNPLPDPK YP_002979078.1 MGPMAGIVWEGRQLLLYFGFSKHQQHWDPIGQRSLLANAAAALC KRMTGCSDLTTDVFL YP_002979079.1 MTVIASYIYRNGKRAEEVALQSQSFVTRDGEFVWIGLAEPTSDE MDSLKMMFGLHPLAVEDAMNGQQVPKVDVYGDQLFVVIKTAHLEGDKIAYGETCIFVG KHHLISVRHGSAKSHKGLREQLEHSPQLLEHGPDYVLHGIIDFVVDGYLPMVETMEDR VLELEKHVLISFLEREQIRRIFRMRRQVIKFQRVLGPMSEVVGKLTHLDLPCVDENSK PFFRDVHDHVRRVESVVGGLRDIITSVFEASNLLEQQRQGTITRQLAAWAAILAVPTA IAGIYGMNFEHMPELGTEYGYYVVLTVIVVVCGILYSRFRKAGWL YP_002979080.1 MKSRPHNKSSCALTLVLTSVVQICRALDSRHPIVEELPARIRER GELEVLFPRHEVIKALHPAGDPVQPGHLEPKMTLHISIR YP_002979081.1 MNTDELCTLGIPDSKLTRDVTQFIRDTESDLLFHHSARVFCWGA MTGNRKGMIFDPELLYTAAMFHDIGLTAHYEKSQLRFEVDGANAAREFLKSYGVTNND LQIVWNAVALHTTPGIPEFMDPEIALLQSGAGMDVAGRGYDRFTDEERQAVVKAFPRE NHFKEGIIDAFYHGMKHRPHTTFGTFNDDVLTFKDPKFQRIDMCSVILGSRWPG YP_002979082.1 MTSRWDDSHLAHNRTDPLGGIPHVMQSGSAGRLSSLSTGLAAKE I YP_002979083.1 MKIRIILPAICVALAFSLGGQAVAHDGQAETVIPKFDQAIANIP GKSLRVVEVDYAPSAASPAHSHARSAFIYAYVLAGAIESKVNDGQIRIYKVGDSWFEP PGAIHSVSRNASKTKPARLLAVFVVDADETTLTTPIK YP_002979084.1 MMAWRVHDFGSPEAMKFEPVPKPAPGPGEVLIRVRAAGVGPWDG WIRAGKSALPQPLPLTLGSDLSGDVVSIGADVADLAVGDPVYGVTNPRFIGAYAQYAV ASAEMIARKPATISYADAASVPVIAVTAWHGLFDQAQLVSGQKVLIHGAAGNVGAYAV QLARRAGIEIIATAARRDFAYLRELGADTLVDYHSERFEDVARDVDAVLDLVGAETQR RSFQILRRGGKLISAVSPPDQDLARQHGVEAAFFLVRVGTEYLTKIAELIDNGDLKTR VGVILPLEEAYVAHLMLEGVRIAPGGKIVLSV YP_002979085.1 MNFRTIIAATCTLAVIAGLTALSKEGRAEPLVLETDLNTSILQA EIPDTELTRDATRLVRKAEGDFLFEHSVRVYLWASLAGRRKGLPFDPGLLYVAALFHD FGLTGRYGQSHLRFEVDGANAARDFLNSHRVSEASSETNWLAIALHTTNGISANLSPI AALVAEGANILSGQATIISRVPSAMLSRRLIHTHPSLRMASCKSSTRVLSIVHTPQGT GLADVMAYKDPAFRRRDFSI YP_002979086.1 MALKLKAGIMLIVAIAAACQARAEDSLLVHALTCELPSGEVKNL PADLEASVPGFAAPKKEFTLPSMDLYSLEKPISAFGYMSSEVLIQPGRILLAISGTNL DAVIKSQELTVADMDILPALKQVGLNTAIVAFRSGQEDLASKVLLGCEYQMPEAAWPI GGSVDDLKLAQ YP_002979087.1 MPTKRPLIAIVDDDESMREAIKGLMRSMGFDAETFSSADDFLRF PHIRRTACLVTDVNMPGMSGLDLHRRLVALGKSIPTVLITAFPEKNVRVSALGPDIVG CLTKPFGEQILLDCIRSALALNSEGKSGS YP_002979088.1 MTEAPATIIVIDDDPEIREALGSLLRSVGFAVNLLASVGDFLRS GRPSGPTCLVLDVRLPGQSGLDFQLELSRENIQLPIVFITGHGDIPMSVKAMKGGAVE FLTKPFREQDLLDAVHVGLARDRAWLENEKALATVRARFDSLTPREREVMALVVTGRP NKQIAGDLGVSEITVKVHRSQVMQKMGTRSLPELARMADKLMLAPGKPQTQI YP_002979089.1 MEVLWEDGDRVFHREWRRGTDGKLNPVLVVLSALEYPTPSSLGH LDHEYGLKDELDGAWAARPLELVREGGRTMLVLEDAGSEPLARLLGKAMETELFLRLA IGIAAALGKVHQRGLVHKDIKPANILVAGTGVEVRLTGFGIASRLSRERQALEPPEVV AGTLAYMAPEQTGRMNRSIDSRSDLYALGVTLYEMLTGSLPFSASDPMEWVHCHVARQ PTPPNERVVNVSPAVSAIVMKLLAKTAEERYQTAGGVEHDLSRCLSQWEMQCPTDAFP LGERDIPDRLLIPEKLYGRDDEVETLLTAFERVVSSGAPELVLVSGYSGIGKSAVVNE LHKVLVPPHGLFASGKFDQYKRDIPYATLAQAFQSLIRGLLAKSDADLAPWRETLIET LGPNGRLMVDLVPELKLIIGDQPPVADLPPSEAQRRFELVLRRFIGVFAQPEHPLALF LDDLQWLDTATLDLLEDLLTRPDLQYLMLVGAYRDNEITAAHPLMRKLHAIKSAGGKV AEITLAPLTKGCLQHLIADALYCQPDRADPLVQMMHDKTGGNPFFVRQFLFSLAEEGM LTFDHDAACWSWDLERIHAKGYTDNVVHLMVGKLARQPLETREALQQLACLGNIADTT MLSLVLGIPEEQVAGALWPAVAHELLERLPDAYRFVHDRVQEAAYSLIPEERRGELHL RIGRLLAANTAPGLIDDYVFEIVSQLNRGAALIKSTEEREQLAEFNLLAGKRAEASTA YASALNYFVAGTKLLPEDAWERRPNLTFALELNRAECEFHLGALPDAEERLAQLSNHA LCCDDQAAVAGLRIDLYAALGQTNRSAAVGLDYLRQHLAIDWSPHPADEEVQREYDRI WSGLGSHAIEELIDLPLMSDPASSATLDILTRLVGAVWHTDANLACMAICLAVNLSLE RGNSDGSCYHYVSLGYIAGPRFGDYEAGFRFGQLGCHLVEKHDLNRFQARTYKDFGAH VIPWTRHVRTGRDILRRALDIANQSGDLTFAGYSHVSLNSNLLAAGDPLIDVQQQAEI GLAFAREVRFQFVEDLASAQLGLVRTLRGLTRKFGSFDDDGFDEGEIERRFSENPNLA ETCYFVRKLQARFFAGDHEAAVDASLRAQRLPWTSVSHFEETPEHHFYGALARAACCD SAAADQRAWHTEALLAHHRQLEIYAKNCPENFENRAGLVGAEIARLEGRDIDAARLYE QAIRSARANGFVHHEALAYELAARFYARGRFEDFTRLYLRNARGCYLRWGADGKVRQL DQLYPDLRGEERAPAPTSTIGAPVEQLDLATVIKISQAVSGEIVLQKLIDTVLRTAVE QAGAERGLLILPRGGESRITAEATTGGETVVVRMRDEIVTGTTLPQSLLHYVLRTNES VVLDDAMAQNPFSADPYICHHRARSILCVPLLNQGQLAGVLYLENNLAPRVFAPTRIV VLKLLASQAAISLENTRLYRDLDEREAKISRLVKANIIGIVIWDIEGRILEANDAFLR MVGYDREDLASGRLCWTDLTPAEWRDRDARNVAELKAIGSVQPFEKEYFRKDGSRLPV LMGGALLEESRNEGVSFVLDLTERRQAEEALRESEEALREALVQLAHVNRVATMGQLT ASIAHEVNQPLAASLTNAQAALRWLATRPPNLEEVTQALDRIVENANRAGDVIGRIRA LVKKEPTRKGQFDLNEAIRHVIALTGNEVLRQGVTLRTQFATSLPSVEGDRVQLQQVI LNLIMNAIEAMIGLNEEERDLLISTGTEASGGVVVGVRDSGPGLDPQSMDCIFEAFYT TKSSGMGMGLAISRSIIEAHGGRMWATANGPRGAAFLFTLPLERDETPGATHTDQIAM FGK YP_002979090.1 MTYELDRIEIELVPLTLFPSARGKAGPWILASPLQMPPDGASRP NTAFEAHLMTFSTKPSQAITPPWEQALLAWRSVFTLYVTGTRFDLWCYSLGLVRLVED LENLCPSESSAAMH YP_002979091.1 MPTLSPTPITPRDERLVTAHEALEPLYERLALRTESTLLSAAMA AGWPAEEATRALAALRLQDALSILCRANGGPHKSMHSPTAE YP_002979092.1 MAAQRYSPCKNLNIYWTVFDKVIGQAAIIDGFVMDMLTAEEANK LVDLLNRGSQVSHWCFAERF YP_002979093.1 MSQRLANKIALITGSSRGIGRAVALAFAREGAALIGVHYTANGD AAKATVRDIEALGVKAVGVKADLRQGKEAADSLWAQFSEAARTETGSSALDILVNNAG IAPALPLKQTSEAAFDEVMTINYKAPFFLIQAVADHIRDNGRVINVSTGFTRIAAPTH PAYAASKGALETLTLALAPEFAARGITVNAVLPGVTETDMNAEWLASPDARAGAEALS VFSRVGQAEDVADVIAFLASNDARWTTGQMIDATGGARI YP_002979094.1 MLDRDVGLDIAARLFWERGYEGTSTADLTKAMGINPPTLYSMFG SKEELYRQSLDFSIARENSRRLEILASNLPVHKALSLYLYDIADGDTQPDKPRGCMVS TAVLQHAEENASVARMTAALRETSMQTLKARFDRAVEEGELPAQTDTDTLARFYGAII QGMSAQACDGACNARLKRMIDIALTAWPGKRST YP_002979095.1 MRLIGIVNWPVVARSMIFCRMDVTYALDRYTVFEEQYNAGVELM KSVDLMYQTMLAELPALVRCYASRARP YP_002979096.1 MRNHLHMISLENVWSSSAAKQGIGLGIAQAAHAAGASVTVASRR SVSSADHPELAPFEQLVLDISDEDDVRASFKAIGNLDHLLVAAGPTDGSWGAFMDEDM RGARSYGNSKFLGSWACARYAVPKLSSNGSITFMTGGIAARSKIGMTSVTSTFAAVEA LARSLALELGPIRVNVIRPGFIDTDLWNFLSATDAADVREKVRANFPARRIGQPADVG HAAVFLMTNPYVTGTVLEVSGGEQLVDWQF YP_002979097.1 MNISLEGRKAVVTGSTAGIGRAIAQGLARAGASVVINGRSEGRV STAVAEVKALFPDIEIAGVVADLATKEGSAVLAAQAPDADILINNAGTANPKPFSDLT DEDWLSLFQLNVMSGVRAARHYLPRMTARGWGRVVFISSESALAIPRDMIDYGMTKTA QLAISRGLAETVAGTGVTVNSVLPGPTNSEIMANWMAGTAREQGITQEEAEQQFLKAM RPTTLINRFTSTEEVANMVVYICSEQASGTTGASMRVDGGVLRQIA YP_002979098.1 MDRLSELKAFLAVVETGGFTAAARRTGTSQPAVSKAIGALENRL GVALFNRSTRNVTLTDQGQRYFDRMKPLLEEIDNANREVIGSAVDMSGSIRIAVPTTL GRLHILPIIPDLLSRFPKLEVDLVLSDLMRDMVEDRVDLVIRVGSVEEPDAVVRRVAK TPLVCVGSRQYFEKHGIPTKPADLADHNCLVYGGFKESANWPFVGQEGHFSVAVRGNL TSNSIETIRAGVLAGVGIGMFTKASLVEELSHPDVVTILGEYVQGTRDISFVWPKRRL VSARVRHVTDFLAASLEGRI YP_002979099.1 MTISLSDIPVFVCAVDTGGFSEAGRRLNLSRSSVGRAVARLEAG LNVRLFDRTTRHQSVTADGQLFYEHCQRAMAELRAVEAALDSGSSAPRGKLRVSMPVL FGRMCVAPILTRLARENPGLELELSFSDRKVDLLEDGFDLAIRNGSPGNAAGTRIRRV GHEETMLYAAPGYLERQGVPEAISELDAHDTVTYSRAGRVQSWTLEVEGVVHEFNPVS RLRFDDIDAIADAATEGFGLAWLPFWLVKKRVETGELVPVMRQMPPYVSEVWALWPDG AHLPTRVRAAIDALVTELPKQASSSNGAGRDDTCV YP_002979100.1 MRHLMKRWELSAFSRDGLSLEQVPVPIVGADEVLVRVNAVALNY RDQDVIAGRMGAFNLPMTIGSDMSGTVVEVGKSVEKFAVGNRVISTFFPDWKDGRPLG SGRHPNYQSLGGHYPGVLSEYVSFPAAWLTKGPSSLDELGASTLPCAGLTAWYALVEL GRVEKGQTVLIEGTGGVAIFGAQIAKAKGATVILVSGSDEKLERAKALGLADFVINRT REDWVGKVYDITSDRGVDHVLEIVGGPHLAKALEAVAPGGRISMIGVLEGGQLSASVG PLLLKGPVIQGIAVGHQRAQEELVRAIDELQIKPIVDARYGLSDLQKALDHLTRGAFG KIVIDFAL YP_002979101.1 MTQDAHELQAINTPWRNAIQEILRMVIRDMYHAGSENRNIKRIE EASVDSNYTDLRLLGTDEWTEVVVKERVSNFVTTLLTSFTYDRA YP_002979102.1 MSVVMSKVALCRYHRNARAGAWFEAAAVFHARLRDQLVHTSTLR LDAMAFSRQQPLSPDTVDANKLVANMAEILSSTLGETRTKADANQLENAVLNLAVNAR DAMPDGGKLTIETANAHIDEAYAAEHDVAPGQYVMIAVTDTGCGMPQEIIKKAFDPFF TTKPVGKGTSLGLSQAFGFARQSGGHLKIFSEVGTGTTMKIYLPRDYGTNDIAPHVKD ESVPRGTASEAVLLVEDDASVREIHTKMLEELGYSVIAVDGPVTALKIVDERADIRLL LTDIVMAPMNGRKLADEVRSKHPHLPVVFPASYSCRNPRHSAILPAKCVALSTARFGQ IARPSSGRHSHQSFGDLVSIVGLGKEHAAVGYVGTCRALHTGDDEDFHGWPAVASGGR KHQSVHGARHVDICPKDGDVFVALKHNHCLISITGFDCFEPRFLDDVYRQHQEHWFVF HHEYLHCHCAGPASRSTYNFLL YP_002979103.1 MSWFSIAIIAVVALLALWVVLAASGDPGFLKRVQQVDSQALKIA AADTELDRYGARLCYEREAASGLVLITSNLEAFAARLLAARSAGRSLDLMYYMWNADL TGMLIMREVVAAADRGIRVRILLDDLGVSMSDKIFYAIDCHPNIELRLFNPTKARENV LRRGLEMVLRFRSVNRRMHNKAWIADGRIAMVGGRNIGDPYFDAGEDANFRDFDLVMV GQAVAKTERLFDDYWNSSVAVPVRSLLSRRPNKLRKLRRNMDRLDFEQRARPYLDRVQ DRTSLEDAVAENHYIWVDKVDVLADPPEKAAGKLRTGQNVLMETLLPVITGTAEFIHI TSPYFIPGERGVRALTKLRDKGVKVSVLTNSLAATDVAAVHAGYSRYRPALLKAGIEL YELKSIADVGSFSLRGSKQASLHTKAFARDGKYGFIGSLNLDPRSVSLNTEMGVLFKS SDLVAQMDAIFAKETETDLSYPLRLENGSLRWLKVVDGKRTLVRDEPDAGIARRATAW LIKWLPLESQL YP_002979104.1 MSATISTGNEGLDLILAGGLPARRLYLLEGAPGSGKTTLALQFL REGVRQGEKALYITLSETKEELSEVAASHGFDISEFDVFEFSSASDVFGDGREQSILH PWEMELGETIRLIQEEVEKVQPKRVVFDSLSEMRLLSQDPLRYRRQVLALKQFFSGRD TTVILVDDMSGNASERDSHLHSLCHGVITLERLTLDFGAARRRLQVQKLRGVDFAAGY HDFNIRKGGLHVYPRLIAATHHTEFTGETIASGIGELDDLFGGGPMRGTCTLVTGPAG SGKTTVALQYLYAACARGERCTVYEFDERIGTLLTRAKAFSLDLQAFIDDGTLVVQQI DPAEISPGEFAARVRREVEDRGSRLIVIDSLNGYMAAMPQEQQLILQMHELLSYLSHK GVVTFLLNPQHGLVGTMSTSLNISYVADTVVLLRFFEAEGRVRKAISVLKNRGGMHED AIRELRVDRGGLRIGEPLSQFRGVLTGTPEYLGSRDPLLEDRRSDA YP_002979105.1 MLERQQEGQRVLVSAPFGRDGDTLAALLREQQFEVAVCRDLKEL SSEICDDIGVILLTEETLSGDLTPLKDALAKQPTWSDVPFVLLAAPRSGRTTNAQLTN LKLFDIAINSVVLERPLGRASLHSAVASAMRLRQKQFLMRDRLLELDESETRLRLATN AAEIGTWDFDPVTGRLSWDDRCKAMFGIFADGAISYEDSFLAGLHPDDRQMADDAVAK ALQPGSSGIYDIEFRTIGIEDGIERWVAAKGGAMFAGGKPVRFIGTVIDITQRKKTEE ALAASEAALRIERQALDDLNRTLEERVAQRTAELEEEMSARNRAEEALRQSQKMEAVG QLTGGIAHDFNNMLTGIIGGINVAKRRIAGGRLDDVDRFMDAAADAANRAAALIARLL AFSRRQTLDAKPLDVNDHLVATRELLRRTLPENIAIDIEADPEAGWIVADANQLESAL LNLAINARDAMPDGGSLTISTATRRIDEIEARSLPGLKPGAFVAIGVSDTGVGMPPEI VARAFEPFFTTKPIGQGTGLGLSMIYGFAQQSGGQVEIDSRVGEGTTIRLLLPATDNG DQDQSRESLAVEAGQGQTVLVVEDDDSVRLLICEVLADLSYEAVEASNADAAIPIIAS PRAIDLLISDVGLPGMNGRQLAEVARQHRPELPVIFVTGYAQNATAKAEFLGSNMAMI GKPFAVEVLAEKISDMISKEKLAAPR YP_002979106.1 MPDCSQSTVSNLLLRALPLEAFELLRPAMQQVDLPLKYELVTPG VANDRVYFLERGLGSVVATSSDDEIVEVGHIGYEGMSGAHALLKVPKTPNRTFMQVEG SGISLPTSVFLNMVERVPAANDLLLRYVHCCELQLAHSALANARYNMPERLARWLLMC HDRLLTDDLPLTHEFLSIMLGVRRSGVTNEIHILEGVHAIKATRGNVRIVNRQKLEDI AGGSYGVPEQEYADCIGFPIKRL YP_002979107.1 MPRFFFHILTRTNIIRDEEGTDLTDLGAARSEAIKDARGMMSMA IREGRDLSHRHIEICDAVGTLLLKVAFSEAYEPGD YP_002979108.1 MPQIQKLDVQNRLLAALGREAFDALQPGMERVDLPVRTVLIESD QPTHHVFFLETGLASIVARTVDDEAIEVGHIGWEGLCGTHRLLRLDTTPNRTFMQIDG SGIRVPVELVYRLIDEDARSRDLFLHYIHTCELQLAHSALANGRYNMAERLSRWLLMC HDRIRDDDMALTHEFLSLMLGVRRSGVTDHLHILEGMLAIKATRGRIRVRDRAKLEEI AGGCYGAPEKEYERLIGSK YP_002979109.1 MTSGAKQVRLKLLLDHLRKGRWRKLPKQVGLKTALVAEELGLIE AKGDDLRARSYRLTVGGSAYLTDIVIAGE YP_002979110.1 MKPRQPHAPLRGFDRVVNGRNVHLLNVGDDAYPPVVLLHGCGSL AQEVLAPFRKTGLHIVAPDRPGYGLSDPLPQRLRGPLAQSLWLEDFVDALGFSSLTIA GHSIGCAPAILLARRRPDLVKSLVLIAPFCRPTPEQAMLLLRLAIAPWIGGMFSQHLL YRFADYFGERVMRKAHHPNPVPDTLADFPYRHAASPQSLRMMADELLQFNADMAAVGD EPIPCVTHIIYGMEDAVLDPHWHLDWLVQRVPQARIRLLQGVGHNPHHAASAVVRSAL QDAVWAGAIPGRCERPPVAEGLVRTS YP_002979111.1 MGQEGREDLIRDRAQQIWEREGKKDGDHERHWRQAEQEIREEQV GEEGASDTGSQTGRKAGGAKGIASGVQPGGMSPGGGPAAGADSMGVKNKGGKGISGPN YP_002979112.1 MALAQKELKMTLLPTVLLLEDEALIALDIQQTLLGAGAKDIVHL TSCSAATDWLGIYMPDLVILDIFLLDGESTDIASHLVERGIPFVVHTARRKVTQESHQ VLLNGEWVCKPARPDELIRAIGRCLEQRRDKGGLGCRDLNTRHAA YP_002979113.1 MSSSDTTNDHGTIQKWAEARDGRPSVVRTGGKGGVLRIDFGEKE ADFEEVSWEEFFKIFEENKLSFLYQDKTKDGKTSRFNKFVERE YP_002979114.1 MIEWWQRAAIYQTFPRSFQDSDGDGEGDIQGIIRRLDYLPWLGI DAIWPGPTYRSPLLDSGYDVSDFRSVDPVFGSMQDFDALISEAHAHGLKSHSRSAKVK KIEDRQEPPGAIKVRVDINENPLGESNEQL YP_002979115.1 MTPELAAPLFATSNSSGASATYIRAIAIRDIVIGLCLVVGPYFS IAGTTMSIAAISVIPCGDLVLVWLAGGGLLSLLPHVGSVVSLLALAAWGSRVA YP_002979116.1 MQYQEAIRSLTDAVARRQAILFVGAGVSMSVGLPSWESLIAHIQ SDLGIDCSTNDCHRSSYQEIAEFYRLKHGSICPLVEWMSQEWRVDPDCLRKSHLHRLI VDADFPFIYTTNYDANLEAAYEAFGKPYVKITGAADLAAALPGLTQIIKFHGDFSNPE GLVITESDYFDRLSFDAPMDIKFRGDAFASTLLFVGYSMTDLNIRFLLHRLWKTWRDT GQERARPPLYIVMHDADDVQKHVLDRWGVTVIEGRGGNAEESLLLFLQELKNSLAAQR ASCGSADALTFSRASRQTKSDKLVSDEPRSCSTTASSLQGTSVAAFDEASKT YP_002979117.1 MQDLRGSSVVITGASSGIGRATALAFARRGARVCLAARRADVLQ QVARECQSLGVQAIVVPMDVTDADAVAALADAAEVAFGGIDVWINNAGTGVAGSYHDA PLELHRKTIEVNLLGAMSGAYAVLPIFMRQNRGTLINVVSMAAWVPNPFAASYTASKF GLRGFAASLRQELVGMPHIHVCGVFPAVIDTPIIEHGANYTGHALEPPPFLYDPEDVA EAIVGVALIPRDEVAVGWPARAGQVAYTVARGPVERLVGATAAAIRKKAKPVPNTDGS VMSPNAAGTGTSGGWRVRKRVPSAKTITAVAIALTGAVLIYGLNGHRHRHRVRWRDPE P YP_002979118.1 MSNKADNGSKPLAAPMVAKIEPEDQRSLNLEDVDMSRACVQGDV LVSKGQSSTFVSKLRKPKDG YP_002979119.1 MPNADRKHIGAGAKGKGDGSGAMTDLDPDTLPPNMVLSNRDKAQ HSRERGLDGKTVQTEQYQDHAANREPD YP_002979120.1 MSSKVPPTPEANRSDKGSGETSHADNDGRLVAAAKNPDKVGQQG NSKVNTTHQGYQQDR YP_002979121.1 MSTSSKHPSTVRQGGPGASHESSRAPLEVKKPPAVSDNKARSGV SGGGGERDSHHTHDDARK YP_002979122.1 MPKYFFHIRRNDVFEEDLEGIDLASPEQAREEAVAAAREIVAEG IRRGDPADGATFEIMTEEGSLVATVPFRSAVGLE YP_002979123.1 MFPCTTPFALSAIHHPRGWPRGGKVVWCFLSSNAFGNALRGLLI YP_002979124.1 MTDFNLSLQMDDVNVLSDAVQTWYRHNHAAPTEQSMQLLCSAAV DLYNEGHRTREELVTLLIMKFDSLHSLQVNAPSSASHH YP_002979125.1 MLGLLIAVSGCSLTDPQRPMPGSLTYGKVVYSRYKPGTIVKNTF LDQFGYRSLSDIRFSQMALSNSLIRSRAQIFCGTDSGAAVLPLLFALLDA YP_002979126.1 MAAGIIVGLIVTAGLDLDPEIEWGVTGGINIKPTRRTAMTKKDG KTAAEVRGRIQSGDSGDIRSGFDPAAAPLETDAEAAGQPMSHEEIETALHTQSRGAAD RQRDYDVAMREPGSAETTPQTTRSNPLRIFIATLALVALAVAIASWIYT YP_002979127.1 MIEAMNLALVFGTLTLTCWMIGGAIAVFGNAEQCHPHRQQISEF VTLGLNILGLLCAIMMGAVLVAQ YP_002979128.1 MSSVGGSSTPQSSHSSRPLVIDLDGTLVRSDLLIETAFSELRRR PFSIVDLVLATCRGKACLKHRLSIPADFDPAILPFDPEVLNLIHTARHEGRQVYLASA THERLVSRISDHLGVFNGWFATDEMTNCAAEVKAEKLVAAFSDGGFDYVGNDAADLPV WRHAGKAYAIRSSARVARQLSRQCDDVEHLAYDRPTWRTWARLLRAHQYVKNGLVFIP LLTNQLFDVHSLANAALALIAFSLCASSVYVLNDLVDLQDDRGHRSKCRRPLACGEIP LSHALMAIPVLLLLSFMVALTITPAFILVLAGYFALTTAYSFVLKRKMILDVVTLAAL YTARVVGGSAAISVWPSPWLLAFMMSWFLSLALVKRYTELISRRAANLPDSKSRDYKN GDIGMVGALAAGAGMNALTLYALYAASDSVQDLYTRPGILWLAGPILACWIARILLLA HRGLMHDDPVVFAIKDKVSLATLGVASAFVVAAL YP_002979129.1 MRSHYGGEGIPPRIAVRYVVFAIVSTLANFAIQAAVVKIYPSQS LAPSMLAGTAAGFGLKYFLDKRWIFFDRYESHGDELLKIVLYGLFSVVTTIIFWGFEI VFWIVWRTDVAKYAGGAIGLAIGYVSKFALDRKFVFKLEGA YP_002979130.1 MEGWGRYPRHKSEVIDCRLPETLPGLVSSRAGLIARGNGRSYGD AAVGEHLTLTCGGLNRMKSFDQMTGSLTVEGGVMLSEILRSFIPRGYFPPVVPGTKFV TVGGMIASDVHGKNHHRDGGFGEHLSEIKLVVAGGEILTCSRTQNSELFFATVGGMGL TGIIAEATFTLRPITTGWIVQSQVVTENLGDTLKALKQRDDAAYSVAWVDCLSRGASL GRSLIFVGEHAIANDIAQMPKAALLPHIKAARFSLPVDLPGWALNKASVSAFNELYYQ AGARKAGRASLVDWNSYFFPLDGLLEWNRLYGKRGFLQHQCVVPDENALAVLSSMLDR FARSGKASFLAVLKRLRGGAGLLSFPAPGYTLALDLPVAPDVFQLLDEIDKLVVAAGG RLYLAKDARQSRHTFEAGYPQLQAFKEVRRTTGADRHFSSQLGKRLGI YP_002979131.1 MKAIGKTLLLIGATSDIGRATALVYAEAGWDVHLVGRRRELVQR EADDIVTRTGASVAAHELDILDTGRFEEFLSTVSPLPDTAICVVGELGEQARAERELE HAAMVMRTNFEGPALMLGLLASRFAARGSGTIVGISSVAGDRGRGSNYVYGAAKAGLT AFLSGLRNRLFTAGVRVLTIKPGFVRTGMTDGMKLPPMLTAEPAEVAQRIFAVADGSK GGDVVYVRRIWYPLMAIIRGVPEPIFKRLRL YP_002979132.1 MKRRLFSAQVALLVVAVICSAMLALPGRTINTAFINDVLVFIDG AHRIAVGQVPNRDFHTALGPLVFYIPALGYFLSGNFGAAIPIGMALLIVAFMPAMIRV LLSRLSSPLAIALGIFLILILAVPINLGSPVRLLSFAMFYNRIGWVALGLILVLYLKP RSDAARNDVADILATAFLLLVQFYTKATYGLCGVAFLIFLLLDRQQRSWILLSLLLTV LAMIVIEFFWRGTLHHIEDLRAAARVSGDHDVRSILKNVRENLSDLVVFTVFALVAFW HIRSLRDLLYSGFCVGAGLAIINQNAHSWGIITLYCGSAVVVEKARRFQSVDPSAQME KPARLAAALPLLLIFFLLPPIVHHGEAIALHTALAAENVGKPLGPPLFEDVREIDPPG GEPDFIQRYLDSIESGGALLQALPIPLERVFVLDFVNPFSAGLGIRPPTGDTAWFHWN RNINEKAYIPPEALFADVKIVMVPKTGINSLPLQELYGPFISKNYDMVQKTPEWTIYQ RPQTALESEAR YP_002979133.1 MTQAVRSMPEQEGASPRAGRQTVWWTAIVPFALVLSALLALPSQ TITSKYVNDLFVFLDGAHRIWSGQVPNVDFHTSLGALTFYIPAVGYGLSGSMGGAMPV GMAIVTLLFAAVAAAILGSRMHKALGLPLAAFLLLLVAAPANPGERIGDLTFAMFYNR LGWSSLGLLLVMYLPRLTSAGSKAVDAACASFLVLFMLYTKITYSVVELAFLVFLATD RRQIGWTALAFGMIAISVIAIELFWRGSLNYLADLRLAGENSGGLPALGALGYVFLNN FADLTVYAIAAGIFLVLAPSYRRLFFIGFCVLTGVLLIEQNFQRAGILTLGPSAAVIT QSLLNGELSRLHRKARLVLSLLLAFLLVPAAISNASAVAIHARYAIAGQGEPMPLPEF SRIRLVETWSIGQYDYFVQYNRTLAEASDVLSQLGARQATVAVLDFVNPFSAGLALPP PIGDSVWYHWGRTLGPEYHPPAEEMFAHVDLILDPKWPIEIWTANGMRDIFAHYIARH YDKVRETTNWRIYRRNTGQRMALPAQQRQAAG YP_002979134.1 MARHFFNAHDGILFGYGWPRAPGLHSARSEAERWRAIGVSALPY K YP_002979135.1 MSGNLPELASYLVNKVPQAISDHQFKLGELTLHTGREHIIELLG FLRNDENCRFVCLLDICGVDWPGRAERFDVVYHLLSPTQNLRLRVKLETDEESAVPSA CELFPCADWYEREAWDMYGILFTGHPDLRRILTDYGFEGHPLRKDFPLTGFVEVRYDD AAKRVIYEPVELKQEYRHFDFLSPWEGTDYVLPGDEKSAADPSKQ YP_002979136.1 MAEHDVRNFHLNFGPQHPAAHGVLRLVLELNGEIVERIDPHIGL LHRGTEKLIEKKTYLQALPYFDRLDYVAPMSQEHAYCLAIEKMLGLEVPYRAQLIRVL YAEISRILSHLLNVTTQAMDVGALTPPLWGFEEREKLMVFYERASGSRMHAAYFRPGG VHQDLPPKLVADIGEWCRKFPQVIEDIGGLLTDNRIFKQRNVDVGLISLEDAWAWGFS GVLIRGSGAAWDLRRANPYECYSDLEFDIPIGKNGDCYDRYLIRMQEMRESVRIMSQC ADLLLGSASTGPVNSNDGKVVPPKRGEMKRSMEALIHHFKLYTEGFRVPKGEAYAAVE APKGEFGVYLVADGTNAPYRCKIRAPGFTHLQAMDFMCRGHQLADVSAVLGSLDIVFG EVDR YP_002979137.1 MLKKTKTANGRDGTLIIIGGHEDHDGERVILKEVAKNVRDGKLV LATVASHEPEGYLEKYQRSFGDLGIPHVTELYIEERDQATSEDKLAGLRDVGAVFFSG GDQLRITSLIGDTPIDEHVHEIFANGGVIAGTSAGASAMSDTMLVRGSNASSFRIGDL SMAPGLGLLPNVIIDQHFAERGRIGRLIGAVSQNPRVLGIGIDEDTAIVVRGHRFEVI GTGAVYLVDAGDITHTNIAEASPDEALSIYDLKLHVLSSGDGFNLETRRPDRETVAVS LEKRW YP_002979138.1 MWSIILHGGAKTIAMKDMAANRSGCRKALEAGAAILRNGGTSID AAEAAVRVLEDDPTFNAGYGSVQNEDGEVECCAAMMEGERFNVGAIAAAKGVRNPVAA AKAMLFDKPVLIAGDGARAFAAQAGLRLCDPDALIVMHEAKQPDAEKRHDTVGCVALD EQALLATAVSTGGLQGTPAGRVGDSPQPGCGFYCDNNIGGAVFSGDGEDIARMMLAAR VMYALHDLSPREAVEASLAHLERIGGEAGGIAVTPDGKFGWAHNSEHFAVAYASSGEP SPKVYLSKSEEQNA YP_002979139.1 MQEVGVYRGPNLHSRTKMVRIQLDLGKLEQYPTNLLPGFVDALL KHVPGLREHGCSYGEPGGLVLRMEEGTWLGHVAEHVAIELQNIAGADVARGKTRSVTN MPGVYNVMFEYENEDLGLLAGRFALELVNFLLPADLQGLAGADMIAPSPLAQFTMAEA LRVLRAAHSEIAFGPTTASIVREAEARSIPWRRLDNSSLVQLGYGKHLKRIRASCSSL TSEIAAEIASDKELTKRLLIEAGLPAPWGTVVSSAEEAVEAAQSLGLPVVIKPVDGNH GRGVNIGLSSQSEVEWGFEQARAHSSQVLVEQQFVGGDHRILIIGGRLVAAAKRVPAH VIGNGRVTIEQLINRENEDPRRGEGHEAALTRISIDECLIHYIARSGFTLSSVPERGK PVMLLPTANLSTGGTAIDCTEDIHPDNALIACRAAQIIGLDIAGIDFVAPDIRRSVLQ TGGGIIEVNAGPGFRMHLYPSQGKRRDVAGAVLDLLYPPGAPSRVPVLAVTGTNGKTT TTRMLAHILAADGQIVGMTSSNGVYIDGRRIMEGDCTGPRSARVVLGEPTIDVAVLET ARGGLLREGLAFNACDIGCVTNVTADHLGLRGVHSVEDLAAVKSVVVEAVHEDGWSIL NADDPLVAAMREEAGGHICYFSTKAPKQWPDFLKDHVFHGGRALGCDLATGLFDMILY DKAQKMLICRVDEIPATMNGMAAFNVENALAASAMAVCNGVPLPVIREALRSFGTSYE QSAGRLNMVEREGVRIIVDYAHNPGGLRALGRLVGKLRTGNSSCIGVVGIGGDRRDQD IYEMGEIAASVFDRLILKEDYDLRGRSAGEVVAILRQGALKAGFDASKLEVVLREHEA VERALGSALNDDLIVVTADDIARVWKRVSAPPANELDPLPYKGAIPLQSEIRVF YP_002979140.1 MTDARTAFQDTLAQLTALTYPCDSGFSFRFSVEYLKRGRICYQF RGRSCSGLADLAGYRINMIGGTISAFPGNAVVTAIDAFEDNALIAFYVGEEFQTGAIT TELLTIVATMPEVLLVELETILADDRPARASLTRLALVGFEQGTAGSSPRDKSCHLCV DHLLHPYWCHDRYMLL YP_002979141.1 MENQALSIMRPQVARIESYPEVARRLEQHIKETEGQIGRIDELL DQFGADSSTLKDFALSFSGSMAAMGHTIAGDEILKNSFANFAFENFEIAAYKSLLILA ELGGHGNVTCARLANLKEEQAMAQWLDENVAAVTTRFASLKEDGRTAKA YP_002979142.1 MPTVRRFVKQLERSAQMQTDLTQDTMLKALAHRIAPRRNRKNRR WGRNLSLPSDGIIGRQQTPVAPQQASRTTARRCTGAVAMASSPPRAAGLTLPWTFQLK PAVGMPPRAILQKRAPIVLGPIQRVSPPLSKRHKLDGGFLLRNLSASKCLLCARNGLE NQTSPPGSRWSVQIGSCQLFIWPASIPRSVGIHPMSLIT YP_002979143.1 MFYTDGKLQYPVRVENPDPLFARALQQAIGGVEGEIRVAMQYFF QACGARGDPKFRDLLMNTAAEELGHIEMLATAVAMNLEGAPLKLKEQIAADPIGGAVL GGINLKNLLSAGLSAMPVDSDGVPFDMSHIYASGNIAADMTANVTAESTGRVLAVRLF NMTNDPGMKDMLSFLIARDTMHQNQWLAALEELGGTSGVFPIPNSFPQEQEKQEFSYT FLGFQADASDPVPGRWSQGSSVDGKGEFTSMPMTAALGQKPVLGPAKPNSGAQTEQL YP_002979144.1 MAGPNCTSTAGIDTFDNGPPYDILKTVEDECHVEMAAGFFQDEL TMTQEQNLLVVAGSKADSDDDAQYLHRSVARLSFQRRFELANHIKADSNIIIRIANAH SRQPTS YP_002979145.1 MSQSDHPRSPMNFQYPGEQTGGDPTMGMINAELADKGFLVTSTD ELITWARTGSLMWMTFGLACCAIEMMQMSMPRYDVERFGVAPRGSPRQSDLMIVAGTL CNKMAPALRKVYDQMPEPRYVISMGSCANGGGYYHYSYSVVRGCDRVVPVDIYVPGCP PTAEALLYGILLLQKKIRRNGTIER YP_002979146.1 MPLLEQARWSDNFSAKETLAIYGACATTITLVRDGFFTEEKTPT MQDAIDYLKALDAAPLGVVLHIRVHGRNIPFGRDNVSKLMGEL YP_002979147.1 MTEMLRELRTAAIWAAFGLGLLFATRPVWSFLLFGPLITLEDLL SLRCLGLPT YP_002979148.1 MTGLERRPTRSFPLSAGLLLGLGLGGFFDGIVLHQLLQWHHMLS SWYPITTIENLELNTFWDGVFHSGTYVFVLAGLFILWRCGRLSHFRWSTGDLVATMLI GFGAFNLIEGVIDHHVLGLHHVNEMAPRGQWLFWDLGFLAWGGAMIGVGLLIMRRLHD YP_002979149.1 MNEIIDADFCVTWQSPVFVRIGNGMRERLDSPDAALAALLHRWP SSYGSEYEVAKRRCVDAIASHGSPELARRAFVEAAVAAKLLA YP_002979150.1 MVVQLSLETDDIAPTAESASGARVFAPDLAYRLMSIVNVIFHGD PAKGNDWVLIDTGLPTSKNTIVETAEARFGRNTRPSAIVMTHAHFDHAGSLEGLAEHW DVPVYAHPLEFPYLNGQASYPPADAFVGGGAMALLSPLFPRSPVDVGRWLKMLPPDRS VPAMPGWKWLHTPGHTPGHISLWRESDRTLIAGDAIVTTGQESVYEVLTQKPEMHGPP RYLTPDWDEAERSVVMLASLEPELVITGHGQPVRGEHMRARLHELAANFSAIAVPEGR PYALDPAKPGKSGNDAYR YP_002979151.1 MTTPLGRVRGLGSAKGGTRPYVLKQASGLALGILTPYLIGIGIY LLGRDRDFVVASIGSFWIGPALLAFILLSAVHMDIGMRTIIEDYVHGHMRKLVILFLN SAFTWLVCLLCVFAILRMMFDAAQQ YP_002979152.1 MSGLGGTGAEIKKEIVALMPAVHRFAMRFERSVTDADDLTQDTM LKALAHIDQFRPGTNVKSWLFTIARNTYCTKYKIRQRFTLCADMEVATSGQAVQSTQE WSLRTGEVDKAISALDADKRQVLLMAANGDSYEDIAGVCGCELGTIKSRISRARAAVI SALGETTASGAVAAR YP_002979153.1 MRFVPTMLHGIADYVVGSFVIGLPFFLELNGTPRMVLIALGIIM VLYRLLTDHEIDAVRFLRIRSLLDAIFGVAMLLSPWLFPFPMDTRWPVYAIGVVALIL AITTQIRAEGTAAIN YP_002979154.1 MKALCWHGKGDIRCDSVPDPKIEDGRDAIIKMTACAICGSDLHL MDGYIPFMEKGDVLGHEFMGEVVEVGRDNKKLKVGDRVVVPFTICCGECQQCLRGNWS VCERTNRNADNATKAFGYQTAGLFGYSHLTGAYAGGQAEYVRVPYADVSPIVIPDGMT DEQALFLGDIFPTGWMAAANCEIEPTDIVAVWGCGPVGQFCIKSALMQGAARIIAIDN VPERLALAQSAGAEIINFDEVDGTIPDRIKEMTGGHGADKCIDAVGAESHATASFDAV VDKVKAATLLGTDRPHALRTAIMACRPAGIVSVPGVYGGFLDKIPFGAAMNKGLTIRT GQTHVNRYSLDLLRRIEEGEIDPSFIITHRAKLEDGPALYETFRDKKDNCIKVVLTA YP_002979155.1 MSSRACDLIGRLEEKHVTRAQRLNALLHLLPRYYTDRRWNAKVA NAIVLGLQSVFPSRLFHDCRVFSRSIAAGERSVGLRFLLPDGAPRGVYVHFHGGAWVL GNARLDDGITSRVAKECQLIAVAVDFRNALDDRVDLAIDDCEIALNWVVRNLSDLGVG RIVLSGESSGAHLAAQALLRLRDRGMVEAFRGFISTCGAFDFKGSRSLRSANSASLIV DAPAALRNLQRLRSSLPWNERDGPLHAQLEGLPPALFIAGELDPIVDDSIQMFRTWQD ANGNASLSIVPAGPHGFNRLPTAIAKITNAYAREWLIDRCSGLH YP_002979156.1 MTAHPKDKPSIKPYTGPAGGWGSAKSVAGILLREHIPATGAALL DKQNKPEGYMCVSCAWAKPAKTHPLEFCENGAKATAWEVTNRRADAGFFAAHTLRELE AWRDHDLEEQGRLTQPMRWNAETDKYEPVEWDVAFAEIGKELRALTPQQVDFYTSGRA SLEAAYMYQLFARLFGSNNLPDCSNMCHESTSVALPESIGASVGTAVLSDFENTDCIF YIAQNVGTSSPRLLHDLQDAVHRGVPIVTFNLLRERGLERFKNPQAPTEMLSSKETLI SSEYYQVKNGGDIAALFGVCKALIEADDALKASGTSKISGNDDIPDDPSEAGTIAFAA SIAAADKKHVLDHDFIQEHTTGFEQFADAARSYSWAELERVSGLTREQMTHAAQTYAK AKAVLIAYGMGVTQHVMGVDNIHMIANLALLRGNIGKPGANICSIRGHSNVQGQRTVG ITEKPGLVPLDKLSELYKFEPPRWTGRSTVDTCKAILDGQARAFIGLGGNFLRAVPET EAMEAAWRKLRLTVQIATKPNRSHVLHGEIAYLLPCLGRLEIDNQATGPQAVSIESSV AHFHGSRGKATPASSHLRSEPAIIAGLAKATLDRGDVPWDAWIDDYSRIRDAIERTYP ETFSDFNKRLFQPGGFARPLPARERKWVTKTGKANFLTPDRLFPEFAIDGAQEDVLHL STLRSNDQFNTTIYGYSDRFRGVNGTRKVVFMNRDDVARLGFANGDSVDLRTAIDHST ARQVGALRVVEYDIPQGCCAAYYPECNPLFPLGHHDAQSKTPSYKLLPVFITRSQQQP KD YP_002979157.1 MGSVRGNRRSAIAIALGGAVVVGAGALVGWAREGRTLRLDPPLS AEFEKIRLMPNDISGAPPEIYFKLGKPYESTAYDLSQGKRLYSWFGCPACHGDGRGAA GPSFLDGWWLYGPEMISVVASIRDGRPHGMPAFRDKMTIDEIWQLAGYVRTIGAYSAK TTAPSRNDDKNTRPSENRAPAAALFDEGPAGAHPDQGPSP YP_002979158.1 MTRSSIIGPSLASLCLSGCTGSQSALDAAGASASALKQLIVVIV VVCAVVWLLVMLVLAWSLLRPRDGRGVAGNDQKARKVVAGAVAATAFIIAGLTIISFY TTRKIGEASETALTVTVRGQQWWWQFIYPDDGSGREFKTANELHIPVGQAVKLRLESA DVIHSFWVPSLAGKLDLIPGRINELTLHADRPGIYRGQCAEYCGLQHSHMAVLVIAED EVSYRQWVQGQQRERLPPADPQVTAGETVFMSKPCAACHTIRGTSAAGVTGPDLTHVG SRQTIAAGLLPNTRGSLAAWIADPQTLKPGNNMPLVPLSGEELKQVSAYLESLK YP_002979159.1 MSADPPPLTDVDLGDEVIDLRLHQIWKTPTGLWGALSTVDHKII GRRYIVTAFVFLLLGGILAMAMRLQLATPEARYISPDRYNQIFTMHGTNMMFLFAVPV MEAMGVYLVPLMLGTRNIAFPRLNAFSYWIFLAGGLLLWIAFALDVGPDVGWFAYVPL SGPQYGAGKRADIWAQMITFTEVSALAVSVEIVVTVFKQRAPGMSLDRIPLFVWSMLV TSFLVILAMPAIMFASSTLILDRLVGTHFYNPAEGGDVLLWQHLFWFFGHPEVYIIFL PAVGMVSTMISTFARRPVFGYLALVMALISTGVLAFGLWVHHMFVVGLPRLGESFFTA SSMAIAVPAGIQIFCWLATLWDGRPVFKSPMLFIIGFIITFVLGGLTGVMVASVPFDT QVHDTYFVVAHFHYVLVGGSVFPLLGAIYYWFPKFTGRMMSERIGRWVFGLIFTGFHL TFFPMHLLGLFGMPRRIYTYQPEMPWAGLNLFVSLSSFILAVGFLLFFMDVVRSARSG AVAEENPWNASTLEWATTSPPSPYNFRRIPVVSQREPLWSGSSDLPVVSGMRLDRREL IVSGVVEAEPEARESSPTNSIWPLIAAIATSIMLIWSMFSPWAVVWGSIPIAIALTGW FWPKGDPEDES YP_002979160.1 MKERLVLDVSHLPLHGSGTASPTWWGTLAFMLIEGTGFALGIVV YLYLMSIASVWPINAPAPDLLPGTLLTAVLAVSVIPNVLVARWAERRELKKVRIGLIV MALLGVAPLFLRVFEFPALHVMWDSNAYGSITWVLLGLHTTHILTDLIDTLVLMCLMF TRHGDNPRRYGDVEDNVMYWNFVVATWVPLYLCLYWVPRL YP_002979161.1 MSGEGFPVKIVVLLLVTMLGLAAGVLTYQVRDRNQRTEVASLLA RGDPARAPEIFRRYGCTGCHTIPGIAGANGKVGGPLVDIRQRVYLAGVANNDAEALVH WIVAPSAFDAKTAMPDTGISEAEARDLAAYLYGQ YP_002979162.1 MKTRVRTILLGAALTVAMLAAGAWLITKPNIPFEENDPAFVKPG DPARGELIFAAGDCSSCHATPGQKHRLQLGGGLALASPFGTFRPPNISQDAKDGIGSW TAADLGNALIGGVSPDGQHYYPVFPYPSYTGMTVDDVRDLFAYLKTLPAVSGGAPPHD LVALFRIRRFVGFWKLLFFDEGKSEAVLSGDPIHDRGAYLAESVAHCAECHSSRNVFG AIKQATRYAGGEDPEGTGFVPNITPARIGDWSQADIFEVLTSGNTPDHGRVGSSMADV VTNTAKLPAGDRDAIATYIKSLPARPTPQP YP_002979163.1 MRWRSWLTDLGTWTASPIAFAIVIFYGISWLIFSPETLEWHGLA TLITWMMTLFIQRAEHRDTQAIHAKLDELLHVHGDAKNEITQIDEKEPEQIERFRATH TESE YP_002979164.1 MSNRKKPAKAATLPDKLFTGPFRQQYGALCFRRTEGAAIEILVI TSRDSGRWIIPKGWPMKRKKPYEAAAIEAWEEAGIRGAVHKKPVGRYTYLKELDDGDV APCVVEVFQIEVHELNADFKEQGQRTREWVSPDEAARRVREVELKSMLADFRPRTGRK MQAE YP_002979165.1 MADWNFDPILLSAIALVALWTWRRAMAEDRVGQCALFMGLCVLA FVSPLCAFSSALFSARAFHHIVLVSLAAPLGWRFFFGSSVTLDRFPSMAAFVVHTVMV WLWHLPLPYAWALSSNFAYWAMEIPLLLSALWLWREILYPRRASGSALAICGGTILQM TMLGAFLTLTPHPLFSPHFLTTDLYGLTPLEDQQLAGLLMWVPASLPYVAAFLLRIGQ TIKTSSRDKAVDVPQNV YP_002979166.1 MRIAVVGATGRIGAKLTENLLAKGHSVKALSRGGPALDDLVAKG AESFLGSFDTGAGELNAFFEDADAAFLMVKTIWGSEDLHGHYPTVALRFFDALRDSPV KLAVSLTGMGSEVSGNTGHFQGFHILDQILNRLRDIKVVHLQGGWFMQDLAGWTDSIA QHDRIGWSLDPNVKTPWIAIQDIADLAAEEFDTPTDQHRSVKQLGIDYTMTEIAAIIS RALAREVDYRFIDRSDREVEAVFRERFGALGRWVYDNDTLAALNDGRVKFHDDRPALR TTMEEFVKDTLRPLIEKARTDGVKPETFLTWSSHR YP_002979167.1 MISKSGQPSNKPPCSAAIDLSLLHGPAMAIRMDFADYEAEGVQH QHPQGQLILALHGAVTCRAESGVWIVPPDCGVWIPGGVPHSNQVTSNARLTYLFVEPG AAMLPAVCCTLSVSPMLREMIHRVADLSENHARDAHVDRLVRVMLDELALMPRERLEL PVSDHPKIALIAAALLADPSDRRTLGEWAEHVAVSERSLKRLMVQETGLSFGRWRRQL HLVIALRELAGGATVQRVAGDLGYESTTAFIVMFRKALGTTPSRYFADRSLITEHA YP_002979168.1 MTELLQRRVNNRLLRRFPEDAFELLAPFLEPVDLSVKCPLMLPR KPIEHVCFIESGLASMVAESAEGRSLEVRHIGREGIAGYPVILGVDRTPNKTFMQVSG HGLQVAKDDFLPTLENADVRQLLLRYVHTCELQLAHTALAAAKFHTHERLARWLLMCH DRIEGNDLPLTHDFLALMLGVRRAGATDELHILEGMHAIKSTRGNVRILDRDMLIEIA GGCYGVPEQEYERLIENSPQPSALPVQLNGNRHHHTL YP_002979169.1 MEQTRPKYFFHIRRNDVFEEDLEGIDLASPEQAREEAVAAAREI VAEGIRRGDPADGATFEIMTEEGSLVATVPFRSAVGLE YP_002979170.1 MTDFNLSLQMDDVNVLSDAIRIWCRHNRAAPTEQSMQLLCSAAV DLYNEGHRTREELVTLLIMKFDSLHSLQVNAPSSASHH YP_002979171.1 MTVISAIDAERRLVGVAHPTSWNVEADRRNAKKSPALVKTGQVW IAAGRTLKCKVAAISNLSTTRLLTVRGAKLFPSERYLIGLAAGHVIQAFFIILLNPPA CRPGTARGKTCEAIQGIIAEVQEIMEEYNGTVALDAGLISSAQGVEHYEIARDGTLFA WAKQLGLKDAVPLLQANLAEEEVTDQKLTQLAEASANVKGNKAARSQPEWKTALDERP FLRLARTCHPTRIGSGAPNDVHPPRSRNASASLRR YP_002979172.1 MDLTKRDCIIVGGGPAGLTAAIYLTRYHLSVTVFDDGTSRAANI PVSHNHAGFPSGINGADLLRRMRAQAVMYGAEIDDKRVTALRKAEKDFVVSFADGSLS ARTVLVATGVVNRMPSMPKDKHDEAVKRGLIRYCPVCDGFEVTDKRVAIIGQGSQAFK EAVFLRSYSRDITLVSPSGEHELKASEETRLQQLGILVKGGPFEIDVEQGAIAIRTSR GTHRFDSIYPALGSDVRSELALGVGATVSGEGCICVDGHQRTNVRGLYAAGDVVIGLD QISHAMGQAGVAATTIRNDLSDLSALIR YP_002979173.1 MVSRIRRTLTVQERAEAFERTNKAAAEAAEEERRRREEKNERLR QLRVASEN YP_002979174.1 MAVMRSAKSYFDDFTMNQLSTLPAGRSNLLLRALSQADGDLLWD HLEPVALNRGDVCIESQRPLTHVYFLDGGLGSTVMPDEVYGSAEIGAQGYEGLIGVPV ILGAMQTPHKTFMQVGGPARRIAVAPLLRAIDESESLRKLLLRYVHVFQLQVGQTAYA NARYNVEERLARWILMSADRLGSPLSLTHDFLSLMLGVRRPSVTDATHILEGERLIKA SRGTIEIIDRAGLAKRSNGCYGVSEAEYERLIGPWR YP_002979175.1 MNTKIKPERAEILRLRARIVAVERATLAALELVLLLKPKELEAF LESRRKELSQNYLDETFATDLVDPAERDFVAEEVERLMRALQSEMDFKGGVSTPESG YP_002979176.1 MEEQIRNIGDLSIEEREEVFVDVATALEGTAREAFVEGNRHFAA LWANMAQAIRVNADELARDDLTNTERILRQAAAMISQFNATHPYRMVSHAVH YP_002979177.1 MQFSSDLERQLNGYGLTTAHILYHVPDFKTVLQAYVWQDYDLAP NFPEMQKFLDYWQANLDGPLHSVRYTHQRLIGPNEWRRVDGEFKLH YP_002979178.1 MSFRPLHDRILVRRVDSQEKTKGGIIIPDTAKEKPQEGEVIAIG SGARNEAGQIQALDVKVGDRILFGKWSGTEIKINGEDLLIMKESDVMGIIEAQAEQKQ AA YP_002979179.1 MAAKEVKFNTDARERMLRGVDVLANAVKVTLGPKGRNVVIDKSF GAPRITKDGVSVAKEIELEDKFENMGAQMLREVASKTNDLAGDGTTTATVLAQAIVKE GAKAVASGMNPMDLKRGIDIAVDAVVKELKANARKITSNSEIAQVGTISANGDEEIGR YLAEAMEKVGNEGVITVEEAKTAETELEVVEGMQFDRGYLSPYFVTNQDKMRVELEEP YILIHEKKLSNLQAMLPVLEAVVKSGKPLLIIAEDVEGEALATLVVNKLRGGLKIAAV KAPGFGDRRKAMLEDIAILTGGTVISEDVGIKLENVTLNMLGRAKTVSIEKENTTIID GVGSKAEIDGRVAQIRAQIEETTSDYDREKLQERLAKLAGGVAVIRVGGSTEVEVKEK KDRVDDALHATRAAVEEGILPGGGVALLRAVKALDGLPTANDDQRVGIDIVRRAIEAP VRQIAENAGAEGSIVVGKLREKSELSFGWNAQTGEYGDLYAQGVIDPAKVVRTALQDA ASVAGLLVTTEAMIAEKPKKDAAPALPAGAGMDF YP_002979180.1 MRKDIENTLPKQATAAISAAHLLHPAKHFNHPRDVLAAEGIGKQ EKRAILASWASDIFAIESAPALRLYPGTDKAVSYDEIIQALKHLDEGDTRAGEQGLPV ATNIHRSQRRRPQPRRMGGFSLCSFRRGDRLRQPFEM YP_002979181.1 MKIAQIAPLAESVPPKLYGGTERIVSYLTDELVRRGHDVTLFAS GDSVTDARLVPCSDVALRLNPAVKDHLPHHVVMLEEVRRRAHEFDVLHFHIDLLHFPL IRDFADRTVTTLHGRLDLPDLRPFYKAFPDIPLVSISNDQRHPMPPVNWAGTVYHGLA TDGLPFTAKSKGNYLAFLGRISPEKRPDRAIQIAAKAGMPLRMAAKVDNADQAYWDTV IEPMVKSHPNVEFIGEINEHQKAEFLGNAGALLFPIDWPEPFGLVMIEAMACGTPVIA FNRGSVPELIDPGLSGIIVDTVTEAVENVEWALRMDRHRVRETFGRRFSASRMASDYL DIYRRLPGVRTETARMRRSNGTAPDLNVAS YP_002979182.1 MSSALTDSNGMPATSTQLSPTGQFFIPATASLQERRPRTLKHGD TFAVFDHNGDALSGPGSPEGLFHRDTRYLSHLSLTINSTRPMLLSSTLRDDNAALTCD LTNPDLFDKKGKLALAHDLVHLRRTRFLWDRRCYERLTVKNYDERPQQVRIEIAFAAD FADLFEVRGTVRAKKGRSLPAVIEADSILLSYFGLDDRKRSTRLSFDPTPDRLAGDLA VYDLHLAPHEIRSLFVEIGCDEDEARAPNHLSFFFAFRDARRALRSSASRAASIVTSN EIFNEVARRGVSDLHMLMTDTPEGPYPYAGIPWFSTVFGRDALITALETLWLDAQIAR GVLGHLAANQATEFNPAADAEPGKILHEVRYGEMAELGEVPFRRYYGSIDSTPLFVML AGEYLKRTGDLTTIKTVLPNIEAALTWIDEHGDRDGDGFVEYGRLSEEGLINQAWKDS HDSVFHGDGTLAKGPIAIAEVQAYVYGAWNAAAEIFRRLERPERAAKFLARAEGLRRA FDINFFDEEIGTYALALDGDKRPCRVRSSNAGHALFTGIAYPERAAQVAHTLMGASSF CGWGIRTIPSTEARYNPMSYHNGSIWPHDNAMIASGLARYGYRAEAARIFEGLFAAST YIDLRRLPELFCGMSRQRAQGPTFYPVACAPQAWAAAAPLLLLQSCLGLEFDPNGRQI SFDEPTLPSFVDDVTLRNLRLSNCTVDVALRRSGRQVVVEVIDRRGDIKVVSTS YP_002979183.1 MLDQSRRISSAIRAAARNGSGLYLSIGMKIHPLCIQICSRNTID RRANEPKDMPRLRIYKKGRVQFIHVKVAKPGSPLSTKVPISTHIRERELNGSIRNTWP VSVPPHQNGQGPETCPADGATRDLSCRSVVRALETLTAVGVARFHGQHLLMSDRLPKP RLPLCPFIATPSMEAKLSRNATSDLSNWPSPGVSTLEDVVTPLLAADNHVV YP_002979184.1 MSVEKNVQIVKDFFTAIGSYKEHDLLALVADDIEWIIPGRNWPL AGTHRGHAELAAVLKKASEEVEMAYPKPPEFVAQGDRVMVIGVATGKIYATDKPYNDD WVFDMTVRDGKITKIREYIDTQALARASETDGPVT YP_002979185.1 MSYAIIGFGNIGQALAKAFARKGIEVSVATTRDPKSFSSTAAAI GPTIIPTTLAEAAKADVLFLAVRFEAVPDVAKALPTWDGKTMVDVTNAYGVPPEELGG QPSSKFNAQAFTGASLVKGFNHLGAASLAQDPAVKGGRRAVFLASDDDAAAAAISTLA ENLGFAPIKLGGLSEGGLLVQARGNTWGQLIFKDLIKFD YP_002979186.1 MTRLNGKTAVITGGATGIGRAAAKRFVEEGAFVYIYGRRQEALD AAVAELGPNARAVKGSVSDEADLDRLYATVKAERGTLDIVFANAGAGTPLPLGQITGK HIDGTFETNVKGTIFTVQKALPLMGKGGSIILTGSSAGTTGAPGFTAYSASKAAVRNL ARTWAEDLKGTGIRVNVLSPGATATELAKEALGEEGQKAYGAMTPLQRMADPSEIGAA AAFLASDDSSFMTASEVAVDGGLAQL YP_002979187.1 MTIDWDDVRYFLAVARHGSVRAAAAQLDVNHTTILRRIAQLETR LHSQLFEKLPAGYRLTEAGEQVQELAEQMEASSNLLVSRVSGRDQAVRGPLRVTMAPT LATHLLMPDFADFGRQHPEIEMEIHSSLENANLTNREADVALRVVFNRNSLPQNLHGV KGPDLSVGVYMSRDLLAAWKAGTSGDIRWISKNIEGVPEWAHGDVIEVTGAPFRVLED AAHLEAMRLGLGISALPSFVGDAEPLFVRVPGTRLGPHGTLWVLTQGEARKTKRVRLF TEFISERLRAHAELLAGEIHPHDHLAKQNLRIANSLQDA YP_002979188.1 MRTTLAIDDDVLTAAKAMATQQHRSVGEVISELARRSLRRPPSS GERNGIPLLSTRPDTPPVTLEIVNAMRDELP YP_002979189.1 MTFLLDVNVLIALIDPGHVAHDDAHEWFAAIGQSAWATCPITEN GVIRIVGNPQYPNSPGSPSLVMEIVRKLRSLPGHSFWPDDVSLVGSGDIAPAKILTSG QVTDTYLLALAKARGGQLATFDRKLSAAAVTRGNSALHLITTSRS YP_002979190.1 MELPEWIRMGHPLDGDLFEIMTEDATLVATVPFRSAVQLS YP_002979191.1 MTERDALRDQIYRLAAAAEADPETSNLKSLAVQLWANFNEFTVE DLEDILRDEWRTRGLPFNDNAEI YP_002979192.1 MTDAKLRSADPELEHQNRRGLPSLVLAALGVVYGDIGTSPLYAF REALHATGGSGAHRAEVLGILSLIVWALTIVVTLKYVTFVLKADNRGEGGTLSLMTLA RESLTGRPKWVLVLGVIGGSLFLGDAIITPAISVLSAVEGIDVVAPALSKWIVPITLT IIAMLFFVQRFGTSGVASVFGPVTALWFIVLGVSGAIHIFDDPSVLAAVNPVHAVRYI ANHIGSAIAVLGAVFLAVTGAEALYVDLGHFGRRPIVTAWFLLVFPSLLLNYFGQGAF VLANPQMAEHPFFSMHPEWARIPMVCLATAATVIASQAVISGAYSLVRQAMHLNLLPR LRILHTSETQSGQIFMPQVNNLLFIFVAALVLFFQNSSGLSAAYGIAVTGEMFITSIL LFIVMRRIWSWKLATALAVIVPMTLIDAGFLAANIAKFADGGWVPVAVATTMALVMQT WTAGRRLLAARTKADEIPLTTIIDNLARKKPPTVPGTAMFLTSDIEGAPTALLHSLKH YKVLHEQNVILSVVTSTTPFVRDDEKIFLESFNRHFSRLVITFGYMETPNIPRALVLA RKLGLKFDIMSTSFFLSRRTILPSKKGGLPFWQDRLFISLAQNASNATDYFGLPSGRV VELGLQTSI YP_002979193.1 MSVFDLISLLLVLTAGFSWINHRYFRLPPSIGILVMGLAASALL VLLELSIPDVSIYADVAALVRQVDFQTTVMNGLLAFLLFAGSLHVDFSALRSRVAVVG AMATIGVLLSTVLIGVAMWALAALFGIELPFLWALVFGALISPTDPVAVLSTLKAVKV PQALETDMAGESLFNDGVGVVVFTALLALASDGADVGAAQVAELFVVEALGGAVVGLI TGYAAYRAMRAIDDYPVEVLISIALAMGCYSLASALHMSGPIAVVAAGILVGNRGPKD ALSDVTQRYLFGFWTLVDQILNSVLFLLIGLEVLILRYETSILPLALAAIPVALAARF ASTIIPVTLLRRHYDFVRGTVIILVWGGLRGGISIALALSLPETPFKAALLAATYAVV IFSIVVQGLTLAKVASRALRPSGPSL YP_002979194.1 MNINKKWIPFVIVILALLAVAQIALVGAGAMGWFGS YP_002979195.1 MRVAIHTLGTRGDVQPYVALALGLIERGHRVQLAAPVQFESMVL DHGIAFASLPGEFLALLDTPEGKTAIAGSKGFSAGFKLLKYARPLMRSLFDAEWKAAK AFTPDIFVHHPKAIAVPHMAEALQRPGFIEAAANKLH YP_002979196.1 MHHMSTEMKTCIDNCLACYSECLSMAMGHCLELGGEHTKPSHFK LMMACAEICRTSAHFMLIGSEHHKHVCRECAEICGQCAEDCERVGDMQSCVDACRNCA DSCRKMAA YP_002979197.1 MKTLTELTLCAAAAASFAILPSGSFAQSTIAYPEKCKSQGMDMS KAVMPSGGMPMGDMTDYQKASMDGMKVMHMNMMQGMMMKDADVSFVCGMIAHHMGAIS MSEVELKYGDNDEAKQMAQKVIEAQKKEIEEMSKWVDKEAK YP_002979198.1 MALLSRRHRIPLGIPIRGKEGTISRDVSVEAAITEIAKNQQARA STLLGISANSGTNAVGERRGMPYGGNFDTAILRCLCATNSFCR YP_002979199.1 MTELLRRRVNNRLLRRFPEDAFELLAPFLEPVDLPVKRPLVLPR KPIEHVCFIESGLASMVAESAEGRSLEVRHIGREGIAGYPVILGVDRTPNKTFMQVSG HGLQVAKEDFLPTLENADVRQLLLRYVHTCELQLAHTALAAAKFHTHERLARWLLMCH DRIEGNDLPLTHDFLALMLGVRRAGVTDELHILEGMHAIKSTRGNVRILDRDMLIEIA GGCYGVPEQEYERLIENSPQPSALPVQLNGNRHHHTL YP_002979200.1 MPKYFFHIRRNDVFEEDLEGIDLASPEQAREEAVAAAREIVAEG IRRGDPADGATFEIMTDEGSLVATVPFRSAVGLE YP_002979201.1 MADFNLSLQIDDVNVLSDAIRIWCRHNHAAPTEQAMQLLCSAAV DLYNQGHRTREELVTLLIVKFDSAHSLKVNAPSSASHH YP_002979202.1 MHLLDANVLITAHNLYYPIQRVPEFWDWLVHMGEIGALKIPVEI LEEITEGSELAQWLKDGDNYDALKLDEDVNPALVQIVIEKYAPDLNDAEIIEVGRDPF LIAHALAHRLDRIVVTVEASKPSTKRANRRIPDVCNDLEVRWCNSFQMLTELNFRTGW RSAPDQSYLE YP_002979203.1 MPKVNPLILRWARETAGLTVEDAADKLGIGDARGIAGSDRLAML EDGQVEPTRPQLIKMSTQYRRPLLTFYLAEPPATAARGEDFRTLPDEYAQRDAALVDT LLREVRARQEMVRSLLESEEEAEPLEFVASYDYRRGAEGLAAAISERLGFNLQSFRSG SGRGTSRGFAYLRERAEGTGIFVLLIGNLGSHHSALNVELFRGFALSDKVAPFVVIND QDSEQAWSFTLLHELAHIWLGQTGVSGGRPTSAIETFCNDVAGRILLPSAEIAGEVAL RGASQDVVMARVSAIAELRQVSDSMVAYKLYRAGIIDHAVWSSVTAVFRQQWLNNKAA QRARARENESGPSYYLVRRHRLGNRLLSLSKRMLADGALSPSKAAAILGVKPNNVFSL TDGVI YP_002979204.1 MFAKLSDGTITRGHQTGLFLLRENARLVFVDQSPEEIRKLMDAE LERLSQITN YP_002979205.1 MPSTFWRRYWNPSIFRPHKPIEHVCFLESGLASMVAESADGKSV EIRHIGREGIAGYPVLLGVDRTPNSVFMQVPGHGLQVATENFLPILEHVEVRQLLLRY VHTCELQLAHTALAAAKFNMHQRLARWLLMCHDRLDGNDLALTHEFLALMLGVRRAGV TDELHILEGMHAIKSTRGNVRILNRDMLIEIAGGCYGVPEQEYDRLIENLRSRRPCPS T YP_002979206.1 MPKYFFHVRRNDVFEEDVEGIDLATPEQAREEAIAAAREIVAER ICAGRSADGDVFEIMTEDGTLVAIVPFSSVLS YP_002979207.1 MANFNLSHKIDDVDVLSDAVRTWYRYNHAAPTEQSMQLLCSAAV DLYNQGHRTSEELVTLLIVKFDSLHSLKVNSPSSASHH YP_002979208.1 MTTPLGRVRGLGSAHGGTKAYVLKQASGIAIGVLTPYIVGLGIY LFGRDRDFLVLSVGSFWVGPPLLAFVILSAIHMEIGMRTIIEDYVHGHMRKLALLFLN SAFTWSVCLLCVFAIVLMMFETASK YP_002979209.1 MAGGAETRFQFRRIFNEANRLRGDTSENLIALLESRLDAIVYRA KFAPTPFAARQFINHGHVIVNGMRVNISSYRCKAGDVIEVRPKSKQLAVVLESVGLAE RDVPDYIAADHNKMTATFSRVPALADVPFAVIMEPQLVVEFYSR YP_002979210.1 MTLQKQHTRVDPADLGGEIYRHIIESATEYAVIATALDGTITTW SAGASNLLGYTAEEAVGQNLAMIFSFEDQLQGVFHAEIRGATQNGRADDNRWHIRRDG SRFWASGLLMPLRDDNQVTVGFVKILRDRTQFLEQDETVRSSEERLQLILESATDYAI FTLDDEGALLTWNAGAHRILGYERDEIIGRDARILFTPEERQAGALEWEMETANKEGR GENERFHVRKDGSRFWGSGLTMPLKARHDKIGYLKIMRDDTERHLAEEHQQVMMREMS HRVKNSLMLVTAMLSMQARATDIPDVRRVLTDAETRVATIAAVHDHLWRQPDLETIDL AAFLKDLCSRLAQTSIRHTLSFEGTPCRIDTDRAIQAALLVNELVTNAIKHAYPSGGG RVAVSINAIDAVISLTVSDEGVGLPDDFDPHESSGRSLGMRVVKGLVRQLQAEFIVTR TEPGTALITKIPLSS YP_002979211.1 MKAINLITLLLIIVGGIKWLLVGLADFDLVATIFAGRDSALATI VYVLVGLSALYQLVPFFRALSVGEVAAESSTRHI YP_002979212.1 MSDETDRHAKAYALWEKEGRPEGRDLDHWHKAGINEADEQSADR TEASASEDPAEGSEQIVDRELAQQDKRPKRSAG YP_002979213.1 MPNSQNGNQSGGDTSKRGFASMDDDKQRDIASKGGQASGGNFAN DPERASEAGKKGGQSSSGGNNR YP_002979214.1 MENEAKAVVITGASSGIGQATAEAFARQGAKLVIAARNAAALQS VANTCRELGADVLVVPTDVTDADQVKALAKAALSFGAIDVWVSNVGVGAVGKFQDTPI EAHDQVVRANLIGHMNDAHAVLPIFLKQDRGIFINMISLGGFAAAPYATAYSASKFGL KGFSEALRGELADHPNIHICDIYPAFIDTPGISHGANYTGRQLSAPPPVYDARKVADA VVRVSRHPKATTTVGATAELIRFGHFFAPSLSARFMNWFMTTYFKLADTTPITDGNLY APSSRPGGIDGGLRSGRQRVALGAVAATAAIALGLFTLANAYSRNRHRNQWR YP_002979215.1 MTTYAPLDILKPVGDGIWIVDSGPLAAAGAIPLPIRMTVMQLGD GSIVLHSPTRYDISLRQEIESLGAIRHIVAPNSAHWSFVKDWKGRVPDALAWAAPGLR RRRQVKKARIPWHGDLGAKSPAHFAPDIDQIEVPGIGGFFEVCFFHRKSQSLVVTDLI QNLDDHHQSAMMRLFSKLVGARDRAPIYLRAVVRLKGEPAKAAARQLVALAPKRVIFS HGRYFDEDASARLRKSFEWLV YP_002979216.1 MKPPAGIALFDSAATMARSLASFLHGRPFRSLGEPWITRRLAPV LNHLPKPARRGFFALSSLTYAVSPKKVNSVSSDEVAEWFVGLYPQRRYPVIAIGSSNG ALMHLCAALGAPWLPQTFLTPVRHTGVDPDDPQKGFEVGMPVVEKLLARNKNVAIHYM HDSNQDRLVLRHMGLFRQKFIRLPPAYRRFIETNLEPGGTLLIVDCQLQWPVRRISND AVFQFGGVGGASLDEYYNGSNRVEAYLKSFGDKRSKWDPPAADDQTVEAEWGFEPGLM PDLLSIGQAAGANIRTLSFEQPEQLSVFVADFYRKWYGRIGIPPKRLLAESFMLLDPW LALKTGSVPFWLVFNAKPSVDALHDYLNDGAAFDDIFITVFPNGVEAVGQATISDWQG VLDRARNRGDLLGVDRDRFPADLATMVRYSKAIEALPKRAAMPGALPFRKVEEFASNH SQAGVSLTGYDTA YP_002979217.1 MSPKFPEEMKRAGKNMEARVEPVTTARASLLDTFRIIRTVMAPT IAKGVIKRRSSMEALAQRRDLDVKAVRLMQRLRKTYGRGPLLVPLPFRPQLLLLDPHH VAEALEGTPMPFTPASKEKRSALAHFEPGNVLISNAARRAELRPLHEHALATNERVHP FVEHFDRIVREELQALLPLADTGVRYVSWDEFSQPWFRIVRRIVLGDSAREDEQLTND LDDLRRRANWAFAAFANNGKLKRYQKRVAEYLRQPEPDSLISRLPNRAEVEPESQVAQ WLFAFDAAGIATFRALALLGCQPDEQARAVEEADAAAADRPFARAVLIDAVRLWPTTP AILRELTEDHIIGGQTIRKGTGIIIFAPFFHRNDERLDIANRMSPTTWFDTESKPSEG LVPFSGGAAICPAHNLVPTVASLAMGAILSKATISVVEPSLDPEQLPGSLDHFEIEIR LSNRQGAAA YP_002979218.1 MLTFEENGHGEPLVLLHGLGGSARSWDLLVPELQKHRRLILLNL PGHGGSPLTSDAATFDGMVAAVEAFLETRGITSIDVVGSSLGGRIVLELARRGRVRSA IALDPGGFWHGWERHYIFASLMGTIKLIRALGSQRKILAKPGIRSVSIRQLSHKPSAL SQPFVEQEIRSCAAASNFEDIVRDLTSIPPQMGPAADNVQSVAIGWGRQDKLCFPGQA IRAQTAFPGSILRWFDACGHFPIWDQPSLTVQFILQCLDLERNSDEQRASMQQGI YP_002979219.1 MHTPNILILEDEALIALDVETTLNDIEAGCLTSFASCADALKWL AENTPDIAIIDIFLRDGECMEVADILVERGVPFVVHSARRKVTHESHRIFLKGIWVPK PAVPNDLAPNRRSTTFKNTLSRGLSPASSVGPSKRQSPAHQGWPLPPAAP YP_002979220.1 MAQSFTLKLSRRSVLASATATLAASTFPFVAQAASTLSKAATME NPTTKYPKPPFEKQSQPFPGLAGKMNPKPDHGETSYKGSGRLAGMRALITGGDSGMGR AAAIAYAREGADVAINYLPDEEPDAKEVIALIEKEGRKGVALPGDLRDEAFCEKLIED AVQALGGLDILVNNAGRQQQAASVSDITTEAFDATMKTNIYAMFWLTKAALEHLKPGS AIIQTTSVQAYDPSEDLVDYAITKAAAMNFTKSMAKQLGPKGIRVNGVAPGPIWTPLQ VSGGATMEKLMMFGGDTPLGRPGQPAELASIYVQLADPEASYATGQIYGAAGGSGQP YP_002979221.1 MAIETGKGLAVVTGASTGIGYELAKCAAQDGYDLIIAADEARIH QAAASLKEFGTSVDAIEADLSTEEGVDRLLEKIEASGRSVDLLMANAGRGLGKGFLDQ DFVEARKVVDTNIVGTIYLVQQVGNLMRSRGQGRILLTGSIAGFMPGSYQAVYNGTKA FINSFSFALREELKDSGITVSCLMPGPTETEFFQRADLTDTSVGQAKKDDPADVAKVG YDAMMDGEGDVVSGWKNKLQAAVANVTPASMLAHQHAKMAEPGSGKN YP_002979222.1 MPQGDKSAYTDKQKRKAEHIEEGYEDRGVSDKEAERRAWATVNK ESGGGKKSGSGRGHAESHASSEKGGRKGGAAAASRTKEERSASAKKAAATRKRNEHHA HH YP_002979223.1 MTVAPFFYGETFMPKKKSKQKWSQDVTENSDAMDLKGGVFKQRS AKKIADSLKASAEHSDRRKASPFQSAMSMLNFYINRAGKQLSKSRLATLDRAKDELRK DFGKQPKH YP_002979224.1 MRAYQIWEDEGRPEGQDLAHWYRAGDAGATAERPDYGRYSSDVA PVGSLVIKFYHSGDQIRGYVRKVADTAADDTIFPGEEMEPDAAFKLADSHKGDSSETV FVELVEGVEWNPAWGRLG YP_002979225.1 MTKRETPPEAIPADTDDARSIDSPAADRHHNDLAKEAAKELQRA MKEKGGSPDNHISTTKAEIEREARDSPTAIMPDDPTTA YP_002979226.1 MVKASATRPTRLPPAFIEPHAEGKDLSALHGQAETCERCDLFKN ATQTVFGEGSTDARVILVGEQPGDKEDIAGRPFVGPAGRLLDECLHEAGIDRSLCYVT NAVKHFKFEQRGKRRIHSKPNAGEIQRCAWWLGGELDFLRPSLVVALGATALYTLMGR SVGLTKERSNILQAANATPLLVTIHPSYLLRIRDHDDRANEQRRFVDDLRLIHDYLSD DK YP_002979227.1 MTNRKLANGPNDSPKSSSIGKTAEGFPDDSGQPVEVGEKTVEAT RRKLSENPREKLLKEVKQQEDASRLGSE YP_002979228.1 MLDFAFARHRMVETQIARRGVRDERVLAALRRVARESFVDEGFE EFAYEDSPLPIGSGQTISQPFIVARMAEAAEIEPSDRVLEIGTGSGYAAAILAELASE VFTMERHGELASEAERRLHQSGYSNVTVKAGDGTLGWPEKAPFDAIVVAAGGPSVPVS LQEQLEIGGRLVIPVGEHPEEQRLLRITRMSATKFEEEDLGGVMFVPLVGAEGWIEQD DELSPHPVRKSLPELIGEAAEELPAIDDSGFGALFDRFGDRRVILLGEASHGTSEFYR ARAAITKHLIEKHGFTIVAVEADWPDAAYLNRYVRGRPRPSEILAPFQRFPQWMWRNT EVAAFIDWLRQHNAGIEDASRQVGFYGLDLYNMSGSIAAVLAYLDETDPGAAAIARER YGCLTPWQNEPSTYGRAALRSGYETCEDAVIKQCRELLERSLSQEDGDLLDAQQNARL IASAEKYYRIMYYGGAATWNLRDRHMFETLDQLLGAGGPESRAVVWAHNSHIGDARHT EMGSGRDELNIGQLCRERYGDQVALIGFGTHAGRVACASDWDSDMEIKDISPSLPGSI ERLCHDSGKHSFLLDFGRSDTLHNALRARRQQRFIGVIYRPETERLSHYMEAAVSSQF DAFVWFDQTSPVTPLAGPTKGSGKMPDTFPFGM YP_002979229.1 MAGTKTHEQQRRIIEKRENTSNAGDDFNAEEELKRSKQQRDAHR GGANLHEEGVELTDKDDRQIIRGENQESAHHKPRADG YP_002979230.1 MANQHPKTQTPSDKDLRQNPGIGTSRGTIKGGDILDADESLDGD NTFKGDVENDVTAQGGVDPNRTGRTNK YP_002979231.1 MAHSDKKHFGKGTQGKGAGAGAMTEIDGDTVGDNEVLSNRDKKQ HSNERGQDGNAIKTEQQQDHSANRRP YP_002979232.1 MQLLERAEQLEQLQSLLSEAAEGNGHVAVLGGEAGAGKSALVNI FTDLVGKTTRVLRGACENFGTAEPLGPLRDLVREAGWALSETLSSTESRIALFSEVFR LLSVGAPTSLIVIEDIHWADDATLNLIRYLGRRIRDAHVLILLTARDDDAGLQSRLRK ALGDIPAASQRRIDVPALSRAAVSELAMLRGFDGDEIFRVTGGNAYFITELIEAGDWK VMPRTVRDAVLARAEGLTAPDRDILAAASIFPDAVDLKSLDAICGDGAEKSLQACLDC GLMRRVDGTYSFRHEITRQVIEQSLSPIRRRGLNATALAASRHAGHVPWVRLIHHANE AQDLAAICELAPQAAAEAARLGAHREAIKHYELALTHASDFDEKQRADLYENYAFECH LVGQVERAIVSQKKALAIHHRLGAPLREGESLRWLSRLSYLAGNRKDSDAYGKEAVDL LEAQTEGAELAMAYSNLSQLSMLAGDTETACHYGERAIGLAQSEAIGRPDILCHALNN VGTAVLWRDPDQARHLLGRSLEIALAHQLEEHAARSFTNRGWIELRLHSVADAEDFLG RGISYCIEHDLDTWRDYMRGEQAELFTYLGRWDEAERAASLVVGNVNAASLSRYPNVL ALAVLRTRRGEPADALLEELSRFLETGRELQRLAPYAELIAERAWLGLEDIGRALSLL DQAIALSRDDAAVSLLLVWKRKLGADVSLCSDFDGDEVVRQMLAGDWAAAAETWSERR SPYWQAMTLLDGDDNAVYHALDLLEGMGASAVAQHVRAIIRGRLGRVAARGPRASTKA NPAGLTTREMDVLRWVDLGKSNGEIAALLFVSAKTVDHHVSSILAKLNAKTRTAAASH ARSRGLLS YP_002979233.1 MPKYLIERNIPGASKLNAEQLQCISAKSNDVVAGLGVPYEWVTS YVAGDKIYCVHEAESAEIIYRHAREGGFPADLVTEIASQIGPASANGRI YP_002979234.1 MNKNSGAAVHFQVWTQWSDARTREASTQARSFFPSLIFCICWVS AACFCEAANEFSRHGQQAVRIAADEIARLDHLGRTAEVGVIKETDITISLAYTLIHCR ALMSENLE YP_002979235.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CALFIRSTRRVELTPAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLAKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFSSI AHERYLLAVARSNPLAEQAEIGIDDLRSERIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRAFELKAVRGIDFRIGLGVAWNKED PTASRDDIVDIARSLARPGR YP_002979236.1 MEIRETFARNLRTLRQARKLSQEELAHRASVDRTYISSLERCVY SPSIEVLDRLAAVLGVEPADLLKKSAKE YP_002979237.1 MFKAANAVQVRVSIDEIQPDVWRRLVLPVHWNLEHLHLGVQAAF NWWNYHLYEFRIGGLRNGEVETLTEDATSDDPRVFDQREVRLLDFEQGAVFSYHYYSG MAGGTSLRSRDS YP_002979238.1 MKVVRLVLQPIVTGFCREERILTSACSPRSESDACRDLVSNKGR LMRLDTHMYWLLGLVSMGSSLLFASADVLSANPSAETSNFGAVNDAAEAAYPFTVAGG HSGSQLPAVYRAAIKRFPNVAACLSVSEGDPPQNVGDLDWSRTASREDIDVCIFRILT SLHDVNKGIAWFRRQGFQIEAFHPDASSETSFRKQGQVYQSYAATISTKKLYEKTSWS KFSATFHLAPVASVQVLTTSTGAPLSTKCTFNLE YP_002979239.1 MGRAFNEGALDMVKADGDERFNELFDRFSQSEQTRRTIVPSSIA TQELRRGHR YP_002979240.1 MTKDAHELQAINTAWQIAIQEILRMVIRDMYQAGGETAFNTHIK RIEEAAVDSIYTDLRLRGTDEWTEVVVKERASNFVTTLLTSFTYDRA YP_002979241.1 MKNTRKDDLSDRRTAAAGAKAALLNAHRSAMAAAEPTRVARQAE RVAIASVREERRIERERLKLEEAKRLATEAADREAAAHAAVQAEQDARLAKENALIAR MVEDEATRKAERDRRYANRKARQA YP_002979242.1 MTQTHSRSRQRAEIAFGDVQKQIFAKDRAVEELDSIVLARNAKT LRLREARLAKEAQDRASATAALIAKRARAS YP_002979243.1 MTTGTVKWFNSTKGFGFIQPDNGGADAFVHISAVERAGMRELVE GQKIGFELERDNKSGKMSACNLQSA YP_002979244.1 MAVILVVEDEPLIRFLLSDELADAGHTVIEAASALEAIAVLGSF DHLDGTITDVDMPGGLSGLDLMKLVRSTRPATSVWVVSGRDVRSQIATGVFFLPKPYD YRELANLVSERTHVRQVLLVDQQRTG YP_002979245.1 MTGRLRLSDTACPEAVEGKERESQVKSPAPPIAVAQSRTIRLAL AARVRAPSRPSIAHKGGGSTVFPVRATKQSVGKFTEQSCRQSSVRRPSNGTASCIVVH YVRRFRTAVTWFATASPRFRRIRPVGP YP_002979246.1 MVTLFTSLVLPYALMLMTPGPNLLIVLRIAMKPSWHRVMSVAAG IACGATVACFLAAFGASTFEKFDKLETVGRILLAGILIHSAFRLIRRHPASSPPEASP EALAIRLFALGLATALSNPLSVPFFVSFYLSAPSLRTSVGVTVACCLIFSMAFAWFVL AGRLLSLSAIRRRGGRWRELVRFILAGSMSVYALWLLAKGF YP_002979247.1 MFVNLTDGTITRWINMDVVIQMRFDDDKHQTELFLLREHAGPVF VNETPEEIRTLMDIELERLSRAANWNSGGPLGGPNGRRQ YP_002979248.1 MNTYANILPGDPAPWFHQRSMANPSFAFDTAAGRYLVLCFFGSA SHPASKAAIEAALRKADVFDDVKASFFGVTVDPTDETEQRVADRYPGYRFLLDFDGTV SRLYGSMPIDAKPEDGEVPIRQIWIMLDPTMRVLKVLPFAKDGSDIEEVWSFVAGLPA INRFSGIELQAPIIVLPNVFEPDLCKKLIGLYERSGGEESGVMREVGGKTVQVNDHGY KRRKDYDIQEKDVIAETQGRFVRRIVPEIQKVHQFTATRMERYIVACYAAEDEAHFRA HRDNTTKGTAHRRFAVSVNLNDDFDGGEVSFPEYGSRSFKAPAGGAVIFSCSLLHAVS KVTRGRRYAFLPFLYDDAAAKMREANNAFLAEGVGSYSAESKGPPA YP_002979249.1 MISTTTQQTSIKDRFQPIVTLVEMAQRLVRAFAQPSVVQAVVRL ALAVPFWKSGILKWDGFLQLNDTAITLFTDEFMLHLPGGPYAYPAPVTMAFLSGAAEI TFPILLVLGFATRFAATGLLFMTLIVELTVPDGWPIHITWAAMALSLMAWGPGKMSID HWLAQWFGRRAA YP_002979250.1 MLRLDPSSRRIEPLTYAADFAPALTNPDAGTPDGVVGPNGKGAI KRYNVYRNNVTVSLIDALAGIFPAVQRLTGAEFFRAMARFHVRATPPTSPLLFEYGRD FPAFIEQYEYARSLPWLPDVARIERAWLDAYHATDVEPLAADALASVPPERLGELVFA AHPATRIVRSDYPALTIFAANRGDRSISPIEVVDPEDALITRPDMEVAVRHLPLGGAE FLISLISGEPLGVAAANAIDACPSFDIAASVAGMIEAGVFCGITLGDA YP_002979251.1 MTTDQISTTASAATTLRFPRHPIEGLAGTSFKHEHFASIAAEGP HRGFFEVHAENYMGAGGPPHRILEQIRRDNPVSLHGVCMSIGGPQPLDRAHLERFRSL VERYEPALVSEHLAWSTHRTTFLNDLLPLPYTRESLARVCEHIEEVQETIRRPILLEN PSTYVTFRQSTMSETEFIRAIVRRTGCGLLLDVNNVFVSATNHGFSALDYLSDFPIAA VGEIHLAGHGEQTDDEDELLLIDSHDGPVADAVWKLYEIVIGRGGPIPTLIEWDSNIP DWPILRGEALAAQAIMDHHGSIALQDQSHAAA YP_002979252.1 MSIKSTINSVVLAGAVTTALASMAGAAPLTKAEGAAATAAHKEK CFGVALKGQNDCAAGAGTSCQGTSTVDFQGDSWKFVRGGTCTSIVLPNGKHGTLKAM YP_002979253.1 MTSPQMRSKDPELEHQTRRGLPSLVLAALGVVYGDIGTSPLYAF REALHANGESGATHANVVGILSLIVWALTIVVTLKYVTFVLKADNRGEGGTLSLMTLA RESLAGRPKWVLVLGVIGGSLFLGDAIITPAISVLSAVEGIEVVAPALSNWVVPITLT IIAMLFFVQRFGTSGVASVFGPVTALWFIVLGVSGAIHIFDDPSVLGAVNPVHAVRYI ANNIGSAIAVLGAVFLAVTGAEALYVDLGHFGRRPIVVAWFLLVFPSLLLNYFGQGAF VLANPQMAEHPFFSMHPEWARIPMVCLATAATVIASQAVISGAYSLVRQAMHLNLLPR LRILHTSETQSGQIFMPQVNNLLFIFVAALVLFFRSSSGLSAAYGIAVTGEMFITSIL LFIVMRRIWSWKLATALAVIVPMSLIDAAFLAANIAKFAEGGWVPVAVATTMALIMQT WTAGRRLLAARTKADEIPLTAIIDNLARKKPPTVPGTAMFLTSDIEGAPTALLHSLKH YKVLHEQNVILSVVTSTTPFVPDDEKIFLESFNRNFSRLVITFGYMETPNIPRALVLA RKLGLKFDIMSTSFFLSRRTILPSKKGGLPFWQDRLFISLAQNASNATDYFGLPSGRV VELGLQTSI YP_002979254.1 MSVFDLISLLLVLTAGFSWINHRYFRLPPSIGILVMGLAASALL VLLELAIPNVSIYADVAALVRQVDFQTTVMNGLLAFLLFAGSLHVDFSALRSRVAVVG AMATIGVLLSTVLIGVAMWALAALFGIELPFLWALVFGALISPTDPVAVLSTLKAVKV PQALETDMAGESLFNDGVGVVVFTALLALASGGTDVGAAQVAELFVVEALGGAVIGLL SGYVAYLAMRAIDDYPVEVLISIALAMGCYSLASALHMSGPIAVVVAGILVGNRGPRD ALSDVTQRYLFGFWTLVDQILNSVLFLLIGLEVLVLRYETSILPLALAAIPVALAARF ASTIIPVTLLRRNYDFVRGTVIVLVWGGLRGGISIALALSLPETPFKAALLAATYAVV IFTIVVQGLTLGKVASRSLRASGPSM YP_002979255.1 MRVAIHALGTRGDVQPYVALALGLIERGHRVQLAAPVQFESMVQ DHGIAFAPLPGEFLALLDTPEGKAAIAGSKGFSAGLKLLKYVRPMMRTLLDAEWRAAQ AFNPDIFVHHPKAIAVPHMAEALQCPFILASPLPGFTPTATFPSPMLPFRDLGWFNRI SHIAAIRGAELLFGTLLSTWRVEQLGLARRRTPAIASNGTLYAYSRHVVPVPPDWGSD VLVSGYWFLDSKNWRPPDDLAAFLADGKPPIYVGFGSMPGVDPGRMTATVVEALARQG KRGILALGGGALAADHKSGHVHVVRDAPHDWLFPEVSAVIHHGGAGTTAAALRAGKPM IICPFFGDQPFWARRVTDLGVGLSLDRRALTVESLTDALAAMDDPHMRRQADALGSRI RDEDGVANAVGFIEAAADKLH YP_002979256.1 MLFRRRKPLSLAQRIRALVWPSKGLLRSIRYIAIRVLRLKATPH AVAAGVAAGVAVSCTPFLGFHFIMAFCLAFILRGNMIAAALGTAFGNPLTFPLIFAAA YRIGIFLLGRAPERVGEANLFTLLRHLDFAPLWHPILKPILVGGLPLAALSGAVFYVL TWQGVRLFQQRGKRRKS YP_002979257.1 MSLPIATGRIQSTLRRFLDSEASGGIVLMAAAAMALAVANSPLA GAYFHALHLYLGPLSLQHWINDALMAVFFLLVGLEIKREMLDGQLSTWSRRILPGAAA AGGMLAPALVYLAFNAGTPASLRGWAIPTATDIAFALGVLSLFGNRVPASLKIFLAAL AIIDDLGAVLVIALFYTNGLNLLALAGAAAVLALLFFMNRAGVKTLTLYLGLGVALWV LMFTSGIHATLAGVLLALTIPIKLSPGAPEASDEESPLHRLEHLLHRPVAFIIVPLFG LANAGVSLRGTSISSLGDPHTIGVAAGLFAGKLLGVLSVVGLLVKLRFAQLPAMANWT QMTGVALLCGIGFTMSLFIGLLAFDDPAVQDKVKIGILLGSAISGVAGSAVLMASRRK SSRS YP_002979258.1 MTKEFSILTVWGLLLVLLGLTMISSTVLSGAIGLVAALGIAVAK SAFVAWRYMHLDEQPALARLSALGAVAWLAILFSMTSFDYLTR YP_002979259.1 MTEITRVRVPYDDPNRQVEAVMMGVYIFIGTETLLFGGIFLTIA WLRLEHPEQVVVASKTMHWLLAGVNTAVLLTSSAAMAMAVECAKRGREKRTAWFVALA AILGLGFLGLKAYEYRVEYQEGLLPVSGSGAALTEPSHRLFMDLYLISTGLHAVHLSL GLLIMAGILIGTLSQKLALPQRSIIMVVCGIYWHFVDVVWIFLYPLLYLAR YP_002979260.1 MPRNDATHLNTNLHREGLSQTREERVSYLRAGHSLRSWLLSTDH KRVAILYLIAITFFFFIGGVAAALVRADLLTPQGDLLTNEGYNRAFTLHGVIMVWFFL IPSIPNTFGNFLIPLMIGARDLAFPRLNLLSWYIFVLAGLFTLIVVVTGGVDTGWTFY TPLSSMFSNGNVVLAATAVFIAGFSSILTGLNFIVTIHKLRCPGMTWGRLPLFVWSHY ATSLVLVLATPVLSVTLVLIVAERFFHLGVFDPALGGDPLLYQHLFWFYSHPAVYIMV LPALGVVSELIAAAARKPVFGYQFVAGSSMAIAAIGFLVWGHHMFVSGQSMYASAAFS LLSLAVAVPSGIKVYNWTATLYKGHIGLDPPFLFAMGFIGLFVVGGLTGLMLAMLAID LHVHDTYFVVAHFHYIMVGGTVSAFFGALHYWWPKIIGRRYNHIWGSITAIFIFLGFN MTFFPQFLLGYWGMPRRYHVYPPEFQTLHVLSSAGATILGFAYLTPFVYLFYSMRYGQ PAGDNPWDARGLEWTVPSPPPKHNFDHLPVVSGPPYDYPVEREGEQ YP_002979261.1 MADLFAFLMPVESSTYSQQVDIFAIAFSALILAMAGPVFILIIV FAIKYRRGKPANRVHPPKRDLRLELSWSILPSLCLLGFFGWSTALFATRYFPPANALD IDVVAKQWMWKFQHPEGQGEINELHVPVDQPVKLTMASQDVIHSLFVPALRLKQDVVP GRYTVMWFTPDKVGTFRLTCAEFCGTDHSEMGGQIIVTTHAQYSRWLSRFSVDQTLAA AGAALFRSHGCSGCHDPSASVHAPKLDGVYGSPVPLSDGTTVKADDQYIRDSILLPQK QLAAGYPPIMPTFQNILSEEEVLKLVAYIKSIGTGGAADATQ YP_002979262.1 MTGLLKGLLTSMVVSLVLGPISTQAFDPFKQAGIDQHPDAQIPL SSSFVDEKGRDISLDSLARGRPMLLVPLLHRCPNICGVTLSGLMDAIRSQPYQPGEDF AVVAMSIDPAETPSDAQQSMTELRKRYPNLAVKVHALTGSRKAIAGVTQALGYRYAWD PEIGQYAHVAATAVLTPGGHLSHWLYGLAPTPDDLKLALTEAGQGQIGSWANQLLLLC YHYDPTTGQYSPIIWDALRIFAGVTAALLLGWLSLSVMRERRKEVERDG YP_002979263.1 MKALEHLPAVETRDIAPRRALFALLLVFILMIACVAVATAVLAW TKPASQVPQQGELRLGVRLEVDPKADNHRIESEAAERLAQAGWNDEARSSAHIPIDEA MKLLAQQGWPDLGPARRQGAQP YP_002979264.1 MKTRIILDPQLGLGSVALALVFAASFAAPREALTGWLAAFVLQS APVLGGLFFLMLADVIPGFWRTVIRPPANLLAGLIPVLALFVLPVLLGMKTIFPWYSG EGLSGFQADYLTPLGLAARLVVFVGGGVWIAFHLSRGQHRALAIGGLVFFVLLDGLFA TDLVLSLDPKFHSSGFGLYFLAIQTLTAFAAIVLIRCFRSSPAASERSLMGSLFLTFL LCWAYFDFMQYFILWSGNLPARAAWFARRSEGAWYWLVLILLTLRLVPAFLLLFPPVR QNGRWLIFFAALTLSGTFLEIGWLVLPELVRPAWAWPSYAAASAGMALVAFGLGSAGR GTARRQERDAP YP_002979265.1 MKWMLFGAAILLLSSCDNMDHQPRYDSYEKSGLFEDGKSLQAPP DGAISRDDDAYRNAVEDKPPMSLALLKRGQQRYMIYCTPCHDPAGYGNGRIPSRGFPH PPSFHTKRLRDASSGYVVDVITHGHGVMYSYADRVEPRDRWAIAAYVKALQLSQNAPP ATLAGVQVEGKVAKP YP_002979266.1 MREPSADIEPFGILVEFDAGERLVEAAGRTRAEGFCSLDAYSPF PLPGLSAALDVEDNRVPWITILGGGFGAAAGYAMQIYTNWDFPIDIGGRPLFAWQPFM LITFETCVLFTVLAAVLGMLLLNRLPRLHHPVFDIDRFNLVSTDRFFLIVFGNDPRFD VEATAEFLRSLNPVMLFIVGQTEEPE YP_002979267.1 MTDRLADPDQEITREIVSIPLDFPRPRAWWLLFAVSLLLLGCFV VAVAVLFWRGVGVWGNNIPVNWGLAISNYVWFLGIGHAGTLISALLLIVNAHWRNSLN RFAEAMTLFAVLCAGLYPILHLGRPWFFYWMAPYPNTMQIWPQFKSPLTWDFFAVLTY LTVSVLFWYIGIIPDLASVRDRAKTRRKQVFYGLFALGWRGSARHWAKWQQAYRLTAA IAVPLVISVHSEISLLFAAGPIPGWNSTVFPPYFVMGAAFSGFAVVIMIATVIRHPLG LDQLVRARHLDLLAVFLLVTGLMTAYGYLAEIFNALYSSDVQEVETLRDRIAGAYSYS YWGAVVLNFVPLQLLWFRSSRRSPIVIFLVALSVTVGMWYERFMLLTSALYRDWLVSS YGEYHPSFWEWLLFVGMLGVFFAPYLLFVRFLPVISAFEVKEAYEETGSLDHA YP_002979268.1 MNARDPRRPDPRLPIGETSVDRRTLLKLMGASLAMAGLVGCKGE EDEAALPYVVQPENVVPGIAKWYATSVTLCGYAQPVIGKTFTGRPVKLEGNPDHPASA GKSDSFTQAALLSLYDPNRSRSPLQKGKLSTWDAFEGAMVDNAARLDGQKGRGLCLVI GASTSITLGRQLDAVKARWPQALILGIDPARPGASSKAAQALFGRSLVPQPRYDAAEV IVSFDDDFLGAGPFQTRNGLLFGRSRQRRQSGQGRSLLFVAESVPSTTGAISDRRLSV APQALDPLICALAAGVGLEVNATDDLSPAAQDWLTSARAALLSARGRSLVTVGDYQPE RLQRLAILINEQLGNIGSTIGYIDQPPLLKGDPEQAWRLMAQRIEAGEVTTLVCLGSN PVYAAPGQLAFADLVRKVPLVVHAGLHVDETAQAANWHVPLQHDLESWSDAVAVDGSI CILQPLVRPFFDVRSQHAVLDRLVGGQIAERTLVEDTWRVRWGDDFNSRWREALLKGI VPGSKPSPISPPIQDRSLPLPPPQGPQPTALVRPDPSVWDGSFSENAWMQETPRPLSK IVWDNVIQISPELAHREAILDGDEVTLSVGEAAITGAAWIAKGQDPDTISLTMGYGRT VLGGISSGAGYNVGPILTVDAAWEVRGAKLAKTGVRRKIATTQPVHDMGEHDFSRVIT AKSQVEPKPDQPSFYPEKPMPDPSWGMAIDLDLCIGCNACITACQAENNIPVVGRDLV AEGREMHWMRVDHYFAESGGEPSSRFQPVPCMHCEQAPCEMGCPVNAAVHSTDGLNLQ VYNRCIGTRTCSSFCPYKVRRFNWFDFTADDPQSVQAMRNPDVTVRSRGVMEKCTYCV QRIAQARITADKEGRQIGEGEVVTACQQACPSEAIVFGNIADPNSAVSRKKAQSRDYA LLEEANTRPRTTYLARIGPDLAEEDGKT YP_002979269.1 MVQVFTASADTRLRALVLAAFLVFMFTLLGAYAMEGAGFKVGWA LDQPVPFSHQHHVGGLGLDCRYCHTSVEVSSRAGLPPTHICMSCHSQIWTNADVLAPV RHSLASGQPIPWQRVSRLPDYVYFNHSAHVAKGVPCVTCHGRVDEMPLLAAAHPFQMG WCLDCHRNPTPQLRPPEEVTRMDWTGWKPSPSSVGEVDKLNHDVKSLHPQTLTECHTC HR YP_002979270.1 MLLGKILLKYLLSIAAIVSVHSVSNAAPSALASSTWMKKGRETG LPIPRFVSLKTTRARMRIGPAFEYAVKWLYQAPGLPLEITEEYGNWRQVRDSDGVSGW MHRSLLSSNRTAVIGPWLKETTALRAQARQNSFAKAELESRVRVQILSCTLSWCNVAL NKDHISGFVEKSALWGVYPQEVVN YP_002979271.1 MSYSEPILNDAVVAERFGLSISDYRRYRKLQLISVTMETRPEES DGLRRLLVSFGNRVWRAALGADDTIVQEEMTFLRGKLSRALR YP_002979272.1 MVDLDIKIDGSIAFERDVRFFGTIAGTVTVRRGHSFELLGVVDH DLVVEPGAVAVVHGVVRGALINKGGDVFVSGVVGTVDDWNELKPTQSLSEAKSKMS YP_002979273.1 MDDHGREGMSQHKIPHPGSLRLRELRVERCAGYDLCDSKARLTR LPDHPIEERRERWRRMMNHRLSMMYLTGAMTQGNLAMGARQSSHWRDLCHALRRRFDK STGTRDFGNALSQIGFSNGSVGARKNIPLQFASDILSSTCRRCSAGTSCEYRVQARCH SPSRQCQRRQACLYHRRVRKTRPACRSPLLS YP_002979274.1 MKRLMFALILTHLTMGTANAQPVMLKQFGDWGAYSYRDGTKTLC YILTTPVTSEPPNVDHGKNYFIVGPGPDPLIKYEPQAQMGYQLKDDSRIKLDIGDKTF WLFSRGNRAWMQNETREPELVDAMRAGSDMVLSATSKRGTATRYSFSLSGVTAALKRI AKCQ YP_002979275.1 MSAIRSVAVTDEGSQLPQGVFESLAGIRLAMRRFLAFSEALLSQ WDVTSQQYQAMLAIKASASGQMMVRELADILLLQHHGAVQLADRLAAAGLVVRVPSSE DKRSVLIALTSAGDELLTSLAKVHMHGMLANEPLLVESLARLGRLAELGSGLV YP_002979276.1 MSAFGTVLPRWTAIGLFIYASILFLSFAREFLVPIVLSFLLALV FSPIRRFLDERGVPSVATSLIIVGALIAALAIILGAIIVPVSGYVESLPRIEQAIQEK LAGMSQALSGLFEASRRLTDFLRSHAANVQQVELRGNGLITSAALFVPALIAQTLFTL VLLLFLLASGDILHERLVEILPTVQDKNRAVEISHDIERKLSRYLLTITTINVCLGGV IAALLWLSGMPNPLLFGVLAFICNFVPYLGPLVSMTAACAVALISSSGVGFAVAIASL YLLVMTIEGQIITPYFIGRRLRLNTVVVFVAISFWAWLWSIVGMLVAVPLLVTVSVFC EHVESLRGLGNLLSARNDR YP_002979277.1 MQSTCIFAKSARSLCGFVLALSVLGMSGSAMAQTNEVAKDCRVK PDTERAKNLSGQLDDCNGVLKPPKIGDEIVTPAPSTGTMPVIKPGDLPANKSHESRTT GK YP_002979278.1 MLQFRYSGRGSIFVFVIALIILLIGLVLAAGGAWLATLGGSWYY VLSGLGLIATGILLATHRRSSVWVYALIVLATVAWAFNEAGLNGWALVPRIVAPLVLL AVLLLTLPFLRPVSSWRAAIFLLAGLTMIAAMGAAGIALLAPRQGANSVPEMAARQMP DPSPLQPGADWPAYGGSYSARRYSPLAQIMPANVGQLKRAWVVHTGDLPSEHAKGTYG AETTPLKVGDSLYLCTPKNIIISLDPRAGKENWRYNPGVPDENIPYTAACRGLAYYSV PGVNSAQLCANRLLEGTLDARLIAVDAKSGQPCPDFGRAGTVDTTTGIGEHDPGMFSI TSAPTIVRGIVVVGHQVLDGQKRDAPSGVIQGYDAVSGEIRWAWDMARPDLTVLPPEH ETYTRGTPNMWTTASADEQLGLVYLPLGVSAVDYWSGSRSPAEKEFATSLVALDVTTG KPVWHFQTVHNDVWDYDLGSQATLVDYPADQGPVPALVLPSKRGDIFVLDRRTGKPLT PVEERPVPSGGVEPEQRAPTQPFSTFHTLRKPDLTEAMMWGMSPIDQMICRIQFRRAS YEGFFTPPTSDRHSIEYPGYNGGSDWGGVAMDPRLGVLIANYNDMPNYNRLVPRAEAD KLGWAPRDQARGKIGGAEGAGDPQAGAPYAIDVNAGWRLPVTGLLCKQPPYGGIRAID LKTGKTLWDRPLGQARTNGPFGIPSMLPITIGTPNNGGSVVTAGGLVFIAASTDNLIR AIDIKTGRTLWTDRLPAGGQATPMTYSVDGKQYVVIMAGGHHFMETPVGDTLIAYALP YP_002979279.1 MDPGELVALSVLLDKWCERRGYNHADAVTKAAVIRMIELRANGG TIEELKATLSLHNDQPVAPIQPTDLVTEPL YP_002979280.1 MTVYRFDLVSDVSAAKYPGHVICVGGDRDRTTGVLTPYGRVPEP YP_002979281.1 MSKRSKILLFAYSICVLIWSGIAFVGLSTLNDHDDVTFASSAEH YP_002979282.1 MVAVGHTREPFARFARDVVRFFAVRFDCIRPAVFPHQALNHGDV VRPEGRRPYPNALNMTLSVLWHTRDPSPHPTCLKMRG YP_002979283.1 MPIPYRYSKVLRRSRVLWGSFSLWRPRLVFWCGALTIGVISVGF AKLADLAQKGFGSLTSSGEWAFLLPLALTPAGFMLSAYLAATLFPNSGGSGIPQAIAA RHLRHDEDRTPLLSPKIAFGKIVLTVLGLFSGASIGREGPTVQVGASIMLAVARFSGM AQARGLILAGSAAGIAAAFNTPLAGIVFAIEEMSRTYESRANGLVLTAVILSGLAALG LSGSYNYFGMASAAPTEFRDWGLVLICGIGGGALGAAFSGFALHFGQRIRRWAQPQPL KRMLALAGVCGLAIAVIGVAAGGTTFGTGYEQARSAIEGNALPLLFFLEKLAASFLSM ISGIPGGIFAPSLAVGAGFGSTVGSLLGTSIALAAILGMAGYFAGVVQAPMTAFVIIL EMTGDHQAVIPIMAVSMIGYVTSRILSREPLYHGLSRVFIAAAIRARRAVERESQ YP_002979284.1 MRATGRYGLAIALLAWVIGIASPPAVAAPNIVSGLEVGLGDCVT ADVPGGRGLLDAVASSAISAGINLLGNALAEAGKDETRKAMGSRNLGGGMTPFPQCIQ IVLGRFRTDAASTGSNWLESINKGGDAKLAANGIWLADKPDFFLEAMIVPSEDRAAVA IRPLLAAMNEPQESSFFGTKTRSVVALFAFSKPGESPSLETNPSAAIEIGAMEPGDII RYPVGTPGKPSTPYESAWFTLSDADARGPMTLTAVISETKAGNPLLSFLGTVLNDEAV KSAASDNAKVMLLPAARQQANTAAAIESQNAAADQIDTSFADAVTKLELCHGAGADLN KAAEARAALRAYLAASAAMPAHPAKIDGAAIDILDLTSPETIAQSCSQLFERLTGKVL YP_002979285.1 MPGTMTAGGKTLPSIQATFEDALAKLPDGDIDGHFGNRPWGVTV KRSEDGKRIWLYGEELSGTDIVSFNLYRLAGPGPILKPCEMSSAKVIEFVLGFVPSTA KAASRP YP_002979286.1 MADFSHKTDIEIDQWIRNFEKRCQTEAPLYLELLEERGHRAGRR AGLDLEKSLAALKRAAISGTCISYGDLAKASGVEWSKARHQLNGKNGHLDRLLEICHA RKLPLLTAICVNQGSLQEGELEENALKGFSEGARRIGRSFSDDLDFHLACREECWNWG RMQLG YP_002979287.1 MQLSRLELYKRVSARPLSKLAAELGTTGTALAAVCKKYQVPYPG SGYWTRKSLGLPVELPALPEAPDEVIEITPAISKPRRPRTPKDQPSKDRAPKKARRME HHPLLFGVEGHLRKSREVKDGEFLRPYKRLLPDLISSEVALPRTLRIANALYLALDER GYRIHIAPQSDDIGRILVSEQEVELKDRKYGRYYSSGIWGPDRATIFYIDSVPIGLAI TEMTERVTMRYINGDYYREDSKLVRSMKPWQMTHSWTTEQDMPSGRLRIIAYSAKKGV EWSARWQDAQEQPLEKAMSTIVETLLASHDDILQLMIAEDAAEARRKKERAEEWERYE RREDARKVAQALSDSRQQLAETIEKWAKAMAVERFFADAEERLNKLDNERRQRLEERL ELARAMMGSIDPLDFIENWKAPDERYRSKYRED YP_002979288.1 MATGTVKFFNDDKGFGFITPENGGQDVFVHVSALQRGGSLREGD KVSFEVGQDRKTGKSKAENVSVL YP_002979289.1 MTGTSDTLALLIDGDNASPKIVSGLLAEIATYGTASVRRIYGDW TKPNLNGWKECLLEHSIQPVQQFAYTTGKNATDGAMIIDAMDLLYTGRFSAFCIVSSD SDFARLASRIREQGVTVYGFGERKTPRPFITACDKFVYFDVLNANSVESDLRQDARPA AAAKAKAIPAKVPAKPRLDAAALKMLENAVIASADEDGRANLARVGAHLAKQSSDFDA RNYGFARLTDLVEASGIVDVERSGDSPKIVTVRMKGKARPRSNG YP_002979290.1 MMPQRGLSREELFALVWEKPTSEIAKELGLSDVAIGKLCTKLQV PKPPRGYWARMQAGQRPRRPALAAFREELEAKRRDELREKTAESLSKLQRRFLELALA ELNSKGAGLAPPLGARPLVNLDRDVAAQVLLLIQGRGQVWVEEGRIATRWGPSVRNSV GRLVERLLPLAREQLLVFETESKRTSFRADGPVIFIRLTKRLQARVVGLANIVRDQDL QHVVMPLVAADHAWSTHHLYSPESHLFLDSWLCVSAREIWVEWNRKSWREEDPPERHA TNRIGLSDVMPVDFLPGSDKALSPVISGVAIKPYADRLRAVQEAERVHEMMSTAAYAM QKEVPGEFLSVVDRLWFGEERPFQAARDAFRHVETELERWETELEAERSALARSILGI VPGDIATSESRGKLLRLSVTSVTLYSSGGCVSFMVSGIRFRKDGTLGKLQETLSLSFA DERQT YP_002979291.1 MTNLKDITDGYEAQARCYTIGSATGKRATILSKSRRKQKIRICQ CIQERSNGEKKW YP_002979292.1 MVDLADELRGVLKDLKGAKTATPVTIRTFLSWFGMQRRTASNVE YINSQLKAAGVRTVPNYLDIWVDTPITFELTAKRRGDGPDSTAPAPAVENSTDITFTD SKSADDPSFRIGKIESANRAPISVRPTASLQEAITLMLARNFSQLPVMTTDREVKGVI SWESIGARNITKTDGTQAQHFMDDAQEISASASLFAGIKIILDHNYVLIRASDKRIAG IVTSTDITHQFEETSTPFLLLAEIENHLRALISKKLTVIDIKKACSDEFLPKDFKNVA DLTFGNYIRILENDENWAKLGLQLDRATFCRELIEVNSIRNDVMHFDPDPLTSENIST LRNVAKMLDLIRSFGGF YP_002979293.1 MRGKHKRFVAAKEIKRPLPKLTIPTDVQTFEDYFARLQILQNRA DTHIYFDTSFLVWLTGVSSDGRAELLSWLHAIGQSRIHIPVWAAHEYFRHHVTGLISN QLQVVAGNLRTAANDSYAALRPYLDTPVRGEHRSLAEIHTNVRKTLIDVKRLAGFASK WSTEHYQSHVNDVFKMINDYGVQSGSVFDNLHDIEILEKSRYTGRIPPGFKDQKKKER DGVGSNSFGDLEFWREILRHSKDVRAKSVIILSNDRKNDWLMGAQPAPTIDREDEVIA AKRSWNPIPRAHPMLLFEAHASAGVEELVLTDTVYLATYFKKAGIPCQSFFNAAVDVE LPEPSEFDKDVRKGLKATAAMVGSEPRGKNDDIPAGTLFKDGPNVLVAPLKLNLAFTT SGKLEHPPGKALVKAVLDDNTESRGIINFLQLELFKAADTGTLVWLARALVSRSQAGD SLAVAHCSDLLGMIDELPSGTATCLYLGFLAGAYFDGDDLRAPPSAPIFQALLGLQDR PFAAQPIAVITGKFRKLHKKPLYSPDPAMPALPVTVQLKDVSGGRSSIFGLQIGGLGV LDAAQSEEELTLSHLLDGQGSATVRSIAERASQLLGFPVAQLLNTAELDRQVEFSPTI GLIAPSETVLLIDE YP_002979294.1 MTETPEIGTEIPKKADPYFGGFILETLTIGMYGDSKSAIREYLQ NSFDSLLLAIEKKITQVSEARIDITMSDSMLVIRDNGMGIGSARAVSVLTSVGASLKD FRSQAGFRGIGRLAGIVFCTNLKFVTKAQGETVQTTVTFNAKQLRQQMSPSHGAKYTL TELLEKNITASQSDGHKPDEHYFEVRLEGLVNPPKECLDSSLMSDFVGQVAPVGYRDD FSFAAQILKAGEERRFPSASQKDATKKSLLDQVRVLIKRGDEETDVRKPYRNQAAVGK DDVPIYDIKIFDPPSRRWWAWIALKKEPGVYKDDRVRAMRVRMRNIQIDGTELMGKQF SSVEGAASFGRFNDWYAGEIFVDPTFVIPNARRDGFEEDDNWIKMQGELADLCADLGR QAYDISRRAQLSIRTLARNTKEIEEKGKSIAAAGTDTDKVIELSNAVNKLQRKVGRAL RHADLETSSQLRSLENKLLDVKTRAVRNLGVSQQVDLSEVREQAQLDIISELMAAFRE KLDPPTYSMVAKIASELLGSDDF YP_002979295.1 MTTEATTRLNRSAILAAKADGQSEPLEEITIGRDVLELVSSAMY IDPMTIYREYVQNSADAIDDARSRGLISAEEAGKVLITIDPMTRSIRIRDNGSGLSNE DFSRKMAALGASGKRGTNARGFRGVGRLAGLGYAQELVFRSRTASDDSVAELTWDCKR LKAALREGDGDIQSLIRSVAILRKKPKADYPDRFFEVELRGVVRLRSDKLMSPAAVDE YLGQVAPVPFAPEFRFGSEIRDILAPVVGLGDLEIWIEGSERQIFRPHRDVLTPADHP PIQFNRLSVSEISAIDGELAAIVWVLHHDYQGALPNGVGVKGLRLRAGNVQIGEHNLL EELFSEVRFNAWAVGEIHVVDRKIVPNGRRDHFEQNAHYHNLTNQLAPIAREISRLCR TSSIKRKWLRDVELQLQSVHQLTDVIAQGTISDEERARFETRANDGIARAEKTLSSGV LDDEDVVEKSAELSELRTRLSTVAAEAGTPVEFHQLDEQERAIFKRFCDLVYRCSTNT VVAKSLVDKILQQL YP_002979296.1 MANKKILLIEPGYKNKYPPLGLMKIAQYHGPRGKRDNVRFIKGE DRSVMNEAWDRIYVTTLFSFEYLKISQSIDFALEVANGQADKVFVGGIAASLMHERFL DERRWHGIRFIKGLLSDAPAVSLQLDEFAEELYSSDTNGRPIEDLVPDYDILSQIDYR YPVRDAYFAYTSRGCIRKCHFCGVPKLEGMQRDTESLTDLVRAIDEHYGPKKDLILMD NNVVASARFKEIIAEIRDLGFVPGAKLMRPGAKVAVQRRVDFNQGVDARILCKDPMYL RELSTICLKPLRIAFDHLGVKKPYEHAVRYAAEYGLTELSNYMLYNFHDGPEDLFERM RLNVTLNEELGIRIWSFPMRYQPTNRPNRGHIGEKWSRYQLRSMQIVLQATHGIVSGA PDFFKHAFGDTFEDYARILMMPHDFIFNRTWYERYDQDHKLYEFQAEFSSLDNYERAE LMELLSSRDPREFVTLSDFAANDKVRRILRFYIPVSKDELTTIWATQKELVRLEAMSD LGLAEDERVEDAGLDYEEESIAITAELAPKQRAVA YP_002979297.1 MAESMWLNCSTGVSVDRPVLLGFAPAKLLHRYSFADVLNEDTGL GYQRRFNSQHSQDFRRYIRQTGASTIPLTLNLRPDEKGWKVENVGPGQARLEIELDAG KIMAQVDCQHRLGCLEDLDIQLPFMCYVGLSLKEEMEVFSTINSKAKGLSNSLLDFHD AHLAGDLAKDRPEIFIALHLNNDPDSPWCRQLDLGGESTSGMTRRASLRTMQKAIKRF LNSTRSLKTRSPETVTQIVMSFWRAVAEVLPAQWSTPRKHILTKGVGVYALMDIAADL YNEAEDGAKLDRGYFVNRLADFAYDIDWSTTGRLKGLGGEGGVNEAVEYIRETRKRSH LKVVSNG YP_002979298.1 MNAMDKHPLKAGEPILIRGVEVPVETGSLPQKHLQFFVENPRVY SILRSDGEEPSQADIERKLLDMDHVKALIQDIKRDGGLTDPVVVRAGTLEVLEGNSRL AAYRALAKNDPVKWGYMKVRLLPQDIDEALIFALLGQYHIKGKKDWAPFEQAGFLYRR HKTHDVDTGKLAIEIGLSKRKVEHLIAVYQFMLDHDEADTAKWSYYDEYLKSSKIRKA RLANVAFDSLVVGKIKSDEIAKAVDIRDRLPIICSAPKALQKFVNGTEGFEDAFDHAV EAGADNTPLKKITKFRNWLALPETESALNHAQDETRKKILFELGKLSGRVDTLAQKLN PKK YP_002979299.1 MLRIQKAASLDAKRSAFPHQMEAFAAVKDLPYAAVFHEQGLGKT KIGLDLALFWLANDVADSVLIVTKKSLIENWRNEIADHSHLRPRILGQDRNANFYAFN SPARLYLMHYEAIVSERKRLELFLKTRKVAAILDEAHKIKNPEAEISQAAHVLASGFV RRVIMTGTPVANRPYDLWSQIKFLDLGESLGDEFAAFKRDLDLSNELRNDDRRAQAFA DELEAVFEKVRPFSVRETKKTAGLKLPDKTIRNLECELEPRQAEIYAQFRDELAAIVV RQGRPVLDDAEDILKRLLRLVQVASNPAMVDQAYRSVPGKMRALDELVTSAIDAREKI VVWTNFTMNAEALCRHLGEFGAVLVHGGIEIAKREEALTAFKTDPQTRVLVATPGAAK EGLTLTVANHAVFYDRSFSLDDYLQAQDRIHRISQDKPCFVTNLIGMDTVDAWVDALL SAKHLAAQLGQGDIDREEYDKRADYAFADMIREVLRLNTQEKE YP_002979300.1 MGETAVHARLVDALIVYAEIELGQRSNLCLRNDAVRPVRGERPP RINGYVPDLYATDVPTTATLIGEAKTKADLETDHTRVQIQAFLGYLSQTPNGIFVLSV PLSAAATARRLLSQLKAPFIEAQTRTVVIDRMRAVVC YP_002979301.1 MSSNSGGRIKLVNAGTHQNIAVDTIELDRSNPRIRKFLEMYGDN PSPEAIYLALGAGNEEEPGSSSSTTFEKLKQSILTNGGIIQPVILNRRADGTLVCVEG NTRVALYKSFLDDGVKGSWTHIPSLVHDEIDEASVHAIRLQIHLVGTRPWDPYSKAKY LYELRTQEHLPFATIVDYCGGRQSEVLESINAYADIEKYYRPVVGEDGEFDTTRFSGF VELQKAGIKQAINQAGFDCFDFARWIHGGKLHPLQKVRVLPRVLRNEKAREIFLKDGI KKAEAVLEKPDLTKTLQEAQLGQLARALVTQIAALRYDEHQRIEADPGGETAQALIDA QAELSKLVLSLKLG YP_002979302.1 MSEHEAQIEAVANESLESFAMISGTARDHLEAARAPSIQIADNS WTNPNADQNRRRIDQENAETHRLLIEEPAIARVRVTDEDGATTDYYICRTSPVPLTNK GIKLASYRSPVGRLASLPVGSDHTLFRDGRPVTVEVVEFARFQPRVVDGQWDAHNAVV AGGDYGPLSIESFRRWLERILPDVDASVLDALLEEENAAAIIRAGLHRSVITRMDLRD QPLLDQYQDEIFRLPLNSRLLLLGAPGTGKTTTLIKRLGQKLDIAFLEEDERRAIGAT SPSRESDYARDWIMFTPTELLKLYVKEAFNREEIPAPDDRISTWSDYREELARNTFPI LRSTSNSSSYVLKDNALTLGPGSQDDAIAWFEDFDQWQRAAFWDEMRSSATALSEQAA PDVAQVGARLSSAIGPQGSESTQVFVSLLPIAEDIRAMVEARKAATDTELKKSLNLQV NRDRGFLDSFGAFIEGLSDVTDDADDQDEDEEETNQPRVGRAAAMNHYLRVLRTQARA RARRRNVPKASRTGRIIDWLAGRMPADPDLQRIGESLVVQSALRWFVNPVRRYVDGVP QRYRRFRRIRQAEGLWFAPDGHAVTDLHPLEADLILLAMMRSSDELVRRARRLDTPEN PAQRILTRMSELYRTQVLVDEATDFSPIQLACMSMLARPVSRSFFACGDFNQRVTAWG TRSLEQMKWVLPDIDNRYVSIAYRQSKKLHALARQLVALDGDSAAEVALSDFADNEGV SPVLGTGMSDLAETARWLANRIIEIEETVRELPSIAVLVNSEVDVRPIADALGEVLLD NNIRVIPCPDGQVRGREGAVRVFNVEHIKGLEFEAVFFVGVDTLAANLPDLFDKYLYV GATRAASYLGLTCDQFLPDRVKHLEPLFEQKW YP_002979303.1 MTYDLAKISMTALMRPAFDAGVALTRLDERIARSPVGAGFLERS QFTDACASLWIDGELVHLEDLVLHDATRDIRTPTHELTIARDVLRTRRRIAAQSPDWA SSVEGIRSLRKTSEIRSGGGDEAGTARVIRPAVAAGPEGKGEDSDEAKNLPGVDYAAI DAVLARSEAAIEDAKRPSRAAEKDPLVYDLDWDEEERLEKWRAVLRQAQELPAVLQAI VALDAWNEILVLQHAPWLGRLLCASILRKAGIMTGAHLVAINLGLKTIPVDRRRHRDR ETRLLAIAQGLIAAAEIGLKEHDRLALARKMMERKLEGRRTSSKLPELVGLVMARPLV SAKMVAKTLGVTPQAARRIVGELGLREMTGRGRFRAWGIL YP_002979304.1 MSQTSYKISEARKNFAEVLERANQGEEIIIMRGSEIYARIGPAD GGKRPFGLLRQRGLPDDLFDEVDAEQVAIDAGDWNDDVGVWQGGPSEREPQP YP_002979305.1 MKILLDSHAVYWWTIGSERLSGMARSLTEDKANSVLVSAVSFYE LDNKMRLKKLDLRPQELRAAVADSGLQTLAITDLHAELAASFDWDHRDPWDRILAAQT RLEHCAFVSLDTAFDAVLHERVW YP_002979306.1 MMIFADVQEAAERLEELIELARQDEVYVCRDGRPVARLTAFSSS PVLGSDQPTKSLGDGVAAASASRIAEAGRPSSLDDVWAIAATGRPKDVDLTSAHDDFY DADGAPR YP_002979307.1 MSVTHSASVTSRAEALDTIAAVLPIDRRDKLAELLTDQDVETLR HLVNAGMGDNTLRALTSDLAYLEAWSLAATGSALPWPAAEALLLKFVAHHLWDPQRRE NDPEHGMPADVEDVLRFRGFLRSLGPHAPDTVRRRLANWSTLTKWRGLDGAFTSPALK SAIRLAVRAVPRPRRRKSAKAVTGEVLARLLATCRSDSLRDVRDRAILMVAFASGGRR RSEVAGLRREQLTVEAPVTGEDDIPLPSLTIHLGRTKNSGASQDEVVYLTGRPVDALN AWLTAAKVDGGSVFRAIDRWGNVSRRALDPKAVNDIVKRRVAEAGLEPGEFSAHGLRS GYLTEAANRGIPLPEAMEQSRHRSVQQASSYYNNATRRSGRAARML YP_002979308.1 MSLSIKDPEAHRLAQAISHATGESMTRVVTEALRERFAKIERRK GRASVEELLAIADRAAAHVKRPYVDHAEFLYDENGLPK YP_002979309.1 MIIDTSALVAILYREPEAASFVKIIHDAELTRISVANYVELSMV VEGQLGPDGMRQAEAFFRRAGIIIEPVTLEHCELARQAFLDFGQGRHKAGLNFGDCFA YALAKASGEPLLFKGNDFAQTDVRAA YP_002979310.1 METGSVTTPFGRRSMTLGMLASQVMAGEIKPDQSVDKWKLFRAL CEAKPLLGIGDRALAVLNALLSFYPKNELAQGNGLIVFPSNIQLSLRTHGMAEQTVRR HLAALVDAGLLLRKDSPNGKRYVRRDRAGEVDEAFGFSLAPLLARADEIEQLAAEVMA ERLHVQRLRERITLCRRDIAKLIEAAVEEEIPGDWQGLYREFRDLIEGLPRSPTTAQL ELLLDELTGLRTNILNQLEIQVKSTKQRGNADYIERHIQNSNPESISEVEPGFETKQG ATAEPDNDRGTVTAAKGRGETGHPFAQTEEEQSRRPGVRNDGGGLKAFPLGLVLQACP EILAYGPDGAIRNWRDLMAAAVIVRSMLGVSPSAYEEAANVMGPENAATVMACILERG GHINSAGGYLRGLTRRSEKGEFAIGPMLMALLRANAPAGRKVG YP_002979311.1 MSPKGRDILKSMVGAVEPTRPDVPSVQQPHRPSGAVKAMNLSLG RLGEEAAAAKALRESLASGDKVLEIDPAAVEMSFIRDRIPVDKDAEFERLKQSIQESG QQVPILVRPDPARAGHYQAAYGHRRLRAAAEIGVPVKAVVRKLTDEELILAQGQENGP RVDLSFIERALFARRMDEHGFSRDMISKALATDKPETSRLLQVAQTIDPEIILAIGPA PKVGRPRWLAFAEKFRETGGQKKAQAAIRAADFVTLETNSRFDVIWKAVEEKSAPQRA EQTLRTQGGAPLALVARSGKTFRITVKSAAFSEFLAQRLVGLAAEFEEENEGK YP_002979312.1 MPTKAAIVEKAKRASRVSIDETIAQDSTMLSSQLQVLFERLFSP DARKSLRRFSSTETAKLLGVTDSYVRHLAAQVESINPEKTAAGRRVFSLEEIHAMRHH LGRTKPSYLPMRRPGDHLQVIAVTNFKGGSGKTTTSIHLAQFLALRGYRVLAVDLDPQ ASMSAMLGYQPEFDVGENETLYGAIKYDETRRDVADIVRQTYFPGLDLIPGNLELHEF EHDTPKALADTNRDDKDMFFMRVGNALHSLEQSYDVVIIDCPPTLGFLTLSALCAATS VLITVHPQMLDVASMNQFLTMTSDLLAVVKQAGGNLDYDWMRYLVTRYESNDGPQAQI VAFLRSLFGERVLTSMMVKSTAVSDAGLSKQTIYEAGRDTMHRQTYDRGVESVDSVNA EVEQLIRSAWSRT YP_002979313.1 MAKSSSDPRDEINAPLAHGALNLPLVAIDDYNNELRDKDGFVGD NANKKTFQQKLDDWRKRIRKVGDDPIGKTATEKLSKKKIDAILKGDDLAAAALVMGAV EDFAQDFADVIAKFLKDKGWGKTERIVVGGGFRRSHFGELTIARTMVILKAAGVDVEV VPIVHHPDEAGLIGAIHLMPRWMFKGHEAILAVDIGGTNVRAGVVKFGKDDVPNFADA SVWESTIWRHADDEPSRTATIERLAAMLRDLIGKAEKANLKPAPIIGIACPGIIKADG SIERGGQNLPGGNWESDSFNLPAALMKAIPEIGDDSTFVMMHNDAVVQGLSQIPFMSD VSRWAVLTIGTGLGNAHFTNREGTKVR YP_002979314.1 MQDDAATQEMLSLVLEEPDRWAMFLDIDGTLLNLAPTPDAIEVP EALPGQLHRLSNKLGGALALVTGRSLAYADALFKPFAFPTAGLHGAEIRNAAGMQTVE ATPEFQALKHALTAEAEHYPGVLIEDKGAAVAAHYRLAPEYEKVLEDRMHHYAELAGP NWALQLGKMVFELRPARSSKGDALERFFQSDPFKNRSPITIGDDLTDESMFAIANARG GVSVRVGAIGAPSCATSRLSSSALVRNVIAALAA YP_002979315.1 MEPDLEVVQIRPGESFATKAHGYPYHTVRWHFHPEYELHLVVAT TGRYFVGDFIGEFEPGNLVLAGPNLPHNWISDVPKGSSIPLRCQLIQFSENFISGTMK VLAELGPFDPVLEASRRGVLFGADTSRQVAPLMNEVQQAHGVRRIELFMMIVGLLSRA QDSQLLASPSYLPDPSGYMSAGINKALAYIRENLTKSFDEADLATIADQSTGAFSRAF RRHTGMSLVQYVKRLRINLACQILMSDDHASITDICFEVGFNNLSNFNRQFLAEKGMT PSRFRRLLGDNINVAKAA YP_002979316.1 MKFSTKAAGAAVFVLSLLGGTSAFAQTAVADATVAFLMPDQGST RYEEHDHPGFVAEMKKLCASCKVLYQNADADIAKQQQQFNSAITQGAKVIVLDPVDSA AAASLVQLAQSQGVKVIAYDRPIPKGKADFYVSFDNKAIGKAIAESLVQHLKAQNVPT DGGGILQINGSPTDAAAGLIKDGIHQGLASGGYKTLAEFDTPNWQPANAQQWAGGQIT RFGKQIVGVVAANDGTGGGAIAAFKAAGVDPVPPVTGNDATIAALQLIIAGDQYNTIS KPSEIVAAAAADVAVKLLAGETIKAEMTLYDTPAQLFVPAVVTAENLKAEIIDKKINT AEELCTGRYADGCKKLGITK YP_002979317.1 MSETSHTPDPSRQPVLSLRGISKNFGAVSALTDIDLDVYAGEVV ALVGDNGAGKSTLVKILAGVHQPSSGTIFFESKPANLSSPSAALDLGIATVFQDLALC ENLDVVANIFLGKELNPFQLDEVAMEIAAWKLLNELSARIPSVREPVASLSGGQRQTV AIARSLLLEPKLIMLDEPTAALGVAQTAEVLDLIERVRERGLAVIMISHNMEDVRAVA DRIVVLRLGRNNGTMLPDASNEQLVSAITGASNNSVSRRASRRQAQSQQNEEGRP YP_002979318.1 MMKTIQNEPAAPALLDRSDERVRHDDSLTGSIRAFGDRIRSGDL GSLPVIVGLAIIWTVFQALNPVFLSSSNLVNMLFDCSTVGVISLGIVCILMVGEIDLS VGSVSGFASALVGVFWVNQGWPVVLAVLAAMIVGVLIGSLYAFLFNRFGMPSFVSTLS GLLAVLGLQLYILGATGSINLPYGSWLVSFGQIMVMPDPIAYLLVALAGIAFFFASYR TSARRRAAGLSAKSARGLLLRAVIITLALEAVAFYLNQSRGIPWMFGLFVGLTSAMNY ALTRTKWGRSMHAVGGNREAARRSGINVSRIYTSAFVMCALLAATGGVLSAARLATAS QQAGTGDVNLNAIAAAVIGGTSLFGGRGSAYSALLGIIVIQSIASGLTLLDLSSSLRY MITGAVLAVAVIVDSLARRSRISHGRA YP_002979319.1 MGQDLSGKVAAVTGAASGIGLECAKALLAAGVRVVLVDRNEEAL REICSKLDANAIPLVIDLTDPKSVERMMPEILEKTGQLDIFHANAGSYIGGEVLGGDP DAWDRMLNLNINAAFRSVHAVLPHMVERKTGDIILTSSVAGMIPVVWEPIYTASKHAV QAFVHTLRRQVAKHGLRVGAVAPGPVVTALLSDWPQEKLDEALAAGGLMEANEVAEAV LFMLTRPRNITIRDLVILPQRADI YP_002979320.1 MIFDRFRLNGQVALVTGGTRGIGLAIAEALGEAGAKVIITGRTR NLAAEDQLSRVGVDCDFIAVDLMKESAADELVAETLSRAGRLDILVNNAGIAIHGDSG EFSDAIWREIMTVNVDAVFRACRAALAPMRRQGGGAILNIGSISGIVSNIPQNQVAYN TSKAAVHMMTKSLASEVAAENIRVNAIAPGYIETDLSRGGIDNPDWFPTWRSMTPMGR VGQPEEVAGAALFLCSAAASYVTGEVLVIDGGYTTR YP_002979321.1 MQLANYLFFANGCEQALAFYTECGLGQVTQLKRHGADGMSAAPE AMRGKVMHARFEGPDVLFFASDNHDAEPMRGSAHMLIMEDRDSTASLFARLAEGGKIT TPLAVQPWGSYYGKLTDRFGVQWMLDCLN YP_002979322.1 MPITLTVPEGLLSLEGQAQAFAGLTDALLDAAGLTGNTFMTANV IGTINVLPREHVLAAGKPIAAAFIELKLPEIALVSAETRQAFFEKAADVVEQAAEGRL RREHIWSNIIYAPEGAWGIASRSYSNADLVAAIQGGATQ YP_002979323.1 MRYSAEHKQETRTRVIAAAGRVFRQEGYGGAGIDSLTKAAGVTN GAFYGHFKSKGEAFRTAVLTGLEELRQGIAGLKASQPKDWLATFVGYYLGYKRTCDLG ESCALPSLSPDVVRADDETRSAYTVELKRLIEEIAVGLPEGEVSGQPQTRREDQAILL LAMLSGGVTLARAASDPALSKRIADVIAKAALTAIDPGN YP_002979324.1 MSAPKVRTKWRPTLALIVYAVLLAVMALPILIVIWFRAVEASSN RMAPAEIGALAVVVMLTLGVAYVLTRTITGPIDALIARTEEIARGGKGAIRPLESYGT REIAVLSQSFLDLAGKLVDRTEYVRSFAAHVSHELKSPLTAIRGAAELLRDDDAEKPM TKVQRLHFLDNIVADAVRLDALLQRLRELAQAETPVAEGKSSVTDILSSLRGRFPALR VSGSGDTEISVALPQEAAGIVFANLADNALQHGATLLEIRVSTDARTAVILVRDDGSG ISEVNRQRIFQPFYSTRREQGGTGMGLSIVGAMLSSHGGTIRLLRATGAGTEFEITIP LQILSG YP_002979325.1 MAPRILVVDDEPHIRDVICFALERAGLAWMAARNGTEAMAAFRR GNIDLIILDIGMPEMDGLEVCRQIRKTSGLPILFLSARDEEIDRILGLEIGGDDYVTK PFSPRELVARVKAILKRSSNGAEPDRRHATLVAGELSLDRRGRTVMFGENTIAMTALE FAILDALLSRPDMVFSREQLMEAAYGAGTYVADRTIDSHIRNIRAKFLVAGGQGIIAT VRGIGFKLGREIGRKA YP_002979326.1 MDTTDTLTEAMPIRRGSSRIVGLFALVALADFLIFGEMPGINLF LFALAVCAGILLLAGKIRAPSIAALLFGFSVLASAPLLEAPSLTGIALCLSALIAVAL VGARLMPRNLTGLPLVFLRFTLIIPLRLADDFRKYLKAPAKRFSFTVVWQGVGLWIMP LALAAVFVFLFAAANPLIEIALRSIRFAVLLQFLDLWRIGFWMMIAAVIWALLRPRLK RRTARSQAGRAFVIVPTRNAPLGQASLLRSLFLFNALFAVQTLLDLVYLWGGADLPDH MSHAEYAHRGAYPLIATALLAAGFVLLAMRRGGPGDQSSLIRGLVHAWIGQNVLLCLS SMLRLGLYVEAYSLTELRVAAGLWMGLVAIGLVLILLRILFNRSNEWLIAANLASLIA VLYISAFIDLPDVIARFNVAHSQEISHDGPPLDIYYLSTLGPSAIPALDLHIRALPEY RTDKISEAQLIRGSLVWKFEHRPRDWRSWSFRSSRLETYLLSPAAIAR YP_002979327.1 MTEHIEPRQVETDDLTKVWSVTEFCARHRIDAEEQALLLKLFGP FATASELLHNAKRAPRFR YP_002979328.1 MASPIHATDDSATFKETDIISGNLLSNDSSDNGHLFLRAFDGAS VGAKAGNSQVTEIQGDYGTFFVKPDGSYTYVLSDAAKIGFANGESYQEKVSYKISDGS GHTDVGLFTLNIQGVTQVKPIAVDDHYSFNEGSAIGGNVLDNDIAGDNGKLFLRQFDG TNVSAKSGLDAVTDIVGDHGIFHVKPNGEFTYTLTEDLAAGQNVTETVQYYKISDGEG HTDAGILTLNITGTDAHLA YP_002979329.1 MHKRLSHQPATAEIFAWIGYVSNIGLGEHNCNA YP_002979330.1 MNNTHTATVVAAANPREDIEAVRSAPRNWKPFPCLLTRRELQAL IAEQLG YP_002979331.1 MQVLVRDNNVDQALRVLKKKMQREGLFREMKERRAYEKPSERRV REKAQAISRQRKATRKKMQREGLLPGPKRTAAAR YP_002979332.1 MDELNSLTVSEERIEDCRDVVEPDLQDLIQRTLTSGFSLEEILI AVSELVAEDFAMVMKTPSVH YP_002979333.1 MANSDEATRVWELIDKIGFCMLTTRSGDDLRARPMSAYTAQLEN AIYFLTDVSSHKDDEIARWPNVCLAFADTKGQKYVSVSGTAEVQNDRAKIRELWATPA KAWWDNPDDPSIRILKMTPSSAEYWDSPGTIISYIKMAAAAVSNSKPDMGDNAEVKL YP_002979334.1 MAKEIRNVGASVRARLLHLAKASGQSFDLVLTRFALERLLFRLS QSPHANRFVLKGAMLIMSWFDDPHRGTRDLDLLGFGDPSPDPMLETFLEILAQEADDG VTFDPDTLHVDRIREALDYGGLRLRVIATISGARINLTIDIGFGDALEPGVEILDYPS MLDFPMPRLRAYARETVIAEKFQAMVMLGRVDSRMKDFYDIWILSRSFDFSDDRLARA IAATFKRRETSIPTNLPDALTDAFGKDQQKQRQWRAFIEGVAHNPGDLTDVIAEIAAF LMPHAVAAARLDQ YP_002979335.1 MPSSDTQRQIARTVLTERGIARLRELRNAGVTGATMSRMERDGE VLRLARGLYQLPDAELDVNHSMAEVAKRAPKAVICLVSALAFHGLTDQLPRQIWLAIG RKDWAPKPDGPAMRIVRFTESLLNESVETHVIEGVPVKVFGIAKTIADCFRYRNKIGL SIAMEGLQEALRQRRTSPGEIANQAERGGVGSVIRPYLEALTANG YP_002979336.1 MKTDLGDLNAFVAVARAGGFREGARVSGSSASFLSEAVRRLEGQ LGVRLLNRTTRSVVPTEAGKGLLARLGPALSEVEAALDVVNGFRDRPAGALRLNVPVS AARLVLPAIVPPFLAAYPDIRLEVVTDESFVDVIAAGCDAGIRYDERLEQDMIAIPIG PRIQRFAIAASPDYLDRRGRLQHPSELLAHACILGRFDSGAMTAPWEFERDGEIVRVD PTGPLIVRVGGATDLAVDAAIAGTGIVCLFEDWLRPHFDRGALEPILEPWWQRFSGPF LYYPGRRLVPAPLRAFIDFVKASANQT YP_002979337.1 MSTIDQSGTFNLGGRSVKRLGYGAMQLAGPGVFGPPKDHGAALA VLREAVASGVNHIDTSDFYGPHVTNQIIREALHPYRDDLVIVTKIGARRGADGSWIPA FSREELTEAVHDNLRNLGLDVLDIVNLRIMFDVHGPAEGSIEAPLTVLADLQRQGLVR HVGLSNVTSKQITEGRGITEIVCVQNQYNLAHRADDALIDDLAYHGIAYVPFFPLGGF SPLQSSTLSDVAAGLNATPMQVALAWLLHRAKNILLIPGTSSVGHLRENLAAAGLVLP DGALKQLDGVAGSAA YP_002979338.1 MSSKALQAGFFSNSRIRLIRQNEVSECGLACLAMMASFYGHDVD LATMRRRFTPSFRGAPLSSLIKIADQVGLLPRAVKLPLEELPNLALPAILHWNMNHYV VIERVSGDKALIHDPAGRSEWLQMSEVSDHFTGVALELRPNEAFEKITERTVLRWSQL WTRINGLGSSFLQVIVLSVVLQIYVLALPYYLQVGIDNALPALDHDLLAVLALGFGLF TAFNTSASFLRSFVILAAGSALGFGIASNIARKLFRLPLDWFQKREVGDILSRFQSVT PIQKMLTEGVAGTLVDGLLALTTLAIMFFYSAKLAMIALTAFFLYFVVRLISFSFERR AQEGSIIAHGKEQTALIETIRGIQTFRLYNSETMRHAMWQTLLTDAVNANIRSSRIGI WQTTGNALLFGLENVVTIYLAIGFIMNGGFSVGMVFAYLAYKGQFLDKAGNLVDQGMA FLMLRLHLERLADIALEEDDRSFAAGSMAVTELKGRMELKSVSYRYSPTDPFVLQEID LSVEAGEHVAITGPSGMGKSTLLKVMLGLLLPQSGEVAVDGLPLQQFGHKSFRDQIAA VLQDDNLFAGSIADNITLFAEQADPAAIADAARASAIHDEIMKMPMQYETLVGDMGSS LSGGQKQRVLLARALYRKPKMLFLDEGTSHMDAANEARVNHSIRSLGITRIVVAHRQE TIAAADRVLYMDGEGLRPLAPPTEVISLQLGESLSTR YP_002979339.1 MAVPMGWHVTGYLMAGILIVVLIFLSIASYSRIVTATGIIQPDK GVAVVMPSRPGVIMKMMVADGDQVEEGQELVAVRSEDYLVSGESASEKVAEMLDRQNA SISEQLAEVKNDEIAQTAQFSAQISGYQTQIDKLQSQIALQKELITSIEKDVERIRGL VDKGIVPRRDVTLREDSLTERRQQMAVLESTLTERRSDLSDAERMLDQVKARSNEKVA ALQSQREDINRGLATNEQSRAYVIRSPIGGTVSALTAKIGEPLNTQQALMSVVPLNAT LHAQLDVPNAAVGFVEVGQEVRLAIDTFPYQSFGTIAGRVKSLSKSPINRGSGASTNL NYLVTVDLERQSITAFGKQQALFPGMTLSARIATARQSLLEWLFEPLFALQRR YP_002979340.1 MEHVSGGGVSSGVRGAIDGAAALAGGGALGYAAAIGAGVGISAF GVGALAVGGAALGVYGVYRLARAFA YP_002979341.1 MTAFSKLIVQEVALSAVLAAGAVIGIPKLTDPNAAANYPLAIGV FLAMVATFVAYELFVKKSS YP_002979342.1 MVDVQSVNADTSGYYIHPLEDFEIDAVNGAGSWVGHVDRGLIGG TYAAGGTFATTGSLGKAGIAGTAGFAAGASGSIYNGGLSGGGNSSGRVICTHFFRRGM LDRDLWRADLEFTYQSLSQTTVRGYQYWAIPYVHLMRRSPLAEKIMYPLARARALEIA YQAGRRPKGSLGGKVVRLIGEPLCFLIGSVVKQKDWAPLWVEKTEATGGQS YP_002979343.1 MLSSSRFYADRRATWGYEPSFDGALIAWWGSKRGARAVFVGEFS GGELNIIATFKGALSHFGWRQYADLLVLTEKDRLWEVDPRRPGTRTDVTPRDFQTWQV IVDPRHAADNQFLVSYDRSPAVADLYATSADGRDKRLLEQNNGSTESWLLNEAQVPCI RVELTASGMRRYLHRINADKPWREMATLEPDDTLVIWRTDRSGNAFEALSNRQRDKIA LVSLDPVTGGETVLVEDGDVDVSDALRFTPTEPADVAVIRDGYPRYIALSERGTIFTE LVLDRNGHADVEISGSSKAGALLTVAISYDERPYQHYLLNLADKSKTLLSAPAEKRKS AFSAVTKPVYFTAGDGEEIPALLTLPAGSPHPVPTVVMAHGGPARHDSWGYNIEKSFL ASRGYATLSVNYRGSTGFGKRYQSLGYRQFGRKMQDDIADAGRWLLAQGIAAEGKLAV AGESYGGFMAALAMADDKLFSAAIIDYAILDLPYQMQNNPVGWGLFLGQVIRYFGNPD DPGDLTQMQEFSPLHNAKKLRGSFLLTAGMEDPVVGCQQTKAFEKALRETGRDVTALY FDREGHGYAGWQTKLVRAREIELFLSRKLGGKAKKIDPLAFLSKHWIQGRFGNGARNM LARRV YP_002979344.1 MSQTPQDQLSRIKAEIADSFDEELEMQMEEDRLDDLVAEGMSEP AEQTLERKIYFRELFRLQHELVRLQDWVQHKKLKVVVLFEGRDSAGKGGAIKRVTQRL NPRVCRTVALPAPTERERHQWYFQRYVPHLPTGGEMVLFDRSWYNRAGVERVMGFCTD EELEEFFRSVPEFERMLVRSGIVLIKYWFSITDEEQEFRFKMRIHDPLKQWKLSPMDM ESRVHWEEYTKAKEEMLARTHTEDAPWWVVQAVDKKRARLNCIAHLLEQIPYEDVPKP EIQLPDRIRHADYNRAPVPPEMLVPERY YP_002979345.1 MSSTHSPSSAVPNELGIQLRAWRDTRGKSQLDLSLDTGISQRQI SFIESGRSTPGRHNLLHLADALDVPLRERNTLLLAAGYAPIYAEGGIDDVEMKGVTGA LRRMLVQHEPFPAVVMDRYWNVVMTNDATQGFFNNFIDMAAREKPRNLLHLMFDPAGL RPFIPNWEETAESLMARVFRESVGRVIDMHTKALIDALLAYPNVDSAWKVSTTADSTP VIPLSFVKDGQVFSFFSLVTTVGTAQTITTQELRIECMFPLDDATEVEYAKLMKQAAA RWRQTGK YP_002979346.1 MTTMNFTKREPPEWLLAFWKEIDDKTFGGGFDCLAQDATCNLGV ADWKGRDSIRENLRAFIDTGFSALHDVAEYWDGGSLKIFRGTVTMTPDDEAQPVVKPV MTHFFYMDEREPAKVGCWYGSVGPVAF YP_002979347.1 MNDVVDTVVVGGGAAGLSAALFLGRAGRSTLVFDGGKSRIFSVE EVREHLGFDGMPTAAFMAQARAEAVRYGADIRSEHVHSIVPREDGLFWVEATGSRVAA RTIVLATGVIDDLPPLSGLPEAWGRDVRVCPCFDGYEVRGKRFVVFGLPERLAHMASW VWMWSRDVTIVSRHRFNEADAERLRLLDINIIPDEITGLVHRDGKLVGVSTASGGGEI ACDATWIAAEIRAASGLAASLCEVDEIGLARTDKDGRTSRSGVFAVGNADNAVAHLAH ASAAGTAVGPVVTMYLLEQILAERRNTKAA YP_002979348.1 MSEIVYDALVIGSGAAGSFAVKELTAQGLSVLLLEAGPAVGPKD FDPVRKKAPASSINIWERARATLKGQPIQARAAFFTERFSHFFVNDRKNPYTTPKGEP FLWIRGRQGGGRLHSFGRVLLRWTDDDFKIRSRAGKGVDWPVSYDELAPFYDEVEAYL GLYGNKDNVATLPDGIYAKPASLTPAEQIFKQAVENRWPERHVVSWRYIAPDADRMPR PLRDAKATGELTIRYDAVVRRITTDEKTGRATGAEYIDRNTGAVSTVRAATVVLSASP IESVRLLLNSASAKHPDGLGNSSGALGRYFMDQLPCLAFGSFSKAKGWAPDESAPTDP FYNPSGGIFIPRFGESDAARGDFDYQGSVGRAPVSVDTDARLAFFGFGRMLPYADNRI TLDVRRRDAWNIPVPHIRCVMQEEEQALLRRQEETLIALIKEVGGDLEFIGSPTGLKE MGKGAFPEADVFSRFMFRKWFRKTMCMGAAIHETGGARMGETREASVLNPYNQLWDAP NLIVTDASAFPGSGIAGTTLTVMVLTIRACRNLVDQYRTGRL YP_002979349.1 MQSAVSVKDLKIAYGDHTVIEKMSIDIASREFLVLLGPSGCGKS TLLNAIAGLQDITSGEVWISGRNVSWEEPKDRGIGMVFQSYALYPRMSVRKNLSFGLR VAGLPKAEIEARVSRTAALLQLDKLLDRRPAELSGGQRQRVAIGRALVREVDVFLFDE PLSNLDAKLRNELRVEIKKLHQRLGNTMIYVTHDQVEALTLADRIAIMRDGVIQQLAS PAEIYRRPANLFVAGFIGAPAMNFIEGRIEPGNGAPLFRSKEFTVDLSGYSFRADAAE GPATLGFRPEHLVLDGATSGLPTIPGRVSVVEPMGSDAVVWFDWADQSLSLRLMGDVT LEPGDPVAPGLDIAKASLFGTDGSRL YP_002979350.1 MARLHPNGPKPAQITAGRIGLYAFLVVAALFFLLPLYTMVVTSL KSMPEIRLGQIFALPATLDFSAWATAWSGACMGTVCVGIRSGFWNSVAITLPSVALSV FIGAVNGYALSLWRPRGANLLFGLLMAGGLIPYQIFLYPMVRAMANIDLYNSLAGIIL VHVIFGLPLVTLLFRNYFLSVPEELCKAARVDGAGFWRIFFEIMLPIAVPMVVVVSML QFTGIWNDFLLGLVFAGRDNLPMTVQLNNIVNTTMGERTYNVNMAATILTAIVPLAIY FLSGRWFVRGIAAGAVKG YP_002979351.1 MSIKRKPNLSATIALLPTWFVAVVVFIGTMAWSIRLSFTNSTLF PSSTYVGLAQYSKLFSSAKWLASLQNVLIFGVLYVAGCLLLGFLLAAALDRKIRFESA FRTIFLYPYAMSFVVTGLIWQWMLNPTLGIQASVRSLGWESFVLDWVVNRDMAIYALV LAGVWQGAGLVMVIALAGMRGIEGEQWKAARIDGIPVWRIYVSIILPQLGPALAAAGM LLAMGVIKTYDIVVAMTNGGPGNATEVPAKFIMDNLFGRQNLGLATAGATVLVLGVII AVAPFRYIMHMRDKAKGAA YP_002979352.1 MKTMMKLFLAGVAFAGLFASAHAEDKPTIEIMSSWTSGGEAAAL NVIKTEFEKRGGVWKDSSIAGFGAADAAFQNRIVAGDAPGAKQGVIGLAAADFINQGL FNPIDDVAAAGKWADALPKSIHDLITYNGKVYLAPTGAHGESWLFYSKEAFEKAGISQ EPKTWDELFADLDKLKAAGMVPVAWGGQPWQQTKVFNMILLSQVGIDGFLKIYVDKDK SPASVEGVKKTLEILGKLRGYVDAGAAGRNWNDATAMLITAKAGVQFMGDWAKGEFTV AGKEPGKDYGCMIVPESKGMVYIADSLWFPKTGKAETDKAQKLLAEVVMDPAVQVEFA LKKGSVPMRADVDKSKLDVCAQKGVELMAAGAIVPDQAIVLTPQQVGALDDFVDEYWS GGSDDTVSTAGNFFAIFE YP_002979353.1 MATDRLRFGVDLVTFFHPGFWGVDSHEAIVGYARAEPRDFWDKI LDSVQASGVAGVELTFSPFNWQDAIKTYGSVDAFAAELARRGLTLCSGFFAELEAAGD FAEPEAQRALIDKAERYADFLKACGSDIMVIGAPLRQTLGAQPVQFHDFDRAKVIADF LNRLGATLYARGVRLALHTEAHSIFAAARDVDLMMLLTDPAYVHMCPDTAHIIVAGSD PVQLVDRHHERMIIAHWKDAIGPMPADTPIDKHIHERHQPYFCSFGLGRVDWPSWIRL LRDRAYEGWAILELDAAPDPVRDIANGLTLVRQALLPIYR YP_002979354.1 MNKNKDVVGEEPSGALMADVARLAGVAISTVSRALANPGRVNEK TRARINAAARQLGYTPNAMARGLRVGKSNVIMIILPGSLYYGASQVIPQVLQSINQSL IQGGYNLMIANLDRDEISERHILDLAFGGSVRGAIILSSKLPEVDGRSLANSGLPIVS MLLDMSDAGLQSVVTNDREAVRDVTAELIRLGHRRFFYLAGPEGNYHDVERYGGVLEA LEAAGLSEEAVRRSGGHLDYQHGFDIGVQAADDFAELTEKPTAVIATSDDMAISFVSR IQGAGLRIPGDLSVVSFDGSPVCEFCSPPLSTIKQPVEEMGRAAVDLLLDAIDQRQNT AAVRTVIRSSLILRESIAAPKS YP_002979355.1 MASPTSLKLDDELKGRVQQLAEARRRSSHWIMREAIAQYVEREE KREALRQETLDAWHEFKATGLHVTGAEVESWLSTWGTDDELSAPECHK YP_002979356.1 MPQVVFSPAAIRDLERLREFLRLKNPSAAKRAGETILKGLRALG VHPHIGRLIEDLPEQYREWLIDFGDSGYVARYRIDGDILMILAVRHQKEAGF YP_002979357.1 MDLSKIKTLIDFVGRSNIAELTVTEKDVTVRIFRTSPGQEAAAE PAQKAGSTTSFADDAGSDASSRLEKTSYAVKAPVFGVLHRTPAPGEPPFIAIGDEVEE GQTLFIIEAMKVFNTIAAPRSGRITHLTEIDEGEVETGDLLAEIA YP_002979358.1 MPETTERSATDRFDTVLIANRGEIAARIQRACHELGLKTVAICS EADRQAPYGSTADSFLCIGPSTAAKSYLNQDAILLAARLAGAGAIHPGYGFLSENAAF ADAIEKAGLTFIGPTASSIAIMGDKIAAKRAMMAAGVPCVPGPDTALPDDPATIERIA LEIGYPVIIKAAGGGGGRGMRVVPKADLLHEAIALTREEARKAFGSPSLYIEKFLEHP RHIEIQVICDDHGNAVWLGHRDCSMQRRHQKVVEEAPAPGIAPDIIQPVGLACVKACL QIGYRGVGTFEFLYEDGAFYFIEMNTRLQVEHPVTELTSGVDIVQAQIRAAQGHVLDL TQGDVTCEGHSFECRINAEDPENFLPSAGVVTHLALPTGPGIRVDTHIHAGYKVSPYY DSLIAKLIVHAPTRAEAMARMREALAGTEVEGISTNIPFLRALFEDGAFARGETDIHY LEQWLKLRRGA YP_002979359.1 MSAIDFSDPATIALLTEALTAAGVDGLEISRPGGQLRIVVAGKG GSRISSTGATSPAPGLAPGSASAVVKAPMAGRFCVEHPAASAVPQDLPRSVSDADIVG FVGVGHILLPLRAGRSGVLTRLLAEPGALVSFGDPLFEIELQS YP_002979360.1 MIVTTNRHASQREIVPATKSLARVSSIGARSFLLEAPGDFDLIA QRRIWALSQTVKDWADLAENIPGMTNLLVIFKETPEDPDAVVARLLEAWENARSIDLN GKTVEIPVHYGGEFATDLPALCNLSGLSDREVVRIHHEATYRVFALGSAPGFGYLHGL DPRIYMPRKTVPSLKMPKGCVTIGGMQTGVAMLTGPNGWNSIGFAALEMFDPMLPSPA MMAPGDTVRFLPARIEL YP_002979361.1 MIEIMESGPFNTVQDLGRPGYRDIGVSASGAMDPLAVRIGNILV GNDENAAAIEVQTFPFSLRFERRIAFAVTGADGNSHLDGSELLAWCAYIAEPGQLLEL KQPPLLARSYISLGGGLDIPVVMGSRSTSLRGSFGGNAGRPLAKGDRIAVGEDAEMIM LPASGLAVVEPAVALREVFPAAVDGTLPIRALPAGEHDLFAGDGEAFWSQTWRISSRS DRTGYRLSGEPIKPTASIEMRSHGVVPGVIQVPPGGEPIVQMSDANTAGGYPKIAGVI ECDLWRLGQARIGARLKFVRSTHAEARSVEQAVAGYVEDVRQTSRLVKRALKAMA YP_002979362.1 MKIDLNSDMGEGFGPYRLCDDEAMMKLVSSANIACGFHGGDPDT MGRMVRLAKLNGVGIGAHPGLPDRPGFGRREIPFPADELRQQMLYQLGALMAIAKAEG VTVSHISFHAAMGNMVNRDPVLADLMMDAIATVDAGLVVFVTSGSEIQQAARRARLKT LALFLADRAYDAGGRLVARGLAGAVIKDEASVRARVRKFLLEGTVETIDGAVIAMPAR SILVHSDTPGALELAGIVRGEIEATGAALAPAAELAD YP_002979363.1 MSVIADRLKNVSISASAAMTQRARELAAKGIKVVSLSSGEPDFP TPAHAIEAAYGAALAGDTKYPPMDGTPVLKSAIIRKFKRDNNLDYDASQIVVSGGGKQ VIFNAMLATCNPGDEVVIPTPSWVSYADIVKFAGGVPVAVPCHEQTGFKLHPEDLEAA ITPRTKWLFLNFPNNPTGAACSRAEMAAIAEVMLRHPNVWIMTDDIYEHLVYDDFQFC TIAEVEPRLYDRVLTMNGVSKAYAMTGWRLGFCAGPKELISAVSNVNGQNGGGIATLT QAAATAALDGPQDLLKERAAIYKERRDFVLDRLSEVEGLRCHRPEGAFYIYPNISGLI GKTSKGGRKIETDVDFVMALVDEHHVATVQGAAYGMSPFFRISYATSMEKLGEGCARI AQFCRDMR YP_002979364.1 MDIRRLKSFIVIVDSGSITRAADLLHIAQPALSQQLAALEEHFG HKLLIRSQQGVSMTDAGHAVYRHAQIILRQMEQAQADASAAGNSLAGRVSVGLVPFSS AATLSVDLLAETRKRHPGILLHLTESVGQTYSQMIMNGRLEMALLHGTGPIKGVRFEP ILSEEFFLVAHRDFAIEADAKPVPVNSLDGMPLLLPPAYNFVRRAVDTAFTRTRTNLK VVAEVEIVRTLARAVGSGLGATIMPKAIADRIVSESSEPLICRLVSPRIEETLSLCVS DQNPLSEPALAVRDILLELTARLKR YP_002979365.1 MPFSDYKTALVTGASSGIGAAVVERFRRENIEVHAVARSAEALQ QLAARTGCLAHVIDVTDRQAMAELTRRVEFDILVNNAGVDRPKKFLEADEGDIDLIVD VNLRAVLHICRLVVPGMVARDRGHVINISSIAGAYNFGGNSSYHATKAGVSMLSNQLR IDAFGKRVRVTEICPGRVATDIFNHVHGDDPSIRERFIDGFELPQATDIADAIAFAIA APVAVNIGHMEITPTLQVMGGLQTAKPQPAAKLDQSGEPNP YP_002979366.1 MSGFDLSAILGNPEYTAMLLHGIEMTFIIYAGSWSMAMALALLL LALRLSPFRFGDPLVAAYVSYHRNVPTLVQLMLWYFGIFTLMPSGVAEWLAVHNAEAI FAVIGLGLCQAAYFSEDLRSGVRSVSPGQMQAARALGHGYVSAMRFVIMPQGVRNALP PLINHSVSLFKNSSLAVVIGASELTHAVKEIENLSFRTFEIYLIGTVLYLFFSLVIMS IGAYLSMRTDPARSARA YP_002979367.1 MIHDMIAIVQDYWLLLLIGQYPNGPLGGLANTLILSALSIALAF PVSILFALARLSRSPLLRWPVTALVYFTRGVPLLMLILWSYFLVPLLTGADVPSFVTM LTTLVVYQSAFLSEVVRAGIVALGPGQMDAGRALGHGYLGAMRFIILPQALYNMIPSI ISTFVSTIKDTTLGYVINVPDLTFAASQVNNQLLTQPFQVFLILAIVYFAICWTLTYF ANRLERSITRRRAGLSNLPAAALVAPSKIISEQP YP_002979368.1 MTMSVSAQELQTIRLSQVCKSYGDYPVLKDIDAQVSRGEVVVIC GPSGSGKSTLIRTINRLEEINSGSITLDGQNIHAAMRAKELNALRSRIGFVFQNFNLF PHLSVVENVSMSPIRVKGVAPDVAKDKALKLLDRVGLADKARAYPGQLSGGQQQRVAI ARALAMEPPVMLFDEPTSALDPEMVGEVLAVMKSLASEGMTMLCVTHEMGFARDVADR VWFIDAGQILEMATPEDFFKNPSHPRAQRFLADLRH YP_002979369.1 MNWKCLTLTAAFAGMAAALPAKADQLDNIMSAKTLRCATFADVP PFASPDPKTREMAGFDVDLCGAIAKELGVKAEIKPVSVEARVPEVKLGRVDITVANLA YTLSRAEQIQFSDPYYLAKEMLIVPADDAGKKKADYAGQRIASTKGSTSEMSIKLNKS DPLTFQDTASAYLAVQQGKARGMVANTMTTTKFVNESKSKGKAMRMIEEPMLYQPIGI GMAKDQPALTAKINEILRKLDTSGEINKIWDKWLGPDTEYKMTRTDKVVSLSELKFDP IP YP_002979370.1 MIHIKDIAERPSKADIDAVSKFSPATIHEAQGRRGALSSRLKPV DYRMKLCGPAFTVKCAPRDNIMLQLAINYAKPGDIIVVSAGEYEEAGSFGDVLANACL AKGIGGLVTDTGVRDTLQLRELGFPVFSLSVCIKGTVKETIAAVNDTIIVGGEIIHPG DIIAGDADGLVVVRRQEAQEVARLSQSREDAEAGYIAAYKQGKSVIEVSNLEPVLKAK GLVVDI YP_002979371.1 MHLSMWTYPWDIQDQGLAALAAELRGRAGLNTVSLATSYHAGRF LQPRSPQQKAYFPEDGTVYFRPDESLWQDKLIRPLMAGNVTERGDMLETLVQGREATG LKVSCWTVCLHNTRLGMLHPDHVTRNAFGNPNYYNLCPSSPAARAYAVTLVRDITTNY RPDMVELESPNFMGFAHEYHHEKDGVGLNAEDDFLLSLCFCDHCTARATKAGVPVEGA RGSVARFIAELCERAIPERQFPDFPAAGIDAFRDHPDLHAYLTWRSEPVTSLIDEIKA AADPATRIVLIDLKDGWLGGVDLAAVGKLCDGAILCCYDMEPDAVGDVIRTGRAAIGP GKFLAVGLRVFYPEVSGPAILAARAKAAVDAGADGVNFYNYGLIPAKRLDWVKAAVDA IA YP_002979372.1 MHSGLVNPIDFSREGRQAGHLAIPYSIDRSPYYQIRIPILCLRN GEGPSLLLMAGNHGDEYEGELQLGRLMRLLDVAEIRGAVTILPMANLPAVMAAKRCSP FDGGNLNRAFPGDPSGSPTARLAHFLEHELFPRHDVVLDLHSGGTSMAHLPCTLIERQ ADTARFERSASLIRAMGAAHAFVADNGPTAPTSMGAAARAGTIGLSGEFGGGGTVTQE TMGFTAAAIDRLLVTLGIVKHPVLSRVPLAEPGPLQLLSLSRHSQGIHANHRGWFEPA VALGATVAAGEFAGWYHDLERLEQPEEELRFAESGIVISHRLHCDSQAGDCLIQVAEP IAS YP_002979373.1 MIQRYQKGSRMSQAVSYGGLVYIAGQVAENRKADIKDQTRDVLG KIDALLQEAGIDRSRLIAVNVFLPAIVDFEAMNSVYDDWIDIENPPARACTEARLADP DLRVEMTAVAAL YP_002979374.1 MSDLHIATENVLIDEQVRGFPPGNPPLPLAAIGKQGWKPYDGRM ALPLISLDRQAFTGNVELMMAYVMSHGADIAPHAKTPMSMALAEALLAAGAWGTTVAD IRQAAVLLKAGQRRLILANEIGGAAAARRLAALLGHYPDAELHIFVDSTALVEALRSA WQERVDLPPLGLLVEFGAGRAGARGVAAAEAILEAILAAETPAFRLTGIAAYEGAAAT ADAEETMRRIDALMAVTSDFLPKLRARIGDERSLLVTAGGSVFFDLVIARLSAAVAAD PACRLVLRSGAIFFHDHGIYERGLAGLDARGGFRIGGETISAAAGFRPALRVWAEVLS RPEPRLAICGMGMRDVAMDQGLPRPLALYRNGARLADLESADVFRLNDQHAFVALADG SDVAVGDVIECGISHPCTCLDRHAILYGLDPDHSVTAAYLTSFG YP_002979375.1 MTELYDGPVIDPHHHLWDLSLQRHPWLQKARASGEEMVFGSLAP ILRDYGIDDYRADAARQNVIATVHVEAGWSVACPLDESRWLDGLDRSSGVARRYIAGV PLDGPDAMRLLETEAANPNVVGIRDILSWHPEAAKSFAPRPNRMDDPSWRAGLAHATR LGLVFDLMLYPWQMNEALELVRAFPQTLFVLNHGGSPADRTEDGMALWRRGLRALGSL PNLRLKISDLVAYDNKWTLESLRPVIEHCLACFGPARAMFASDFPVAGLHASFDEVYQ VFRAVASELSYDEQRALFFATANDTYRLGIADPAEIRSGCDV YP_002979376.1 MNIIRSAFRNPPLLTFLLVALVWIVASFTLRGFGAYGHLRYLLE LAAVIGIAAAGQTLVILMGGIDLSVGAVITVTAILLPLISPAWDPTGLAGIAAALAIA AAIGLMNGAGAAYLRVPPIIMTLAMATFLQGLLVIVAGGSAVTVSNPAVILLGQARPL GIPSGIILWLVVSIVVLLLVHRMPIGARFLALGANPLAARLSGVSVTRDTLIVHTLSG FFAGLAGILVLGMNRQGYVGIGDPYLLTSIAAVVLGGSSILGGRGTYAGTIPGAILLV TTTALITVVNASPGWRSIMFGTLILALLLVSGREARR YP_002979377.1 MSTSSSSRLYGSIQLRRNRGLAGLYLVVAAFLILYALLFPGILS IGGFSKFTQNWFPLALVTMAQALLMLNGGITLAIGPLVSLGAVIAATTMQGALGVPGG ILAVALAGLLIGAAIGVIVTYLRLPAIIVTLAGSFIIGGVALILLPRPGGFIPDWLST VLAGHTPVAFLLLVVILVLWKAFLATPLGLGIYAAGDNPVGAFRSGVPVERVKVAAFA LSGLLAALTGLFVAAQTGSGDPVIGTPFTLNSIAAAVLGGVGFLGGKGTMRGAICGSL LLSVMINVMFFLGFPPVAQYVAQGLIIVGAVAVPELLGAWRARR YP_002979378.1 MTEERPLLEARQVFRGFFGNPVLKGVDIALLPGRVHALLGENGA GKSTLINLLSGALQPDSGSILVDGKPVERFSPAAARGAGIAVVQQELSLTASLSIAEN IGLGAFPRRFGLIDYRALHRGVSDVCDMVGLTEPLDMPVADLALGRRQMVEIAKALFR KPRVLILDEPTSSLSAHEAGILARLIETLRDRGTALLYISHRLNEVQALCSHVTVLKD GLVTADQSLSGIDGEGLVRLMVGRETGDLFPPRSVTAPGAICISVEGFSAGMVRDIDF SARAGEIVGIGGLVGQGQEDLLLGLYGAIPASADRAEVSGKPALPANVGEANAAGIVY VPADRKHEGLVLPHSIASNLILPSLGRLARKGLRDRSAENGLVADLARRLTIKGDTAR PVQALSGGNQQKVALAKWLPLDPSVLLLNDPTRGVDIETKREIYLMLRAFATEGRLVI LASSDTPELVHLCDRVVVLREGVVAAVLSQDEISEGAIVGAAMGITTTTQGEAA YP_002979379.1 MYIILDTNGKPSTLPHTKKGIDMKNLENGISASLRRQLLAGAAA AAALLVFSAGTASAAANCIKGDRKAPYTIGWANIYSVPTWMKQTEGTITAEVEELKKA GLVKDLMITDAQGNAQTQIQHIQSMIDANVDAIVVIAGSSNALDRVISDACDKGIAVV NFDSLVNTDKVTAKINTDSNEWGATAAKWMVGQLGGKGKIIIMNGPAGISVSDDRRKG AQPVLDANPGLQVITETNTEYNVAPAQEAMTSLLFANPEIDGVLSLGGALSAGSVLAF ERQGRDQVPTTGENARQFLELWKEKGLKGWATMQPNWLGALSVYTAVQALEGKDVPAF VKVPLPVIDDSTIGSYLARADKFPADGYIYSDYDKALFDKLLAAK YP_002979380.1 MDAVQDEAAGKRARGLDRAFEILDFLRQKRQALKPNEIAAQIGA PRSSVYELVNLLLQNGILEFTGGEGRVYLGRKLYFLGAAYENHFDFTRECEAALEQLA DETRETAQFCMLDGNRYTVVRMREGARPFRISTDVGQSVPIPWTASGRLLVAHLSDQD ILNFIPPQDFRLPNGEWLEPQTFIAEVRQAEREGLFTFNSIVDSFTHCFAVPVRGEEG HAAATLCLVTPRDDGIANRDRYLDCLKKAAAGLDHAPTRPKRG YP_002979381.1 MTRKIRLGAFLPGGGQHVASWRHPDQPADGATSFEFHKRLALTA ERGLFDAYFLADGLAVGFGGAREGGNARVAGFEPVTLFSALAPFTTHLGFIATSSTTY EEPYTTARKFASLDLISEGRAGWNVVTTTGDLTAQNFNRDTQLPHADRYRRAAEHVDV VRKLWESFEDDAFIRDKQSGVFFDPAKLHDTDHRGEHFSVRGPLNISRSPQGHPVIVQ AGQSEDGRGLAAATAEVIFTAHQHIETAQEFYRDIKARARALGRNPDHILVMPGVSAF VGRTEAEAREKYDRLTSLIVEEDGIGLLNGLTGGTLDLHGYDLDGPLPPAPPTEGMKS RQALIRQIADENNFTIRQLYQWIASARGHYTIVGTPEQIADTLQKWFENEAADGFNIL PPWLPTALDDFVDLVIPELQRRGLFRTAYEGKTLRENLGLPFPTNRWAAEQADLQAAE YP_002979382.1 MSYEINQVLPRSFGRLKNSESHISTLALQVRGVLASLLPRYGLL IGFLVFWQVSSTRGWVNPAIFPPLDVILSALWTNLANGALLDDIAISLQRSGTAFAFA VVIGIPLGLFMGQVRAVEQALDPILQLFRQTSALALYPVFILLLGLGETSKIFVIFWA TLFPVLLATIGGVKEVDKKLIEMARTYGAGPLTIFRRVILPASVPAIFVGLRLSATTA LLLLIAAEMIGANKGIGFQVMNAQYNFQIPLMFAAILLLAFLGLAANALLVLLQRRLC RWSQPSR YP_002979383.1 MTFHPRHLLLPAVIALGLTSPAAAADTVKLRYLASQGGLAAHEL AAELGYFDGTGITLENVGYAQGGPASLIALASGDVEIGSAATSAVLNSIIGGNDFVAA YPSNGINNEVQSTFYVLEDSPIKSIKDIAGKSIAVNTLGAHLDYTIREALHSVGLPAD AANQLVVPGPQLEQVLRSKQVDIAAFGYWQTTFEGAALKNGGLRPIFDDTDVLGDIAG GFVVLRRDFAREHPEAAKIFVEQSARALDYAREHPEETKKILAKALSERGENADIAQY FRGYGVRAGGLPIERDIQFWIDVLVREGKLKQGQLAAKDILLTVDAKPASN YP_002979384.1 MSIAEDTRRGEVTIRHLSKSYRLNGTPLQVLRDINLHVRSGESL AIVGASGSGKTTLLRVLAGLEDSDTGEVLVDGKAVRGVGTERAVIFQEPRLLPWLTVL DNVSFGLETSGLSREQARGRARHYVKLVGLQQFEAAYPRQLSGGMAQRVGIARALAVQ PEILLLDEPLGALDAMTKIGMQQELARIWRDEDVTTILVTHDLEEAIYLADRILILPR EKGGEPRLIEIDLPRPRDRSAPEFVRHREELLNLFGLH YP_002979385.1 MAQHPPNNRPHDFTGGLSRREAGDFTTDRRVLLLVGMSIIVGTA GAFAAWCLVSLIALVTNVIWFGQIGIQPASLAAVPRSLWVVLVPPLGGLVIGLMARFG SEKIRGHGIPEAIEAILIGGSRMSPKVAVLKPLSSAISIGTGGPFGAEGPIIMTGGAI GSLFAQFFHMSAAERKTLLVAGAAAGMTAIFGSPIAAVMLAVELLLFEWKPRSFIPVA VAACVSICWRPLLFGVGPLFPTHFQVALPWWGIFACAAMGIISGLQSGLLTTLLYRIE DLFEALPIHWMWWPALGGLVIGLGGLIEPRAMGVGYDIIDGLLNNRLLAPAVMSILLV KTIIWLFALSSGTSGGVLAPLLIFGGALGWLVGLVMPGNDPGFWALLGMAAMMGGTMR APLTGTFFAMEITGDVSTLVPLLAATVVAYAVTVLLLRRSILTEKIARRGQHITREYG VDPFELSRASEIMISDVDTLPVAMTMGEACDFFASQRKTHRIYPVVDATGRLAGVVSR ADALLWQGNPDLASQTLAENVTDDSVPVGHPDDTVAFIADLMLSTGDGRIPIVDPTSG KLCGLIARKDLLRLRSSYRSAELDRRPYLTASSKSKSR YP_002979386.1 MKKTAPPLRQEDYEALADLRFALRQFMDFSASAAQSEGLPPQQH QALLAIKGQRGDAMTIGMLAERLIIAPHTATELVGRLSDAGLVERHADPADRRRQTVL LTDKADALLTRLSAVHLSEIREMAPKLIELLLELQKTASNTK YP_002979387.1 MSTMRILDGGMSRELLRLGAELKQPEWSALALINAPDIVRKVHQ EFIAAGSEVVTTNSYALVPFHIGEDRFWKEGPALIRLAGRLAREAADAVTDRKVLVAG SLPPIFGSYEPQNFQPSRVQDYLEVLVENLSPFVDIWLGETLSLIAEAEAVRKAVATS GKPLWISFTLADNEVAIRGGEPKLRSEERVEDAASWVVSSGAEALLFNCSKPEVMQAA VETAARVFRTMDARIEIGVYANAFEGEQGEAAANEGLHKTRNDLNDDAYSRYACSWAE AGATIIGGCCGIGAAHIHRLKKTLLD YP_002979388.1 MNFTWISSYWPLLLTGAWQTVCLLVISVVFGFAIAIGLAFAQVS GGRLTRLLARSYCTFFRGTPLLIQLWLLYYGVGSLLPMVPGIRQSLFWPILREGFFFA AVSFTLNYAAYEAEVLRGALLAVPKGELEAGRAFGLSPWMLIRRIWLPRAIRIALPTI AGEIVMQLKATPLAFTVTVMDLYAVANKVRQDTLLVYEPLLVVTLFYLALTAVIARVF RGLEAQVPVRR YP_002979389.1 MATTQQGILDLLSPYPPGWGGVLLAGAVSTVAISACAFAIGLLL GTGGALGKLSGNRMLRLLLDLYTTLIRAVPELILIVGLYYAGTDGLNRLLAALELPPV NVNGFVAAVAVLGFVQGAYMTEVLRGAILAIPVGQIEAAKAFGMGPVLRFRRVLLPAL LPNALPGLANLWMSVTKDSALVAVVGYQELALATRLAGASTKHYFVFFLASALLYLAI TLVSNVVFKLIEARVRRGQPRLA YP_002979390.1 MKLLPTLFAGAALVLSAVTAQAEVRFGVMNESYPPFFAKDASGQ WQGWEIDLMNAVCEQMKEKCSIVEISWDGLIPALQSKKFDVIWSSMSNTAERSKVIDF TDKYYNTPSTLIGPKDQKPGATAEDVKGKTIGIQVSTIQSEYYKKYFAEAAEEKTYQT LDEAFQDLASGRIDYVFGDSLALDAFLKSDGGKDCCAKMGDVADDKEILGAGVSGGLR KEDTELKAKLNTAIAAVRASGQYDAINKKYFDFDIYGAK YP_002979391.1 MADQPFPALRVEDLHKSFGSQEVLKGISAQAEKSDVISIIGSSG SGKSTFLRCINFLETPDRGRIAVNGEEIALKMGRGGRLQPRSWRQIERLRTGLGMVFQ SFNLWAHRTVLENVIEAPVHVMGISRREAIEKAEALLHKVGLFDKRHAYPAFLSGGQQ QRAAIARALCVDPAVMLFDEPTSALDPELVGEVLKVIRDLAEEGRTMLIVTHEMRFAR DVSSRVLFLHQGRIEEEGPPEQIFGAPVSARCREFTGLSAH YP_002979392.1 MEQLDRFDRDILDIVQRDCQLKAETIAERIGLSVSAVQRRLKRL REEGIIKAEVAVVDRKATGTAMVFIVGMEIERDNYDALAKFRLWAEKQDHIQQVYYVT GAVDLIAIVTARDVEHYDDIAALIMADNPQIRRMHTNVVLRDVKLGLFVPLD YP_002979393.1 MTLRHQIIALAIVPLVISILAITTFITWQSANLAKNSIDTFEQN MLKTKEAEILNLTNLALSAIQTIYDNAGADDEAAKQKVAAILTSLDYGKDGYFFVYDY DGNNIVHPRQSFRHGHNWLDLTDPDGDKVIAELIATARAGGGLHQYKWQKPSTGQIAD KLSFVVSLDKWQWVVGTGVYLDDVFAQSAAANAGMRANIKRTFIIVALIAVPSVLVVF TTCMLLTFHERRMADSRLKALTQRVIDTQEEERARLARELHDGISQNLVGVRYAMDLA GRKVRTNVDDAALTIDRGVEALNGAIKEIRRLSHDLRPRVLDDLGLTAALEALCYHFA ERTGIETKIDASSFTDTLKAEANTALYRVAQEAFNNVERHSGASKLAVKVWSDNGRAR MTVTDNGTGFDGAKDGLSGRSGLGLRNMQERMAHFRGLLLINSSETGTTLTAMLPKSA NRPAGKRAEAA YP_002979394.1 MTERPKIRVLLIDNHPLVLDGLKAVLETFDHIEVAGTAGLAQTG LEIGRQVLPQVVLMDINMPKLSGIDAIELFRNELPQARVVMLSMHDSREYISSSVMHG AAGYILKDVSTDEIVSAIETVAGGGTYFSSGVFDALMGERAEEGSDPLTPRERDILGL IVAGRSNKEIAETLGITSATAETHRKNLKKKLGIATTAGLIRYALDHGIVSKTG YP_002979395.1 MEKPRSKAALWLLVIPYLGLLWPPFYNVREPSLFGFPFFYWYQL LWVPITAALTWIAYRSTRHDD YP_002979396.1 MTTDINGTALAVFIFFFVLVTVMGFVASRWRKPETLASIDEWGL GGRNFGTWITWFLVGGDFYTAYTVIAVPALVYTVGAYGFFALPYTIVVYPFVFMVMPV LWKRAKDFGYVTAGDVVHGQYGSRGLELAVAATGVIATMPYIALQLVGMTAVLKALGL HGELPLAIAFIVLALYTYSAGLRAPALIAFVKDIMIYIVVIAAVALIPSKLGGYANVF ASADAAFQAKGSGNLLLGGNQYVAYATLALGSALAAFMYPHTLTGIFASNSGKTIRKN AIMLPAYTLLLGLLALLGYMGHAANLKLDSANDVVPTLFKTLFSGWFSGFAFAAIAIG ALVPAAVMSIGAANLFTRNFWKAYVDPDVSDAGEAKVAKITSLVVKIGALLVIIFLPT QFALDLQLLGGIWILQTLPALVFGLYTNWFRAPALLAGWFVGFGGGTFLVWDAGWKPL HLISLGGEPFTVYTGLLALAANIAVAVVVNALLPAKAPARA YP_002979397.1 MHSETPRLCIRTRYDKQSWLPRHPSNKPHREGRVHMKNIMSFGV VARLALGFSVLLLLMVGLTIYSTEKVAQINDKLGTINDVNSVKQRFAINYRGSVHDRA IAIRDVTLVTSDDERKTAEALIEKLAASYAENEKRMADMVASPAGATEQEKTILGEIA DIQAKTNPLVAQIIALQEKGDGEAARKILLEQARPAFVAWLGAINKFIDYQEALNKSI GGEVRSTASGFKPLALTALGIAAVLSLVAAAVTARTIVGPLAKLQLSLKAMADGNLNG DRRLEARGDEIGKLARAVAGLRDAISAKAEREADAEAKRAVSERHRLEQDADERRTLA EQTDKAVGQLGDALQALADGDLTQQIGTPFIPSLEKLRADFNSAVEKLRAAMQKVAQN ASAIAGGAQEIRSASDDLAKRTEQQAASVEETAAALEEITTTVADSSNKAQEAGQLVR KTKDSAERSGSVVRDAVDAMGKIESSATEIGSIIGVIDEIAFQTNLLALNAGVEAARA GDAGKGFAVVAQEVRELAQRSAKAAKEIKELINASNGHVKSGVALVGETGKALKEIAE QVQQVDGNVGAIVGASQEQATGLKEINTAVNRMDQGTQQNAAMVEEATAAAHNLAKEA DALFQLLGQFNIGGAVAPKRVSPPAAAAPRAQPAPSPARQMIAKVGKSFQTNGNAALA GDWEEF YP_002979398.1 MISRGAFLPLVLFQSHTEPQHRMSNNSEFLWYIPNDVKAGHRGD SAVENHNSLDTLTSQARALEEHGWKGALIGTGWGRPDTFTVAASLAARTTTFEPLIAI RPGYWRPANFASAAATLDHLTGGRVRINIVSGKDNLAAYGDSEGDQAHRYARTKEFMR LVRRLWTEENVTSAGENFRVAESTVVPRIQVRDDRRHPKFYFGGASEAAERVAATEAD VQLFWGEPLEGVRERIARLKALSGELDRDLPPLEFGLRITTLVRDTTEQAWTDAEAKV AEMARSKGSGWHDHQRVLAVGQQRLLDLHERGDVLDDNLYTAPGKFGGGGAGTTWLVG SAEDVARSLRKYQDLGITHFVLSDTPYLSEIKRQGDQLLPLLRS YP_002979399.1 MSISKRQMRLGAFIMATGHHIAAWRHPEAQADAGLNIDHYRELA QTAERGKFDLVFVADSPAGWDRAKDPEALRRTAQGAHFEPLTLWAALSQVTKHIGFVA TASTTYEDPYLLARRFASLDYISKGRAAWNVVTTGADVSKNFSIAGHPAHADRYERAE EFVDLVKGLWDSYEDDAFIRDKESGVYLDPDKVHLVDHKGKFFSVAGPLNVGRPVQGY PVIVQAGASEPGRELAARTAEMIFTANQTFEDAQEFYSDVKGRLARYGRRPDELLISP GIFPVLGGTEAEARANYDHLQSLVHPSIAWNILARHYKGVDLSGYSLDDPAPPLPDNT ELNKSRLKLVSDLVSRNNLTLRQFYLAVATARGHRTVVGTPEQIADAMQSWFENGAAD AFNIMPPILPTALTDFVDQVVPILRKRGLFRHEYEGTTLRENLGLQRPPNGFVVQAGQ QQAQAAV YP_002979400.1 MAPHPGKLKPANKAVHVRDLARRFATKTILDGVDLDIEEGEFVA LLGRSGSGKSTFLRALAGLDHGVEGTGTLETPESLSVVFQDARLLPWRTVIQNVTLGL TGASGQEAGRKALAEVGLAGRETAWPNQLSGGEQQRVALARSLVREPALLLADEPFGA LDALTRLKMHDLLRELCARHRPAVLLVTHDVDEAISLADRILVLDEGRLIEDLKIDLP TPRDHGDPSFAQTRIHLLSRLGVELPGRKAA YP_002979401.1 MATTWDADEAKQSRSSGGRIVRAGSGLVKPAGSTGPRARRRLGP GPAIPFGLQIGPALLVLTWVVGSALGWIDPRILSAPWTVAEAFVRLIEQGRLQDNFVT SATRALLGLSIGLLIGTILALIAGLSRIGEALIDGPVQIKRAIPTLALIPLLILWFGI GESMKVTTIALAVIVPIYIHTHNALRSIDSRYVELAETLRMSQKDFILQVVLPGALPG FLLGLRFAVTLCWVSLVVVEQINATSGLGYMIDLARNYGQTDVILVGLVVYVLLGLVS DGLVRLLERRALSWRRTLAN YP_002979402.1 MTLAKTFKIALFSLLGLSAIHGPAAAEADAPLLPKVPPGTVLTI GDPVTQKALEVSGLGKELSFEVKWANISGGPQTSEAFRAHALDVGSVAEIPSIFANWN NLPVRNIAYRERRDPIANPIYRFGIAPGAAVKTLADFRGKRIAFSPGQAQGTLVLRAL RAAGLKSGDVTLVELPSTSDVYPKALASKQVDIAPLGGVYIRRYITQYGPDGATLVEH GLRDDPSHLYAPQWVLDDPAKAAALAEYVGLWARATEWVNRNPDLWIKEYYVGQQGLS QEDGEYLVKLTGEQVVPSDWSEVKKRHQETIDLLADELGNKPLNVEQIFDNRFEKLGA AALAKSQ YP_002979403.1 MIIRYALFEGEIHPGKEREFREFVRQRLVPLWTKFPGAEEIRVL DGMERDEGAPIYAMALAIRYPDMDTVNAALLSDVRSQSREVTGELLQLFTGKVHHHVF SANEYAPHSA YP_002979404.1 MKRRTLLQATVATVAVMLSMPAWADSMADAKAVVEKYASKVSAW DGPTSGPKGAAGKNIVILAGDMKNGGILGVVNGVQEAAGALGWTVKALDGAGSIGGRT AAFGQAMALKPDGIIINGFDAVEQKPAMEAAKAAGIPMVSWHAASAVGPVPEVGVFAN VTTDAMEVSKSAADWAFADAAGKPGVIIFTDSTYAIAIAKADRMKKEIEDLGGTVLEY VDTPIAETSQRMPQLTTSLLQKYGAKWTHSLAINDLYYDFMGPSLASAGIAGDGKPVN VAAGDGSESAYQRIRAKQFQAVTVAEPLNLQGWQLVDELNRAFAKAPWSGYVSPLHVV TSQNVEFDGGPKNSFDPDNGYRDQYKKIWGK YP_002979405.1 MQSIESTALEPTKSEMAGLSTGQKIGRLIPVYGLVILTVGLIVI FSILLPDTFPTVLNVRSIVSDKAIIALLSLAAMIPMASGRIDLTVGYGIVLWHILAIS LQTAYGLPWPVAVVIVLALGVLTGCINGLLVEVAKIDSFIATLGTGTVLYALALWHTG GRQVVGVLPDGFYALNGTMLFGLPITGFYVLLIAICMWIVLEYLPIGRYLYAIGANPK AAALNGIPVRKFVIGAFVTSGLLAALTGVLLASKLRIGQASVGLEYLLPALVGAFLGS TTIKPGRVNVWGTLIGVIILAVGISGIQQFGGSFFVEPLFNGVTLLIAIGIAGYAQRK RGAVRRITPASK YP_002979406.1 MEAKRLLEFQSITKSFGGTQALRDVSIDLREGEILALLGENGAG KSTLIKTLAGIYRPDSGDILFRGQSYHHRPPKPNERQPVAFIHQDLGLIEWMTVGENM GLSQGFSMRRGLIDWNRTQARANEALKLVGCDFDPTTRVSALSRTEKSLVAIARALAV EADVLVLDEPTASLPADEVDRLFNAIRPLKDRGVGMIYVSHRLDEIFRIADRVAVLRD GCMVGQKPVSETTPEELVTMIIGRSGDSLFSKTAITPGKAIVEVRDLVCAGTGPISFD IREGELLGLAGLRGAGQERIGRALFGCEPFNGSVLLHDEAPDLSSPRGAMASGIGLIA RDRTEESVALSLSIRENTYLNPGAVGRGLLSFLSPRGEADLAHKIGHSVGLRPNDPDL PVEALSGGNQQKVVVGRWLATGRKLLVAEDPTAGVDIGARAEIYRLITQALEAGLAVV VVSTDFEEIAHICHRALVFSRGKIVSELTGSALTTEAVITAASASEAA YP_002979407.1 MQDDYRADVAVIGAGIMGTAIVTRLIETGHKVSVYDLDTEKVAA LQAKGARAAGSVEEAVSWSAFCVLSLNHANIVRAVVFGEKGVAAAANADKVLIDMSSI DPADTADMAMRLRRETGMAWVDCPLSGGVPGALSGRLTIMAGGSAEDFERARVVMRHL AANYTLMGVSGAGQTTKLINQLFCAVLFQAVAEAVKLAEAGGVDPAAIPAALAGGRAD SRILQEFMAKFAARDFSPTGRIDNMLKDLDSLQVFALKTKTPLPMTGSVVEIHRLLCA AGLGPKDSAEMMRLLDGFQTD YP_002979408.1 MDFDKKAPPLAVVVMGVSGCGKSSVGEHLAARNGMLFLEGDQLH PAGNVEKMAQGIPLTDDDRLPWLDRIGEEIRTAQHASQGLVISCSALKKSYRDRLRQA ADGRLAFVFLEGSRDLLLSRMQARQGHFMPATLLDSQLQTLEPPTGEANVVTVAIDDA LDDIVALACKGLSGMAVKGGDYHAG YP_002979409.1 MKNLFDLTGRRALITGSSQGIGYALAEGLAQYGAQVIINGRTPE SVNRAVESLKDQGLSAHAAIFDVTSKDAAKAGIDAIEADIGPLDILINNAGMQFRTPL EDFPADKWELLLTTNISSVFYVGQAAAKPMIARGQGKIINIASVQSELARPGIAPYTA TKGAVRNLTRGMCADWAKYGLQINAIAPGYFKTPLNQALVDNPEFSSWLEKRTPAGRW GNVDELVGAAVFLSGRGSSFINGHTLYVDGGITTCL YP_002979410.1 MPKVEILMTGAYPEWDMVDLEANYRIHRLWEAADRHELISRVGK DIRAIATRGELGASAELMAQLPKLEIVSCYGVGTDAIDLSYARANGIRVTNTPDVLTE DVADIAIGLLLATARQVPQADVFVRSGQWGSVAMPLVTRVSGKKVGIAGMGRIGKAIA RRAAAFGCEISYFARHDHQDVAYTYEPDLIALADWADFLIVIVPGGEATMKIINAEVL KALGPNGILINVSRGTTVDEEALIAALQDRTIQAAGLDVFLNEPKIDARFLTLQNVVL QPHHGSGTVETRKAMGKLVRDNLAAHFAGSALPTPVV YP_002979411.1 MKAIVIHAAKDLRIEEREPEVAGAGQVEIAIEAGGICGSDLHYY NHGGFGTVRLREPMILGHEIAGTVKALGSDVADLAVGDRVAVSPSRPCNHCQYCLKGQ QNHCLNMRFYGSAMPMPHIQGGFRQRLVAERWQCHKVADGISIHEAAFAEPFAVTLHA ANRAGSLLGKRVLVTGCGPIGMLAIVAARVLGAREIVATDVTDSVLAIARTSGADRTI NVATHASDLAAYSAEKGYFDVMFEASGNERAVRSGLETLKPRAVLVQLGLGGDVSIPQ NMIVAKEIEMRGTFRFHEEFALAVELINARRVDLKPLLTGVFKIEEAVAAFELAGDRS KSMKVQIAF YP_002979412.1 MKNEDEPKVKRLKPGDAQKLTMAEVAKYVGVSAMTVSRAFRQDA SVSEETRKRIMEAVDALGYVLDLSAGSLSSRRSGFIAALVPSINNSNFSDTARGMTDA LENTGLQLLLGYTDYSSEKEEELIEAMLRRRPEGIILTGGSHTARARRMLAKAGIPVV ETWELPEDPINQVVGFSNSEAMALLVRTLASQGYRKFGYIGGTTARDTRGSQRRSGFQ RTVEELGLGPGRMISFGVPPITMEQGGQAIVSLLERWPDTEVVLCVSDLSAFGAIMEC TRRGMKVPRDIAIAGFGDYEISSICHPRITTINVDCYGIGRQAAARLLDTLHTGEATG DEITLTGYKVVLRESTDRNGAPTA YP_002979413.1 MFGQIDGTGFEVLDPRFESCFVGHTRVERLWTGGRWLEGPAWFA AGRYLVFSDIPNNRMMRYDDASGQTSVFRSPSNNSNGNTVDNQGRLITCEHLTRRVTR TDFDGRVTLLADRIAGKRLNSPNDVTVKSDGTVWFTDPSYGILHDYEGDYGAEEIGGC HVYRHDPATGTTDQVTSDFVKPNGLAFSPDETLLYVADTGATHLPGGPRHIRKLAVSN EGRLTDLGVFAECSAGLFDGFRVDRKGRIWTSAGDGVHAYDPDGTLIGKIHIPEGVSN VTFGGEKLNRLFITASTSLYAVYLTANGTRLG YP_002979414.1 MTVDKELDKLSRLTPPAATPEARTRALAAAMQAFDTAENNATAA QGNAKGWRQSSIINWIWSPAMNKKFLAGSALATLLVIPAAGYLAIELTRNGLPIIDQT EIAGNLSKSEASKQPAATTGQPVAVAPQIPAGNVSLAEQSVAVAQALQDKEAPALAKP DASQTSEYDANAALTNKPEGSAAALGATKRAAPAAPGIVPQRQFAEPMAAIAPSPVPP AEGRMQMQLDPNRERFANAAANPIKSVATDPVSTFSADVDSASYAFVRRSLTGGAMPD PLSVRVEEMINYFPYDWPGPNNADQPFKATVTVMPTPWNRDTELMHVAIKGYDIAPAT TPRANLVFLIDVSGSMDEPDKLPLLKSAFRLMVNRLKADDTVSIVTYAGNAGTVLAPT RVAEKSKILSAIDRLEPGGSTGGAEGIEAAYDLAKQGFVKDGVNRVMLATDGDFNVGP SSDGDLKRIIEEKRKDGIFLTVLGFGRGNLNDSLMQTLAQNGNGSAAYIDTLAEAQKT LVEEAGSTLFPIASDVKFQVEFNPERIAEYRLIGYETRALNREDFNNDRVDAGDIGSG HSVTAIYEITPKGSPAVMNDDLRYGAADKVPAEASDSAHHGELAFVKMRYKRPGEDKS ALITTPVNDGNAVATVDAAPQDVRFSVAVAAFGQKLSHVAAVDTYSYQAIADLAAASR GTDTFGYRSDFLGLVRLADGLSQR YP_002979415.1 MDAELIERAQGGDREAFGQLVSRHYDFVHATAWRWSGSSTDADD IAQEVCVKLGAAIRSFRGASRFRTWLYTLTLNAARDHRRKLAREEQTFRAYAVEPQPD APAANDDELSSELWAAVRALPDRQCDAVLLVYGEGLSHSAAADVMGCSEATVSWQVHE ARKRLKAVLGKEEV YP_002979416.1 MSAQPPAPTERGILQFLAICDSFYPPDAVLASIEQQRHWYDTLC ARFDRPLPPEMIFADGMLQRIPIRRYRPRKISTRTSLLYLHGGGFVVGSLESHHAICA EIADFAGAELVSVDYRLAPEYRWPAQTDDGFTVLKHLLSANSKVVLIGDSAGANLAAG LALRARDEGLSGVVGQVLIYPALGGDLAAGSYVEMAAAPGLTTADVGYYREILQAPAG NEIAEPLQAASLAGLPPAFITVAHFDPLRDDGRHYAARLTAEGVEVWFREEPQMVHAW LRARHMSDGARDGFRAVCEAVRRLAIA YP_002979417.1 MTSRGFTTIENEWITLEDGTRLAARIWMPDGASEDPVPAVFEFL PYRKRDGTSLRDESTYPVFAAAGIAGVRVDIRGSGESDGVIDGEYTESELANACELIA WIASQPWSNGAVGMMGISWGGFNSLQVAALRPPALKAVISIASTVDRYNDDIHYKNGC HLSAQLSWAATMLGYQSRPPDPALVGERWKEMWLERLAGEPFFMEEWLAHQRRDVFWR HGSISEDFSSVEIPALVIAGWADGYRNTPLMAVEGLGGKAKALIGPWVHKYPHFAWPK PRTDFHGEAIAWWNKWLRGEDNGIDRLPQARAYILDAIRPAPRRDSDPGFWVAKDVWS PPQMQCFYVEQFGKLTEGMPIPHAPEHPVYLRSPLDTGTASGEYFTLKPDAEMAIDQR SDDAGSLVFDTMPLAGDHDYLGRPVLTLALRSRAACGNLCARLVDVHPDGTATRVAFG VVNLTHRDGNVDPKPLKPGETVPIRLVLDACGYRFRKGHRIRLSLSTAYWPMILPPPD DDGIEVDIAALGLGLPMLGEHQRIDIKEPANPDPLPKYIEHAAAATKRQVVRDLSVNR TEYHIHEDTGLTEHPETGLSTRQLREELWSISPDNPLSMTGTSTWTCDMRRPGWFVRT VATGRVACTRTDWIISAVVTAFEDDVQIFEKVFAEKRIARDLM YP_002979418.1 MPELLSVRNLKIEATSYPPGEPPKRVTIVDGVSFDLQKGKVLGL IGESGAGKSTIGLSALAYGRGGAEITGGEVRLDGADILVLGKDGIRKIRGARVCYVAQ SAAAAFNPAHRLGDQVIEASVKHRLMTKDEARKRALYLFGVLGLPNPETFGERFPHQV SGGQLQRAMTAMALCSNPELIVFDEPTTALDVTTQIDVLAAIKHAIEETHTAALYITH DLAVVAQISDDIMVLRYGKQVEYGSVQQIIEAPREDYTRALVNVRQAYREEAADQSAA LLKVENVSAEYSNGFKVLHDVSLHVPKGQTLAVVGESGSGKSTLARVITGLLPPSSGR IVFDGKPLMPGLKSRPNDDLRRIQLIYQMADTAMNPRQTVRDIIGRPLTFYYGLRGAE KTARVKELLDQIEMGKGFVDRYPAELSGGQKQRVAIARALAAKPELILCDEPTSALDP LVAEGILKLLLRLQQEEQLSYVFITHDIAIVRAIADSVAVMHRGKLVRFGPKSTALSP PFDDYTDLLLKSVPEMEIGWLERVLATRRMESAGN YP_002979419.1 MRLRDIPITAWIGMAGIAIAFIFALFAPWLAPYGETQVVGDVWQ LPDNQYIFGLDNLGRDIFSRLIYGARTTLTVASAATVISFSLGIILSFTAAVSRGIID TVFSRFNDLMMSIPTLIFALVVLAVLPQNIVVLILVMAILDSTRVYRLGRAVALDVAV MEFVEAATLRGEGKLWIIFREILPNTLSPLLAEFGLRFAFSILFLSTLSFLGLGIQPP AADWGGMVKDNKDGIIFGISAALVPGTAIATLAVCVNLVVDWLLKRTSSLKGGRGDA YP_002979420.1 MTNPAPSILPGLGFRQRFPLLALILERFVLSLVLLFAVSILIFG GLEALPGDFATTYLGQSATPQAVANIRQDLGLNRPVTTRYVEWLGNAVQGDFGTSWAS KNSVSEQIGKRLGNSLFLAGFAAVISVPLAVGLGMLSVHFRNRLPDKIINIISLAAIS LPEFFIGYLLILLFAVNLGMATFPATVYDSMGFVERLKAIALPTATLVLVVLAHMMRM TRAAILSVMSSAYMETAELKGLSAFRSIVKHAAPNALAPIINVIALNLAYLVVGVVVV EVVFVYPGMGQYMVDAVTVRDMPVVQACGLIFAAVYIFLNMTADILAIIANPRLRHPR YP_002979421.1 MNDYTKYLASRVTAGGLSRREFMGRAMAAGITLAVADKLFTESA QAAEPKRGGHLKLGLEGGAATDSNDPAKFLSQVMFCIGRCWGDMLVESDPLTGAAVPA LAESWEPSKDAATWTFKIRKGVKFHDGKELTIDDVVATLKRHTDAKSESGALGVLGSI KEIKADGGNLVLTLSEGNADMPLLLSDYHLVIQPNGGVDDPLASIGTGPYKMTSFEPG VRATFERNKDDWRTDRGYVDSIEIIGMNDATARIAALSSGQVHYINRVDPKTVNLLKR APNVEILSTAGRGHYVFIMHCDKAPFDNNDLRLALKYAMDREAMVQKILGGYGKVGND FPINSTYALFPEGIEQRVYDPDKAAFHYKKSGHSGSVLLRTSEVAFPGGVDAAVLYQE SCKKAGIEIEVKREPGDGYWTNVWNVQPFSTSYWGGRPTQDQMYSTAYLSTADWNDTR FKRPDFDKLLLQARSELDEVKRKDMYRTMAMTVRDEGGVILPMFNDFVNASTKQVKGY VHDIGNDMSNGYVATRVWLDA YP_002979422.1 MSEPIRTRQDGGVLEVVIDRPKANAIDLATSRALGLIFRNFRDD PALRVAIVSGAGEKFFCAGWDLKAAASGDAVDGDYGVGGFGGLQELRDLNKPVICAVN GICCGGGLEIALSADLILAAEHATFALPEIRSGTVADAASIKLPKRIPYHIAMDMLLT GRWLDVHEAHRWGFINEVLPAERLMERAWELARLLESGPSLVHAAIKEIVREAEGSTF QTAMNKITKRQFATVDRLYSSEDQLEGARAFAEKRSPIWKGK YP_002979423.1 MTPRPLDRLLRPQTIAVFGGREARRVIEQCDRMGFAGEIWPVHP KLDEVLGRPCYRSVSDLPSAPDAAFVGVNRMQTVEIVRSLSAAGAGGAVCYASGFSEA TGELGDGAELQQALLEAAGDMPILGPNCYGLINGLDGALLWPDQHGMQRIARGVAILT QSSNIAINLTMQTRGLPIAYVVTAGNQAQTSLADVACALIDDPRVTAVGLHVEGFGNL SALERLATMARRSRKPVVVLKVGRSEQAKCAAVSHTASLAGSDAVADAVLARLGLGRV QTLPALLETLKLLHVAGPLASHSISSMSCSGGEASLMADAAVGRNVEFPALQPQQLPR LRRVLGEMVTLSNPLDYHTFVWGDLARQTEAFSAMFEGGYALNLVVLDFPRDDRCDAS EWAMTADAVIAAAAATGALAGLLATLPENMPEPIADRLMANGIITFCGIDEAITAADV AAGIGQAWDRPPPLPLLDVPSIDGEIETLTEADAKAELAAFGLPVPQGLLASSPGQAA EQAERLGFPVVLKALGIAHKTEAGAVALNLKNIKAVSNAAAAMPPNAGYLVEKMIDPP VAELIVGAIRDPVFGLSLTLGAGGIFVELLEDSVILPLPATKTDIHAAISRLKLAKLI YGYRGRPKGDLEAAVGAVLATADYVVKNAAWLEELDINPLMVLPEGRGVAVVDALIRR RR YP_002979424.1 MHFGLSEEQEMIVETVRAFVETEIYPHENEVERSGIVPPELGDE IRRKCIDLGFYACNFPEEVGGAGLDHVTFTLVERELGRGSLGLTVFFGRPSGILMACE GEQRERYLLPAVRGEKIDALAITEPDAGSDMRGMKCAARRDGSDFVLNGTKHFISHAD VADFVIVFAATGEEETPKGIKKKITAFLVDRGTPGFEILGGYDSVSHRGYHNSTLSFT DCRIPASQVLGEVHRGFEIANKWLYGTRLTVAATCVGRARRVFDMTLPYAAERKQFGK PIGANQGVSFKLADMITEIDAADWLTLAAAWRLDAGLSADRQIASAKLYSSEMLARVT DEAIQIFGGMGLMDDLPLARFWRDARVERIWDGTSEIQRHIISRDLLRPLGA YP_002979425.1 MTGINKAACIGGGVIGGGWIARFLLAGIDVDVFDPHPEASRIVG EVLANAEKAYAMLTGAPLPPRGRLTFCAKLEEAVAGADWIQESVPERLDLKRRVLTEI DAAARSDALIGSSTSGLLPSDLQRDMTHPERLFVAHPYNPVYLLPLVEIVGGEKTSAA TIRAAIERLAPLGMKGVHIAKEIEAFVGDRLLEALWREALWLIHDDICTVETLDDVIR YSFGLRWAQMGLFQTYRIAGGEAGMRHFLAQFGPCLAWPWTKLTDVVDLDDALIEKIG RQSDEQAAGLSIRELERIRDENLVGILQALKGGDGGKGWGAGKLLKDFEQSLWAQGGR AATSFDPSKPLRLVETKVGPAWVDYNGHMTEHRYLQVFGDTSDALLRLIGVDLAYVEA GQSYYTVETHIRHLGEAKLGQAIHSTCQILSVDEKRLHVFHTLYDTATGEALATAEHM LLHVDSKAGKATPAPAVILDKVKAVAGAHANLAVPEGAGRHVGQRR YP_002979426.1 MPLAMNRDVFITCAVTGAGDTVSRSSHVPITPKQIADSAIDAAK AGAAVVHCHVRDPETGAASRRNDLYREVTDRIRSADVDVVLNLTAGMGGDLIFGDVES PLPLNPNGTDMAGATERVSHIAECLPEICTLDCGTMNFNLGDYVMTNTPAMLRAMAKK MTGLGVRPEIEAFDTGHLWFAKQLAEEGLIEDPVLIQLCMGIPWGAPDDLNTFMAMVN NVPQSWTFSAFSIGRNAMAYPAAAVLAGGNVRVGLEDNLFVGKGQLATNAELVEKAVQ VVEGMGARIIGPEDVRKKLKLTKR YP_002979427.1 MILLQRSTERLDIDLLVLPDANLILIASVIEPLRGANRISGSEL YRWRLLTPDGAPVPTTSNIAVPAQGAFKATTEDTPLFVLASYNWRRSATPALKMQLSQ AARYRTVIAGIESGTWLLAEASLLDGLPATVHWEDYEDFALAYPEVRAVKDRFVIDGK RLTTSGSLPTVDLMLEVIRQRQGYSLALEVSRLFRYEQPSFHADEQLSAASAGLRMHD PRVTQAVRLMEEHIEQPLVLTRLARRVGISARHLQYLFQQSIGAPPHVHYLALRLNAA RRKVIETTASFADIAAATGFNSASAFARSYRASFSESPSETRRRLRRRITSSQAPV YP_002979428.1 MHEPIESDLLRTFLVVAETSNFSAAAQRIGRTQSAVSSQIKKLE QTIGETLFERGARGVLLTRQGMQLVPYARRIIDLLNEAAATIRSKPLDGPVRIGIPEE YSQTVLPAALAAFAVRHPAVEVTVSCDYTVRNLAALERDELDLAVVFDWSDETKGEVI CIDPTVWVTSMVHRLHDIDPLPIATYRNSTWSRDFALRSLEQIGRNYRIAFIADTGSG LKNAVIAGLAVTTLSRSNIPPGCRELTAEDGFPPVDSSKVVLRRSTYRSSEAVRELAE MIRDAFQPIPAPAMT YP_002979429.1 MTIENPARRLASSEHEKGVFLIVAATLAWSASGVYSRLLTTDVW TAIAWRSLFGGLFLLIPCLFLEGGISRQQWRFIFHPSSLAMIACQTFSQGCFIGALYM TTVANVTMIYATAPFIAAMLGWVILRERVSRRTLIAGGISLLGVAVIVASSIGGGTGW GDLLALGMTASFALVIIIPRISPDVPSLPPTVVSAFLTLALFAPFGSVGSLDLHNWIV LAAFGATNFSLALVLFLAGAKRMPPAEAALIGTMEIVLTPFWVWLLFSEEPPVATFFG GAIILGAVIWHTAIDVSRSRRPHRA YP_002979430.1 MSKNRGVVYLRPGKVEVRDIDDPKLEAPDGRRIEHGVILKVIST NICGSDQHMVRGRTTAMPGLVLGHEITGEIIEKGIDVEMLEIGDIVSVPFNVACGRCR CCKSQDTGVCLTVNPARAGGAYGYVDMGGWIGGQARYVTIPYADFNLLKIPDRDKAMA KIRDLTMLSDILPTGFHGAVRAGVGVGSTVYVAGAGPVGLAAAASARILGAAVVMIGD FNKDRLAHAAKVGFEPIDLSKSDRLGDMIAQVVGTNEVDSAIDAVGFEARGHSGGEQP AIVLNQMMEITRAAGSIGIPGLYVTEDPGAVDNAAKHGNLSLRFGLGWAKAQSFHTGQ TPVIKYNRQLMQAILHDRLPIADIVNAKVIPLDDAANGYESFDQGAATKYVLDPHGEV AKAA YP_002979431.1 MLRISIQTILRGTLMAGGIGVLLAAAAPLELAAQDRMPMRIAVE GAFPPFNYLDSNNKLQGFDIDIANALCEVGKFECQFIIEKWDDIIPDLVADKYDAIIS SMSMSLERRQKVAFTEKYYNSPSVFIARKDSSISDVSPAALSDKILGVTSSTAQESYA NHLYPAMKKTVFRSSPELYKGLADGSVDIILEDKLAIYDWIANTKAGSCCEFKGPDLV DVTYFGEGAGIALRLDDKERLTRLNEALKSIQADGTYDMINAKYFPFSIQ YP_002979432.1 MQIVLPSSSNTFQQSWIASGRILFLIAVSGLGAIGLVVLSALWA GTESDAAALDRQRQLVNARLREQVDQVAHVIGQFGNGYLARVYPAALSTEGSSGLDAV LTAAAGSAIGQTAMSAFGYDQAFVVDEKAQLLMLADAQTEKRYRWMRPLFLPLLQDAR FGVRRSTALNERTPSSMEARLASAAHSNRALANLMRLEGRPTIVGVVAINEAAGGQRQ PMRQFLIVVRFIDGAALDELSRQQGLNGARFARTADADENEVAFQIDATANGEPIGFI VWRPDLPGSRVIGRLMPALSIAALVIAILFSVLLVRLRRSLGELKKSELHARQLALHD VLTDLPNRALFAMRFDECLAETQNSTERSAVALLDLDRFKAVNDTFGHAAGDELIRMA AERIRSLLRPGDTLARLGGDEFALLLRDIKDHDHVLPAICEAIVAELGKPFPLLRGEA VARVGGSIGVTVVPDAGRNADDIMRYADVALYEAKMGGRGQWRLYSPSMDGGRNARDI LKNELREVLAKGMASDGPHADPVSNRPDFGSLEVYYQTVHRAEAGYAASGAEALVRWR HSQRGLLTPASFIPVAEEGGLIDALGFWVLREACRAACKWPEDTFVAVNVSPAQLRRP NFAEEVFAVLEESGLQPSRLELELTESSLIEDNSDVYTVLKSLRSRGVQVSLDDFGTG FSCLSHLLRFDIDRIKIDRSFVSQLGTKANGAAIIGAIVALSRNLGISTTAEGVETDY QRDFLAALGCTDLQGYFFSKPVPIGELDSFRKAESAAGLLTTARGAVA YP_002979433.1 MGEVGEWRHLCVDMQRMFAEDTPWHVAWMARISPQIEELAGRHP SRTIFTRFLPPERADDMPGKWRDYYQKWWMMTGEHLPRGFIDLAPSLASLVPPARHFD KRTYSPWIDGRLHPILQSERVDTLVITGGETDVCVLATTLGAIDLGYRVIVLNDAVCS GADDTHDASLELLHDRFSVQLELMDTEEFLRCVG YP_002979434.1 MVWDKTRLEQLRAEFADANGGEIFDEKFRKVAEKIISKSGTRLA PYAGVPTFLSAPYMQVAADDPDFGNLQVAITGIPMDLGVTNRPGSRFGPRALRAIERI GPYNHVLATAPVFDLRVADIGDISFQSRYRLELSHDDIEKRIGQIVDAGVAPLSVGGD HSISHPILKAIGRHQPVGLIHIDAHCDTSGAFDQTKFHHGGPFRNAVLDGVLDPTRTI QIGIRGSAEYLWEFSYASGMTVIHAEDISGMGIAAVIAKAKSIVGDGPTYLSFDVDSL DPSFAPGTGTPEVGGLTTREVLELIRGLKGINLVGGDVVEVAPQYDATTNTAHAAAQV LFEVLSLMVFSPSIGRR YP_002979435.1 MIAAKPNSLVARLSAPPIPSVVAWSHEYKGGKGPLIDLSQAVPG YPAHPEMLRLLAEAAGQQAMTGYGPIEGEPLLRKTYAAHVAEYYGADLSAGNIHITAG CNQAFMCSAIALAGAGDTVALTNPFYFNHDTTLSMLGIGRRLVDCDPAFGFLPDPGSA EAALAAGAKMLAVVTPNNPTGAVYPPSLLHELFVLCRRYGAWLILDETYRDFLGEDNG RPHSLLSEPGWEDTLVLLYSFSKSFCIPGHRLGAITAGPKLIAEIAKVMDNMQICAPR SAQIAVASAIPALADWRAGNRLEIARRADALRLVLSGLPDWEIGAIGAYFAFVRHPHA DRSSSEVAEKLAKESGIVCLPGAYFGEGQERYLRLAFANADVASIGLLSERLR YP_002979436.1 MSVEALISEADFKLSMRHLAGAVSVITVGDGQHRTGFTATSVSS LSAELPSVIVSVNRASSSWPALQRYGCFCVNVLAADQQQVAQSFAGLDGRKGAERYGG AGWYRLKTGAAALENALTVLDCKLETAFHYNSHAILVGHVCAMEIRQGIGPLLYWRGG YHELPAEVDQNGLAKALGQ YP_002979437.1 MARTDKMKLGTFVYTFGFHPASWLHPASDVNGANDFAHLLDVAK RSEAAKFDFMFMADSPAAAVGDPKALARIPTKMNRFEPLSLLSALAVTTNDLGLVATV STSYYEPYNVARLFASIDHLSKGRVCWNVVTSDHDETGYNFNREGLDPHALRYERGNE FVDVVFGLWDSFEDGALLLDRENGVYYDKDKHHTLNHKGTHFQVRGPLNIARTPQGRP VIAQAGGSEPGMDMAARTAEIVFSLASNIDRNRAFYENVKRRMPAFGRDPDDLKIMPG IVINVGETEAEAKAKVDYLIDKMHPDVGRLMLSEFLEADLRDVALDKPFPMDRLPAAP KGSRALFDELVDFVRSGRTVGELIRHYAEKHTGNGMTGTPAQIADFMEEWFETRAADG FILMFPTLPSSLDDFVRLVLPELRRRGLFREEYEGKTLRENLGLSMPVNRFAKTKEPA R YP_002979438.1 MTDERLKAIPLKSLQAFEAVGRCGGVTAAALELRVSPGAISQQI RKIESFLGISLLERSGRTVELTAWGRLYHQEISKGFEQFALAQQLLEKARNETALVLS ALSSVVNKWIGRRIFDWQALHPEVPVRIIGRDKEPRIGFDDVDFRVSYGSDVLQHEHY TELFRDWVVPACSPALMQDRAHAARDLFEKPLLHVEWERHFTPYPSWAEFAAKAGVPL DASTPGLSFTLSSSAIDAAVNKRGVVLAQMSMISDELEAQTLVIPVDLRIALRESYFL AWDRAALQKPHGHEFREWLIAISRQQALASAPKPIL YP_002979439.1 MSIYQRIFTDVETKIISGDWRPGDRIPVEHELAAEYRCSRMTVS KALSALAERGMIVRRRKTGSFVASPQIDRTVMDIQDISTEAELAGHEHRFEILARKIE RLGEAEAQQLSEPADAEVLRLQCLHIVDGRPNAIERRIIMLDAVPRAREESFASTPPG KWLLDEVPWSKAKHVIRAVSADTTTARILQTERGEACLSLIRQTWQNGRTVTYVEFTH PGDRFQFAGTFHPAAN YP_002979440.1 MKAHSLLKGLVGAAFLLGAAVSAHAADTLAAVKAAGTLKVGTET AFAPFDYIDAGEHTGLNVDLFAEIGKELGVKIEWVALPWDGVFPALEAGKFDVVAGPA TITKKRMERYRFTPPIAEATIAILKKAGDTTISKPEDIAGKTIGVGKATAQLDQLKEF SATLPTKVDVREYPAFTESYADLAAGRIAGVANSLPNIAFVASQRKGTFEVVLPPFGK KSYFGFIGLKDADHAPLMDAIDAAMLKIKADGRMAELQKKWFGASFDTPDAVKDPAF YP_002979441.1 MSIKLFELLLQASIYTVTISVVSILIGFAIAIVISAMLLSQQRL LVLPAKIFISFFRGVPLLVQLLLIYNLLPAIDINVPSIVAAIVGLSLCTAAYQAENLR GGFASVPMGLVESAEMVGMSPVQIFRRIKAPIALRLTFPALVNEAILILKASSLVSVV GVIELTRMAQDLAGSTFLPLEIFASAGLIYFIINWIVALAGGLIERSLPGVPR YP_002979442.1 MTFDINVIFNNFPEILSGAWLTIVIWIVTTPAAAALGFLVAVAR RFGGVVPDKAFGVVIAVLRGTPFLIQIFLVYYGGPFVGLSLDPIPAGVIGLSIYGAAY FSEIFRSGFAAVPKGHIEAGLCVGLTQGQIVRRILLPEMTMLVLPPSVNMTIILMKET AVLSIITVPELTATLSAIGSQQYAFVEALFVLALFYWALVEITGWLGHLAETKLSRFR FFNI YP_002979443.1 MSIPAIAVKNLVKTFGGSTVLQGIDLDIVQGQVSCVIGPSGSGK STLLRCMAFLEESTKGTIAVNGEVLGFAENAKGVRERVPAAANRRIRSQIGMVFQQFN LWPHMTALGNVSEALKSVHKMSRKDAEERAMAQLVKVGLEGRAGHYPSQLSGGQQQRV AIARALALNPKIMLFDEPTSSLDPELTGEVLNVMRDLAAEGMTMVVVSHEIGFAATVG QQIIFLDHGKVLFTGPPQDVFKRPRNPRLEQFLDTYIDRGASMLL YP_002979444.1 MPETTRERLTSGGWKELEFGPFRDAVTIHWIRPFEGDQPGVALL KYEPGASVPRHRHEGLETILVLDGVQSDETGDYISGSYIVNAPGSEHSVWSETGCVVL IQWDRPVKIFEDDTA YP_002979445.1 MMGIKAEPFAFPVKHDELALIVIDMQRDFAEPGGFGASLGNDVS RITRIVPDVKRLIQGFRNAGLPVIHTMECHRPDLSDLPPAKRDRGNPALRIGDEGPMG RILISGEPGTAILPELAPVKGEVVIEKPGKGAFYATDLGTVLQQKGIRQLVFAGVTTE VCVQTTMREANDRGYECLLAEEATESYFPEFKAAAIAMIRAQGAIVGWTAHIDDILES IAHA YP_002979446.1 MSTVRDTPLPQAGKAVGIETLDMTMRFGSFTALDNVSIAVPAGS FHALLGENGAGKSTLVKCIMGFYHATSGSLSVDGREVAVASPKDAATYGLGMVYQHFT LVPSLTGAENLVISRTEVPAVINWPRERKDLAAFMERMPFKIPLDRPVSELAAGEKQK LEIVKQLYLGRSFLVLDEPTSVLTPAEADEMLGIVRGMTERGELTVLMISHKFHEVTK FADAVSILRRGKLVGTGKVGELSTAEMAAMMIGDVKLAELDSRLPVAEAAKSVLTVTQ VKAPDRSGLKTIEIDALTVRSGEIVGIAGISGNGQKELTEILAGQRPTDSGQVMVNGE TYGATREETRKNRVRFIPEEPLQNACAPKMTVSENLAFRTFDLKLDGKDAIWLNKGSM KKRASALISDFKVKTASSSSPIAALSGGNVQRAVLARELTGDVDLLIVSNPCFGLDFS AVAEIRARIMKARNLGAAVLLLSEDLDELLEMSDRIMVISEGKLVYETPARSADIGVI GAHMAGHH YP_002979447.1 MPSLRAHVFRVPADGPDDVAGVEALFASGLQANNVVAVLGKTEG NGCVNDFTRGYATRSFETLFSRYGVDGVSIIMSGGTEGALSPHWTVFARETVETPGER ALAIGVSRTPALPPEHLGRREQILLVAEGVKSAMRDAGIDDPADVHFVQIKCPLLTSR RIAEAEAAGRTVATHDTLKSMGLSRGASALGVAVALGEIDATSIGDADICTRFDLFSR CASTSSGGELTDHEIIVLGMSAKWSGPLSIDHAVMLDAIDAHSVRKARERLPENSRLA AVLAKAEPDPSGRIDGRRHTMLDDSDIAGTRHARAFVGGVLAGIFGITDLYVSGGAEH QGPPGGGPVAIIVEKEQ YP_002979448.1 MMDAMVETNGHFIDADPYPWPYNGALRPDNTALIIIDMQTDFCG KGGYVDHMGYDLSLVQAPIEPIKRVLAAMRAKGYHIIHTREGHRPDLADLPANKRWRS QRIGAGIGDAGPCGRILTRGEPGWDIIPELYPIEGETIIDKPGKGSFCATDLELILNQ KRIENIILTGITTDVCVSTTMREANDRGYECLLLEDCCGATDYGNHLAAIKMVKMQGG VFGSVSNSAALVEALP YP_002979449.1 MNGLGGLNKSEHGVGIGLVQLQLPVTVTKADLAKQTQVIVDLVA KARRNQPGMDLVVFPEYALHGLSMDINPEIMCTLDGPEVAAFKQACRDNRIWGCFSIM ELNPGAMPYNSGIVIDDQGELKLYYRKMHPWIPVEPWEPGDLGIPVIEGPRGAKLALI ICHDGMFPEMARECAYKGAEIMIRTAGYTAPIRDAWCFTNQANAFCNLMITANVCMCG SDGTFDSMGEGMICNFDGTIIAHGTSGRVNEIITAEVRPDLVREARLGWGVENNIYQF GHRGYVAVAGGAQDAPYTYMHDLIAGKYRLPWEDEIKVKDGTSCGFDKPMRRYGEPLK PAAE YP_002979450.1 MEETGIGIWGVPLAIFAGAIRVSTPFIFVSLGEAITERSGRINL GLEGTLVFGAMTAYAVAVMTGSPTLGVLAAMVAGAIFGLIHGWICKFPKVNDIAIGIA MMQFGLGMAFFLGKSFIQPVAPKLPSIPLGGWSSTPQVQAALNINVLFFIGAALALFL FWAFKNTRIGLILRVVGDSTDAARAMGIHPDRVRLLATAVGGSLAAIGGAYLSLYYPG SWNEGISSGQGLMAVALVIFARWNPIGCFLAALLFGGAGALGPALQSVGVTQGYYLFY AAPYVLTLIILIATSSPTRSLAGAPGALSLTK YP_002979451.1 MTIEANDPAIAITEKPVSLRPIFEWIARRAEPVVIGLAAILIGL ALFSLFILAVGKSPATLFQLIYTGGFGSWFSVQNSLSRAAPLLLTALCVALPARLGLV IIGGEGAVVLGGVAAAAMALPLAGTAPVFLTLILMAIAAMVVGGIWIGLAGFLRHYRG VNETISSLLLSYIAIALMNQFVEGVLRDPASLNKPSTRPLPADYMLGNIPGMDVHWGL VIGILACMISWIVIEATSYGFAARIAGGNVRAAQIQGLPVGKLIAGFTALAGSFAGLA GMIEVAAVQGSANASLAAGYGYTGILVAFLARHNPLAIIPVAILLGGIDASGGLIQRR MGLPDATVLVLQGTLFIVILFCETFYGRFKIFNPDLWKRSL YP_002979452.1 MTKLLSMNRRNFLQASAAGALAGAMPGLIASSAAAQTALTVGFI YVGPKDDYGYNQAHAEGAAVVKALSGVTLVEEENVPETVDVQKTMESMINLDGATLLF PTSFGYFDPHMLAVAAKHPDIQFRHCGGLWQEGKNPANTGSYFGYIFQGQYLNGIAAG HATKSKKIGFVAAKPIPQVLQNINAFLLGARAVDPGITCQVIFTGEWSLAVKEAEATN ALVDQGVDVITCHVDSPKVVVETAAGRGAFVCGYHANQSPLAPEKYLTGAEWAWGNVY SDFVKKAQAGEKLGNFVRGGLKDGFVKMSALGPAVSAEGRKAFEATQAEMMKGGFSVF KGPLKDNKGDTVVAADKSYAEDAIELESMNYLVEGVAGSTA YP_002979453.1 MIRTGTTVEQMVRAIADMIVTGEILPGAKLDEVSLAVRFDVSRT PVREALRELGAMGLIAREPNRSAVVTNVTEAYLHSMFEAMAELEAICARLSAERMTVD ERRALELEHRESVRLVHAGAEEEYSAHNTDFHTRLYRGAHNDHVFEMVTQTRARLAPF RRAQFRLPGRLAKSYEEHGRIVTAIMRADAAAAGQAAYSHVEIVSDASAVFATPGE YP_002979454.1 MNAYLKLDHIDKHFDRGGVRAEVLKDINLTISAGEFVSIIGHSG CGKSTLLNLIAGLTPVSAGAVLLENREVNGPGPERAVVFQNHSLLPWLTVYENVNLAV SKLFNRTKTKAERHDWVMANLDLVQMAHARDKRPSEISGGMKQRVGIARALSMEPKIL LLDEPFGALDALTRAHLQDAVMEIHARLGNTMVMITHDVDEAVLLSDRIVMMTNGPAA RIGEVLDVTMPRPRNRIELASDRTYLKCREAVLKFLYERHRFIEAAE YP_002979455.1 MSALANKEIPSTSASAKLAAKVLPFSGKHGSRIDFRRAALTALR NVVPPVVVLALILLVWQVLCSSADASLPSPHRVWLDSYDLIAYPFFNYGSQDIGLGWR VLISLQRVLYGFGLAAVTGVIIGAIIGQSVWAMRGLDPIFQVLRTVPPLAWLPLSLAA FQDSNPSAIFVIFITSIWPVIINTAVGVRNIPQDYRNVAEVLRLNQFEFFWKIMLPSA APYIFTGLRIGVGLSWLAIVAAEMLTGGVGIGFFIWDAWNSSRLPDIIVALAYIGIVG FALDKLVAALGKLITRGAMAN YP_002979456.1 MTKNLQTGISTTGITRRSMLKTTAAAALIGAVKTAFPSGAFAAG AGPEVKGVKLGFIALTDSAPLIIAKEKGFFDKHGLPETDVAKQASWGATRDNLVLGGA ANGIDGAHILSPLPYLMHTGKVTQNNKPVPMAILARLNLDSQGISVAKEYADTGVQLD SSKLKAAFEKKKAEGKEIKAAMTFPGGTHDLWIRYWLAAGGIDPSKDVSTIVVPPPQM VANMKVGNMDVFCVGEPWNEQLVNQGIGFTAATTGELWKGHPEKALGLRAEWIEKNPN AAKALLMAVMEAQQWCESMDNKAEMADILGKRQWFNVPTKDVLGRLKGDINYGNGREV KATDLYMKFWKDGASYPFKSHDTWFMTENIRWGNLPASTDIKALVNQVNREDIWREAA KDLGVAAADIPASSSRGKETFFDGKVFDPENPSAYLDSLSIKAVS YP_002979457.1 MNAIEFILAGMLAAATPFLLAALGELVAERAGVLNLGVEGLMAF GAVLAFIIVYQGGGHLLGFVVAGLGSAVLSLLFAFVTLGFRANQVAAGLAIGILGQGL SALFGKTYESLTVRGLPKLGLPGLSELPVVGGLFVQDIVVWISLAATLALWAIFAYTK LGLIVRAVGENPKAAHAIGYSVISVRVLAIAFGGAMAGFAGAYASVVYTPLWADGMIA GRGWIAIALVVFGTWLTGRIFLGACLFGAVSLMGLAAQATGLDVPSQLLSSLPYLVTI IVLGIISADRRLLKLNGVASLGEPFER YP_002979458.1 MSAWSLAFVPTLVRRERASLAATLLAPVIALVIAVALNLGLYIL MGRDPVAVIYAMLFEPFLSWASFSEVLLKAGPLLLIAQGLAIGFRAKVFNIGAEGQFI LGAIFASAIPVWFPQATGQWIWPAMLLLGAIGGALWASLTAFWRVRLNANEILVSLML SLVAAQLLNYLLLGPWKDPNGFNFPQSVMFQYDAMVPTLIAGTRVNVSFLITLALSVA AWVFMQRSFIGYKLQVGGLAPRAAGYAGFKEGWAIWLSLLIGGFAAGLAGAAEVAGPL GQLQRSVASGYGYAAIIVAYLGGLHPIGIVISALVMAVIYIGGDNAMVSANLPIAAVR VFQGSLLLTYLIAVAFVRYRLEWRRAAHRSPS YP_002979459.1 MTTPLLSLRGISKSYGQIHANQAIDLDVAPQSIHAILGENGAGK STLMKLIYGVEQPDDGEVIWEGNSLRLASPAEARRNGIGMVFQHFSLFETLTVLENIR LVVPGRKAELKERIRTLGREFGLEVDPLAHVHALSVGERQRVEIIRSLMTNPKLLILD EPTSVLPPQLVEKLFDTLRRLRDGGVSILLISHKLEEIRAICDRATILRGGRVTGDVD PREHDAHDLARMMIGREMPAPISALPLSGGEKRLEIIGLDYQPDDPFAVPLSGISLEV RAGEILGIAGISGNGQGELAALISGETVLGRDQRDRIYMMEMDVGRLDAAARRTLGFA FVPEDRLGRGAVPEMSLVLNSLLTAHPLKLLRHGLVDKARALAFTHDCIRQNDVRTPG PDAEAGTLSGGNLQKFIVGREIMLSPKLLFLAQPTWGVDIGAASAIRSRLVALRNQGM AILVISEELEELFELCDSIQVLHHGRLSPPLATRDTKPEEIGRHMIGAQASPEKVQ YP_002979460.1 MTKLTRRNLMTAAAATGLAGVLGSRLALAADEPLGITLVVPSPI GDVGWGHALAAGLEPIRAAYGDKVKVTVLENIAEGPDADRIMNKTVADGNKFLIAGSF GYQNGALQIARRDPSVTVLHASGFQVAPNFSPFAAKYFQGTYLLGMAAAALSKTGKLG SVSAFAIPELITSINAFTLGAQAVKPDIEVSVVWVNSWFDPAKEQEAAKALIAQKCDV IFSNAQDTPSVISACEEAGVYAFNLNSSMKKYAPKTYLGCISTDWSPFFKASVDAHLA GTFKGANAFLGVADKVVEVVDWNADVPADVMTKIKEIEAKVADGSFSPFTGPIAKADG SEGVASGATMADPEIIAMNWHVKGVSTPLPK YP_002979461.1 MAGYLLKNCAAVIVDEGKGPVAHRNVDLLTNGPAIMAIGENLGA DALPAGTTVQDAAGWFVYPGLVNTHHHFFQCFVRNRADLDWTKLSVIEWLDRIYPIFS QLHEECFYHASVTAMAEMVKHGCTTALDHQYNFPRHAGKRLIDRQFEAAELLGMRFHA GRGGNTLPKSEGSTIPNEMLETTDEFIADCARLIETYHDTSPFSMRQVVVAPCQPVNC YRETFVESVALARDRGVMMHTHVGEGESPVIQARHGMRTVDYLEELGFAGPDTFYAHC WELTHDELRKMAASGTGVAHCPEPVYLVGAEVTDIPAMAAFGLRVGLGCDGAASNDNS NLMHCLHSAYMLQCLVSSSRAHPVPPPVDFLGYGTAGGASLLGRRDIGRLAPGMAADL FAIDTRRMDYVGTRHDPLSLIARVGIGMATDMTMINGRVVWQKGEFPGLDEAKLAADA EAALSTVEF YP_002979462.1 MKLYDYILSPSCYKVRLMAALTGVKLDLRPVDFHPGAEHRGPEL LALNPAGSIPILEDGDLILTESSAILVYLAAKAAPEWLGSGKAEEAARVQQWLSFSGR LTASLGGARLHEMLLRPGDIGALQAQGIAALRELEAGLVEQGLRGMRFLAADRPTIAD IACFPYVALAPDGGVTLDAYPAIRLWSRALRALDKFIEMPGIHRLHELKPEPQIEPGE A YP_002979463.1 MTMQAMIDEWYPVGLFSQLDSAGRKTALMGEPIKVARDADGNAK VTRGDGRALPVRVRYGHVWSSLGEPKKELFPIPEADQPGRRFVDVGVVRVRCSPLRAV ENFLDIAHFPFVHTDILGAEPHTEVQNYKVEIREEEDEVWATQVKFYQPQAAKSASGG ITTEYMYRVPAPTCSVLYKTCPPRPSEWDVITLFVQPLAEDLCDVWPWMALFDDETAM TDLIHFQQTIFLQDRSILENQIPRLLPLDPGMEIPTRADLTSIAYRRWLKRHNYTYGA QLVAQ YP_002979464.1 MPSDTTGFWTPVALSRDLPAGTVMPAWTAAGSIALWRSASGRIS ASADRCPHRGMRLSHGFVRGEALSCIYHGWSYGQAGNCLRIPAHPGLTPPESIRVATH DVEEAGSVIWVAVGTPASKPPGLEGLIPLRSLTAFAGIAAIEAAAGAKTSPDGLVEFD AFTGTICLLLSAQEDGKTLIHVLLKGDAGPAAGIGASRAAESLRRRAEGLQKKEIAQ YP_002979465.1 MGQDTSDLLERMTIVGDLEVASFVPWIRRHAAKLGLSHTISHTS SMRIELEVAGPEELIDMMEMGCSLGPIDVWVERIDRTAISGERT YP_002979466.1 MEAVNLNRLAYFAAVVDTGSFTKAAERLAITKTVVSQQVARLEA ELKTSLLLRTTRRVEPTEAGKLLYARCVLIFREAEDAVDEITRANAEPTGMLRIAAPN DYGASTIAPIAASFIRKYPACRVELLLADTKMDLLANQIDLSIRVGWLDDSSHQARRI GSFRQFLVASPSFSATLSLNSPEDAAGQPFIANLALKEPVTWRFIQGDFDRRTVRMQQ SLMSNSTPAVLAATLAGAGISVLPDFLAGEHIDAGRLIRLLPDWSLPAGGIYVVYPAA RFRTPKVTAFVAMLTGGRSPD YP_002979467.1 MHLIYLYDPLCGWCYGAAPALDKMAKLDNLTVELAPTGVFAGEG ARPLDERFAAYAWHNDQRINRLTGQVFSQLYRDQVLGGADDMFDSAPATLGIIAVGVT QLDREGDALKALQSARYVDGRNTSEIAVVADVLDQAGFSDSAARVRAPDEALLEIYRN RIGKSRQLMAAFRMDGVPALLVSDGDKRRVLRSVALFGGFDRLAAELQAA YP_002979468.1 MKTTLAAGAVAVFAPAGLGNAAGSKLAWKHFPAGQNGFFRAPVL LTGPSEALLIDGGFTYPDGRALAEAIKATGKTLTAIYVSQSDPDYYFSLKPVLEAFPG TKVLAASDTIAAIKGNIEKKLAVWGPQLKENGPQTLADIVMPEAFDAPSVTVDGETVE IVAAEAGLSNRRYLFVPSLNAVFGGVMIFSGVHVWTADTQAPEQRAAWVATLDKISAR KPTIVVPGHMMPEAATDLSAVEHTKSYLVAFEEELAKAADSAALKAAMEARFPGLGMG VALDIGSKVAKGEMKWG YP_002979469.1 MAILPIQDPPRTAETFVAENIGLLIERNEILFDVSLKFPAGEVV ALVGHNGSGKSSLLKMLARQLVPSTGSISYGNQDLRMYSERAFARSVAYLPQDVTTGS EMTVRELVGCGRYPWHGALGRFTKNDEEKVEEALRATHIETFAERIMGTLSGGERQRA WIAMLIAQDARCLLLDEPTAALDVAHQVEVLSLVRRLAHEGGRSVIIVLHDINMAARF CDRIHALKRGRVVASGTPGAILAPNTLQEIYGIDMDVISAPNLPYPLAYVC YP_002979470.1 MGVSRRSFLQFAGASLIPAPLFAQSMGPRIVSLDYGLASTLLSL GLPPIGISDLADWDRWVVEPPMPKSVVDIGSAFEVNFEILVTLKPDIILTTPYLDELL PKLQSVAKVVRLEIFTPGIGPILTAAIAATRKLAVELGRENEAEQFLARADVFFAQCR SRLEGKNLPPVALVNFMDARHARIYSSPGLFHNVLERIGVRNAWTRESNYWGFETIGI EDLSKITDPDARLIAFEPIPLDVLPKLAQSPLWNRLSFARPGHLSILPPALMFGMVNE AMRFAGLLTDLLEKDA YP_002979471.1 MVSRRDNIGPAIVFLLLMCGGGVASWFLAAPALSEMARGDYDVT RMVFTYSTLPRLATALIAGAALALSGALFQQVLRNPLADPATLGVSAGANLALVVTSL FLPDLLGAGRDLVALIGSATAAAIVVSLGARRGFSPYSLVLSGLVLSLWCGGLAAILT YLNQRYLSSLFIWGAGSLAQQSWVIPLSLLWKLAVIAIGCAFVMRPLSLLDLGESSST ALGVRLVRLRFVVIALAVTLAAFVTSAVGVIGFIGLVAPTIARLSGARRPTQLILWSP LIGAGLLFFADSILQLVAGGLGDFLPTGAVTAIFGSPLLLALLPRLKIRHRLQQSPAF RRPRRWDGTRPVIIAAAGLLVLLMVSAFLGRDVNGGWAFTSGEFSVDVLAIRIPKILA ALTSGAMLAVAGSILQRLTGNEMASPEVLGISAGATFGVAIALFAVAPGFSGQFAFAV AGAISVLFVIFVSSRRSAFAPERVLLAGIALSAMVDAVVGVLSSTGDPRAVLLMRWMS GSTYLIDGSTAAMEVALGAVLIAVSLAARRWLDILPLGPSPSAAVGIPLAKSRFALFG LAGLLTAAATLTVGPLSFIGLMGPHLAREAGLARALPQMVGAALIGGGLMVAADFVGR TIVSPYQIPAGLVSALIGAPFLMLMMRKRGAG YP_002979472.1 MTNPISVYSMLGTCFFDLAPAAGGEPYRLFLSIPAEKPPAGGWP LLVMTDGNATFPFAVASLVTQAPYPTGTNVGWGVIAAIGYPSDEPYDPLRRSWDLGPP PVKSYPPFVEGGPPVVIGGTGKLVDFIENELIPRIAEMVILDPMRRSLFGHSFGGLFT LYALFERPGLFANWIAASPTIYWENSEILKNEAQRQDAPGNAPFLHLSAGEYEGDELA PFQYRNEDATARLEKRKTERTVLLAREMAERLNGTTDGLRTEFELYAGETHMSVLAAA VNRAVGIAFAVQSLTDM YP_002979473.1 MSTTNISLPDNLKPFVDQQVARRGYGTSSEYVRELIRHDKDRQH LRSLLLEGASFETTEPVDAAYFNSLRARASRQSSK YP_002979474.1 MIAKSIVPRESARGDIENAVDYYAREAGAQVAISFVDALQSTFG LIAKHPSSGSSRYAYELGLPHLRSMSLKAFPYTAWIG YP_002979475.1 MAAENDEYIYDEVTGEWRPASEMAASASGGEAVVRDASGNVLAD GDSVTVIKDLKVKGAGQTLKQGTVIRSIRLTDNPEEIDCRHDGIKGLVLRTEFVRKP YP_002979476.1 MNYELIQTASVIRYPYLWAREAGRGETEGRKERPVAVGVRMPRP DGDLVLFFPITTKQPEASRFAVEVPAIEKRRAGLDADRRLWIIFDEFNTDIVGNSFYL EPEPPTGRFSKAFFLPLLREFIRRRKSATEVSRFR YP_002979477.1 MSKSSGSYSTSDLSRKSGDIIAEALRHPVTITQRNKPRLVLLNI EDYQRLMKQSDRRTAGTIETMPDELFAEFENAVEAYAGEDEAGN YP_002979478.1 MREWPTQSVRDFHGRPLPERGEPAGYAAIMARYDLILPPPIRMT AIAERHHPTSTEAWLMLTPRYRPQPELGPHLVFAFRYEGVDLQVLSALFQIIETDEIE AIIRATPTGAFARRLWFLYEWLTDRQLDIPDPGKVRMVTILDPDQQYALAEGDPSPRH KVSNNLPGTPAFCPLVRRTPDLAAFSQKALGERAREAMGRVRPDLLARAAAFILLNDS KSSFAIEGERPSGQRAARWGQAIAQAGVRPLSLDELDRLQRIVIGDARFVRLGLRDEG GFIGVHDRDTNMPIPDHISARHEDLESLVGGLIAFSDRAARGAMDPVVAAACLAFGFV YIHPYVDGNGRLHRWLIHHALAAASYSPPGLVFPVSAVILRNIDRYRAVLESWSAPLL PFIEWRPTVSANVEVLNDTAVFYRYFDATAHATFLYACVEQTVEHDLPQEVRFLQAFD TFSEGVQQIIDMPTAQAELLHKFLGQNDGRLSQRARTKEFAALDDLEVERIEALYADA FERDRA YP_002979479.1 MSENNVNALSFEFDRSNMFEPLLQADPSFREKWETFQEEYRSDD QLPFYLALSELARHLIQDLETGNTHRFDAVFDVVERWHIKGDPYVKQAATVGLLEDLQ NSHLHRKTRSDDFIPWLRPETLGWWTKVHEFWATGKPII YP_002979480.1 MSRQQFDLTDFEWSIIQSLLPNKPRGVPRVDDRRVINSILWRFR TGSPWADVPERYGPYTTCYNRFVRWRKAGVWDHVLHEVAKAFDGDIVMSLCPSRSLRL K YP_002979481.1 MERSTTRFALVLAATLLPVGTAFPQGIPVIDQTAIAKQIESITQ LKSQLDTLNQQLQQARQPSAERVLDDDVRACRHGYPRRRHYPPPPGLVPGFFFPEGNS ND YP_002979482.1 MAVAFVDLAQTCAPIVEVRTLAAVVSLESRFKPFAIRINSGSPL ERQPASKAEAIELATSLIADHQNIQVSLGGIGMEELRKLKLSISDAFDPCLNLKATAT LLDSYYRLSLRAGADASHAENVMLQSYYGRDDPSVGKMVKYDRQVRQEMVPLSSNLAS VAIAEPREEVPLEESADGEAAPAPGKSLSQPDEAASWDVFSARRQSSVLVFQNDRSEQ SK YP_002979483.1 MKNLLFNSVVAACCILPPYLTSPGNAQATDWGCEVVLCLSNPGG PTQFAEWRELAEGHSFPTCSGVGCRSSRPGYEPYYCETGYWLESDYGPRGREAICVST APAAGERRILPQSWRRQQFQQGSVLSPRWQREDGRRRCMGYSTARPNVRSQPHYVDVT IDGTATQRVWY YP_002979484.1 MTRALKTQWHNLAFSGLILHEILDHPLDDDETPQARLKQVGMMT ILYSMNQAHQKLTLSSIMEITALTRSGVKETVDLLVKRGMLDETIVKNSMGRGTARQF EISQALLEKLSSFGAG YP_002979485.1 MNTSLGDRRVTASGRAGLRDYIAIARLDHSTKHVFIVPGIILAY LLRGVRTDDLTSSILLGFVTALCIASANYCINEWFDREFDRHHPTKSQRSAVQCAMNG RLVQLEWTVLLIVGLGCAILSSKLLFFVACLFAIQGILYNLDPIRSKDKTYLDVISES INNPIRLVIGWSMIDPTSLPPGSIILAYWFGGAFLMAAKRLSEYDEIVASHGKELLSR YRASFAKYTKVSLTASCIAYSLFSIAFLSVFLVKYRIEYMLVLPFVVALFTAYFVMAT KPGSTAQKPEKLFREPGLIAIVAALAAAFIFATFVDIPLLVTLTEQHYITIQ YP_002979486.1 MIARNVDWGSIRFVVFDVDGTLYNQRMLRLRMAGELIADAVARG SLTNLRVLRAYRSLREAIGEGEIDDFQASLMTRTVARTGQPAERIEAIVSEWIERRPL AYIASCRYDGLVELFAGLRRQNKSIGIYSDYPADEKLQRMTLSADYILAASDPGVGIQ KPDPRGLQMLMQRAGVGPAQTVLIGDRPERDGLAARRAGVLPLIRSDGPREGWLTFST YSDPVFAALRAKEASG YP_002979487.1 MILSKAIRYVFTGGLAAFVDLTVFRGLLAFGVPLGLSATSSWLI AAAVNYSATSRYVFNQATSRKRASLFLIGALLGLSINVFVTLILVQQVGLSPLWAKLF GIGAAFVFNFLINLLWVFR YP_002979488.1 MDAYLVHVLSPGDLDTIATTREAGTRIAYSEQQLSGWILAVQGV VAYLKGQPRSGGKIGILGISLGTQIASAASLGRSIIEAEVVEELRRNHLTPSSLNPPQ INATIES YP_002979489.1 MKEIVCKLLVIGAGPGGYVCAIRAGQLGVDTVIVEAGKPGGTCL TVGCIPSKALIHAAEEFDVAQKMLAGKNPMGIRVEGASIDLMRTIAWKDGIVGRLTTG VSGLLQKARVKIVHGRAHFRDGKTVEVETETGQQIIRAETVVIATGSDPVELANLPFG GRVMSSTEALSLTELPKKLVVVGGGYIGLELGTAFSKMGSDVTIVEATPQVLPQYDAE LVRPVMRKLTEGGIRVLTGAKAIGLADNGEALIVETADGRRETLPADRILVTVGRRPR TAGSGLEELDLDRAGPYLRIDDRCRTSMRGIYAIGDITGEPMLAHRAMAQGEMVAEIM AGKKRAWDKRCIPAICFTDPEIVSAGLSPAEAKAQGYEIRTGQFPFSANGRAMTMLSE EGFVRVVARADTNLVLGLQAVGAGVSELSAVFALAIEMGARLEDIAGTIHAHPTRSEA VMEAALKALGSALHI YP_002979490.1 MSEFIIKMPDVGEGVAEAEIVEWHVKTGDPVREDMVIAAVMTDK ATVEIPSPVSGTVTWLAGEVGDRIAVKAPLVRVETAGDVGEPQSIGTSQTPIAETPKA EIAKPAPPAPMAPVPAATPAEKPLAAPSVRLFARESGVDLRQVQATGPAGRILREDIE QFLSHGPAPATAKNGFARKTATEEIKLTGLRRRIAEKMVLSASRIPHITYVEEVDMTA LEELRATMNGDRRPDHPKLTVLPFLMRALVKAISEQPDVNATFDDDAGIITRYGAVHI GIATQTPAGLTVPVVRHAEARGIWDCAAEMNRLAEAARSGTATRDELSGSTITISSLG ALGGIVSTPIINHPEVAIIGVNKIATRPVWDGTQFVPRKMMNLSSSFDHRIIDGWDAA NFVQRIRTLIETPALIFIES YP_002979491.1 MIEAVRSAMDVSMARDDNVVVFGEDVGYFGGVFRSTQGLQAKYG RTRCFDTPISESGIVGTAIGMAAYGLKPCVEIQFADYMYPAYDQLTQEAARIRYRSNG DFTCPIVVRMPTGGGIFGGQTHSQSPEALFTHVCGLKVIVPSNPYDAKGLLIAAIEDP DPVMFLEPKRLYNGPFDGHHERPVTPWSKHDLGEVPDGHYTIPIGKAEVRRAGSAVTV VAYGTMVHVALAAAEDAGIDAEVIDLRSLLPLDLDTIVKSVSKTGRCVVVHEATLTSG FGAEVAALVQEHCFYHLEAPVVRVAGWDTPYPHAQEWDYFPGPGRVGRALAEVMEA YP_002979492.1 MVDSARLSLHVPEPAVRPGGQPDFSNVKIAKAGSVPRPEVDVAS EDIRDLAYSIIRVLNREGEAVGPWAGSLSNEALLTGLRNMMKLRAFDARMLMAQRQGK TSFYMQHLGEEAVSCAFRKALETGDMNFPTYRQAGLLIADDYPMVEMMNQIYSNESDP LRGRQLPIMYSSKEHGFFTISGNLATQYVQAVGWAMASAIKNDSRIAAAWIGDGSTAE SDFHSALVFASTYKAPVILNIVNNQWAISTFQGIARGGSGTFAARGLGFGIPALRVDG NDYLAVHAVAYWAAERARRNLGPTLIEYVTYRVGAHSTSDDPSAYRPKTESEAWPLGD PVLRLKKHLIVKGAWSEERHVQAEAEIMDEVIEAQRQAEAHGTLHAGGRPSVRDIFEG VYAEMPPHIRRQRQKAGY YP_002979493.1 MILSDLQQQISDLARDFARDRLAPGAAKRDREHLFPREELKEMG ELGLLGMLVPEAYGGSDTGVVAYAAALEEIAAGDGTCSTIMSVHSSVGCVPILKFGAE EQRQRFLPKLASGEWIGGFALTEPQAGSDASNLKTRARRDGDHYVIDGSKQFITSGKN GDVIIVFAVTDLDVGKKGITAFIVQTDTPGYEVIRVEEKLGLHSSDTCQIAFNSMRIP AELRLGAEGEGYRIALANLEGGRIGIAAQAVGMARAAFEAARDYARERTAFGKPIFEH QAVAFRLADMAVRIEAARQLVFHAASLREAGLPCLSEASMAKLFASEMAERVCSDAIQ IHGGYGYMADYPVERIYRDVRICQIYEGTSDVQRMVIARNL YP_002979494.1 MTLQDPIVIVGAARTPIGSFQGELKEATAPELGATAIRAALQRS RVEAEAIEEVVFGCVLPAGQGQAPARQAAIHAGLPFATAASTVNKMCGSGMKAVMIAH DLIAAGSASVAVAGGMESMTNAPYLLDKARGGYRLGHGRVVDHMFLDGLEDAYDKGRL MGSFAEDCAEAYQFTREAQDSYAIASLTRAQKAIAEGCFESEIAPVTVKSGKAEQVAS RDEQPDKAKLDKIPTLKPAFREGGTVTAANSSSISDGAAALVLMRRSEAERRGLKPLA TILGHATHSQAPNLFATAPIGALQKLSDRTGLPLSAVDLFEINEAFAVVAMAAMRDLN LPHEKVNVHGGACALGHPIGASGARILVTLLAALERYDLKRGMAALCIGGGEATAVAI ERQ YP_002979495.1 MLIRGASFIVTGGGSGLGAATVRALVEAGGRVTIADLNAEAGQE IAREFGSDACFVKADVTDGEEGAAVVAAAVEAFGSLRGLVNCAGVAPAEKVIGRDGPH RLESFARTVGINLIGTFNMIRLAAAAIQTTEPDAEGERGVIVNTASVAAFDGQIGQAA YAASKGGVAAMTLPIARELARHGIRVVAIAPGIFETPMMADMPAEVQAALGKSVPFPP RLGRPAEFAGLVRHILENNMLNGEVIRLDGALRMGAR YP_002979496.1 MAEIERRMIAPGFVEEALDSLRRLGKPTAPVLARVGLASPVDQP VSAETYGALWLAIAVELDDEFFGMGGRPMRSGSFTLLCHSVLHAPTLGHALRRALRFL DVVLDDPRGRLVIRDGLAEIELSDAGGPRSAFAYRTYWIILHGLICWLVGRRIPIRLV DFRCAEPKQGADYRLFFGAPVRFSQAISRLGFDSALLDLPVGRSEQALKQFLRGAPAN ILVRYRYDAGIAAGVRRRLNQATPAMWRSFAELAADMRMPPSTLRHRLRDEGQSYAAI KDDIRRDLAVELLLNTTMTIGEIAVQLGYSEPSAFFRAFRKWVGKSPEAFRRDGAEIE GMSVESVDPP YP_002979497.1 MRQFTTGDLNKQIGDVTDVASREPVILTRHRKPRFVLMSYEHYQ RMRSGGDPRRAHHISEMPDEHAELFDEAIERLARGESYDDEP YP_002979498.1 MFDFSLGETADAIRETTARFAADHIAPLAVEIDESNTFPRQLWP EMGALGLHGITVEEEFGGAGLGYLDHVVAMEEVSRASASVGLSYGAHSNLCVNQIRRW ASPEQKRRYLPKLISGEHVGSLAMSEVGAGSDVVSMRLRAEKKGDRYVLNGTKFWITN APHADVLVVYAKTDPAAGPKGISALIIEKGLPGFGVSKKLSKLGMRGSDTAELVFEDC AVPAEALMGREGEGVKILMSGLDYERAVLAGGPLGIMQACLDVVLPYVRDRKQFGKAI GDFQLMQGKIADMYVALNSARAYVYSVARACDAGRATRTDAAAAILFASENAVKVSLE AIQALGGAGYTKEWPVERFLRDAKLYDIGAGTNEIRRYLIGRELIAS YP_002979499.1 MTVISTAIDRDSDSFKANASKNKALIDELLNRSAKAREGGSQTA RERHTGKGKLLPRDRIQLLIDAGSPFLEIGTLAANGMYDDEAPGAGIISGIGRVSGRE VMIVANDATVKGGAYFPMTVKKHLRAQEIAMQNRLPCIYLVDSGGANLPHQAEVFPDR DHFGAIFYNQAQMSAEGIPQIACVMGSCTAGGAYVPAMSDETVIVRNQGTIFLAGPPL VKAATGEIISAEELGGAETHGRRSGVVDHVAENDEHALLLVRDIAATLNSVKAIDIDL QQPRPPKLDPEDLCGLIPGDVRSPYDVREVIGRIVDGSELHEFKPLYGTTLVCGFARI WGMPVAVIANNGVLFSESALKGAHFIELACQRRVPLLFLQNISGFMVGGRYEAGGIAK DGAKLVTAVATASVPKVTVIIGGSFGAGNYGMCGRAYRPRFLFTWPNSRISVMGGEQA ASVLATIRRDSTEARGENWPIEEEEAFKAPIRAGYEAEGNPYYATARLWDDGIIDPRQ TRDVLGLAFSACLNAPIPKGPRFGLFRM YP_002979500.1 MMESLLIANRGEIARRIIRTAKMLGIRTIAVYSEADAGLPFVSE ADEAIAIGPSPARESYLSQERILDAARKTGAAAIHPGYGFLSENAGFAEAVEKAGIIW VGAPPAAIRAMGLKDAAKELMQAAGVPVTPGYIGADQSEERLTAEADIIGYPVLIKAV AGGGGKGMRRVDRPQDFAELLASCRREAAAAFGDDRVLIERYIANPRHIEVQVFADKL GNCVHLFERDCSLQRRHQKVIEEAPAPGLDAATRAVICDAAVKAARAVNYVGAGTIEF IADASEGLREDRIWFMEMNTRLQVEHPVTEAITGEDLVLWQLKVASGEPLPKMQDEIA MNGWAFEARLYAENPAAGYLPSIGRLEHLRLPETVRVDSGVEQGDDITAFYDPMIAKV IAHGPNREAALSKLAAACAGIEVWPVRSNAGLLARIAIDPDFRAARIDTGFLDRHDER LVATEPSETAIDSAATALLKNTDGNPWTALTGFRIAGAEDKRVRIRIGDHLHWGQSRP EFEANTITIGETTVLFEAGNAWPISLPVASEVEASQSAGDGAILSPMPGLVISVDVAD GDRVAKGDRLLTVEAMKMEHSLRAPFDGIVEKLQVSSGIRVSENQLVVSIVKEQD YP_002979501.1 MAGRYFDEWTVGDRIAHDIRRTVTETDNLLFTTLSHNPQPLHLD ADYAAGTEFGRIVVNGTFTFALTVGLSVGDTTLGTLVANLGYDKVTMPKPVFIGDTLR VETEVMELRRSNSRPDAGIVTFRHITLNQRGEIVCQCLRTAMLKVKPS YP_002979502.1 MKLRSLLFAPGDRPERFEKALASGADAVILDLEDSVAPLNKPKA RESVHEFVLHHAGETALLIRINPLASPEFEGDLAALSGLRPFAIVLPKAEGAASVLKL AGSLASAMPILPIATETPSAIFEIGSYRNVATSLCGLTWGAEDLPAAMGATTARRTDG RYTPPYELARSLTLFAAHAAGVPAIDTVYPDFHDLNGLRAYVGRARRDGFSGMMAIHP SQIETINHTFTPDPSEIAWAEKVAAAFAASPDAGVIQLDGRMLDLPHLKLATRILDVA GR YP_002979503.1 MNLSSLRYAASAVTLLLAGCVSGPNHVPPEMPLPAKFKEGSTKS NGDVVAAQWWTAYRDKRLDGLVAHGRSENLDVLQALERINSASANVTVAAAGGLPSLD VGASHTVSGEKGSERTTIGTTNETGGEASLSWLLDIFGQYRRSKESAIASLGAAYATA DNAKLTFLKDLVESYIDARYYQQRIALSQANLKSRQQTYELTQLQLKAGAASRLDVVQ AEGLVQSTKSDIPGLEQSFTESGHHIATLLGMPAASLMGELQKSAGQPVFRGDIRAGI PADLIRNRPDIRKAERELAAAVADIGAAEAQLYPSISLSGSISPSWVKSSGASGGTLT SWTFGPTLNLPIFDGGKLRANVDIEKSDARTQYLAWKAAVLNGVEEVENALSAVRRDT QTLEPLRRQVQTAQESLALSTTSYKDGASSLLDVLEAQRSVSDAQESLADTVQQVAKD YVDLYVAIGAGYLNRENAASKHTAKAG YP_002979504.1 MPRLFWKFFTTIWLTMAATVGVIILLVNFLQGVPFARELEEERR VIALNLTANMLARDGEDAAAHFVRASEETLPSGLTISKTAKADACEVQKTVDTRFVLK DEVCYQISLPAKATFTFENFGPFLPWLTILISSTISAGALARYLIRPVVHLRDGLSAL AHGRFDFRIGDKMAGRKDEVTALAHDFDSSAARLQELQDAQQRLFHDVSHELRSPLSR LQAAVGVLRQSPAKLGAMLDRMDREVERLDALVGEVLTLARLTAGSSRPLKTHALDVI ELLNEILGDAAFEAQAREVSITTSVEGVFRAEVEGELIYRALENVVRNAVKYTAEHSR ISVSCETTDERLKICVTDQGPGVSRDELERIFQPFSRGNEAVPRGGYGLGLAITRQAI ERHGGRVHASLPDAGGLAITLELPRKPTLYGSADDQA YP_002979505.1 MNKVLLIDDDAELTTLLQEYLVEEGYEVVTDTDGRAAIAAAAGN TVDIIVLDIMMPRMNGIEVLQRIRKLSQVPVLMLTARGDDVDRISGLNLGADDYVPKP CSPGELAARLRAILRRAGQPAAGASIDIIRAGKLVIHPGSRIAEWRGESLDLTGTEFS LLEVLARSAGQLVSKQDISKRAFGKPLTPFDRRIDVHISSVRQKLGLREDGQSWIQSV RGQGYQLLVD YP_002979506.1 MMDLFRREGDDQAQLKKMTLNSRYRNIAAMLSIAVVALSIFLIS IGALIEFFQPAFLSNVILDDVPLHYIAWFMITFGITIAVINVILAARREIEQETAESM LPSDAAEIKRQALRDLRTHRNGYARSSKVNQYLWNFLTLAIIVLSGLSSLFSAYGPVV PNWLPMTASALVALFGVIMVQFRVRDVWQLREQGRIEAEMLVADAHLIETTDNLATLK QVAALRRRAHALEMKQLNQLFVETTEANR YP_002979507.1 MSWQHPVPRITEDERQNRLAGLRKLIEAEGLAAVLLGPTESLHY FTGLVWHPSERFLGALVMPATISYIVPGFERSRVETLPHLPGEILVWEEEESSAALIA RLVAQRGRLALDDGLPLFFYHALAAEMGAARLADGGRLIRDLRCIKSAAELALIQYAM DLTLDVHKQVHGLLKPGIKSSEVVEFIDRQHRQAGADAGSTFCIVSFGAATSLPHGAD GDQVLGRDDVVLVDTGCRIDGYHSDITRTYILEDGNSAFERAWWIEREAQQAVFDAAR IGAACSSLDDAARKVLAKHSLGPDYRLPGLPHRAGHGLGLEIHEEPYIVRGNDAPLAA GMCFSNEPMIVFPGKFGIRLEDHIYMTAEGPRWLTNPAAGPTKPFS YP_002979508.1 MAVEYTTPGMLMRDHMVDVPLDWSKPEGETIRIFAREVCDPARR RETLPLLAFLQGGPGGKSPRPSNGGPPWLAEALKTHRVILIDQRGTGRSSRIESATME HFADGRAAADYLSLFRADSIVADCEHLRKTVFGGGRWQTLGQSYGGFLTLTYLSKAPE GLSACYVTGGLAGLGATAEDVYRRTYPRVTEKNAAYYRRYPGDAERIGRIADYIETNE VRLPDGDRLSVRRFQTIGIDFGMAPGYENIHWLVDEAFSGPNEERLSERFLASVMSLT SYDDNPLFAVLQESIYGQAGTPTAWAAERIRAEHPAFAGDRRPLLLTGEMMYPWMFEE IRSLRAFRAGVEALATIEHYAPLYAPARLAANEVPVAAVIYHDDMYVDAGLSLETARH VANVQAWVTNEFEHDGVRQSAAVLRRLMTLVREQGGPLAS YP_002979509.1 MRNDAENVRVRGSGTQSVYVTLRQEILSMALEPGSPLDEVRLSE RFRMSRTPIREALLRLAADGLVTTLPNRNTIVATIDFASLPTYFEALTLMYRVTTRGA AQRRNGEIMKTIRRNQKDFADAVAARDAYAMIEANREFHVAIAELAGNSYYTAFFARL LDEGRRILRLYYSTFDDRLPRQYVDEHEEIIAAIEAGDVERADQLAIAHAGQIVRQIQ EYIARDLDRPVAISMS YP_002979510.1 MTTGWKGVFPAVTTQFNDDLSVDLPSTQRVQDALVNDGVNGLIV MGTCGENNSLDPEEKRTILKAAVEVVNGRVPVVTGVSEFDTRRAVAYARDAEKLGADG LMLLPAMVYVPKPEELIAHFRTVAEATSLPIMLYNNPPAYRVNIGADVLKVLADVPNI KAVKESAPDPRRFTDLINEFGDRFDIFAGLDDVALEGLMLGAKGWVSGLTSAFPQESV QLVAAAERGDWEEARKIYRWFMPLLHLDAEHDLVQSIKLAEQIMGRGSERVRMPRLPL SGARRAEVTAMVEKAAATRPSKIRQAA YP_002979511.1 MARLELKSIVKSYGIYEAVRGISLDIEDNEFVVFVGPSGCGKST TLRMIAGLEHITGGEIVIGDQVVNRLGPGKRDIAMVFQNYALYPHMTVRENISFCLEQ QKLAKSEIDARIIRAAETLHISELLGRRPGQLSGGQRQRVAMGRAIVRNPKVFLFDEP LSNLDAKLRVQMRTEIKRLHQLLPTTTVYVTHDQVEAMTMADRVVVMNGGIIEQAGPP QALYHRPVSQFVAGFIGSPSMNFLSATLLSGDKGLVVKLTDGSELPVPKARAGDYASH AGKSVVFGIRPESITDRQARPGYADIEAKIDLVEPLGPETMVYFGIGEASLCACIDPE SGPRPMSTMPLSFNMNQMHLFDPATGRSLALA YP_002979512.1 MTSSPRFQPARAAGRQRYRPADHSSVVFRGGFWQSWTETVRNVT IPTQHKRLEEEGFLEVLDFDKPAGPLVRPIQPSGLSMQHFFDSDFGKWIEAASYTLKA HPNAALETKIDAIVEKLEKGQMADGYLNSWFIRREPDRRWTNLRDLHEMYSMGHLLEG AVAYYEATGKRRFLDVMIRAVDHIIETFGAEPGKLRGYDAHEEIELALVKLYRVTGDP RHLKLATYFVDERGRMPSYYDEEARKRGESPEDYVYKTYAYSQAHLPVRDQHQVVGHA VRAMYLFSAMADLSRENDDPTLKEACDRLFDNLVSRQLYVTGGLGPSASNEGFTREFD LPNETAYAETCAAVALGFWSHRMAQVDLDSKFTDRLETVLYNGALSGISRDGERYFYE NVLESHGQHRRWKWHYCPCCPTNIARFITSLGQYFYSTDDHQLAVHLYGTNSAELTVG DSFVRLIQKTQYPWDGDISLRFAVERPSRFQLRLRIPGWCRQAQISVNGVAVDLDQCV TKGYAAISREWRNGDEVRIGFSMPVERIYAHPAVSEDGGRVALRRGPVVYCIEETDLG GEPQRLRLPASEEISARYDASLLGGATVLEGTALEADISDWQNALYRTARPALKERPF TAIPYHLWANREPGAMAIWLHEV YP_002979513.1 MERLNHNLAGKPASERLGYLAFVLTACVFAVFFVMPIIWSFANS FKPAAAALADPAALFSKAFSLENYRRLEHVGAGWYVYAGNSVLIAAGTVILTVLVAVP AGYGFSKFRFPGQSLLFVLIMATMMIPFQSILTPLFLILKFLHLQNSLLGLVLIYVTF QLPFSVFMMRNAFDAVPKALIEAARIDGASQATILRRIMLPIALPGVATVAMFAFLNS WNEFLAALIFLSDQNKFTLPIMLVNVSSGIYGIIDWGALQAGIAVTMVPCILLFLLLQ RYYVRGLTAGAVK YP_002979514.1 MVSSTLRSRRRAIATGEAEPKGWLGLAFILPGLLFIVVLFLVPL VMTVWMSFYNWPLLGRTKFIGLSNYAELIGDTQLWHSLGFTLLYTVLVTVAIFLVAFP LALLVDRPLRIAGFFRTIYFMPVVIGFGAASTLWMWLLNPDSGVFAQLLRGAGIIDSA PRPLESFWPALGVVILMVVWKTAGFTMIILLTGLQSISNDVIEAARIDGASVWSRFRR ITLPLMRNSVVLALVLNVTSSMLAFDQFFIITQGGPENSTISAVFSIYLASFSSYRLG YGSAISFALLVVLVAISAIQFVLLRQRPEEG YP_002979515.1 MLRLKTTITALALLASSSLAGAEEITLWVRTSSGAVLQGLADKY NAAHSDKVNVTQITAEQMVPKLGAAIAGSAAPDGAVLDLIYLPTFAAADGLEDISDFV KGLPYSSAMSPSHIRLATYDGKIYGVPALPDASIIAYNTDLFTKAGLDPNKAPASMEE VAADAKKIAALGNETYGFYFVANSGSWLIYDFLPHLWAANADVLSDDGRSATVDTPAL RETIAAYRDMWKAGAIHPTSRSGNGNNAVEAFASGKVGILMTGSYIVNLLTSKYPDVK FAVAPIPGPKGGESSFAGGDTLSLIKGISDEKKKVALDFVNFYMQPEQQVYITQESGM PSRTDLAAEAYAKFDPRNLVAYNILAKARTPYTFSSDELFVSRTGPFLNLIQGTIFGD DVDGTIAKTQADFTRILERTNPN YP_002979516.1 MKNSGDRRRTIYDVAKAAGVSISTASNALNDTGRTKRETRERVR RVAEEIGFRPNALARGLLSKRSHAIGMLTNDTYGRLTLPMAAGVSEVLVDHGVSVFLC ATNNDPRLAQLHLEALLDRQVDGIIFTATRLDLKPPVQLSRLPIPVVYVFAEGPADSV TFFPDDEQGARLAVDHLKELGRSRILHITGPRDYLAARIRAQSYLDACGDGAEAMFGE WSEEWGHEAVQTVFARPGPKPDAIFCGSDEIARGVIDALRDLNVEIPTDVAVVGFDNW EVVARQTRPPLSTIDMELKELGHRAGLAILSLSKGEPVPAGITRLPCRLVVRQSCGSP PQSD YP_002979517.1 MSIEEKQKPQRNDRVTIRTVATHAGVSVAAVSKVMRNAYGVSDA LRARVTDSIETLAYRPSRAARGLRGRSFTIGVLLIDIRNPFLPEVIAGVNGVLAPSHY QAMIGVSDARVQLETSLIESMIDYKMDGLILVAPRLPSDILAKFAVQIPIVAVGYHDA SATAFDTVNADDQRGAEIAVEALLACGYRDIEMLSLGERQGHAVSVVRQREIGFRRAM QRGGLGSSPAIGKIPIASPKREEAMRKFLSRKDRPRAVFCWSDLDAITLLSLAMEMGV RVPEDLAVIGYDNSPTAALGLVNLASIDQSGRELGQVATRALISRIEGRTASEHIFQI PSLVSRNSLARSGNSTDR YP_002979518.1 MNFQPAAISGNLVSRTWSGDMIAPLSDGGQGTAASFVSQTFEHD GPGLPVDLFISALGLYRCFINGVRVSGDLLTPGWTNYDDRLAYQRYDVSNLLKPGLNR IEIWLADGWYRSPLMWGAQAIPNCWGERIGAIADLIGADGALLSTDTTWRSGVLPILK SGIYFGEIYDARQESYLESHGTERLPFDRALLVAHETAAVRELRPLAAVENWIDDEGR TIYDFGQNAGGYIRYTVRGTAGAEVRVEHSEVLGPDRHFDNRNYRTAAAHTVYTLRGD GDETYAPHFTFHGFRYARVTITGDAKILAIASIPISSVAEPAGGFTSGNPLVNRLVEN TIWSQRANFVEVPTDCPQRDERLGWTGDAQVFAATACWLSDSQSFLRKYLRDVMADQR EDGAVSHFSPDPTRLHPTNFPGYAGSTGWGDAIVVIPWVLYTHYGDRAVLAECLDSMV RWVDFVWSISDGPLVRPPSHWGARGFTFGDWLQPVGDNRKPRPTIADDCAATLYHFIS TDLLARIAATLGEHALEEKMKQRANEIRLTFSNEFITPAGRLAHNDQTSYALAFLHDL IPAEHRQAAQQHFRQVIIDADYKIGTGFIGTPALLPALTKLGMDDLAEKVFLQEDVPG WLYQVSKGATTIWERWDSMAPDGTIYEPDMNSYNHYAYGAVCQWLFESVAGISPSPSA PGFAEVIVDPAPIPSLSPVSAHHDISQGRIEAGWQCDGNKVTYVLTLPEGCIGRFRPG KRHQNPSLNGEPVVEEAVIHPGTHRLAFSLPTS YP_002979519.1 MTHRIKVILAGASALLALVAAGPSHAETTLSFLIDNNPDTVAAA EALVAAYQTKVPDVTIEIEPRAGGGEGDNIIKTRLATGEMSDVFLYNSGSLLQALKPA QTLVDLSGLASQAKVDEGFKSVVRADGKLYGVPFGTAMAGGILYNRKIYQDLGLSVPK TWADFMANNAKVKASGKVAVAQTYRDTWTSQLFVLADYYNLHAAVPNFAADYTANKAK YAETPAAMKGFERLKDVHDAGLMNEDFGAASYDDGLRMVATGEAAHYPMLSFAIGALK QNYPDNLADVGFFAQPSDDAATNGLTVWMPPALYIPLTSQHAEEAQKFVDFAGSVEGC KIMVETNTVQGPPLIDGCGLPADVPPAVKDMLPYFEAKDKTTPALEFVSPVKGPALEQ ITVEVGSGIRQPADAAKLYDDDVRKQAKQLGLPNW YP_002979520.1 MTETRPRSRKSPYPLWFFIPAAIIYGVLFLVPTVSSLWFSLTRW DLSTAEFIGLENFQQFFSEPFLVKGLVNTLIYAVTTSGLKTVCGLLLAVLLTSNIFAR GFLRTLVFFPVLVSTIGIGITFTVMMHPTRGIINVTLETLGIPGPGWLTNPALALFSV ALVDVWKGVGLATLIFIAGLAAISPDYYEAARIDGATRLQQFFRITLPLVRPATVIVV TLSLIGGLRSFDLIWAMTRGGPGFSSDVVASVIYKQYQAGFYGLSTAGNVILFALIAV IILPFTLWFNRREVEE YP_002979521.1 MRSVRPYVTGAIALAAAAVIFVMPFVFVALQAVKSKSEASRLNF ELPAQWLFWDNLVAVFKARDYQLMLAYFNSTLITVASVTILILLSAMVGYVMQRRRTV WNRVASVALFMGLMMPPAVVPTIGLLQEIGLFKTMTGMILIQVAYNLSFSTLLYRSFI STIPRDLDEAALIDGAKPRQIFFRVILPLLKPVTVTNIVVQSIAIFNDFTNPLYYLPG KENVTVQLTLYNFQSMYTSQYNLLFMNILLVTIPPLIVFIFFNRQIVAGMTAGAVKG YP_002979522.1 MAELTLKQVRKSFGALEVIKGIDLEVASGEFVVFVGPSGCGKST LLRIIAGLEETTSGALTIGGKDVTYAEPSERGIAMVFQSYALYPHMTVAENIGFGLSL ARRPKAEIAAKVAATAETLQLAQLLERKPKALSGGQRQRVAIGRAIIRDPKVFLFDEP LSNLDASLRAQMRLEIADLHARLKSTMIYVTHDQVEAMTMADKIVVLNGGAVEQIGSP MELYRNPATPFVAGFIGSPKMNLYGGEIARRMNCATYGIRPEHIRLSERAGQWQGRIR HLERLGADAILYLDVPELGEMVVRAEGETPFASGMTVWANPVEGAEHRF YP_002979523.1 MASMTIRNLDDGLKQRLRMRAATHGRSMEDEARDILRMALATSE PPARNLADAIRARLLSVGGVELDIPPREPIRDPPDISA YP_002979524.1 MIPRTSPRDHSRYQRHRRAADARPECGGDRLVRRTTSYIDLHDS SHRLRSSTDCVSFPRDVVDAILRRRSCWYLARILAVVFCHLIATLQISMPLSSPTAAR RVDRSVNSMLRLLPSQFHAALHSRHAMSRILREWTSRSSIRGKTDKGAERQRILQDLL LLRKSPHFCLLDNMPAVSSTRGSAGQSETRIVIFRTEDHSGRRTLERPPCWPISCRLT STGCVCSTAPRWPSLCSASHVCCVPRRRGTALRSLAYLCATAAAHPIT YP_002979525.1 MPAQSFVNRMPLGLKAGRATFEFIGGSLLVATIYYPGDQSHSYI RRDETARKGLTVRGGFAEGFGLRAEALKKMLRREWKRLGLIQLPGTSLAEPGIDAHFA GTLPMGAAGPFGTSSDGDMNLHPGLYVVDGSILPDLSSKYVTMTIMANADRIAHRLAE TEANSAL YP_002979526.1 MYASAALLDLVDACASQGKTRMPLVSIAMYATSQPVAEATAELW SFLRHYLLEAGLKDLPEKLDQAVRYDEAWLRPDLLLSQACGYPFATSLRGRVRLVATP IYHHPGCDGPLMRSFIIVAKDSALHSLEDLRGTTAAINGPDSNSGSNFFRAAVAPLAR DGHFFGRIIETGSHGGSIAAVVEGRADSAAIDCVTYANIRRFDPGHIQAVRIIAETTK GPGLPFITSGDASDDRVLLLRNALGAAIKEPSLSAMRATLGLVDFSVLSEGDYQPLLS LAGDALPQLRA YP_002979527.1 MKLNDPSLFRQACPIADRWIEAEGRKAATVRNPATGEPLGVVPD LGAAETEDAIRAAVIAQKLWAKKTAGERAAVLKAWHRLMIENRDDLAMILTLEQGKPL AEAKGEITYGASFIEWFAEEARRINGEVVPGHQPDKRILVLRQPAGVVAAITPWNFPN AMITRKIGPALAAGCAVVLKPALQTPFSAIAIAVLGERAGLPPGLLNIVTGDAAAIGG VLTASRDVRVLTFTGSTRTGELLYRQCAPTIKKLGLELGGNAPFIVFDDADLDAAVEG ALIAKFRNNGQTCVCANRLYVQDGVYEAFAAKLAAAVSGLKVGNGLERDVVLGPLIDD NAVAKVESHISDAVTKGAGIVLGGKRHALGGHFFEPTILRDVAAGMQVAREETFGPLA PLFRFRDEEDVIEQANDTEFGLASYFYARDLSRVFRVAEALEYGMVGVNTGLVSTAEA PFGGVKMSGLGREGSRHGLDEYTELKYVCLGGIA YP_002979528.1 MKEPFLQIRGIRKEYGPVVAVHDVNLDVRRGEFLTFLGPSGSGK STTLYILAGFETPTKGDITLEGKTLLDTPSHKRNIGMVFQRYTLFPHLTVGENIAFPL KVRRKSKAEVDSKVKEMLRLVRLEGFEDRKPAQMSGGQQQRVALARALAYDPPVLLMD EPLSALDKKLREEIQHEIRRIHQQTEVTILYVTHDQEEALRLSDRIAVFSKGVIDQIG TGPELYANPRTRFVAEFIGDSDFISCDLLSSSDGQATISLGGGSVFNDIPVHGKGSSG TRAALMLRPERIRLSRNQVAGAGLAATVSDITFLGNNIHVSTETATGEALAVRLPFGH EAIVGLSRGDIVHLNFDPGAAHVFC YP_002979529.1 MLLNFDRLGWWKYILLAITLLTAAFLLMPIVFIAALSFGSSQWL IFPPPGWTLQWYSELFADPRWLESAWTSFKIAVIVTVLSVLLGLVTSFGLVRGSFLFR DALKALFLTPMILPVVVLAVALYAFFLRIGLGGTLVGFVISHLVLALPFSILSISSAL EGFDKSIEDAAVLCGASPLEAKIRVTLPAISHGLFSAAVFSFLTSWDEVVVAIFMSSP TLQTLPVKVWATLRQDLTPVVAAASTLLILLTILLMALVAVVRKVLKQ YP_002979530.1 MSTYSDASAAIAPLPKVRRATGFGGVLPALAFVTIFFIAPVAVL LLRSVLEPVPGFGNYAQLIGSATYLKIFANTFIVSGLVTVISLLIGFPVAWALAIMPG RLTSVIFAILLLSMWTNLLARTYAWMVLLQRTGLINKMLIGIGLIDKPLALVNNLTGV TIGMTYIMLPFIILPLYGVIRKIDPSTLQAAALCGANRWQCLTRVLLPLAMPGMAAGA LMVFVMSLGYFVTPSLLGGTSNMMLAELIAQFVQSLVNWGMGGAAALVLLVVTLLLYA VQLRFVGNQNPGGR YP_002979531.1 MKTTFAVAAVAAFVAVSVPAHADNMVFSSWGGTTQDAQKAAWAS PFTEKTGITVVQDGPTDYGKLKAMVEAGQVTWDVVDVEGDYAAQAGKNGQLEKLDFSV IDKSKLDPRFVTDYSVGSFYYSFVIGCNADAVTACPKTWADLFDTAKFPGKRTFYKWS APGVIEAALLADGVAADKLYPLDLDRAFKKLDTIKSDIVWWSGGAQSQQLLASAEAPF GSVWNGRMTALAASGIKTETSWEQNITAADSLVVPKGSPNVEAAMKFIAMATSAEPQA ALAKATGYAPINVDSAKLMDPETAKTLPDQQTASQVNADMNYWADNRDAIGEKWYAWQ AK YP_002979532.1 MFYEIRTYRLKNGTIPQYLKVVEEEGIEIQKSHLGTLVGYFFSE IGTINEIVHIWAFASLDDREARRQRLLADPRWQAFLPKIRDLIEVAENKIMKPANFSP RSEAH YP_002979533.1 MRRFQHYIDGEFSDGEASYPSIDPASGAVWADMPEAREADVDRA VNAADRALYDGPWPKLTATQRGKLLYKLADLVAANAQILAELETRDTGKIIRETSAQI AYVAEYYRYYAGIADKIEGAYLPIDKPDMDVWLRREPIGVVAMVVPWNSQLFLSAVKI GPALAAGCTMVVKASEDGPAPLLEFARLVHEAGFPAGVVNIITGFGASCGAALGRHPK VAHVAFTGGPETARHVVRNSAENLASTSLELGGKSPFIVFADADLESAANAQVAGIFA ATGQSCVAGSRLIVERSVKDKFVALLREKAEAIRIGAPLDMATEVGPLATKRQQDNIG ALVAKSIESGARLVTGGRKIDGDGYYFPPTILDCDDVASPSLIEEFFGPVLSVVSFET ETEALRLANDTRYGLASGVFTQNLTRAHRLMKGLRAGIVWVNTYRVVSPIAPFGGFGL SGHGREGGMAAALDYTRTKTIWLRTSDDPIPDPFVMR YP_002979534.1 MKFSLFVHMERLDANQSHKSLYEEFVALCEIADKGGMHAIWTGE HHGMDFTIAPNPFVTIADLARRTSRARLGTGTVIAPFWHPIKLAGEAAMADIICDGRL DIGIARGAYSFEYERLLPGLDAWGAGQRMRELIPAVKGIWAGDYAHDGEFFKFPSTTS APKPLQQPNPPIWVAARDPNSHEFAVANGCNVQVTPLWQGDDEVQSLMERFNDACAKH PEIERPKIMLLRHTYVGSSADDVAQAAHELSVYYNYFFAWFKNEKPVTQGLIERIPDD QIRANAMLSDQVMRTNNVVGDAETVIDRLKAYEALGYDEYSFWIDTGMSFERKKASLE RFITEVMPAFQE YP_002979535.1 MTIQTVDPRALRDAFGAFPTGVTVVTACDGDGNPIGFTANSFTS VSLNPPLLLVCLAKSSRNFATMTGAQHFAINVLSETQKDVSNTFARPVEDRFAAVEWA KGSAGCPIFSSVAAWFECAMEEVIEAGDHVILMGRIEAFDNSGRNGLGYARGGYFTPT LASKAVSAASEGNMELAAVVERRGKVLLLGEDLLSLPGVDAHDGSPTETLQKYLETLT GLKVSIGFLYSVYEGKSDGKQHIVYHAVAGEGEPAGGRFLKPDALVAAKFKTSSTADI VNRFAMESSIGNFGVYFGDETGGTVHPIPAKDAKA YP_002979536.1 MLTAGSTTRTAAATNAAGALPRKRTASGTAYHEAGSGEPLVLIH GVGMRLEAWAPQIAFLSAGHRVIAVDMPGHGESAKLPAGSRLEEFVDWFGRFLDEMAI DTANVAGHSMGALVSGGAAVTFGERISRVAYLNGVYRRDPEAKAAVLARAAAIPVSGV DKEGPLARWFGDDADSVTARELTRKWLNLVDPQGYAVAYAAFAGGDETYANGWKDVAG PALFLTGSDDPNSTPLMATQMAELAPQGYARIVEGHRHMVNLTAPDIVNSLLAEWLSF GEDER YP_002979537.1 MAIQIRKTGLQIETTLIEGGKAAAVPLKLFTAFAVVKNPWAGRG FVDDLKPEIHAGAPVLGELLTKMIIDAVGSGEAVEGYGKSAVVGLDGEIEHGSALIHT LRFGNFYRQAVGAKSYLAFCNTRGPANAPIMIPLMDKNDEGRRSHYLTIQTSIPDAPA ADEIVVALGASIGGRPHHRIGDRYQDLKDLGQDVANPAGV YP_002979538.1 MSGALKDAIRVERPAKTLRELALDKVREAIVNGYFRPGDRLVER DLCAQLGVSRTVVREVLRHLESEGLVANLPNKGPIVAQLDIGEAKQIYEIRGALEGMA ARLCAERRSPEIVAALEESLAGIRNSYRDNDMAAVLTNTSSFYHTLFTMVDRNVAWGV VNLLTVRINHLRSMTIKTERRGIEGPLQMSKIVDAIRRGDGEGAYRAAMDHVAAASAI AEAVLSGKKPAD YP_002979539.1 MYLAYDVIVVGSGFSAIAVTCNLIEQLPASAKVAVVGDDPGFGR GTAYRTELYLHRLNVPAGRMSLLPHQPDDFVDWLKSHGRPLQAGDFASRSDYGLYVRD TLARLLRKRDGRCRVDFIKAKAAGCIERYSSTLAFHLGNGDEIAGKTVVLCLGVGNAT LPVAPDGIPPSLRSRIIENPWRLSWLRRVAPSDAVCILGSGLTMIDQVLALRAHGHRG RIDVLSRRGLAPLGHARKPPAPLPIDVEKLPDTISGILKTLRGKSRTVADWRAVMDGL RPATQALWQRLSSKERARFLRHALPWWNIHRHRVAPDVFDRFETLVLDGTVRFHAGFL KSLGAEEGRLVAGYRVRAAREIAEIRADWLVNCTGMERAGISHSPLLKEMSRFELIAP DPLGLGLQVDSASEVIAPSRISPARLFAVGALTAGQFWEITAVPDIRVQAKAVVEEIV SRG YP_002979540.1 MKLSEQVKPISYLKAHAPEVIRKLKDNPQPVVITLHGEAKAILQ DIGQYEETQETLALLKVLALTNRQVEEGKLRPAAESFARIRNRLADSQP YP_002979541.1 MARELKKNVGASVRARLLDRSRAERTDFQILLTRYALERLLYRL SLSEHRDRFILKGAMLFVTWIADPFRPTRDLDLLGYGENSPKAIAETFHAICTQPVDA DGVVFDVDALAAAPIREEVEYGGVRVRTTATIGGARIPIQVDIGFGDAVTPGPVDIEY PSLLDAPVPRLRAYPVGTVVAEKFEALVSLGMANSRLKDFYDLWLIAETFELERSTLA EAVRQTFARRGTGIPTEGPTGLSEAYAEVWIGNGGRSLVANGWRPHHPPWARWSLISD ASYCR YP_002979542.1 MTFTTAQRLRALDLLKARGMLRLKDFVAEGIGPETLARLVREEA VVRPARGLYQLPDTQAEAAHALAEAAVLVPKGVVCLTSALQYHELTLQMPSAVWMAID RTAWRPKIDYPPIKFVRFKGSALTEGVERHRIEGVEVPITNPARSIVDCFRYRAKVGL DVAMEGLREGLRRRKTTSDDLWTYAKEARIWSIMRPYVEATVADGA YP_002979543.1 MAIYHLSMKPIARSGGRSAVASAAYRAAERLTNERDGLTHDFSN RTGVEHAEIVLPAGSSAYWAMKRSALWNAAERAEKRSDARIAREFEIALPHELTPDQR LVLTRAFAEDLANRYGAAVDFAIHRPGEGSDIRNSHAHLMMTTREVRETGLGDKTLLE RENRWLLANHLPPSQLQLKDLRQAWEHLANEHLERAGHDIRIDHRSHLEAGITIEPSE HVGVHATQIDRQGGAVSRVRISPQSADRNAEIVRRRPEEILKLITNEKSVFSRYDIAR ALHRTINDDPQTFQNAFASVMASKALVELRPDSSSVRGRDGEARYSTVEMVAIEGAMA TATVAMKARQNHGVAKRYVDAAIADQDRSIQAGNPSPGQGLSAEQRQAIEHVTGASQI AVVIGFAGAGKSTMLAAARHAWEAQGYRVHGAALAGKAAEGLEQSSGISSRTLASWEY SWQADRGRLNARDVFVIDEGGMVGSRQLARFVDEVKRAGAKLVLVGDHEQLQAIGAGA PFRAIAEAVGHAQLSEVRRQKADWQKQASIDFASHRTAAGLSAYEARGSVHLKTDRAE TLKVIIADYVADRSANPNDTRIAMAHRRDDVRAINAGIRARLQERGELSRSTGTSDDR GEELTYQTNNGKRSFARGDRIVFLENDRDLAVKNGMLGEVIAVAPDAIQVRLDGKAQT QDGQRQVTVPVNRYQSFDHGYATTIHKTQGATVDRSFVLASTTMDRHLTYVAMTRHRE EVQLYASLDAFKTVRSLTETLSRSGVKETTLDYTHDFANRRGMNDRRGQGESEVASQG ISKGIQPIPDTAVPKPMQEPRPLAARTIADGGSSHQDPNVDERDDERRVLVAAVKTYA MSVEAVGRAKAMPAFERDWAAAKQLAPQVFKDAPAAMDVLRGRILDENADPVALANQI STSPETIGALAGKTGLFGDNAERKHALSRIDALASHVRQSANTWQRRLAAECGSERWK REKQDVVEVLGPSRRSEALLRNLDDLPYSDKAKFVERLAATSEGRQALAEAKDIVSAI ETRFGRADPSDLADQLKRVGPDRAGDVERIREVARLADRSHRAELTQQMELKRSLSRT KSLGLGM YP_002979544.1 MARKTIGERLAQLEAQRKTLQIRLGKQERAIDTRRKVLIGALVL HRLEHDRDVHFAGGIAEWLRRELPKFLTRDGDRDLFDDLLKPQANEPTIGDIGNGSAT S YP_002979545.1 MIHASQIKSAFANMMRMAVRDPLWAIVAFITFPLRYARSFLKGA VGYVIVIVTVYFGIDYLRRVMLGGHRGDVIWHIGDWVFMLFAVVLLIRFLSEPLITHF STASDADTHGTARFGGTREIAPLTKAEGGLLIGRANNSGRLLRYGGPAHLLTMAPTRS GKGVGTIIPNLLTADRSIICIDPKGENAQIAGDARQKFGPVHILDPFGITGKPSAAFN PMNGFNPAGIDVAEDVSTLADALVFDEPGLSGDAHWNEEAKALIAGLLLHVLASQPDD RRTLTMLRHFLTLAPEAFRAVLDEMQASDAVNGLIARAANRHLGKSDREASGVLSAAQ RHTHFLDSPRMTAVLSRSDFRFSDLKANKATVFLVLPPDRLAAYSRWLRLLVAQSLTE MARTAPSPQPSAPPVLYLLDEFAALGHLAPIERAMGLMAGYGVQLWPIVQDIHQLRAT YGQRAGTFLSNAGVLQVFGVNDHDSARLVSDLLGQETVVFNTAARALDSERTGLSFAE QHVGRPLLTPDEVRNMHAETELLFIAGQRPIAAVKLRYYADPEFAGLFTASQI YP_002979546.1 MTAQPYRLYIERIDPSKNMARFYALSIEPNLFGETSLVRSWGRI GSRGQQKIHVFDSEAKAVDLLLSLLRRKRSRGYRVLQ YP_002979547.1 MSRQQSNQRSDIYSRITNTIIADLERGVRPWTKPWTTGHATSEV SRPLRHNGQPYTGINVLLLWSEAIARGFASSRWMTFRQAIELGGAVRKGETGTTVVFA SSFIRTETTETGTEIEQDVPFLKTYTVFNTDQIAGLNGRLDEMAPHQDPMSRIGNAGR FFANTGALIRHGGSAAYYAPQRDYIQMPCLDAFRDDASYVAILSHEMTHWTAAPRRLD RDLSRYAKDRSERAREELIAELGSAFLCADLGIVPELEPRPDHARYLDGWLKVLANDK RAIFSAAAHAQRAVDYLHSLQPELDEEAAA YP_002979548.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CALFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLAKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFASI AHERYLLAVARTNRLAEQAEIAIDDLRSEKIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRAFELKAVRGIDFRIGLGVAWNKED PTASRDDIVDIARSLARPAR YP_002979549.1 MEIRETFARNLRTLRQARKMSQEELAHRSSVDRTYISSLERCVY SPSIEVLDRLAAVLGVEPADLLRKLGKE YP_002979550.1 MNDQSKIEHFIERIVASASQAQLEIESKELDLNKVGIHGRTPLM VSAAEGLLAAVETLVRNGASVHAIGHGELTALHEASANGEAAVANFLLSLGADVNAET ADGVTPLMCAAAWGNTEVAKLLLENHADLTKTDRTGATAADIAREKGEDDAADLINSY LPRGSA YP_002979551.1 MEARLGVDVKPAADGLIHPLAKNGKAQGLSLNLDPKDLFIQKYG GAFPVNSLPEGLQALQSGKTGHFVVAPATPMTFETYQGLLNRIELGNFNVLP YP_002979552.1 MATDASIVATQLWNEFLTAESEADREAIRPAAIEAVEGALDEEI TEFRQGTFKILALSTKLSQAIENIGSASAKERLVAIQERLSEIASAVHDKEGMRTTWQ TNEEFEEVFDDEKDVPPATDFDVIPVGAPVNGDLSTVVLSTSRQFGDLADEYITLFRK ARYRDAAAEKAAKDFAKTAFGNKSRYQEVGQQLRIPWWFIAGVHLLEASFNFSTHLHN GDRLTARTFRVPAGRPKNGTPPFTWEQSAIDALKFEDLDNLQDWSLARALYRWEAFNG FGYRSRRIATPYLWSFSNNYSKGKYIGDGVFSPTAVSKQCGAAAFLKALVGLGHVSLE IGITTEGDGEATESDPASAEEVVDQDKPNIDGVISGNVDFKTFFDTNLPDVKHFQWHE FLVKGSRNATSGLNTDPPMALWSNILQVARILDRFREEIGHSVVLTSVYRSPAYNATL PGAAKSSQHMQFKAVDFKVVGAGTPRDWAKIIRSYRSQKMFEGGVGVYDTFVHVDTRG HNVDW YP_002979553.1 MQDFMRMQWYLRPHFVRVRLFCALLSLIALAACATRIQPEDLKS LNTSFAEAQKAGDLLYDETAKVIASRAKQGKDSCGTDAAGVPTCFNPQAIGGGRNEDP SVAVRRLALQLLTEYSLALVELSEGKSADELKSSINDIAAAASGIVAIAGVTTGVLPA VFGGPIVSSLSDLAGDLERARSAEAIRRSLLQQRETIAQLIDALIADTPQMYKIYYLS QQLAVLKASGAEITAMRQNTTAYHDSLAAYVALLRQAKVTHTRLATVARTPSNTPADF RMMVEEAANIQAKADTFWDAVRKLRN YP_002979554.1 MSDIDANANETANAELRSTANSQPEPRPIPHGMEYVALIAGLLI AGFGFYYITLSPSYWQLAALAVCIGFGIILVAFGTHVHGSYRGWTVVGAGAMAVVLYL VLAFVPLKTVRPYVLITLDSSSEMQSVAASASRSILVGRPTGSESFRMVAFPGDLNTK FINILFVNKPGKSPQEFYIRCVDTEPMRKALEHGEEMTFQLQQLDDTQEYVLIDGRTG QQVGTHTPLCHDDKAPQGVASVSDRLLRAVLSPASAAGLNSDIDSYLSGLPSETSETR DWARKSLSQLSSQDDWDHVASTWSISEADYRQDLGRLVAWVTAVSNDRANAVRIVESL SPEQMKYIVELTGSNDSTMRNWSTEFLSWALQATGWPSGSPDRAGDWAVAFVDALSKN SLPPQPLANGFRIDRRVNNAIVAIGFAGCNPENSLRNLLREQVTAISKSADYVFPDGI NVQKKAQDTLNDLMLCK YP_002979555.1 MNAADLVNDDPSQVLDENSDLFLMQKIFNATSSSRRVFSEVHFH DPEDPNLTIGMGHWIGGNIADLFHRLNEDAQVWKTLTEIWSAALSEDAWRQFHNETGI SERGASGLSAGLESTLCVSHPIKTCVENNLLRWASRVREGFNDEKHWFTAGWKQISRS ESVAATQLAFWADGVLVQGVDGAHARGIHTRGGVASVISACSSGLGSTMFGIGVTETK ASNGGISRRWSLTEVPDSARPIGRSNLSDQRLLEDWRALVAWQYYAISKGKIRDRMKA IWKAYYEGSWGGLNEGSLAAATDIPRHLGRAMNDAPFDFSVIFRS YP_002979556.1 MRPVAAFVLCFVLFSLPASAEQRPGLHALLVGVGTYQNSNGGSF RNLRGPANDVPALESVLRDRYGLMPANTETLIDQAAKRQAVVDGLQKLLSDAAIGDVV LFYFSGHGSRQFDKSMDETSQLDDTILPYDARDKDGKIPDIIDDELSSFVAKALDRGL KPVVILDSCHSGTGTRLWAQARTVPALNEPAAGWSSNKSQASQHNIFTGDSILLAAAQ DDEEALESDRDGVVRGEFSRALVRVLETAEEDVTYLDVLTRVRVTLNSQGVPHNPQGE GGLSQKFLGAGPLGKLPVRAEPEGAQRVKLFAGAVSGVTQGSQFALFTTAAEAGRGFP VLTDGVVTAVHPASAEIDLKKDVILPARLFAMEQAHSFGDLRLRVALSGGDEVKRGQV KDVIEKLSFVEIVPDRDATQWLDVSDKGVRLKRLDGSAVGPVRPLSAFTEGQGALLLG RLARYQALLSLSNPENPNAPVRARVLLATKGSDKVSNPKMQDGEAVIKPRQSFVLGLY NGSVSPKHAYVLNLDADYCVRLLMPPPSGSDEPLLGSVRTKLLRAPDRAGREYFLIIS TDRPIAPEALEQDCLTDETLRQGLATKLGDPLAQLLANAASTQRGPTPELSVKGWSTS FVSLVVRED YP_002979557.1 MKQQTGLCVFLLIVLLLGLFLRPGLAADDRPLAYLPISHLKQIV GAGRIAGTGLIVTAGTDGRVKTWEAATGLLISEYVTPGAMAVAGLAVDPSNSENVAIA VINDNSAYATLVGGDADIQILDLSNNRIVRSFRGQAGRLVFSPHGRWLTSAAYSLLSI WDAETGTLEATIEIQDGSMQAAFADDDTLVFRRNGAVVLLNLLTGQTRSFASSAKGVL AVSQDRKLLAEVGDREVVVRQLPDGTVVGSQPLMNSPEFIYFAEDGAVVAGGHFSSTF VGQNMIYRLNPGDWTLKTYTAGHSPLTLMADGGDSALLGQANGRIQRVDFKAPVRLDD LGQEADAITALGFSATGRYLAAGLEGGGALIWEPTSNFYRVLDPLNVVTTPPELGFSD VEQQKHVSATYRTGEGQTKSPEINKDGIVGLAFVGTEALMLVHKSGKAEIVNVTTGTV LRSFSVDSPNAVVSSGSVAVIHSYHNITVVMAETFETRQIALGNVSAISVAIKPQGDH VVVRGFEGTADVDLMTGIITPLSTKTEGVPVFRAGGDMATLSWSSPISVTPPEGAGGN NDLVAWRRDLSLGVLAQRTGAVRIWSGEQSTPLEFYAGGAISSIAVSPDGVTVAIGSN NGRIAFHRISDGHAKGELLGADRRGWLVKSSDGYFDGSYAAWANIRGTRASTRLSAEE PSVLFDTWFRPQLLSLIIADGAVDAAAPRSPALSARPPSVQIISPVSNYLRDAAPPMS TTSSLVSDERVDASGKQITWEVIAPNRQNSQVIGQKIGEDANVDLVSEVADLGDGVQE CRVFRNRRLVASSRPILNAGKAQFSSKIPLSDGDNVLSVYCFSNSGLRSQEAELHIYG SDSLRRDRTAFVVVVGIDSYQEGYKLRYAKADARLAAAKLEATLKSTGNYTRIVPVSL LDEQATASTILSGLQILAGSREPVASGPLSGLLASTPSDAVFFFFAGHGGGFAGDYRL IASDGLVGSDKTEGTVSASALRDVLTPLKADRTVLIIDACESGQTLDKLDKRAGPLAG RSLAQLAYDKAMFLISASQSRQSARELQRLGHGLFSYVLFEPGLGPQADDNKDGYVTI GEWLSFAQIQSPKEQDQEREMASMPVPAITYEEDAKRSTFFDEVENVAQTPRLFIPDP VLAREFVIVKTQGTQP YP_002979558.1 MAYGRFGAEVRSAGRRLVVLEGRSGRVYLFDLQSPENDPLQLVE TGAQSVAMTDTQVFVGGDGAIRVFDVAKPLSPVEVIFTPPAMRTFSGQIAVEDDLLVW TNGGLSLGDAGPTGPGRIEVFRRAGGAWRWQARLASDQTDGEDASGQSCCVEIDQGQI VVSTADGARRFAFQGGRWIEERLP YP_002984577.1 MKTKREAAEQPEQAQSGRAPFSQDPHAVREPKENNLEMAIGHEV RAYRKKLGITVTDLAAATGISLGMLSKIENGNISPSLTTLQSLSRALGVPLTAFFRRF EEPRNAVFVKAGQGIELERRGTRAGHQYNLLGHIDNNTSGVIVEPYLITLTADSDTFP TFQHEGMEFLYMLEGEVVYRHGDQLFQMEPGDSLFFDADAPHGPEQLVKLPSKYLSII TYPQRSSKG YP_002984578.1 MCGIVGLFLKDKSLEPELGALLSSMLITMTDRGPDSAGIAIYGD ASGSNAKITIQSANPKKDFADLEAELGQAVGAPVAIQVKSTHAVITLAKDLLDAGKAA VSELRPNIRIMSSGDAIEIYKETGLPKDVVSRFAVNSMAGTHGIGHTRMATESAVTTL GAHPFSTGSDQCLVHNGSLSNHNNLRRELKREGMTFETENDTEVAAAYLTAEMAKGKN LGQALEGAVDDLDGFFTFVVGTKSGFGVVRDAIACKPAVMAETEQYVAFGSEYRALVN LPGIENARVWEPEPATVYFWDHQKVA YP_002984579.1 MPVIDLATTPLRELNSALHNIQNGSNDLSFEVVNPRGSHSVAVG IDAPVTVDVKGSVGYYCAGMNDGGTVTVHGSAGPGVAENMMSGTVLIEGDASQYAGAT GRGGLLVIKGNAASRCGISMKGIDIVVHGNIGHMSAFMGQSGHLVVLGNAGDALGDSL YEAKLFVRGSVKSLGADCIEKELRPEHLTKLAELLEKAGVTEVRPEEFKRYGSARKLY NFNIDNADAY YP_002984580.1 MSYHNPYTPPRKSATFDDYTLAEIRRAAATGIYDIRGAGTKRKV PHFDDLLFLGASISRYPLEGYREKCDTSVVLGARFAKKPIHLKTPITIAGMSFGALSG NAKEALGRGATIAGTSTTTGDGGMTDEERGHSQTLVYQYLPSRYGMNPKDLRRADAIE VVVGQGAKPGGGGMLLGQKISDRVANMRNLPKGIDQRSACRHPDWTGPDDLEIKILEL REITDWEKPIYVKVGGARPYYDTALAVKAGADVVVLDGMQGGTAATQDVFIENVGMPT LACIRPAVQALQDLGMHRKVQLIISGGIRSGADVAKALALGADAVAIGTAALVAIGDN DPHWEEEYQKLGTTAGAYDDWHEGKDPAGITTQDPELMKRLDPIAAGRRLANYLKVMT LEAQTIARACGKNHLHNLEPEDLCALTMEAAAMAQIPLAGTNWYPGKGGY YP_002984581.1 MTLDLAAFAKDKGIKYFMISYTDLFGGQRAKLVPAEAIADMQKD GAGFAGFATWLDLTPAHPDLFALPDASSVIQLPWKKDVAWVAADCVMNDQPVEQAPRV VLKRLVAEAAKEGLRVKTGVEPEFFLISADGSVISDQFDTAEKPCYDQQAVMRRYDVI AEICDYMLELGWKPYQNDHEDANGQFEMNWEYDDALQTADKHSFFKFMVKSVAEKHGL RATFMPKPFKGLTGNGCHAHISVWDIDGKVNAFADKEMAFGLSAQGKTFLGGIMKHAS ALAAITNPTVNSYKRINAPRTTSGATWAPNTVTWTGNNRTHMVRVPGPGRFELRLPDG AVNPYLLQAIIIAAGLDGIRSQADPGRHYDIDMYAEGYLVKDAPRLPLNLLDALRAYD ADEGLKQAIGAEFSAAYLKLKHQEWNAFCSHFTQWERDSTLDI YP_002984582.1 MKSFVLTVSCKSTRGIVAAISSYLADKGCNIIDSSQFDDLDTGK FFMRVSFISEEGLSGADIDAGFATVAAPFEMDYDFHDSEKRMKVLLMVSRFGHCLNDL LYRWKIGALPIDIVGVVSNHFDYQKVVVNHDIPFHHIPVTKANRVQAEGHIMDVVEQT GTELIVLARYMQILSDEMCQKMSGKIINIHHSFLPSFKGANPYKQAYQRGVKLIGATA HYVTADLDEGPIIEQDTARITHAQSPDDYVSIGRDVESQVLARAIHAHIHHRTFINGN RTVVFPASPGSYASERMG YP_002984583.1 MALSWRFSVLADRHRALGSKLEDWSGMGTAWTYDKDMSEEHVAV RTKAGIMDVSGLKKVHLVGPHAIAVLDSITSRDLTKIYPGRSVYATMLNERGHFTDDC IVYRTGPNSWMLVHGSGSGHEELVKQAAGRNCAVLFDDDLHDLSLQGPLAVDYLAKYV PGIRDLKYFHHMQTTLFGAPVMISRTGYTGERGYEIFVRGQDAVMVWDRIVEEGKEMG IIPCCFSVLDMLRVESYLLFYPYDNSQMYPFADQPPGDSLWELGLDFTVSPGKTGFRG AEEHARLKGKERFKIFGMLIDADGPADLGDEVFADGKKVGVITCPSYSSLTKKSMAIA RLDVDKAVHGTKLEVRGKTVKASATAHTLPFDDPEKKKRIAVG YP_002984584.1 MLVAGIKSRPVYTGLTIQPNARRHIFALEGEGARALLDQQPALD ETALARSEILYVARGSQGTGLDETLRHLGADMFFTAPTISTLLFRLKGSLATAHMGTR LYLSGTEGFIGQAMLVALDYGMDHASVITEHRGSLARRVQCVHCKGITDDVTTSPFAC SHCGLPLLVRDHYSRRLAAFQGVNIDAEEPGSAPDPEELFL YP_002984585.1 MSGGTEIPVRVVRITPIAERVKRFRFERLDGKPMPYFSGGAHVI VLMNDGGHMRRNAYSLMSPPHDCAAYEISVLHVENSRGGSTFMHEKVSEGDELKVSYP VNLFQPDWRGRKHLLIAGGIGITPFIAMMEQFSREGANFELHYAIRTRDRGAYWQHLV ARYGGHRIKIYCDAEGGAMPLTRLLGTQPLGTHLYVCGPSGMIDGVLKSGLDAGWPEQ NLHSERFLSSLPGKPFAIELLRSGKTVKVGHHESMLEAIEAAGVDAPFLCRGGACGQC ETGVVTCDGKLLHHDVYLTNEEKASGRKVMICVSRFEGNTLHLDL YP_002984586.1 MAIVFKQETFRNDFSYRNSPENIRRFPFPFDRDEYMYSVNMEPH VHGRAGTVYESLIDVDEHYVAEMHDRALVLKEDPLRYQALPHMMSAQWDTLELLMEEQ AAGYPDHFTLIRNGDQWRWINRPLGIDDSFTFGDASTLPYEPFEYITRQAQGDFCIVD QRDSNLWMDAGMVTTQADWSLDFDIGMNFMEWHGPVPLAHQIGVFDRALKFLLNLQQG KPTRRFNWTMTINPRLDTSPENYHKWGPDRTTVTPDNVGEKVHLRVELQSLWRLPRSN AILFVIRCYLMNMEELVTVPKWARRFPRVLKTLPPELIDYKGLTRFRETTIDWFSKYD DGAPTSPGIYPD YP_002984587.1 MTRVAVIGAGPSGLAQLRAFQSAAQKGAEIPEIVCFEKQSDWGG LWNYTWRTGLDEYGEPVHGSMYRYLWSNGPKECLEFADYSFEEHFGKPIASYPPRAVL WDYIKGRVEKANVRHWVRFSTPVRMVRFDDQTKKFTVTAHNRVEDRMYDEEFDYVVVA SGHFSTPNVPYFEGVKTFNGRVLHAHDFRDALEFKGKDILLVGRSYSAEDIGSQCWKY GAKSVTTSYRSKPMGFKWPENFEERPLLIKLENRTAHFLDGSTKEVDAVILCTGYQHH FPFLPDELRLKTANRLWADSLYKGVIFDKNPQLFYIGMQDQFYTFNMFDVQAWWARDV MMGRITLPPEEELKANFDMWRAREETLEDAEQMIWYQGDYVKELLAETDYPSFDIEGT NQTFMEWEHHKAHNIMGFRDHAYRSLMTGNMSPTHHTPWVEALDDSMEEYLRN YP_002984588.1 MDFQTSILGRMSGFLYRCRADENYTMLEMTNGIERIFGYPVDEI VGNRTRTFTSIMYEEDVPLMDEIVGRALEKRTDWTMEYRIRHAMGHLIWVTETGGGIW NEKGELLYLEGSIINIESLYQRIDEQTSGMRVTASKTNEILQSLRYLKLLAVNAGIEA ARAGTAGSGFAVLAAEMRTLANSSEEAARAISNAQRKAEG YP_002984589.1 MTKVLTDARSIRIKGRSFLAVMLSPDLPIDDWLIRLDDLAARSA GFFLGRPVVLDLTDLQIDRPQLKDLIAELAKRNVSIMGIEGARPSILGSGMPPALKGG RSVSDIEVQAKEPADLPGKPAAAEARPAMQSIVIREPVRSGQSVIFPEGDVTVIGSVA SGAEVIAGGSVHIYGALRGRAMAGSIGNASARIFCRKLEAELVAIDGIYKMAEDMAAN LRGQAVQLWLEDDAIMAEKLI YP_002984590.1 MMGKVIVVTSGKGGVGKTTSTAALGAALAQRNEKVVVVDFDVGL RNLDLVMGAERRVVYDLINVIQGDAKLTQALIRDKRLETLFLLPASQTRDKDNLTAEG VERVINDLKRYFDWIICDSPAGIERGATLAMRHADVAVVVTNPEVSSVRDSDRIIGLL DAKTAKAERGERMEKHLLLTRYDANRAERGDMLKVDDVLEILSIPLLGIIPESMDVLR ASNIGAPVTLADSRSAAAMAYFDAARRLAGEVVPIAIPEEKRNIFGKIFGRRAA YP_002984591.1 MNIFRLFNKQRTAPAARERLQVLLAHERSSAGSDLVSLLREEIL AVIAKHVQLDHDKVQVTIDRNEYVSTLEIDVEIPLNAAVQAA YP_002984592.1 MKNAKNNELSDRRSAAAEAKAALLNAYRSAKDSAEPTRLAKQAE RQAIAAAREERRAQRERVKLEELERAQAAEAERQAAAEAAARADADAREAADKERIAR VIADEAARKAERDLRYANRKARKS YP_002984593.1 MTESHSKSRKQAEIAFGNAQSQFLARSRAVEEIDSTIRAREEKT LRLREAREAKELQDRLTGGTAPSAKRKKKI YP_002984594.1 MTTGTVKWFNSTKGFGFIQPDDGGADAFVHISAVERAGMREIVE GQKIGYELERDNKSGKMSACNLQAA YP_002984595.1 MRELHPAMTGLRPAAPSLVHYPGISTLPEGTERYRAKGGGSVVV RVEPGDGVIVIDSEGGQVCEISFLDEKGRFLAAGLGTAFSNSADGLKAILQAEDEGAA RTRAALQRRGADLAKAGALSIFGSGSTPGSRAEFTISMKGLLIVAAPASAMSPEAQDT ATPIEIRIKRSLLIRDYASALPEPAADPVEDIRIRAATAAAYFVRAGEFIQIIDVYGR QCTDFQAFAARKVDKGLDLALDSTVTRTLLGRSYPMPGLPSKAFDRDFEPLVEIVQDT VGRHDAFATACNSRYYDDMGYPGHVNCTDNFNAVLAPYGIAGRKGWEALNYFYNTNID HNNQLYLDEPWSRPGDYVLMRALTDLVCVSSSCPDDIDAANGWDPTDIHVRTFSGKEK FSRAVAYRMTPDADAELTRETAFHPRLSALTRDYTEYRGYWLPNRFSSEGPVEEYWAC RERAAVIDLSPLRKFEVTGPDAEELLQYCLTRDVRKLSTGQVVYSAMCYENGGMIDDG TLFRLGDKNFRWIGGDDFSGIWLRQQAEKKGFKAWVRSSTDQMHNIALQGPKSRDILK EIIWTAPRQPTIGELEWFRFTVGRIGGFEGAPIVVSRTGYTGELGYEIFCHPKDALTV FDAVWEAGQPHGLKPMGLEALDMVRIEAGLIFAHHEFTDQTDPFEAGIGFTVPLKSKQ DDFIGREALIRRKEHPRHLLVGLDIKSNEAVGHGDCIHIGRAQVGVVTSATRSPVLGK TIALARIDVMHANPGTEVEVGKLDGHQKRLPATIVPLSHYDPQKTLPRS YP_002984596.1 MTDVVEAGIVSGTEGKLVRALDWKGAFWVAAGVPPLVLFSIGGI AGTTGKLAFVVWIISMVMGFLQSFTYAEIAGMFANKSGGASVYGATAWLRYSKFIAPL SVWCNWFAWSPVLSLGCAIAAGYILNAFYPIPAADSQMVLDWISAHAASITADSPRVA EYIAAHTGTTPDDAVKALLGTDGIAALTPAIRSWSLLSFNIPFLATANINATFFIGGM LMLIIFAIQHRGISETASVQKWLAIIVLVPLLIIGLYPIVSGQILATNVTGLVPPTAA YAAADGTWSNGGWTLFLGGLYIAAWSTYGFETAVCYTRELKNPKTDTFKAIFYSGLAC CLFFFLVPFAFQGVLGHAGMLAPGIVDGTGVAEALGGLIGAGRIVTQLLVVLMIMALF LAIMTAMAGSSRTLYQGSKDGWLPKYLDHVNEHGAPTRAMWTDFAFNLFLLAIASDVG GYFFVLAVSNVGYIIFNFLNLNSGWIHRMDSGHIERPWKAPTWLIGLNTVLAFVNALF LGAGAKVWGYSNALWVGFIFAALILPVFAYRHYVRDGGKFPAGAMEDLGLVGQDLGVK KAGMLPYLALAGGLAIVLIANVIFQLPA YP_002984597.1 MDYRKLGPSGTVVTAYCLGTMTFGAEADEAASHKLLDDYFAWGG NFIDTADVYSAGKSEEIIGRWLKARPTEARQAIVATKGRFPMGNGPNDIGLSRRHLSQ ALDDSLRRLDLEQIDLYQMHAWDALTPIEETLRFLDDAVSSGKIGYYGFSNYVGWHIA KASEIAKARGYTRPVTLQPQYNLLVREIELEIVAACQDAGMGLLPWSPLGGGWLTGKY KRDEMPTGATRLGENPNRGGESYAPRNALERTWAIIGVVEEIAKVHGVSMAQVALAWT AAQPAITSVILGARTPEQLADNLGAMKLKLSDDEMARLNDVSAPQPFDYPYGKGGINQ RHRKIEGGR YP_002984598.1 MPGDNSKGADEDVVIIGAGAAGIAAARRLQAIRPDLSILLLEAG DRLGGRAWTVGLPEAADIGLDLGCGWLHGARTNAWTAIAGEVGLTVDHTPAPWNDGGR RLQRDDAEARAARQAIGAYFERLESHAGNDAAMADMLEPGNPWNGQIRAVGTYITGAE LERSSVVDYNRYDPGPGPDWRVREGYGTLVSLYGKPVRARLGTEVTRIDHRHAGRIGI ETNQGVLTARAVLVTVSTNVLAAGKIAFDPPLPDKIEAAARLPLGLADKLFLRLTNRE ALPADTHMLGSNSRGATGTYQLRPFGAPVVEAYFAGDLAHHLEGQGREAAFSFAADEL AAHFGADIRKELSVAAMSAWAAAPHIGGSYSYAEPGASDLRGRLATPHEERIFFAGEA CSTSRYSTAHGAYETGVAAADRIAGSFWQNP YP_002984599.1 MSSSSLGLLLRLVHQHWTQAVEAALDEAGYGDIRPPHANVFTFA RPEGIQVSELTKLARVRKQTMTQAVEELERLGYVERRPDPTDRRGRLVFLTEKGQGVR PIAMAAGRRVDESWAALTSQQQMDDLRTALQRLLEQLQ YP_002984600.1 MPTIDILNSFISYEELGEGDPIVFLHGNPTSSHLWRNIMPGIGP GRYLAPDLIGMGRSGKPDIGYRYGDHIAYLDAWFDALDLDDVVLVGHDWGGALAFDWA SRHVEQVRGIAFMETILRPMGWYDLPGGGKARYELLRGAGTGETKVLDENFFIEQALR ATTLKGLSDADWDVYRAPYPDRNSRRPLLEWPRAMPINGEPADVVARIEAYDRWLCAS PQTPKLLLTFDGPSETLLIGSEMISWCRDNIAGLEIRGCGPARHIAPEDQPEAIAAEI KSWIDRKGLRTAQRKVASQT YP_002984601.1 MPRFDRRRFLLAWLRAPLCIASITPSGPRLAGLTYGPKCSVPIE ILDSLGLVSKRIDWTLRNIPPAAVYRISRRYQPMALIEQRRAS YP_002984602.1 MRILLIEDEPQMAVALRGALTRHDMVMDHVSTLCDAEMVIGDGA YDAVLLDRQLPDGDGLELIPKIRKKGLTLPIIVITAKGEVPDRITGLETGADDYLAKP FVFDELLARLRAVMRRSETLRPALISIGRLSFDPTYCDIIVSGLRLEMPRREALVLEC LMRRAGRMVPRPALMEAVFGFDDEIQSNALDSHISRLRRKLAASQAGVVINVIRGVGY LLREAS YP_002984603.1 MSKRCSYSLRKRLFWRLLAVQSVVLILVMIVLISVGKISEFRST EGTIEVLGQAVFRQPTGELSLRETEDLRQLRKDAPDLWYTIRDLQGHVLTEGLVPEEY AAIGNTLDHVGQAKFGSNLDDHDRPAARMKWIATDGGQLQFLTGTGPNSSTFILLKLS LVLAKIVIPIVAVMALGALIATPLVVRRSLVGIDQAALQADTIDIDQRGGRLSENDTP EEIAPLVHAVNRALGRLDEGYERQERFLTDAAHELRTPIAILNTRIGSLPHSSIKTDL LEDAARLAVLTEQMLDLQRLKQGKVQFIKIDLGQLARKVIIEMAPLAFAAGYTVQFDV DGAGEIEGDPLALQRALMNILQNAINHGGRKGIISLTSGCNWIEVSDEGPGIPADMED RIFEPFFKRHHDGRGAGLGLNLVRDILRMHGGEVTINNRSPGAVCRLRFPPDKSAGVY TPSHLATA YP_002984604.1 MSVHRDSQLDMFAKASPVTAKARGPARRRPSQPVVHSDENMARA LEESGNYRILRKLVARPIASVKRPGFSRLGVILDTETTGLNHRSDEIIEIGAVAFTFN DDGAIGDIVGIYGGLQQPSRPIPPEITRLTGITDAMVEGQLIDIQSLRTLIEPADLII AHNAGFDRPFCEAFSKIFTGKAWACSVSEIDWSARGFEGTKLGYLVGQAGYFHEGHRA VDDCHALLEILDREQHDGESPFTELYRASQRSRIRVFAEHSPFEMKDHLKARGYRWSD GSDGRLKSWWIEVGEEDLNDELSYLRSDIYRWAEAEPPMVRLTAFDRFKL YP_002984605.1 MNVARSFNNWRKYRQTVAELGRMSARELDDLGIGRGDIRNVARA AIAR YP_002984606.1 MQIDVRHASHPEAVRDFDTETLRRHFLVETVFASGEIRLTYSHY DRMVIGGATPIGPGLTLTAPTAIGQETFLAERELGALNIGGAGRIIVDGTNYDLAKYD CLYVGKGSKDIRFESTDTANPAKFYLVSTPAHQTHPTVLLTREKARHLTPGEAATANK RSIYQFIHPEVCQSCQLTLGFTMIEPGSVWNTMPAHTHDRRMEAYLYFDLEAEQRVFH FMGEPQQTRHMLVANEQAVISPPWSIHSGAGTKNYSFIWAMAGDNKSFTDMDHIAIAD LR YP_002984607.1 MANPFDLSGRTAVVTGANTGLGQAIAAALAGAGASIVAVGRSSM DETEALVKEAGGRFHVVKADLASIEPVKGIVTEAIQTFGGLDILVNNAGIIRRADALD FTEEDWDAVIDVNLKTAFFLSQAAGRHMVDKGRGKIINIASLLSFQGGIRIPSYTASK SGLAGLTKLLACEWAGKGVNVNAIAPGYFVTNNTTALREDADRNAAILSRIPAGRWGT PSELGGAAVFLASSASDYVHGTVLPVDGGWLAR YP_002984608.1 MADKDNAVFNTIQQAPNLRSNLADMLTAQIESGDLKPGQRLPTE QAIMIATGVSRTIVREALAALRAKGLITTRQGLGAFVSNDPTPRSFSIIPNDLQSIDE VLRVLELRMGVEYEAAGLAALRRTQEDIDRMQDRLDALDKALEEGGYGAQEDYAFHRS ILVATQNSYYGRLFDTFGNIMVPRQWARLDKMTSAERKRHAARMRREHHAIFAAIRDR DEPAARRAIRSHLSKSAARFEELRDATA YP_002984609.1 MATDLDRSFQMPRRDELGLFTISNGSGLSISALPNGTLFAIEYA DDKGSVQINQIQGSPLTGGVSRLYLRIGGAAPDVVELVGSFADGSFGHDATSLSWSGK RGDIGYNVRLELHPSETAWFWRVSIRHLKDGTLPVDLVLIQDVGLGDRGFLMNSEAYA SQYVDHHIADHETFGSVVMNRQNLKQSGARNPWLVQGCLDGAAAYATDAIQLVQASDR LGDLLVGPFGTNLPSKRRQQETACPAIQSKSLSVPASGATATFFAVFAADHPEASSDA DLSRLDELAATEGTAADIAEAAPVRSLLQDAALLKAEALDKKMISQLYPQRSLEERVD GKLLSFFVSDGVLNRHVVLRDKELLVARRHGAIVRSGENMLLDDRTLAATCWMQGIFA AQLTIGNTSFHKLFSVSRDPYNLTRASGLRIMADVGAGWQLLAVPSAFEMGLSDCRWI YRLPERTIIVSAVASGEDAAMQWTVSVEGEPCRFLVFGHVVLGEREYDAGGQIEFDTS GKRLLFRPDPAWLWGERYPDAGYWLVSSTPDAIEEIGGDELLYSDGVTRNGAFVALRS LMTQALSFAVVGSMTDAAEAERLAQRYQAGVTDEAMLAPASKFWRNTVRGLTVASTSP DLAAQTTLLPWLAHDAIVHLSVPHGLEQYTGAAWGTRDACQGPIEFLLAYEHDREAKQ VLKTVFSEQYLGKGDWPQWFMLEPYANIRAGDSHGDIVVWPLKALCDYIEATGDLAIL DEKVSWRDEKTMARAELDTIAIHVEKLLDTVREAFIPGTHLIRYGEGDWNDSLQPADP HLRDWMVSSWTVALLYEQIVRYSAILRRLGHGGKAKGLRKIATAMRRDFNRHLVRDGV VAGYGIFDPSHDGVELLLHPSDRRTGLHFSLISMTQAMLGGLFTPAQRQGHMKLIEEH LLFPDGVRLMEKPAAYAGGPETLFRRAESSSFFGREIGLMYVHAHLRYCETLALDAEA EELWKAIALVNPISVTSALPHASLRQRNTYFSSSDAAFHDRYQAAAEWERVKAGKIAV DGGWRIYSSGPGLYTRSFVENILGFKRRFGRRKRKPLLPAVHASADLQTDHAVWRRLM KPKPEV YP_002984610.1 MTQFFETTQGIMAMGALIGAGGLAAGSLLPIVIRLAKSGINRRP KAEVRNLAMLTVLALDDFVGASYAAVHDMPEFNPMDEGQFAFHVPDPTLALPREANWG LFNTELSEEILWLSNRVKTLSYALDSLDLSRPGYDGFFERRQEGYAGLAAEAMDIIER MLEEFDLTLPGKPDYYRQREGLVSAVQKAGENTSQRPKARTVAHPSGSNVLQLFPKAN DDAE YP_002984611.1 MLIESSGILSKPVDHKSRIRVVDLETAGNGLNDVCEVGWQDVVL GHDGGWQVDEERGSLLINPGRPISPETMAIHHIVDAEVATAPFWKEIASSVLRPEGGV IALAAHRASFEQRYCTPRFTGGAAWICTWKCALRLWPELPRFSNQMLRYLRMPEGLVH AIGLPAHRAMPDAYVTAHHLRDMLNESTFEQLVKWSSEPGLLPRVPSGPERGKSWDRL DIAALQAFARDRDADLRFSAETELRRRGDADMKVADEAEQGTLF YP_002984612.1 MAERMSERAKGDIDAILERLYRVSPELDRIAADCERALRLNAEA RGDYISPRTMQAFAEMRDAVSALYGSAQNAMKEADRFFKPKS YP_002984613.1 MDHSKHVRLGTDELTPAVLEGATVYGADDDKVGSVDHMHGSGSS ANVVIDVGGFLGIGAKPVSVPISDLDFMRDEDGDVHAVTSWTKDQLKDMPEHRD YP_002984614.1 MSERLESARPDETPAIVWLGFMAMCVGMFMAILDVQVVATSLPT IQSALGIDPDQMSWIQTAYLIAEVVAIPLTGLLTRLLTMRWLFVTAISLFVAASAGCA ASGSFGELVAWRVLQGFSGGTLIPSVFSAVFILFPNERQALATTIAGVLAVLAPTVGP IVGGWLTETYSWHWLFLINVIPGIVSAIVAAWFLPRQALDPSELGHLDTLSLLLMATA LTTLELSLKEAPTSGWTSAYVLSLLTICLTSGGAFIWRTLRRRRPIVDLGNFGDRNFL VGSVLSFVLGIGLFGSVYLMPVYLAFIRGHDALEIGMTMLVTGIAQLITAPIAVALEK RMDARLLSAAGFALFAIGVGMSAFQDPRSDYDAMYWPQVVRGVAIMFCLLPPTRLALG TLPPERIPDASGLFNLMRNLGGAIGIALIDTIIYTRSEPLGQGLWTRLQAGDVEAASF VGAPLQTIAGHNGSFDADTTALLDPLVQTAASVQAINEAWMVIAVLTGCALLSVPFAR RPTPT YP_002984615.1 MTSINTNNSAMSALQTLRSINSNLETTQNSVSTGYRVDTASDNA AYWSIATTMRSDNKALSAVSDALGLGAAKVDTAYTAMENAIDVVDEIKSKLVAATEDG VDKSKVQEEITQLQEQLLSIAQSASFSGENWVAGESGTKSVVSSFVRDGSGAVSVKVT DYALDTSSTGNVLFGMSSGTIDTASGILGTVDANGDSVYSLDITDFTTGQIQSALSTI ESALSAMTSAGAQLGSISTRIELQEDFVGALSDSIESGVGRLVDADMEEESSRLSALQ TQQQLAIQSLSIANSSSQNILSLFRS YP_002984616.1 MSTSRPKALRLETLWNPPADGKEFSYVLRLKNLGTEPLSNFSLC VSGPGRVDPAGRVEGATVSQRLSNFTEFQPPANFVLGAGETWTISVHALSWQFRHWTD GATSGYLALSDGSTIVLSIEPTRSSVSNAPLKRGAEIYPVPINAPVQVSIIPWPNHVA VTSRRPLPAGFAPQSQSAAGEAASRSFAALVEHLFAVEGIMRSEAEGAVPVALKDAAG LGPEAYRLSFEGEAITIEASSQTGFLYGLVTLGQIWRGARLHPEVFQFPASGEIVDEP SMGWRGLHLDVARQFYGAAEVKKLLAVLAWNKLNRFHWHLSDDEAWRVEIDAYPDLTA VGAWRGHGLAVPPLLGSSPARTGGYYTKASIREIVAHAKSFGVEIVPEIDVPGHCYAM LQAIPELRDPAEAGSYYSVQGFPDNCINPAREKTYEIIETILLELIELFPFKVIHLGA DEVPLGAWSGSPEALERLRTVAGDEVADAHAKRLNVVTNTHGADDIHGSGAAILQAEF LNRVQRFLASKGCITGGWEEAAHGDVIDKSKSYLCSWRNVEVSAELAERGYEMVVCPG QVYYLDMALRPDWDEPGASWAGTSDAEKLYNFDPIGGWTASQKQKLLGIQACIWSEPM TDRAVFDRLVFPRLSALAETGWTKPSSKSWERFRALAGLMPLLYGLQQS YP_002984617.1 MKNLSNQPCLIARSLALVGDAWSMLIMRDAHAGLTRFDEFRKSL GIVPTMLTGRLSSLTEEGLLEKRRYSERPPRDEYVLTEAGRDFLPVLFAIGAWGRKHR SGGAVTRFFDAATGTEIDPLTIDRATGAEIGTRPIRIAAPECELPAADGAAPDNAL YP_002984618.1 MSKRERGIALVTGASSGIGLVTAKALLRDGYKVFGTSRKPMADT ADGITMLVCDVIDDQSVQSVVDEVLKRTGRIDLLVNNAGIGLLGGAEESTTEQAKAVF DVNVFGTMRMTNAVLPVMRRQRSGRIINLSSILGLIPAPFNALYAATKHAIEGYSESL DHEVRTQGIRVVLVEPGVTRTSFEENITRPDRPLAVYDAVRADAERLMREIVSKGDAP EVVAATVIRAANAASPRRRYTAGKAAGQIRFIRRFLPESFVDKNLRKFNKLPD YP_002984619.1 MKAFLIDHYKKGGALRLGQSPEPLLRENDVMVEIHAASVNPLDA KIRDGEFKLILPYRLPLVLGNDVAGVVVRVGANVRQFKPGDAVYARPGKDRIGTFAEY IAIDAADVALKPANLSMEEAASIPLVALTAWQALVERAKLQKGQRVLIHAGSGGVGTI AIQLAKHLGAHVATTVSTANIDLVKSLGADVVVDYRKDDFEKVLKGYDVVLNSLGKET LEKSLAVLKPGGKLISISGPPDPDFARQNGFGFLLQQVMRFLSFGIRRKSKSRGIGYS FLFMTANGAQLGKITALIEAGAIRPVIDRAFPFEKTNEALDYVETGRVKGKVVIAVK YP_002984620.1 MKYSHPSVFRFLSASPTAQMSQSIDLGFGRSAAIWSNAHDRMSY QRPNDHTFSLYLRGGAGTRRLDGSPAARGRPGVLCIMPQEHSSEWEITDFSQFVHLYV PDDQMRRMFAETFDRDARLMALPELTFADAPVLAHTLRQMTKAMVTGGHLLAEEAMTQ AINDFFVDPRYGGMRPCAISGGLAPHVRRRSLEYIEAHLGETIRLQDLATIGQLSAFH FQRMFRASYGVSPHGWVAHRRIERAKSMLSGMDPIAQIASACGFSSQSHMTRAFKSGT GVTPSAYRQRP YP_002984621.1 MANAALFIATVLIWGTTWIAIAMQVGPVPVLVSVFYRFAVAAAI LVAILVVMRRLKLPALRDQPFILAQALCLFSLNFICFYNAAASIPSGLISVIFSLATI YNAVNARLFFGDRITGRTLLAAALGATGLLLLFGHDVVVDFDMATLKGIGLAALGTLF FSLGNMASRRNSAVGISPLTANAWGMTYGAIILLFLIAVTRTPIVAPPNITYLAAMLY LAAIGSVIGFTTYLMLVSRIGSSRAAYATVLFPIVALSLSTVFEGYHWSGLGLFGLAL TLLGNVVIFAPPLARRPQSDARLPAGG YP_002984622.1 MKAISGTNLEQAKSHNRRVVIEAVRTNGPLSRAAIARMTALTAQ TVSNIVEELERSHLLVPSQAQKLARGQPIIPYSINPRGAYSIGLELGRQRASGVLTDL SGAVCARIERHVEHPDPQRAMPALQAIVEDLQEAFAFDRNRLLGVGMALPGRYADGGT TSLSPLNLPGWQDFPVRHELEQRIKVPVLVENDATAAAIGERLHGVARGLGSFVYLFL AGGGGIGAGMFLDGHLYKGSRNNAGEIGHIIVEPHGKLCSCGKRGCLDRYVSPAVAYE FMGIANAEELSSDDLDALIAKGGEGLDVWLDQAVQPLRQTVDFLELAFDPQTIVLGGS ISTSLMLRLAERLEPLHTPIDPNQKRAVPRVMIGMTGKDTAILGAAALPIFSETNPRF DVLQKPLG YP_002984623.1 MSRLFPDVFRNPAIRASMIAIFIFGMAGAMTAPYRSIIGIRELG LSDGLYSFLSFVSAAVNVVISILLGNLADRLGEYRSTMIGACLFGIVGYGVVYAFPSA AVFVISGLLPLPIYGALNSLLFANARAAMHGMNRSDMVTANSGVRAMISLSWVLIPGI TGLLLSGASSMLPAYLFASISCLLCQGIILFALPKRAATEMAAVHHLTYLGALGQVVS PRISAHICGVALITSTLHLNDALLPLIATGAAHGKLSDVGILVGIVALLEVVFIIVWS RIARKTGQMTALGAGTIIYAVFLSLLGFASEPWHLYALTLLAGIGASAIITIPITYLQ DLIADRPGLGSALISVNIFASAGIGALVFAAGTYVTGYSGTAILSAVTGLAGIAIIGL LRRGKAR YP_002984624.1 MTQSIAIVTGAAGDIGAAIAARLADDHDVVLLADIDAEAAAAVA LKLGPDNRFVAVQCDVTSETSIAELARRAADVGVCRTLVNNAGAARATSLHDTTPEIW RADNALNLEAAFLCFRAFEPMLTISKGSVVNIASVNGLHVFGHPAYSVAKAGLLHFTR LVAVEYGKFGIRSNAVAPGTVKTQAWEARAAANPNVFEEARRWYPLQRVVDPKDVANA VAFLAGPLAAAITGVCLPVDCGLTAGQAELARTFSQSEHY YP_002984625.1 MDIFSTLQEDKGRLSPSESRIAEIIVNDFEFAVNASIIELAERA KVSPPTVTRFCRRLGCESFSDFKVQLARTAHIGVRYLKPESKSTDPADVAQDIITKAQ NALFLLHRSLDLAAIEAAVSHIAKADMIYAFGSGGNSSMIADELQNRLFRLGLRITAS SDHSMQLMMAAAARPGDVLIGSSFSGRNMELVRAFELARQTKVKTIALTQTDSPVAKA AEIVVPIDLPEGHNIYRPTSTRIAYIATIDILSSLVAYAVQPKATTTLRRIKQQLVIH RDGDDRQLLGD YP_002984626.1 MPIKRYGTVQTGAGGKALPFARAVEADGWLYVSGQVAMEDGEII DGNIIAQTHKTIANVLSILDEAGYGVEDVVRVGVWLDDPRDFWTFNKIYQEYFGEHPP ARACVQSSMMVDCKVEIDCVAYKKRDG YP_002984627.1 MRDPFQNPFPSDSARHAIWEMLVPRDIDAFLAADWSMVEHDFVE EGFIGIDAQKQVNPDRWRLAFPTLSAYRQEWLRQAKDFAEQSFAEDARTAIFATTTLE DIEIEGDMALVRKKFDGGITKSDDSRDVLQWQTLYYCRLHQGRWKISGFTGYLPNPMG YP_002984628.1 MRIFTAALATETNTFSPICVDRRAFEASLYAPPGQHPETPTLCT APITVGRRVTREKGWELIEGTATWADPAGLVNRTTYEELRDEIIDQLSAAMPVDAVVM GLHGAMVAAGYEDTEGDLLSRIREIVGPDVLICAELDPHSHLTAKRVAALDFAVYFKE FPHTDFVDRAEDLWRIAVATLEGRIKPDMSVFDCKMIDVFPTSREPMRSFVDKIMQIE KDDPDVLSISVIHGFMVGDVPEMGTKLLVVTDNKPEKGAALARELGLEIFSKRGTFMV PQIDEKEAVSRAMTATAWPVVIADVWDNPGGGTAGDATVILGELIARGVTSAAIGTIW DPVAVQICFAAGEGAEIPLRFGAKSAPGTGNPVDGTVKVVKLVKNAEMQFGESLAPFG DAAHIVLGGIDIILNSTRAQSFDPSLFSAMGIDPARQKILVIKSTNHFFASFSKIAAE ILYCSAGTPYPNNPATTPYKRAPKTIWPIVADPHGPERGAA YP_002984629.1 MHDNRFAVVAKAGDRIADLSTPRPVIDEDRLAANIGRVQSYMDQ HGLNFRPHIKTHKIPALAVAQVAAGAKGINCQKVTEAEVFAEAGFEDILITFNILGPQ KLERLAKLNEKISALKVVADSEVTVDGLAAHFSGHKPLNVLVECDTGGGRCGVQTPDE AASLAKRIGASDGLTFGGIVTYPKPQSAAAVEAFIAETLAQLKAEGITCPIVSNGGTP SLFEAHLVTSATEHRAGTYIYNDRQMVRMGHCTEDNCAMHVLATVVSRPNADRAVIDA GSKALTSDLQGFSDYGLVVGYPEARISSLSEEHGVIDLSNCTGPRPQIGQKLFIIPNH TCVVSNLFDTMVFHRGGVVTRVEQVAARGLVW YP_002984630.1 MTDQSIQRRLAAIVVADVVGYSRLMEADEVATLATLRELRSGVI EPAVMRNHGRIFKVMGDGFLIEFGSAVDAVAAALEMQRATTAVEASGDRRLLLRVGVN LGDVIDDGSDVLGDDVNVAARLQTQAAPGGICISANVHGEIVGKISDRFFDAGERYLK NLTRPVHVWHWPDALQSILPLPECPSIAVLPFTNMSGDDADAPFVDGLTEDLITDLSR TVGLFVIARNSVYVYKGKPVDVRLVAQELGVRYVLEGSARRAMGRVRINAQLVDTLAG QHLWADRFDRTVEDVFELQDEVNAKIVEALVGRLTIPTPRNRPKNFEAYDLCVRARLL TEESPQTEREAYMLLQRAVELEPSYAEALGLLAYNRWLAWTHFGEPEDPNRRMAATFA QKAVDLDPNDAGCRYVLGTILAYERRWEESEAAFAKALELDPNHADTWAAMSDMSVLD GRVADGLAQIEKALRLNPYPACWYLCHLGQAQYAAHDYEAAAATLRRKDTYRTNSRKF LAATLVQLGHREEARREAELFLIAHPHFTIGHWLSSQPLRDASVRDHFVDGFRKAGLP EM YP_002984631.1 MGQLLLNKVQKFYGDYEVLKGVQLDVKNGEFVVFVGPSGCGKST LLRMIAGLDATSAGDIVIDGIRVNDLPPVKRGIAMVFQSYALYPHMTVFENIAFPLRV ERMEEEKLKAKVENAARILHLEQRLQQKPGMLSGGQRQRVAIGRAIVREPKIFLFDEP LSNLDAALRADMRIELAKLHRQLKATMIYVTHDQVEAMTMADRIVVLDSGDISQTGAP LELYHKPANQFVAGFIGNPKMNFLPVICKGVSANGVEVDYQGQTAVLPVTPRDGMVGK ALTLGIRPEHIQLGGGDIVFTVTPTVIERLGANTVAYASLNGESENFCAMLPGSVGIR ADAPVATGINAADCHLFDEAGIAFERRVELTEIDMNVINPTAA YP_002984632.1 MSISIKTGLMALALLGSTALTAVTAQAADKEISWIYCGDTIDPV HTKYIKQWEEKNTGWKIAPEVVGWAQCQDKATTLAAAGTPVAMAYVGSRTLKEFAQND LIVPVPMTDDEKKTYYPNIVNTVTFEGSQWGVPIAFSTKALYWNKDLFKQAGLDPETP PKTWAEEIEMAKTIKEKTGIPGFGLSAKTFDNTMHQFMHWVYTNNGTVIDADGKVTLD SPQILAALKAYKDIVPYSEEGPTAYEQNEVRAIFLDGKVAMIQAGSGAADRLKATKIS WGITTLPLGPDAKGPGTLLITDSLAIFKGSGVEDKATEFAKFITSPDVQSEYELQGGA GLTPLRPSAKVDEFVAKDPHWKPLIDGISYGGPEPLFTDYKGFQNSMIEMVQSVVTGK AEPEAALKKAAGEVEAFK YP_002984633.1 MSNAAMIDRYRWWEIILIYCGIALFLFFVLSPFVEGFLVSLKPL SQLFSSPYRFWPENGSFEAYRTMWISVPGFGRYIFNSFFISIIVTLIVLCLVIPAAYA FAKFEFRGMGILLGAFLTVNMFSGAVLLIPLFRLMRSIGVLNTYLAMIVPGVAFLIPS AIWLLRTYMIRIPQELNEAAYMDGASHFYTLRRVILPIAMPGIIVVAITTFIGAYAQQ FIFALTFNSKTEYMPLPVGLFAYFGKQEVIWNELMAASFVGIAPAMVVIFFLQRYLVG GLTAGAVKQ YP_002984634.1 MSVQRSTFIFACVLLLPAVLYVLAIVAYPLVDTFILSFTDASLR KTTNWVGWANYEKIFNERFAEVIIRTFIWTFFSVALKMVIGTFGATMLNAAVPGRSLF RLLTMPPWIVPMAIGIFMWGWMYNGQFGMISGMLQRFGLVDGPVAFLAYGNTAFWATI ITDVWIGVPLVTIYFLAAIQSIPKDLYEAAWTDGAGRWYRFRRITLPLMVPAIITMSM LSLIATFNSFDIIWILTQGGPSGETTTMIIDTYQTAIGSKKYGEGAARAVLICIFLSL FCFAYFRVTRRLNPEKRA YP_002984635.1 MKVGIIGLGFRLGYLGYVFKAIDGSFDIVGYVDPEPAGLPGLTE KGISVGKAYGSPEELLASEKLDLLMIGSPNHLHLDHIRLGLQAGLKVFCEKPIVTTIA ESIELAHLMAKFGHERLMVGLVLRYSPLYKDLRAIQAEGKLGQIVSIEASEHIEPYHG AFFMRDWRRYERYSGSFMLEKCCHDLDLYNGVAGARPERVASFGGRKSFIPANDPARE GINDLELFHRKPSGWMGSDKVFDSDADIIDYQVAIVEYANGVGMNFHTNLNVPDQFRR FAIMGSRGMAEGDFVRGYLDVHEQLTGNKVLENKYAATELSQHYGADEQMASDLLESV RTGLELPVSTLNALEAGILALAMDEARMKKAVVDLRPIWDRFDEALHARAA YP_002984636.1 MTRMTAKDFPQELLELYDYYAHGRITKREFLDRAGKFAVGGLTA AAILSSLSPDYALATQVEFTDPDISAEYITYPSPKGNGDVRGYLVRPKNATGKVAAVV VVHENRGLNPYIEDVARRVAKAGFIALAPDGLTSVGGYPGNDEKGRELQQKVDPEKLM NDFFAAVEFLMHSDLTTGKVGITGFCYGGGVANAAAVAYPELAAAVPFYGRQPRAEDV PKIKAPLLLHYAGLDKGINEGWPAYEAALKSSGKTFEAYVYPDVNHGFHNDSTPRYDE AAAKLAWQRTVDWFTKYLA YP_002984637.1 MATILRKIAEQLLSRTTFSDLAMDRARDAAVDTIGCMIAGRGDD SVAALTRAFDGEIAGGGQARLVTGGSASPSLAALINATAAHALDFDDNFHPARAHASA VLVSALLAVLTRGKVTSGRRFLEAYLAGLEAQAAVGFGVNPSHYNRGWHATATVGGIG AAAGVSRLLGADENGLVAAMSLATSFACGPKGQFGTTAKPLHAGIAARNAVDAARMAL AGMSGRPDILERPQGFLDLFGGDDAKGWDGLTFEAEHIIESRGVVTKRHPCCASTHRA IDALLDLKQEHGLLADDIARIETKVGISAARNLAYPEPTDEMQARFSMQYCLATAFLR GSLSLSDFTRQEIGRAEIREFMPRVDMQSYSADEEKGVERLPHVVTVTMRDGRILNKS RLHAKGSLEAPMSVHEREVKFMDCLRWGNRNVSGAAFLRLRRLADLENLSGDDPFWSL ITGRQSP YP_002984638.1 MARHTSAAISLKHIEAYDAIAATGSTIAASVELGISQSNASRLL QQLEDYLGVRLFDREKNRLQPTREGLQLGPEIRAISDRLRALKTAAMELESGRSREIM LRLAFPSSLSSTRIPKLVKNFLAANGPMRVEVASGSYLAIERMVADGEADLGFARLPL MSPGLKQEKILSSRVICALHNDHPKAGSRQLSVGDLKGQDLIMLNRERPVRHELEALF YKAGIRQRPLLEAHSVASACALAAQGLGIALVGEIIAREYATLPLQFIPLEPELPVAY ALISGEKFPMPKAASLFLQSISDWA YP_002984639.1 MNIKQLEVLRTLLATGSTIATAKTMGLSQSGVSRLLQQLEADLS MSLFVRDKGRLIPTPEALLLARDAENILLAVDRMSGHAEDLRSGAAGPEIVRVGLPSS MWEHFAPAMLADYFSDFPGVRIETFFETTTAINKLVEQRVIDFGFLRMEGESGPGIEV ERVATGESVCVVPKDHPLAKLEEITVRNLRDVPLILIGRQRPNRMALDQTFRRAGIKQ IVKIETHTNSSACSYVAHGLGVTIISSFYANLYRHLPVVHRPFVPRATQEFGLARAVG TPLSIAAHALSDALKRQIKLSQKDI YP_002984640.1 MLKFVLNRLLMALPTIVLVSVTVFTLIRFIPGDPAALMLGDMAE PGQIAAMRSELGLDKSLPEQFLIWTANVVQGDFGRSIVNDEPVLPLVASRFLVSAEVV VIAVLLASLIAVPAGVIAAWRQNSLTDLALVGTATILLSIPTFWLGLLLLLFFGLKLS WLPVLGYVSIGSNLTGGLLYLVLPIMTLVIHEMGVLIRMARASTLEVLRLDYITHARA KGLSESAVLWRHAFKNAFGPTWTVIGLILGNLLGGIAVIETVFTIPGLGRLMVDSIFA RDYPVIQGCLLFVSLSYVLVNLVVDLLYPLFDPRVVAE YP_002984641.1 MKNVTFNGFIGSILIAALLISAAIGLFWTPYDPMKLGFTARLAA PSSAHWLGTDEFGRDVLSRLIVGARASVWIGTLTVTFATVCGTLIGLVSGYARGWIDA AIMAVNNALLAFPGILLALGLLAVFGANQYGIIFALGIAYSPSMARVVRGAVLSLRER EFIEASKVMGNGELYTMFRHILPNCIAPITVLATSMFGWAILSESALSFLGLGVPPPA PTWGNMLAAGRPFIEQAVWLGLFPGLAIALTLLGINLLGDALRDKLDPRMRGLK YP_002984642.1 MTNRTLLNVRNLSLQVTGTGVQVVKDVSFDIAPGEIFGIVGESG SGKTLATRALISLLPAPIRVIGGSIAYKGRDVLSMNGAQLRQLRGAEIGVVFQEPMTS LNPSMTVGRQLEEGLQLHTKLSKEERRKRILDMLNRVGIRDPAGALTSYPHEFSGGMR QRIMLASVMLLKPALLIADEPTTALDAVIQRDVMELMVELTQAEGTAVLLISHDLPMV ARYTNRIVVMEKGVIVEEGRTADLLDAPQRAYTKKLLSSLPFRGETRTIDKTRAPMVS ARDIVVDYPGRRSLMKKATPKRALHGVSIDIHEGEVVALVGGSGSGKTTLGRTIAGLV RESEGDIRFKGRSRDADWMDYRLNCQMVFQDPYSSLDPRMTILALVEEALRLVPDLDA MTKRKRALETLEEVGLGSDYAGRYPHELSGGQRQRVAIARAIARRPKFLIADEPVSAL DVTVRAQVLELLSDLQKRYGFSCLFISHDLGVVEQVADRVVVMQDGRIIEEGDRDTVF DRPKEAYTQRLLSAIPALDHNAQGGVILKWRLEN YP_002984643.1 MTTMIESAKLGERLNAICDAQPFVTRFMVRALGTGETIGRGADE ETPSASTRKTSIMMAALKAAHEGRLDLDERITYEKRFAEEVASGMFRYLTPGIVISLR DAITGMMVLSDNVCTKMVFERLTLDEVDSYCKSIGMTGTNHRFLIPPLALSPDHSLKA VTTTTARDQVYLLQTILDAQDSREAADRLGCSQALSAYALQTLKNQILRYAIPSRLPF GVLVAHKGGTGKRGRMNAGIVYRDGSPFYIIAAYTDDVPQEMPDGTPGYTVALETIGR LSRACWDEFQS YP_002984644.1 MHKLLLAGTMFMAMTGVIHARDIVVAQSSDLRSNNPGVNRDGNT DGVILHIVEGLVGYANNGEVKPLLAKSFEVSADGLTYSFKLRDDVKFHNGKTLTADDV VWNWNRYLKPETKWTCLPDFDGNGSVHVTGVKAVDASTVTITLEKPSAVFLGLMSRPE CGYTGIISPESVGADGNFVKPIGTGPFKWDEWKKGEYIHLAKFDDYVSPENDGKPDGM VGSKRPLVDGIKFMVIPDASTVKAGLQSGVLDTAEISPDLIPEFKTSDTMQLIVARNN GKNLFYIQTRDKVLSNPGVRRAMAMALDLDQLVEAASNGTGAANGSMVSQDSLYFDDV QKERLPYDVEAAKKELATAGYKGEPITIIANKRSNVPSFPAAVMAQAMMQQAGLNVQI EVLDYATQVDRRRSGNYQIISQSVAPRLDPALMYGFYVGNKDKNASLMWDDPKAVELM KAAYAEPDQTKRQAIFDEFHTLMLKEMPGIFLYDMVDVWGATKKLKGQPVWQSNARLW EVSLDN YP_002984645.1 MNFDAISSIAATIEKIKPDYTALSDSIWDFAELKFEERRSSQLL ARTLEESGFAVRRGVAGMETAFIGESGSGKPVIAFLGEFDALAGMSQTADLAEPLPEA AGATGHGCGHNLLGVGSLMAAVALARHLKENNLPGTVRYYGCPGEEGGSGKTFMVRAG AFDDVDAALTWHPAPFNGVRSTNNLAVLEYYYRFRGVAAHAANSAHLGRSALDAVELM NVGVNFLREHMPQDCRVHYAITDTGGRAANVVQASAEVLYLIRAPDMPQALELAGRVE KVARGAAMMTETEVEIVFDTASTNLLPNITLETAMHENMVALGPIAFDEADIAFASKI QDTFTPEAIRSSIRLYQIKGDVFSNAKVDGSAPLHTGLRDFEGQSHFRAGSTDVGDVS WVTPTAQCWAPAWAIGTNPHTWQVVAQGKSPAAHKAMAHAAKTLATTGLALMSSSDLL AAATAEWREKTSGKAYVCPIPDDVMPASVHSR YP_002984646.1 MTSSEWRVGVLFSRSGISEITETEHFLGTALAIEEVNAAGGVLG KPIVPIAYDPGGDQTAYRNLARRLLADDDVNIIFGASMSASRKAVLPIVERHNGLLFY PSMYEGFEYSENVVYTGATLNQNTFALAEYLLRHHGRRILFVGADYIYPRESNRVMRD VVEAKGGEVVSERYLPLHADEQTLRSVISDIVRLKPDAIFSTIIGRPAQRFYRMYAET GIDRRRVPIASLTMAESEIREIGADACTGHILSATYFQTVENEANERFVGAFKARFGQ DFTTSVWSQPAYAQVHLFARALARAGSLETHRISEEILLEDFLAPEGRINFDADTRHL WLHPRIGVARADGLFDIAWQAPGPIRPDPYLTASRFEDVWLEA YP_002984647.1 MAGPSRIVQDLRRARVLVVHPRDEDGDVLIAHLKRLGCEVRATW PLPPALPPDVDTVFLHVEDVHVEHALQIIDLQQTAIIAIVTYESPTALQAIIDLNAHG VISKPLRPLGILTQFALARYRHSYEKRLAGKVQKLEETLKSRRLVEKAVSALRVMNGL DEEAAYKLLRDQATSKRVPMATIAESIIAAQDTMKSLGLSISAKTQP YP_002984648.1 METRLTVKTSAARTVTVATVQFEPIVGDRAGNLAAIERLVRSAK TRGAEIVVLPELADSGYNFRDGDEVATLAGPVPGGPSAETLCRLAEELGLYIVSGVAE QDGDRFYNSALLCGPEGYIGKYRKLHLWNNENRFFRKGDLGLPVFDLPFGRIGIAICY DGWFPETFRQLALAGAELVCVPTNWVPMAGAESVPEPMANILHKAAAHTNGLYIACAD RIGIERGQSFIGRSLIVGPQGWPVSGPASADREEILLAHIALSSVTETRTLNSFNHLL GDRRADVYG YP_002984649.1 MQMKTIILTLASAAFATAAFAEDPIKIGVPVGLSGANSVVAPSV VQAAELAVEEINAKGGVLGRPLELEIADDASGAAGAQKAFDSLVFQKEVNVIISMETS AARNAGLPIISKGDVPYIYTSFYEGKSCNANLFVDAWVPEQQVPPVVDNFISKQGAKK FFLIGSDYAFGRGMLTFAKGYIEKAGAQVVGEEYLPMDGSDWTAIISKVRSSGADAII TSTAGGAPNVTLTKQLRSSGVTLPYGNLAVDEGTAKSMGADAKDIFLSASYVTGIDSP ENKAFLSAMDKKFGKELRTPNDLSVPQYEAIYLYKAAVEKAGSTDTADVLKALPDASF TGPRGKISMNKQHHAPLTMYLGQVKDDGSVAVVDSFKDVDPGDQCPNL YP_002984650.1 MTLFLDIVSTAAILFIVAAGLLAIFGVLKIINFAHGAWLTIGAY CAVVVSRIGLNPWLAIPFAFLVGAILGGVAERFIVRPLYRRPLDAILATWGLGIVIGQ LITLWFGRDVQFTPALLPGTFDLFGAGYSAYRLFAIVAAVGLGLGFTLLLDGTRLGLS ARAVIMNETLARGLGIDTEKVRLATFMIGTGLAALAGVLLAPLTSVDPNMGVAWLTGA FMLVMVAGSSFGALAAACLIFGAAQVLVSIYFSPILGGITVAVLCAITLRIRPKGFAR A YP_002984651.1 MRDRQRLRLFLLLALALTALLLIVFGPMFLGRFSLNVLTRSMIY AMLAVTVDLLWGYTGVLTFGQAAFFGVGAYATAMVLTHIGASPALFVVALVAAVLVPL VLGLAVGWLSFYHGSTPLYATVISLVVPIVVTQLVFSGGTWTGSSSGLVGYETLPLGL PGYFRLSGASLLVLAVLAIVFVRSDAGRLLVAIRDNEARVAYLGMNPARLKIVLTGVL AGVCGLAGFLFANASGVVAPENTGFVFGTELVVWTALGGRGTIIGPLFGAIGIDYLSA SLSGDLPFLWQLIIGALFVAMIILLPGGLASLVTRFLQSANSSSTLKSLPTRIVAKDG ALAGKSLLSIRGLGKSYGSFSVLKGIDLEIGAGELVSLVGPNGAGKTTLMRCLSDGTQ QIEGQVDIIGTNIAGRAPERIVALGVGRKFQVASIFDSMTIGECLKMARFSRERPNAM RSLGEIMLPAAAAEILTLTGMADMLDKPVLLLSHGQRQALELAMVVALEPRIILLDEP TAGLTKTERMTIGTILKKLTDEMGFAAILVEHDLDFVRNISSRIVVLHQGKLVLDGTV NDVVNSETVRTIYAGGAHG YP_002984652.1 MADTFKLKLGEVSSGYGAVDVVNGVSLAIRPGEIFALMGKNGMG KTTLLKTILGFLRAGKGTIEVDGASITDRKPAELIASGIGYAPQELPLFQDLSIRDNL RLALKGDLDLPSALERVYGYFPFLKDRLAQKAGTLSGGEQKMLILARALMLRPKLLLI DEISEGLQPSVVQNIAKALRDDREKRGTTILLVEQNLDFALSVADRWAILKLGAIEDE SPNGPDSRDHVLQHLKI YP_002984653.1 MPYLDADVGSAAIGPLSGLRLAVKDLFDVAGYPTAAGNAAVLAA SGIKTSTAPLVQTLLDAGACFVGKTNTDELAYSLIGGNIHFGMPINPRDPHLVPGGSS SGSAVAVAAGLADIGLGTDTSGSIRLPAAVNGLIGWRPTHGSLDNRALRPLAPSFDVP GFMTRSLEPMAAVMSAVGMPAANDQPSSILIPKDIFATIDDAVADEMIARLRSAGMPI RMTNAIASFSLADLALTFITILQREAWESNRTLFERSPEAIAPNIAARLLSGSHLVDE EVREAGRIRKLFSAEIDRLLRENVVVALPTLATSPPRRDAEPESFAAFRSACIKLLCL SGLSGCPQLAFPIVNCAGSGSLSLFGARGADRMLIDLARRLGAG YP_002984654.1 MFILLACLGGVLVGLSRQLNGRLSVSTTPLIASFWNHAIGFAAL TCLGLFVGGLLPAGAAEAPWYAYLGGPIGVIFVAAGSWVIARIGAVNSALLIIGGQMV TGVAFDYISAVPASFWANATGIVLIVGGMMVSRGRRKAGGSQ YP_002984655.1 MTIEARSSHPIYFVGAFATGGLLTFMVHLNGELARYGNPLFSSW TAHGTGIIAAVLLLLMVHRRRTPRVEKAPDAPWWAYLGGISGAATVILTSTAVNSPLG LSGTLALGLAGQVAFSVAADSWGLFGLPKRRPDRRDIVALGLVVTGAALIILFGRGAA YP_002984656.1 MSEILAPGLSVVFCGLNPALSAVRDGHNFSNPSNRFWRVLHLAG FTPRLLRADEERELLQYGCGLTSAVSRPTKSASELKKQDYRSAAPVLENKIRKIAPAN LAFLGKAAYAAISLRADVEWGRQPEVFAGADVWLLPNPSGLNRAFTLTTLTEHYLDLR LTL YP_002984657.1 MGRLLSVNVGLPREINWQGKIVNTAIWKTPVDGPRMVRRLNVDG DGQGDLAGHGGERRAVFVYQTDSYGYWQEHLRRDDFVYGQFGENFTVDGLPDVEVCIG DRYRIGGALFEVTQPRVTCYRLGIRMEEPEMAALVVKHGRPGFYFRVLGEGEVQAGDE ITKVGSGPEGMSVFEINALLYMPGHPHDQLERALRIPALSAGWRGSFEALLKQERKEG ATTGNAGLAAASGPPPAWRGFRPLRVSRKVRESGSVVSLVLEPADGQPVAAALPGQFV VLRFGPASAPALTRSYSLSGEPGGTHYRVSVKREAHGAASGYIDEELRVGDIVQASAA RGSFTLRPGDTPVVLLSAGIGVTPVLAMLHVLAAEASSREVWWLYGTRNGREHPFAEE ARGLLKRLPHHHSHVCYSTPDPKDRPDIDFDARGHLNAQALKSLNLPRDCDVYVCGPS SFMSDLSAGLAALGIAPNRIHTEMFSAGPAMTPGIAASPRRPPHLPKGLAGSGPLVSF ARTGLNVRWEPTFQSLLELAEACDVPVRWSCRTGVCHTCETGLVEGRVRYRPDPIDAA ADGNVLICCSKPDGDIVIDL YP_002984658.1 MLLKGERTFVVRDMGGFVTHLNMPGWLKPNSTDHGHGPLAMVVE SILDPGRLIAMHEHRNDEIISWVPEGVMRHDDKAAGRLVIDRDHLMVMNAGASFWHSE ETLASDPPLRMLQILVRPYAVDLEPKIQHGPMVAAAANVWRHLVGPEGEGAPFYVRSA IDVFDIRLDAGIRVEFPQKHGRDLYFYVFSGFVVVGTRPFAEGEQGLHLSGDKLEVEA QSASTLVAFLLDPNATITKQGTVGDHKKIPPAIIIRAFLRWRKFRHMWRRHLSHRRSK TEKAI YP_002984659.1 MPTVAATPITPPDQHVVTAREAIEPLYEKLELQTESLVLSAALE AGWSSDEATKALATLRLQDALSTLGRTA YP_002984660.1 MTEDATDPVVVHELQLQRSSWVPNNPKLSVLIYRRALSAAAGSK SFEDLFASNGWTGIWRNGIFTYDHYHSGAHEVLGIARGTAKLLLGGPEGKEIDVAAGD CLILPAGTGHRNLGASADFSVVGGYPPGQHADILTSAPSEEQLLTIAELSIPSSDPIE GADGYLVRAWRRD YP_002984661.1 MTKISNGKNLEAGEPHWIEWVTGVVSAVIVLGVIAWIGKDALVD RDSSPNLVGVVLQTEKRGDGFQVLFEIRNDSSATASQVTVQGEIREQDSVLENVETVL DYVPGHSKAKGGLIFQQDPAGKTLTVRASSFDEP YP_002984662.1 MSVAITREDFDRSKFFAGIARGIAGALLFALPMFMTMEMWELGF YMDRSRLFLLLIVNIPLLVILSDRIGFERTSTWRQAIRDASIAYGLGVLGSALILFAM GVLKYDQSPHEIIGMIAIQSIPASIGAMLGRSQLGGQSDDGDDAKEGDSDDPIHERET SYAGELFLMAVGALFLNLNVAPTEEMILLSYKMTPWHALLMIAASLAVMHGFVYALSF KGSHDLAEGTPSWHAFVRFTLPGYVIAGLISAYCLWTFHRTYDIGSTQILMAVVVLSF PGAIGAAAARLIL YP_002984663.1 MKTFIAEKSELFCWALVKSAAGEPEMTRSKFEWLARAGYSARGI VFLLVAALAVFSSFGGGKADTKSALDAVLEQPLGRVWLGLIGLGLLGFVAWRLAQSIA NADNHDHSVKSYLIRAALLGSAVTYLGLAIYAFQDALGFGSGGDGGEKGLAAWVMSQP FGRFLAGAIGLGFVIGGAVTALKGITRRFERYLTLGQSRPLLLVCIYGLVSRGVVFVI VGIFFCYAAFTVDPGQAGSISDALTFVRQLPFGAVLYLVVAIGLAAFGIYNLVEARYR TVSTPNLSQVRSELPLSHN YP_002984664.1 MQLETERLILRPWQQQDRKPFAAINAEPDVVRYLNPLTDEQSNA MLDRIDLHFEDHGWGFWALEEKASGALIGMCGLAKVSPALPFAPAVEIGWRLSASRHG AGYAREAAERSLDFAFNELKLDRIVSFTVPANSNSWGLMRRLGMNRIGEFDHPNLAEG HPLRRHVLYELRSPNDI YP_002984665.1 MNRDYYSKLGGLPPQTELLSSKAVFTTAYAVIPRSVMTDIVTSV LPHWTGTRAWVLSRPLSGFSETFSQYVMEVQPGGGSDRPEPDKRAEAVLFVVEGEMTV ELEGVSHALRAGSFAYIPAGSVWRLKNGGSTAAKFHWIRKAFQEVEGLEPPPAIVTHE DQHPISAMPDTDGRWGTTRFIDAADVRYDMHVNIVTLEPGAVIPFMETHVMEHGLYVL EGKAVYRLNQDWVEVEAGDFMWLRSFCPQACYAGGPGRFRYLLYKDVNRHMTLW YP_002984666.1 MASAQTQPIQATTDVLSVEGLTTSFLVDGVWKPVVRDVSFTVVP AETVAIVGESGSGKSVTSLSIMRLLQADTSRVEGRVMLGGRDLLALPENAMRQVRGNE VAMIFQEPMTSLNPLFTIGDQISEALLCHSDMSKTDAKAETIRLLEKVRIPSAASRFG EYPHRFSGGMRQRVMIAMALASRPKLLIADEPTTALDVTIQGQILDLIKMLQDEEGTS VLFITHDMGVVAEIADRTVVMYRGEQVESGATADIFHRGKHPYTRALLSAVPVLGSMQ GRQRPLRFPVVNTATGESDIPVEVTDTVAATPVLQVKNLTKRFDIHSGLFGRLTSRVH AVENVSFDLHAGETLSLVGESGCGKSTTGRAIMRLIEPQAGSVLVEGREVLGLDRKDL REMRKSVQMIFQDPFASLNPRMTVGAAIAEPYLEHRMGNSKQAKDVVADLLVKVGLSA DMAARYPHEFSGGQRQRICIARALALQPKVIVADESVSALDVSIKAQVINLMLDLQQS LNLAFLFISHDMAVVERVSHRVAVMYLGEIVEIGPRAAVFENPQHPYTKKLIAAVPVP DPDRRHEKRMVANDEIKSPMRPVDYQPASTSYREVGPGHLVMADR YP_002984667.1 MSTDIRPSLGLRPVINVSGTMTSLGASIVVPEAISAMASILPHF VEINDLQRKASGVIARLTGGEAGFVTASCSAGISLAVAGAITGNNLLAIERLPDVVPE KNEVLVQMGHVVSYGAPVDQAIRLAGGKVVLVGQATSTHRFHMENAITDKTAAAVYVV SHHVVDYGLLNLKEFVEIAHAKGVPVIVDAASEYDLRIFLEQGADIALYSGHKFLGGP TSGIVAGSKELVRHAFLQNMGIGRGMKVGKESIFGVMAALEAWENRDHAGIRERETGY LNLWKRTLDGRPGLTALIEPDPTHNPLERLRLIVDPEQAHITAWDLADALAKGSPPII VRDHEVEHRYFYLDPCNLHPGEETVVAERLAQELDKARASNEIIATPIENRSRHRFDG ALRWPD YP_002984668.1 MNQFPTAAGKVENSPYERLAALGIALPPPPPPIANFVTHVIEGN MLYLSGQGPREADGFMHAGKVGGGVSIDEAYGHARLTGINLLAVMQDALGDLARVKRV VKLLGMVNAVPEFEDHPSVINGCSDLLIEVFGPAGEHARSAVGFGSLPGNITVEIEAI VALHG YP_002984669.1 MADIAIKPVEGEGSKFTRRLLKRKTVACGLLILTIFVLLAVFAP VVAPYSPSKLSIVNRLKPPSGMFFFGTDEFGRDVFSRTIFAGRLSLLVGVAVVTLSAV IGVTLGLLAGFFQKLDTPIARLIDAMMAFPDILLAIALVAALGPSLTTVIIALSIVYA PRLARIVRASTLVIRELPYVEAARALGISTFHIMTRHVLRNLLSPILVQATFLFASAM LAEAGLSFLGLGVSPEIPTWGTMIAAGRQYIGQADWMTLFPGVAIILSVLSLQMVGDG LRDMLDPRLRKDL YP_002984670.1 MIRYILQRLFGMIVVMFLVVTIVFVIVRVTPGDPAAVMLGPDAT PQDIADLRARLGLDQSLGLQYVYYIGQMLRGDLGQSIFLNMPVTSALLDRAEPTFFLT LFSLAIASIIALPIGIYAAYRRGSFIDQAATTLAMFAASIPSFWLGLILMQFFAVRLN LFPVSGYGGPGSTFFERMYHLTLPAFALGIVSSALILRFTRASMLDVLGDDYIRTARA KGLIERRVILKHALKNALIPILTVIGLTAAVLISGAVVTETVFGLPGVGNLVVSAVLR RDYPVIQGALLVIAALYVLINFAIDMLYLLIDPRVRY YP_002984671.1 MKTLVAFLLGTALVALPSTLLAQEKGGVINVATIGEPPTLDPMS STADLVGIVTQHIFETLYTFDKSWNVTPLLAESLPEISADGKTYTIKLRTGIKFHDNT DMTSEDVVASLGRWMKIASRGKQVAGFIDKVTAVDPSTVTITLKQPYAPLTSLLAFNN SAAIIIPSEKQDEPMKDFIGTGPYMLKERKADQYIQLVRFDGYKSREGDSDGYGGARH QYLDEIRFVPVPDPNTRVEAAVSGQYDYVDSIPVESYDKLKASTASQPIILKPFGYPV FVFNTKEGIAGNVEVRKAIRQALSMEDMLAAAFGSTDFYALDGAIYPKTFAWSTDAGV EGAYNVADPEGAAAAAKKAGYNGEPIRILTSRQYEFHYKMAQVAAEYLKLAGFTVDMQ VVDWATLTQRRTDPKLWDIYITHSPFLPEPALIGSLSTSSPGWWDTPARKAAVDAFTS EVDPKKRVALWADVQKAIYADAPFMKIGDFNAVSAESTKLEGVDPAPWPYFWNASIKK YP_002984672.1 MSIDFNDGKWLNEPANWQADEAGLTLTTDEKTDFWRETHYGFTR DSGHFLAFPISDGFTAQIRVQGEFRTLYDQAGLMVRIDERRWVKTGVEFTDGEAFLST VVTDGKSDWSVAQPFRELEDFCIRVTVANGAMRIQASRDGSFWPLLRLAPFPAAAHYE VGPTACTPERSGLTVRFSEFSIGPAITKDLHDLS YP_002984673.1 MNDALKIGILYSTTGPYGSMGRDARDGADFAMAEYGGVEGLAIE PVFFDPHADLAAYLDGARHLLRAGCRHIVGTITSAARKEVIPLVEKHDGLLWYMCPYE GFEANENVIYVGGCPNQHLLPLFEHLIPRYGARPYLVGANYVWGWEMNRLARELITNA GGEVLGERYLPLEETAVERIVAEIAQRRPSFILNNLIGPSSYAFLEAIKALGDRDPAF RAENCPVVSCDLMECELDDIAAGAAAGQLCAASYFDSIATPENAAFKARVTEHHGAER RVSSVFASAYTAVRLCVDAIVAAGGDEPDAVRRELYNRSWPTLFGALSIDRETNHAAL PFHLGRINADNGFDVVASRPPLAADPYLTGRNRQAFPRLRVVS YP_002984674.1 MRETPNFTGWQAMVLHREDGNTERLIRQLRLLGIHAALQWAPLS TAALPDLVIVDADQGWDDLLPWNGETPACPVVALLGSEAPGRIAWALGQGAGAIIAKP IATSAVYPALVMAVSIHQERKATAEKLKYLEERVRLRPLVHAAVEKLQAAHGIDEESA YAILRNCAMRRRLPMEQISAFILAGAEPLPEAG YP_002984675.1 MHVLKQMIRQPTALFGLVIVTLVIALAIAAPWIAPFSPDEQMFD GLSLEGAPLPPGRPYLLGTDTLGRDLFSRLLFGARTSLIIGLVANGIAVAIGLFVGIV AGYLRGLPGNILMRFTDLMMAFPALLLAIVLAALLKPSLWIVAMVIALVNWVQVARIV YTETRGLVERDFIMAERSLGAGHMRVLFMHILPHLVPTAIVWGTLGIATTVLLEATLS FLGVGVQPPQPSWGNIIFESQSYFQAAPWLVFIPGAIILLTALSFNLVGDALRDILDP TQRGRG YP_002984676.1 MGFVMLRRLLQAALILLGVAAITFVLLYALPADPARMIAGRSAT AQTVANIRHELGLDQPLLVQFGTYLGNLLHGNLGRSYAQKTDVWTLIAARLPATLTLM LAGIFVEVVLGLTLGTIAAVRRGGFIDRLVMMASFVGTSAPQFLVALLLLYLLAATLG WFPMSGYGSFSHLVLPAVTLGICGAGWYARMVRSSMIDVLNQDYVRTARAKGLSSRRV ILRHALPNAVLPIIAMIGIDIGQFMGGVVVVEAVYGWPGIGQLAWQAIQQVDIPIIMG VTLTSALAIIIGNLLADLVAPVIDPRIRTR YP_002984677.1 MFKRWLQQTTMATMVALAPLSVMADETPKQGGDIVVTYKDDITT LDPAIGYDWVNWSMIKSLYSRLMDYTPGTPNPVPSLAESFTVSPDGLTYTFKLHKGVK FSNGREVVASDVKYSIERAVDPKTQGPGAGFFGAIKGFEDETGGKTTTLSGIDTPDDS TVIFNLSRPDATFLHVLAINFASVVPKEAVEAAAGDFGKKPVGSGTFILKDWTIGQQL VFERNKDYFVKGVPYIDSFKVEVGQEPLVALLRLQKGEVDIAGDGIPPAKFLEIKNSA DGAQMIVDGEQLHTGYITLNTKVKPFDNVKVRQALNMAINKDRITRILNGRATPANQP LPPLMPGYDKAFTGYTYDVAKAKALLAEAGYPDGFETVLYSTNTDPQPRIAQAIQQDL AAVGVKAEVRALAQANVISAGGTEGEAPMIWSGGMAWIADFPDPSNFYGPILGCAGAV PGGWNWSWYCNADLDKRAVAADSMSDPAKATERTAAWGKIFTDIMADAPWIPVINERR VVAKSLRMGGADNIYIDPTRVINYDAIYVKQ YP_002984678.1 MCIACTHTIHRAQHNFGWNKDFVPAVVAKPGETIHFECMDSSGG QLGSNATLETLNTLDFGKINPVSGPVYIEGAKPGDALKVTLRKFIPSGVGWTANIPGF GLLADQFTDPALHVWSYDANSMVPALYGPGGRVPLKPFAGTIGVAPAEPGTHSVVPPR RVGGNMDIRDLTAGVTLYLPVEVDGALFSIGDTHAAQGDGEVCGTAIESQMNVEATIE LVKDARLQTPRFTTTEPVTRHLDGAGYEVTTGIGPDLMTGARESVMRMIDLLGAEHGM SAVDAYLLCSVCGDLRISEIVDQPNWVVSFYFPRIVFA YP_002984679.1 MNEAMPAAAPVLSLRKLSVDARTPEGRKPVLQDVSFELAGGETL CIAGESGSGKSVTSLSIMGLLPKASLRVASGSVLLGERDLLKLSDRGMRSVRGGDIAM VFQEPMTSLNPVMSVGNQLTEAIRAHQGSENAEAVALKMLDAVQITDPARRLKQYPHE LSGGMRQRVMIAMALSCRPKVLIADEPTTALDVTVQAQILRLMRELKREFGASIILIT HDMGVVAEMADRVVIMQNGRIVEQGTTLAIFQRPKEAYTQQLLAAVPRLGAFAGTDRP PRITQRAVETLHPDRTPVLNVRDLTVTYGNAASRFFKGKPPVAAVDDVSFDILPGETL GLVGESGSGKSTTGKAVLGLIPFKGNVLIDGRNIAGLSQREMRPVRRSAQMIFQDPYA SLDPRMAVGKAIGEPMVIHGIGNHSERQDRVAELLRRVGLTPDAATRYPHEFSGGQRQ RICIARALALEPKLIVADESVAALDVSVRARVLDLLLELQETMGLAYLFISHDMAVVE RMSHNVAVMRAGRIIETGTRRDIFENPRDDYTRALIAAVPIPDPEVYRGKEAHA YP_002984680.1 MQTKTTPGAMLKAMREKPPLVQCITNYVAMNIAANVLLASGASP AMVHAAEEAGEFAGIASALTINIGTLSTQWIDGMQAAAKAATSAGKPWVLDPVAHYAT AFRRNAVADLLALRPTIIRGNASEIIALAGGESRGQGVDSRDPVEQAEGSARWLAERQ RAVVAVTGAVDFVTDGERAVRIEGGSALMPQVTALGCSLTCLVGAFAATAPEDIFGAT VAALATFAIAGEEAALGAAGPGSFSWRFLDALAALDAETLDARARISAA YP_002984681.1 MKAFDLSLYLVLDPDLCAGIGMVETARLAIAGGATMVQLRDKHA GTIGMIETGRALKQALDGTGALLIVNDDVEAAIAIGADGLHIGQEDMDAMRARTMIGP DMILGLSVESEALANAVDPDLVDYTGVGPVFATPTKADHKQPIGFDGLARLVKASPVP SVAIGGLKADHVAQVFAAGASGLAVVSAICGTPDPEAATRRIAAEIRKARA YP_002984682.1 MIRNVLSIAGSDPSGGAGIQADLKAFSARGVYGMAVLTALTAQN TQGVSGVHLVPPQFVADQIKAVFADVRVDAVKIGMIANAGIAEAVAGALTDHRDIPIV IDPVMIAKGGAALLAPEAVDVLTRRLLPLATLLTPNLPEAAALLHQPVATNRAEMAAQ AERLRALGPAAVLVKGGHLDSDESPDVLATAAGLHWFEARRVPTKNTHGTGCTLSSAL AAELAKGASAREAVAIAKDYLAGAVAASGHLTVGSGHGPVQHFYALWKDGE YP_002984683.1 MPDLLVSLYSTELADLKRKAGDVGVSIRPALPPELHLIVSWVRE RFSENWASEVAAAFSRQPVACLISVENGKLLGFACYDTTARGFFGPTGVDPDARGQGI GLALFSACLQTMKTLGHAYAFIGDAGPVDFYARNAGATIIPAPDKGIYEGMLRSTPK YP_002984684.1 MSSTPLALFVGLPNPTISDDEFALFRETNPLGLFVGRRNQREPE QTRRLIDRFREAVGRDDAPVFTDQEGGRVQHLDAGPWPLFRSFGQFAELARRDFDLGK KALRLSSQAMGAMMTELGLSSGCSPVLDLVFETTSAVIGARSFGPGPDFVAALGREVV DGLLETGNMPVIKHIPGHGRATLDSHKERPVVDASRETLTATDFKPFVALKDTPWAMV AHVVYSAYDAELPASVSRVMHDVIRNDMGYEGVLISDCIFMQSLSGTLPERVKQVLDA GYDIALHSHGDIPESEAAAKAARPLTEAALQRIAAGKARLGNLKIDVRAAHAEVEDMF ASALVS YP_002984685.1 MKKYLLAAAALTLLSGSAMAQTILTVNIEPATTWVRNFNPFNQT SSRQSTLDFIYEPLVVFNRFDSNKPVYRLAESFKLSDDLKSIDFKLRPNLKWSDGKPL TAADVKFTYDYLKKFPALDFVSIWTFITDVQAVDGQTVRFTLANPSSLAAEQISQLPI VPEHVWKDVADPVTFANETPVGSGPLTEVPRFTGQTYDQCRNPNYWDNEHLKVDCMRF PQLADNNQMLTATADGTLDWGVSFIPDIDNVYVSKDPAHFHYWYSPSSMVAFLFNLET ANENNKKAFNDLKFRRAVSMALDRKTMIDVAGYGYPTLNEDPGLMGELYKSWADPSVK ADFGKFATYDADAAKALLDEAGYNDKDGDGFRDNPDGTKISFSIIVPSAWTDWIDTVN LAVEGMQAVGIDAKIETPEEAVWTGNLINGTFDAAINSLPASASPYYPYKRAFSASDK GKTRFTAQRWFNPEVEKLVTEFTHTADLAKQKDAMNKAQRIVAENMPVIPVFNNPNWY QYNTKRFTGWSTKENPFVNPSISRTNPARLLNLLALEPVK YP_002984686.1 MAFLLRRLVFYMAAFIAAATINFFLPRLMPGDPVQIMFSSAGTE LPPESLQALKLTFGFVDGPLWQQYLTYLGSIFTGDLGRSIKYFPLPVTSVLGHALVWT VGLMGTATIVSFALGTFLGIVAAWRRGSQFDVVVSVGAIFATSVPAVVTSLIVLFIFG FTLGWFPNGYAADPSLDPAFSLQYIGSLAYHGILPMVTLCTVLIGGFTVTMRNNMINL LGEDYIVMARAKGLSDRHVMLWYAARNALLPTVSSLAIAIGTILGGSLVTEVVYNYPG LGNILYQAILARDYPVIQGQLLIMTATMLIANFIVDVSYVLLDPRLKGA YP_002984687.1 MKTLLRNRKALIGLVIIAFIVLVAIAAPLLTQYDPAARTGRPHQ PPSLDHILGTTRIGQDVFARLIYGARTSLAVGFGAGLLITLVGTALGIISGYRGGKTD EIISFFTNMVLVVPNLPLLLVLAAFIGQASPLVIALILGATSWAWGARVTRAETLSVK QKDFVKSAEMMGEPQWRIMTFEIFPNVISIVGINFIGSVIFAIITEATLEFLGLGDPR AISWGTMLYNAQKASALSVGAWWDILTPCFALAFLGIGMSLLNFAVDEIANPRLRTGN HLKRWSLLVRTGEGRL YP_002984688.1 MTQPLLSVRNLTIDYIGEEKDFRAVDDVSFDVAPGEVFGLAGES GCGKSTIAFAISRLHKPPALIRKESRILLDGRDVLGLDRQALSAFRWREVAMVFQSAM NSLNPVLRIETQFYDMLRTHKGMSRAAARERTAEMLTLVDIAPDRMRDYPHQFSGGMR QRIVIAICMALDPKLVVMDEPTTALDVVVQREILQRINELRRSFGFSVLFITHDLGLM VQFCDRIGIMLAGQLVEQNTAEAIYRTPRHDYTKKLWASFPSLHGGVLL YP_002984689.1 MTDAILALDQVTKTFGHGSAAVHAARAISFSLHAGRALALVGES GSGKTTCARMAMREYLPTSGRILYKGRPVEAAKSAEIARYRRSVQMIFQDPFASLNPA HTIAHHLRRPLKLHRPEIKGAEIDAAIRELLQRVRLDPDLVAPKYPHELSGGQRQRVN IARALAVKPEVIVADEPTSMLDVSVRLGVLNLLNEMKQEMNLGLLYITHDIATARYVA EDIAVMYAGQIVEWGSVAKVIDNPLHPYTRLLLSAVPDPDVRFDDPKARLRPDEVEDI RRRSAVPQDDIVEFEQDHFMRMV YP_002984690.1 MLPWIQLDSATIPGENGELRLKQRGSEFSIMLGANELMNSRLSG SEEALATLSWDRIKSHPKPRILIGGLGMGFTLRAALAVLPEAAGVIVAELVPAVIAWA RGPMAEVFKGCLDDRRVGIHQGDVGEAIRAGKAAYDAILLDVDNGPDGLTRKSNDRLY DFAGLRAASDALRPGGVLAVWSSGPDPDFTRRLKGSGFAVDAVNTRANGKRGGARHVI WLAVKPVK YP_002984691.1 MSAAEIDGFADRIRHHRGGMISAWIGIPDATLANHLAQEAFDAI VLDMQHGMWDMPSAANAVAQVRLAGKPALARIPVGDFASASRLLDAGASGIIAPMINS AEDAQAFVKATKYPPLGERSWGPSLALNHTGLSADDYLKNANALTVAIAMIETRAALE AIDDILGVAGIDGIFIGPSDLSIALSNGDQVAPNAAEIDSAMQHAVSRCRAHGKVACA FAGDGERAGELLKFGFDLVIAGAETAQLRSGARRAINAARRIASA YP_002984692.1 MLRTVGYVVGLLLILLGLIWIAQGSGYFPYPASSFMINQSIWIL WGGVMAAAGIAVTVIISRLRRRG YP_002984693.1 MPDRRPELGWMRIFTEVARLGSFSAAAAGLGLTQPAVSYQIRRL EEQFGVALLRRQHRGVELTAEGERLFQVAAKTVGDIDALARSFRTEAQRPVVRLRTDY AFSALWLIPRMHGFRLLHPETDIQIVATQRLEPGFRDDADVVVVFGTKAEFGAIGSLL LQEKVVPVCTRGFLDRNGPFDEPQQLAKAILIHLDSPMPSPWFDWRSYLAEFSVTRDL HAGRGDVSFNTYSLVVQAALSEQGVAIGWMGLVDTLLSTHMLVEAGPPLEAWDRGYWL IPPRSANVDSERLSTWLVDEVGRT YP_002984694.1 MAHPNILILMVDQLNGTFFPDGPADFLHTPHLKSLAERSVRFTN AYTASPLCAPARASFMSGQLPSRTRVYDNAAEFASDIPTYAHHLRAAGYQTALSGKMH FVGPDQLHGFEERLTTDIYPADFGWTPDYGKPGERIDWWYHNLGSVTGAGIAEITNQM EYDDEVAYHATRKLFDLSRGHDERPWCLTVSFTHPHDPYVARRKFWDLYEDCPALDPS VAPIAFERQDPHSQRLMKACDHDAFDISDEQVRRARRGYFANISYVDEKIGDILGVLE RSRMAENTIILFASDHGDMLGDRGLWFKMNFFEGSARVPLMIAAPGWKPRRIDQPVST LDVTPTLAGLAGIDIASLKPWTEGEDLAALAEGTGSRSPVPMEYAAEGSEAPLVCIRD GRYKISLCEKDPPMLFNLEADPQELDNLAADPAHAEILARLVEQAGRRWNLSDFDAAV RESQARRWVVYAALRNGAYYPWDYQPLQKASERYMRNHMDLNVLEENQRFPR YP_002984695.1 MQVLQNGRFAVSTWSLHRLLGAVYAYSPDPDKSAAPKEPYGPGA AALIDVPAALAARGVNRLEVCSFHLPSLDAAYISEFRDAMATSNVLFQTLLVEDGDPS HPETAERDVKWMAEWIDIAAALGAERMRVIAGKQKPTEENLTRAAHHLNWLAEKAEGS GVRVVVENWFDLLPSAVEMNWLLDRLDGKVGLNGDLGNWAAPAKYQGLADIMGRAEIC HAKADYGVAGLDAEDYRTCLEMCEKAGYAGPFTLIYDSPFFPDEWDGILLQRTFIEDF LREAPARKTA YP_002984696.1 MSKSYGAMSVAQLSVLIQGGAVDPVEVTEAVFDSIAHYADKAVF TTLLESRAMEEARASSRRLREGRSLGLLDGIPIAWKDLFDMEGLPTTAGSVVLAKDMP AKRDAAVVALLRQAGMVAVGRTNMSEFAFSGLGINPHYGTPVNPRGTDLPRIPGGSSS GAGVVVAAGLVPVAMGTDTGGSVRIPAAFNGIVGYKATRGRHAMAGVYPLAKSLDSLG PLCRSVRDAVWIDVAMRGLTAPDVVELPLQGLELIVPENIVFDGAEPGVVAAFEAALE RLQKAGAKVARTVIPAFDEIFELMTRYGPLVTAEAFALHRERLAGPDADRMDHRVVMR TRLGSKTTLPDYLAILDARSRLIADVERLVGDRLLAFPTVAHVAPPIGPLEQDDELFF ATNNKTLRNTALGNFLDWCGVSIPCGTGEAGMPVGLLLSATTHRDEALLGIALAAEPI IRDDFA YP_002984697.1 MTDCETLSRRQDMVTLHAKEDETAGLGERDYVDHVSAQKLSAFE RVVVVGALVLLSFAGFAAYSSGNTDPMTTSAIAATAGDNMPPHPAYGHCRDSSPYAER VC YP_002984698.1 MAGDIDYKLHRFIDAQNGVYEQALLELKAGRKTSHWMWFIFPQV AGLGTSAMAEKYAIRSAEEAAAYLADPILSSRLLRCVEAILSVNGRSAHEILGSPDDV KLRSSMTLFAAISDHGSPFHQVIEHFYQGKFDDRTMKILSASTD YP_002984699.1 MNYVYLKRLYAKRAELEAKLELHDARYCFGEEEVDDGTDSDLRQ RLSEISEEIATLESRPGR YP_002984700.1 MSRTTSSKKSETSNEISAAVLEDRIPPRERIVSTASELFRERGI RGIGVDAIADAALTNKMTLYRHFGSKDELVCETLRRASEKAGTIWRDLEAAYPDNPRA QLDAWVELRAQCLNGEPAGCDLANAAIELKGEGHPAHEMIERHKAEQRDRLAALCSAA GAREPQLLADTLTLLLEGARVSRQAMGAAGCCGHFAKACQAAIASFA YP_002984701.1 MTKTRDDLTISEALRDPLIAMVLRADGVKLEDFKQLLETAARKR EPRSPSVGNMIGALASRANLPAMPCFG YP_002984702.1 MPNYPTPPFPSQKQPMPGFTAQMDPVPDHGEKSYRGSERLKGKR AIITGGDSGIGRAVAIAYAREGADLLLSYLDEDEDADETKRLVEQAGRKAILVSGDIQ DPAHCRQIVETAVKELGGIDILVNNAAHQASFKSIDEISDEEWELTFKVNIHSMFYLT KAAVAHMKPGSAIINTASINSDNPNPTLLAYATTKGAIQNFTAGLAQLLAEKGIRANA VAPGPIWTPLIPSTLPEESVSNFGKQVPMKRPGQPAELATAYVMLADPLSSYVSGTTI AVTGGKPIL YP_002984703.1 MDGKTSAAVYSEDEGVAGETGGKTARRARVSGIDRALQVIDHLY ETGSPAGVYAIAKAVKAPLSTVYVIVDDLVEKNMLTRQADGSIWLGARLYHYGLAYAR SLDFMSIATHEMHDLCRQAGETVQVCGRDGDYMLVLAMADGPSHFQVASRVGTRVPLN WTASGRLLVGHLAEDERIELFKRCARSSPTGRAEIDPGTLSEAAGKAFESRLSIQAGE SDYAVACIASPICDRDGQCVATISIVLPEQKAFSDENHYTAHVRSSAERIEKLMGWRN R YP_002984704.1 MPGDQAKKPLLLINVKPMAFGSGPSEGATDILVNADGKIAEIGP SLTVSQDVTRIDGKGAFISPGWVDLHVHIWHGGTDISIRPSECGLERGVTTLVDAGSA GEANFHGFREYIIEPSRERIKAFLNLGSIGLVACNRVAELRDIRDIDLDRILEVYAAN SEHIVGIKVRASHVITGSWGVTPVKLGKKIAKILKVPMMVHVGEPPALYDEVLEILGP GDVVTHCFNGKAGSSIMEDEDLFNLAERCASEGIRLDIGHGGASFSFKVAEAAIARGL LPFSISTDLHGHSMNFPVWDLATTMSKLLSVGMPFDKVVEAVTHAPASVIKLSMENRL AVGSQAEFTIFDLVDSDLEATDSNGDVSVLNKLFEPRYAVMGADAFAASRYVPRARKL VRHSHGYSYR YP_002984705.1 MDEKKWLTEEFEANRAHLRAAAFRMLGSRSEAEDAVQEAWLRLS RTDTTGVGNLGGWLTTVVARICLDMLRARKTRREEPLEVPDHGGIADPANDPEREAAF ADSVGLALLVVLQTLAPAERVAFVLHDMFDLPFDEIAPIIGRSSAATRQLASRARRRV QGVDEAPDVDFGHKRTIAEAFLTASRNGDLEGLIAVLAPDVVFRPDATAARFGTIGEM RGATDVAEAFKGRAQAAEIAIVGGELGFVVEIQGQLRVVVALTIADGRIAAIDAIADP EHLERLDYSILGD YP_002984706.1 MQEKLVMQERMGNPALVLPAAMQALNALSKVPTETGLSPKLLEL VNLRASQINGCSVCIDGHWRIARKHGETDERLFAVAGWRDAPYYSDAERAALGLAEAI TRLSDRADPVPDDIWDEATRHYDGKSLAALVIAIANINVWNRLNVATRQVAGQWKP YP_002984707.1 MTDSRIAPDLVATDNDKRATALKADYEALGATLARRGVDIEAVT RKVAEFFVAVPSWGVGTGGTRFARFPGTGEPRGIFDKLDDCAVINQLTQATPNVSLHI PWDKADASELKAKGNALGLGFDAMNSNTFSDAPGQAHSYKYGSLSHTDAATRAQAVEH NLECIEIGKALGSKALTVWIGDGSNFPGQSHFTKAFERYLASMADIYKALPDDWKLFS EHKMYEPAFYSTIVQDWGSNYLIAQTLGPKAYCLVDLGHHAPNTNIEMIVARLIQFGK LGGFHFNDSKYGDDDLDAGAIDPYRLFLVFNELVDAEQRGVNDFNPAHMIDQSHNVTD PIESLINSANEIRRAYAQALLVDRKALSGYQDDNDALMASETLKRAYRADVEPILAEA RRRAGGAIDPIAVYRASGYRRQVAAERPASAAGGGGII YP_002984708.1 MDVVRILLAIILPPLGVFLQVGIGLHFWLNILLTLCGYVPGIIH AIWVILRK YP_002984709.1 MAANVRLLENRWDDAYAAGLDEPGKLLYRSNLLGADKRITNYGG GNTSAKVLETDPLTGGKVNVLWVKGSGGDVGTIKLDGFATLYQDKLESLKSIYKGVED EDRMVGFLPHCTFNLNARAASIDTPLHGFVPFTHVDHMHPDAIIAIAASKNSKELTQQ IFGDEIGWLPWRRPGFQLGLDLGAFVAANPNAKGVVLESHGLFTWANDAKACYELTLD IINKAIVWFAEKTEGKTIFGGALTQSLPVAERRAIAARLMPEIRGRIGKQERKLGHFD DQDAVLEFVNSSDLRPLGALGTSCPDHFLRTKIRPLIVDFDPAKPDVDAIVAGLDKAL EDYRADYARYYNDCKHDNSPAIRDANPVIFLVPGVGMLSFARDKATARIASEFYVNAI NVMRGASTVSEYQGLPEQEAFDIEYWLLEEAKLQRMPKPKSLAGRVAFVTGGAGGIGR ATAARLVGEGACVVLADIDQTALEGTEADFVKKFGADAVRSVRLDVTKEDAVIASFAE ACVEFGGIDILVSNAGIASSAPIELTELATWNRNIDILATGYFLVSREAFRLFRRQTL GGNVIFVASKNGLAASPNASAYCTAKAAEIHLARCLALEGADAGIRVNTVNPDAVLRG SKIWSGEWREQRAASSKIEVDDLEEHYRKRSMLKLNVFPEDIAEAIYFLASDLSAKST GNIINVDAGNVQSFTR YP_002984710.1 MHERERHRIILSAVQEKSVVTVQDISELTEASEATIRRDIAALH VQGKIRRVRGGAEAVHPPQLGNLAGRPFRVSESVNIDKKRAIARQAVELCDPGDAIII NGGTTTFQMVHFMAAHRLQVMTNSFAIAEHLVKHSKNTVTVPGGAIYREQSLILSPFD NDAIRNFYARRFFIGAQGIGPLGIMEADALIIQSEQKLMHQADELVVMADSSKFHRRS SLILCPLERVSTIITDDGIPEESVRMIENAGIRLIVASPVAQAIKEDSSSVA YP_002984711.1 MKLAKKLAIGVAFAVAMMAGTASAADIKIGLVVKSLGNGFFDAA NKGAQEAAKELGGVEVIYTGPTTTTAEGQIEVINSLIAQGVSAIAVSANDPDALVPAL KKAAQRGIKVISWDSGVAPEGRILQLNPSSNELIGKMCLTLVKDHLDGGKGDFAILSA TTTSTNQNIWIDQMKKQLKDFPGLNLVTTVYGDDLSDKSYREAEGLLKANPNIKVIVA PTTVGVLAASKVVEDKGLVGKVYVTGLGLPSEMAGAIKSGATKEFAIWNPIDLGYSAT QIAYRLVKGETDGKPGSEIEVGRMGKIKVGDNGEAAMADPFVYNASNIDQFSKVF YP_002984712.1 MNAAFQQTATDSKTGDAPAILEMRGISQIFPGVKALDNVSIALH PGTVTALIGENGAGKSTLVKILTGIYRPNEGEILVDGQPVTFASAQAAIDAGVTAIHQ ETVLFDELTVAENIFLGHAPRTRLRTIDWQAMNSRAKALLTALESNIDPTIRLKDLSI AQRHLVAIARALSIEARIVIMDEPTAALSRKEIDDLFRIVRGLREKGKAILFISHKFD EVYEIADDFVVFRDGRAVGQGRLKETPQDEIVRMMVGRDVENAFPKVDVAIGGPVLEI RNYSHRTEFRDISFTLRQGEILGIYGLIGAGRSELSQSLFGITRPASGTMMLEGREIT IHSPQDAIRAGIVYVPEERGRHGLALPMPIFQNMTLPSLTRTSRRGFLRAAEEFALAR KYAERLDLRAAALSVPVGTLSGGNQQKVVIGKWLATAPKVIILDEPTKGIDIGSKAAV HGFISELAAEGLSIIMVSSELPEIIGMSDRVLVMKEGLAAGIFERADLSPEALVRAAT GNA YP_002984713.1 MARLIRKRETLLFLIIVVMIAIFSTRAADFATPENLAGIFNDTS ILIILALAQMTVILTKSIDLSVAANLAFTGMAIAMMNAAYPDLPLVVLILAAIVIGAC LGAINGFLVWALEIPPIVVTLGTLTIYRGMAFVLSGGAWVNAHQMTPVFLSVPRTPIL GLPVLGWVGIVIVLLMYVLLRYTQFGRSAYATGGNPTAAVYAGIDTGWTKFLAFVLSG ALAGLASYLWVSRYAVAYVDIANGFELDSVAACVIGGISIAGGVGSVAGTVLGALFLG VIKNALPVIGISPFTQMAISGTVIILAVAFNARRERNRGRIILRDRAAAEIRTEAAA YP_002984714.1 MSTVSTHEKRVIPDRLGTPFRRIAASWEVLLFAVAVLIFIFNSL ASPYFLDAWNLSDATFNFTEKAMIAFAMALLVISGEIDLSVAAIIALASTAMGAAAQA GIGTPGLVAIGIGTGLACGIFNGVLVSVLKLPSIVVTIGTMSLFRGISYIVLGDQAYG KYPADFAYFGQGYVVWVFSFEFVLFIVLAILFAILLHATNFGRQVYAIGNNDFAARFS GIPVERVKFILFLLTGVMSGVAAVCLTSRLGSTRPSIAQGWELEVVTMVVLGGISILG GSGTIVGVVIAAFVMGLVTFGLGLLNVPGIVMSIFIGLLLIITIAIPIIARRIKVMSS R YP_002984715.1 MTLEKHAFKMQLNPGMEAEYRRRHDEIWPELVDLLHKSGASDYS IHLDRETNTLFGVLTRPADHTMASLPDHPVVKKWWAHMADIMATNPDNSPVQSDLVTV FHMP YP_002984716.1 MNAISYRRIAVLDIGKTNAKVVVLDSETGAEIAVLKRPNIAIKT GLYPHYDVEALWSFALDALKSLAREPGFDAISITTHGASAALLGRDGRLAMPVIDYEH EYPQDIRDAYARLRPSFHETFSPHLAMGLNVGAQLHYQKTAFPEEFAKVATILTYAQY WTARLTGVAANELTSLGCHTDLWNPKAGRYSSLVDRLAIRDLMAPIRSAFDALGPVLP DIAAELGLAAPVPVYCGIHDSNASLLPHLVHREAPFAVVSTGTWVINFGVGGDLDHLD PKRDALANVDAYGRTVPSSRFMGGREFEILSAEIGPADENDTQAAIGPIIDKGMMLLP NIAPGSGPFPGKTSRWIGAEEASPEERSAAACLYLALMTDACLGLIGAKGPVIVEGPF ALNGSYLKLLAALTGREVMALPGSTGTSQGAALLTGIRPVSGAETHVPPTDIPGLTAY RTRWYAAME YP_002984717.1 MTETFDPRALATRLHSLRQAGRQEATSAFALPTDLHQAMEAQDL LAAAGGISSNAWKVTVSPQGQAVTGPLHPYAEAVSGADIPWYPGLKFETEIAVRLGSD LPVRAGIPYSRTEVVEAISAVYLGAELLVSAVKESGNVSFLLFVADRLGNSGYVLGPK LEKSVVDTAGSTPLKVTHAGRTIYDGPAQHPKGDVLTWLVDYANDGLRPQTSLKSGAL ITTGTLSGAIELTEPGEIDILLGNSSLSFSVSKV YP_002984718.1 MEMTFQPRMQNKIQGFSVVGGVHRRLWNGIVADVWDVECASYAG GYYVSRDPRLFIMLDKRGPGNSHIKLSPKEQGTVQDTEKRPISYVPAGMEVWADLTDV HSVRHLDIHFDTETVSRRLMEDIDPRRLESPQLLFSDERVLSLAQLVAAECLNPEPLH DLYGDGLALALIIDVLKIAKAMPRKRSKLASWQLRRATEFIEENCLRNIRLEELAGLT GLSQSHFSHAFKASTGIAPHQWQTNVRLDRAKRLLVESENALTAVAAETGFADQAHFT RVFRKHIGITPASWKKAQVA YP_002984719.1 MRGQTRGLNFKVILLAGVAIAGLIAGSAAAQEANSTELAPIVIQ GGGDTATSPVKGYVAKNSSAGSKSDTPLNEIPQSVSVIGTREMDDRGITNKIDEALLY TPGVTSQPFGNDGDTDWFYIRGFDATQTGVYFDNLTLFSYGFGGFQLDPFMLERVEVL KGPASVLYGGANPGGIVNLVRKRPLDEPLFYTEIGINSNGNAFTGFDVSDKVGSSGTM SYRITGKVAGGDNYSDFSEDLRGFIMPQLTISPDDSTSLTVWGYLAGLDQVHTGNGFF PYVGTVEDAPFGKIDRDAFYGEPDIDNGSYVQKMIGYEFEHEFDNGIKFSQNARYGHL DKHEIGPYLNGWVGGVPTGPDYQLARIGFEGRSGVDSVSIDNRLEGETEIGGATHNLL VGLDYTYYRLENWQACCGSNSISATDPTYGTTQGANFVYADGVVTKNQIGVYAQDRIH FGDGWLLTLNGRYDYVDIDQDAVIGTTYSTNDSALSGRIGLAYEFDNGLTPYVSAATF FNPLVGTGVSGALKPEEGEQFEGGIKYEPAFIDGSLTASVFHITKRNNTVTNPLTFAQ SQLGEVVSRGAELEGKVNINENWKLLASLAYTDMEVMENDANPALVGNSPYIVPKVTA SLWVDYTLTTGAFEGMSLGAGVRHQGWSWADEANTEKVPAATLVDAAIRYEKENWGAS LNVANLFDKEYVKGCGGLTVCGYGDARTITFKLSRKW YP_002984720.1 MPSPFLALSAIDYAIGPKSILSGIDLTLERGRIYGLVGPNGSGK STLLKIIARQVAPKSGAISFDGKPAGDWGSRAFARHVAYMPQFTPATDGMTVRELVAL GRFPWHGTLGRFTATDRNMVEEAIVRTELEDFADRLVASMSGGERQRAWIAMMLAQDA RCLLLDEPTSALDLAHQASVLSLVMELSHERGLTVIIVLHDINLAARYCDAIIALKRG RITAEGTPCEIMQADTLQSIFGVGMGVFPHPVRNEPVSYLL YP_002984721.1 MAFFSRRQFLAGAAALLSAPARLRAAEGLRVATLDWALLETLLA IGANVVAATELRQFREVAVTPDVPATTADLGLRGTPNFEVLRFVRPDLIFNSNFYAWA DQRMRGVAPVESHAIYKPGESPFSLAEQATLAIGERLQLATARQLTEELAARLDHHRA LLAAGDGRPVIPINLGDARHFRVFGSDSMFGEVLKRVGLTNAWQAQTSYSAAAPVGIE ILASMPDAWIVMIPPHPADALATLATSSFWNALPAVREKRVLMLGSINPYGALPAAGR FADLLAEGLQHAWNG YP_002984722.1 MHGMVSRAKPAGSAGTPVLAILLPVLCITAFALLIVTRPEVPKG DADAAMLNSVLLWNSIMPRAMLALIAGAALGLSGTLLQRVLRNPIADASTLGIASGAE LAMTAAMGFSPLLIGFSREMSAFGGGLAAVAIVLALSWRRGLDPVTVALSGLIVSLIA SALSVTLILSRGEYAMSIYIWGAGSLSQQDWNGALSLGPRLILGFAAALLLVRPLRIL ALDDSGARSLGLALHSTRLAIIALAVWLAASVISEVGVIGFVGLAAPAIARLAGARST GKLMLTAPLIGAGLLFLTDCLTQILGPGFTDLAPTGAATALLGGPLLLCLMPRVHSVS AVATQSTAALNRLAKPLLALGALFTALALIFAVVLTLGPAEDGWHVATGSLLTDLLPF RLPRTIVAAGAGAMLAAAGFIMQRVTGNPIASPEVLGVSSGAGAGLTVALFLFGFPSP IIMLLAMALGALAAFLAMIAIAARAQFSPERMLLAGVGIGSFAMAIVTMVLAKGDMRG YILLTWLSGSTNRAGAFEAWTAIISLVVLTAPLPFLSRWLAILPLGAGPSRAAGLHVG ASRLALAILAALMTAIASFLVGPLSLTGLIAPHLARLAGFRAPGHQLTASLLLGAGVL MAADWLSRIVIYPYQVPVGLFAALIGGPYLIWLLSRKEVQQ YP_002984723.1 MMAERFTVSGIAITADPGRMLDEICTHFVEHGTVTRNGDAVTVE TMIGKADISRDGQALAIELSCRSARALQNVRAILAEHLFDFAGEATLELTWSDAPKAD RLPDLREIRVIGAKNITPHMRRVTVACDDTRHFADGGLHFRLLIPPKGRPPVWPQLRP DGRIHWASGEDALTVRIYTIRSVDVERGEMEIDFVLHEGDNMPGAEWAINAKPGDIAG ALGPGGGGVSDATSIILAGDETALPAISRIAAEIPEGRRLTIFLEVDSAAEEQPLPSA GILDVTWMHRNGKPAGTLDMIEKSIKNALSDADADTFVWAGCERAEAKRIRDFLKTER GHDRQRMSIGAYWER YP_002984724.1 MARIAFIGLGNMGGPMAANLVKSGHEVLGFDLAASVLKAAEESG VKPASHASQAVKDAEIIITMLPQGKHVLTAWTDILQSAAQGMLVIDCSTIDVDSSRKA HEMAKAASCLSLDAPVSGGTGGATAGTLTFMAGGTEDAFARAKPILEAMGKKIVHCGE AGAGQAAKICNNMILGISMVGVCEAFVLAEKLGLSHQALFDVASTSSGQCWSINTYCP VPGPVPTSPANNDYKPGFAAALMLKDLRLSQEAALTSGASTPLGAEAAQLYALFDKLG HGGRDFSAIIEMFREKA YP_002984725.1 MDRYDYIIVGAGSAGCVLANRLSADGRSRVLLLEAGGSDNYHWI HIPVGYLYCINNPRTDWCFTTAPEAGLNGRALSYPRGKVLGGCSSINGMIYMRGQARD YDLWRQMGCSGWGWDDVLPFFRKSEDFYRGEDEMHGAGGEWRIEKARVRWAVLDAFQQ AAREAGIPETADFNRGSNEGSGYFDVNQRSGIRWNTSKAFLRPVMRRSNLTVLTKAQV RRLLVEEGAVAGVEFQHRGVAKRAYAARETVLSAGSIGSPHILELSGIGRGEVLQRAG VDVVTEVKGVGENLQDHLQLRLAYKVTGVPTLNEKATKLIGKAAIGLEYLVRRSGPMA MAPSQLGIFTRSGPDRETPDLQYHVQPVSLEKFGDPVHPFPAITASVCNLRPESRGSV HLSSPDFAAQPTISPKYLSTQRDRDIAVRSIRLTRRIVAQPSFARFRPEEFKPGPAYQ TEADLERAAGEIGTTIFHPVGTCRMGADRDSVVDARLRFRALGKLRIADASVMPSITS GNTNSPTIMIAEKAAAMILEDNR YP_002984726.1 MADVTETIQTEKSRTALSVQAGFLLAGLLLLLLAPFFFYPIFLM KLLCFALFACAFNLLLGYTGLLSFGHATFFGGAAYFTAYTVKAWGLPPELGILIGVAG AAFLGLVMGFFAIRRQGIYFAMITLALSQMFFFFCLQAEFTEGEDGIQSVPRGHLFGF IDLNSSTNMYYFVLAVFIIGVLIIWRFINSPFGMILKSIRENEQRAISLGYSVARYKL GAFVMSAALAGLAGAVKSIVFQFATLTDVAWQMSGEVILMTLLGGIGTLIGPLFGAGL VVALENYLATSEFPVTIITGIVFMVCVLIFRRGIIGEFYASRLGRKLGFVYRR YP_002984727.1 MTMIFGIPLQALLGQLLIGLINGSFYALLSLGLAIIFGLLRVIN FAHGAQYMLGAFVAYLLLTYAGIGYWPSLILAPVIVGLAGAIIERLFLRRLYDLDPLY GLLFTFGLALAVEGTFRYLYGSSGQPYATPAALAGGANLGFMFLPIYRGWVVVVSLVV CLGTWLLIEKTKLGAYLRAATENAVLVQVFGVNVPVLLTLTYALGAGLAAFAGVLAAP IYQVSPLMGSNMIIVVFAVVVVGGMGSIMGAIITGYVLGIAEGLTKVFYPEASNIVIF VIMAIVLLIRPAGLFGRDA YP_002984728.1 MATLLEVQGLNAWYGESHVLHGVDMRVNEGEMITILGRNGVGKT TTLRTITGIVRARKGKISFAGSDMMQVPLHKTAHRGIGFVPEERGIFSTLTVSENLLL PPVVAEGGMTLDEIYELFPNLYERRGSPGTKLSGGEQQMLAIARILRTGVRLLILDEP TEGLAPVIVQRIGEVLKTLKERGMTILLVEQNFRFASRIADRFYLMDHGQMVSEFPVG ELPQRMDTLHKVLGV YP_002984729.1 MAVSAIETQEKPRVVLSARGLRRDFGGFTAVKNVDLDVHDASVH ALIGPNGAGKTTVFNLLTKFLQPTSGTITLMGTDITKTPPDKVARMGLVRSFQISAVF PHLTVLDNVRVALQRPNNLSTQFWRPISALDRLNGRAEQLLASVGLSKERDHIAADLS YGRKRVLEIATTLALDPKVLLLDEPMAGMGQEDVGVVSSIIREVARDRAVLMVEHNLS VVANICQHVTVLQRGEILAAGDYATVSQDERVRVAYMGTEEH YP_002984730.1 MRKNLIASVAFLLASSTAVLAQSATDGKVKIGILNDQSGVYADF GGKSSVEAAKMAVEDFGGKVLDVPVEIVDADHQNKPDIASNIARQWYDTEQVDAIMEL TTSSVALAVQAIAKEKKKIDIVTGAATTDLTGKACSPYGFHWAYDTHALAVGTGGALV KQGGDSWFFLTADYAFGYSLEQQTSDYVKASGGKVVGAVRHPLSTQDFSSFLLQAQSS GAKVIGLANAGLDTSNAIKQAAEFGITQGGQHLAALLFTLAEVHGLGLEAAQGLTLTE GFYWNRDDESRAFAKKFFARTGKMPNMIHTGTYSAVTQYLKAVKKAGTDETEAVAKQL HELPVDDVFGRGGTVGANGRMIHDMYLLQVKKPSESKEPWDYFNVLATIPGKEAYIDP AKSGCDLVK YP_002984731.1 MRYCKLNHHRATRFKTGIAAVNSSRFLQSIAEAESDDTRLNFAR AAIFQLYSACLPTGSAPAQFSVQLSLSQGEAVTLVVRPFVNKIEALGGLVKSLFSTKA ETVLRVLPCRVEDKIGSGIGLILFVDDEPMITIGSLADDSGLFLRQIESASRNTSGAA TIGTVVGQRLASVVPLPTGSLDLRKPK YP_002984732.1 MRRIHRFRNFAVGAVALALFWSAQVGSADAQSSGQQFFVTTKEG GFLLDGKPFRVAGVNNHYLTFGSSGEVTRVLDDATAMGANVVRTFLQPVIGSLDGRVP TIWNSKSTADSSNLGTKGIYMMSWDPITNKMVPNDGPDGLQKVDYLIAEAAKRKLKLI LAFVDFWAYTGGAQQMNAWYGSSDKYTFFAADPRTRRDYKEWVRHVLSRVNTITGVRY SDDPTIFAWDLANEPDIHPIPLLHDWVSEMSAYVKSLAPKQLVTTGHGNMDQKLSDMN IPSVDFGTWHGYPSYVKMSHSDFDARIREYCAIGRDVGKPVILEEFGVPRSDADQANA YGTWLNTIASSDCAGWVVWRLTSTQDSGLYPQDDYDKFDIHNDGSPAWQALRDAALKL QN YP_002984733.1 MMTVSAAIAMVRSLLMNQAVLLLNAGMLGLGTLMTAILGFVYWW FAARSFSAEAVGLAAAAISLMNLLANLGEVGLGPFLMGEIGRQKRAGPFLTGALLASF SASIVVGLIYLVIAASTSTQLGSIVGSSATDLFFVAGCALTAVTLVLDQALVGLLRSG LQLTRNVVFAASKLLLLIALGLTLGRATSGLAIFTTWFAGQLVSLVVVAALLVYAGRR IFHRPETHAFRPVLGQVFGHHALSMAVQAPALLLPVVVTVMLSAEINAAFYAAWTVLN VALLVPASLASVLFAIAVREPELFSGRLRLSLGLSMLVCAATALAFLFLSRFMLWIFN PAYAAIAGNSLQFLGVAAFGMALKYHYLAVQRVRRRLGFATLVLIGAAVLEIVAAAGG AQFGIAGTANFWVIAVSLQGLFLCPALYWATRRIATPSTTAPPAAAGVSTCGNSLDET DQFGIASRA YP_002984734.1 MDNFQHPVPGKLTTAIVICCYSDKRWDILNKAIEAAARQIPAAD EIVVIVDHNPALALRLRAKRFETPVRIVENIHPPGLSGARNTGISVSRGEVILFLDDD AVSDQDLLATLVRQLEDPSVLGAVSAIRPLWETDRPSWFPDEFLWTLGCTYRGLNPGP VRNLIGASMCIRRDIFDHTGGFDSGLGRTAKALPLGCEETELCIRATKALPHGRFVFE PSSGSDHAIPADRATWKYFLHRCWAEGLSKARLCLMAGSGEALASEQTYMTRTLPQGV MRGFADVLLGQPSGLLRVVALVAGLAATAAGFTLGRTRAALKRPFTSVPARALEPVE YP_002984735.1 MMARRVFLGTLPLWVLAAALAVTWLPETFSTWEKAVRLVLCAPL ILYLPGRMLVDTLRIEGDMVTRGTLSVFLSFAACIFCGLLLHVVGHLDARGWVYALGL TTFAVRWLNVVLQLARPVPAIPWAWPGRRFGTFAASMILATSSVLVARPVALERKPFH FTELWMVPKWNWTNNVVTVGVRNSEDAKTVYSLDLVLGGTLIGKMPTFELAPSSSQTF EFSVPTKTRPPARLEAWLFKGGDRGTIYRKVWMTIDPLQFPASTGISLQFADPEMKNG YP_002984736.1 MIDSRIDAFMCVHSRDIEYLLEASLRSYQQHFPDKGNLTMVTDN PAALRAFLDAKGLVPGAAVTGDNDWLSASELELPGWFRQQIIKLRAFEFCRTEHFCNL GADTLLLRPIATTDLIDRREPVLYYSSHRLPDLHYRFEKKRLRNVAKILGVEPARSFR YVDFINDFFCFKREWLIALNDYIASRYGSKPYVELLQGLSASKDQTRFGEWTLYSVFL LDVMHQSPTMRDARGAYLTQIHSRLGLTLSRLDSKIVHLVQKSFDPNIIRQKLMKVNP GAAQIIGATAWADAGARPR YP_002984737.1 MTVVKGRTSSDEQKAYQDRAYRHGYTGSVAIPPVDLWIAAFLGL RRQDSAVGGVADPSSLGMSPVFAFLCSCALVVAAHAANASRLGEGWAVPTFYCAIAAI FLPAAERIIHPRASRLERLALIVIVTSALFVVRVIRAPVAFIDHDEFLHWATVNDILE AGRLFLPNPLLPVSPLYPGLELITSALVNLSGLSVFAAGLIVLAAARLMLMLALFLLF EKITDSARIAAIACLVYMGSSTFLLFDVHYSYESLAIPMLAAVLLASESQRVEPSDAP RWPTVVATVVLILALAATHHLTSYFCTALLCGTAVMECLRQGASAMQKRRAILLASIA VIAPVAWSKIVGNPTGSYILPVLEGGIHEVAQLVTSSTSTRKLFVSDTGALAPAWQRY LTMAGVALICLGLLTGFLRSLVFNGQHRDASILWPPTRWRLWRSSLLQILVLVTLAYP VSIIFRLTRSGWEIGNRIGSLSFLGVAIVVAVAVAAFWHGTSRGWLRATALAAAATTV LIAGVISSEGPRILVPAGYEVSADSSSIEPMGISAARWTRKWLGGQHFAADRINRLLL STYGRQKVSTTLESGQDTGMAITAAALGPMERKLLIDSGVGFVMVDLRLTTGLPGVGV YFDGGAQDRNHTVPLQSSSLLKFNSEPDVDRTFDNGFMVIFDVGRLGKARQPAVPRKR TGEPVQSLERTGDLQ YP_002984738.1 MRILMLSARYLPFAGGTETHVGEVATRLVRKGHKVTVLTGNPHG LLPAVETRDGVQIVRLKTFPRGRDWCFAPGVFRAVAEGDWDLMHVQGYHTFLAPLGMA AASRKGLPFVVTFHSGGHSSRFRSSVRRFQHRMLAPLAARAAQLIGVSRFEADLFSQN MAIARDRFIVIPNGARLPETSGRRPASPHDSPLIVSLGRLERYKGHHRALAAFNVLAS KFPDMRLRILGEGPYEAKLRQQVAGLGLGNRVEIGVIPPTDRSAMADLLSSAALVVLL SDYEAHPVAVMEALSVKAPVLTTDTSGFRELAEEGLVRSIPLNASPDFTAREMLAAMD AGPISIETRLPDWDDCTDQLLMVYRRVLSHPQPELRAGPALLGDLKHDDRC YP_002984739.1 MSTIKALESSHSSMPVQGPMFNLPRTSLVIPTLNEAENIKLLLP RIPTWVHEIIIVDGRSTDGTPDIARSMRDDVKIVLQPKKGKGIALRTGFEAASGDMIV MLDADGSMDPYEIILFVAALVAGADFVKGSRFMQGGGTSDMTVIRRFGNLGLTLLVRM LYGSSFSDLCYGYMGFWKRHVPLLRADCDGFEIETLINLRALKNKLKIMEVASFESER VFGVSNLRALPDGWRVLKTIFRERVSTPTGVQVLEQSIS YP_002984740.1 MNLAFYESLASVEDRWAGSQGAVPWNFYQRPELTGEYAVEADGT ISVPLLGRFPVRGLPPSDVEAIILPSYESLVGRKGFVNIVKIEHQPIYITGPVRNPGS FRYVDGMTVLHAVAQAGGMAAKTIEPWQSVEITRQIERLKVGLSDLKRLASRTEVLRA KRDSVQIASIGTPVLGPDPDAQRLLDDETWQRQLVTTSKDAQSSAFVKSVADAQTDLD LRQARVGNYDATIRVRQDRLASIENLAKNKLVTSIELTRAQSELTESEDRKQQAVIDV ESAKQRLAAAKQDVERDRIERKIEIEKSAADAERGLSTALQTTESDLEIFQSMVSSND SGDVEFEIVRPGPNGVIVEAATEETVLQPGDLIKVH YP_002984741.1 MNNPPQFTWDDLQFFLAVARTGQLSTAARQLRSSHATVSRRIDR LEFTLKVKLFERNPRGYVLTAMGTRFVETAERMEQETERLRADLADGSMAQRGLVRLS APEGFANFFFATVLPQFAAQHPHLSLELVTIQQIMSLSRKEADLSVVLDEPKGGAYFA EKLTDYHLQVYGSREYLAKAPDINSREDLLSHPFVSYIEEMIFAPGLDYLGDVHPRIK PQFQSSSIFAQLTATRNGLGLCILPYFFASRYPELVRVLPDEIDLKRHYWITCHRDLK QAPRVRAVIDFLREAVRGEDARFVPPYVTAAGPARRAKAET YP_002984742.1 MSSSDLFVSAEGAEWVNPEPGVVRRIMTYLPEMMLVEVAFESGA VGAAHSHPHIQASYVAEGSFEVTIDGRTEVLKQGGSFIVPPNLIHGVKALEKGRLIDA FTPHRAEFLK YP_002984743.1 MQHTREVFDWADPFRLVEQLTSEERMVQDTAHAYAQEKLAPRVL DAFRNEKTDPEIFREMGELGLLGPTISPDYGGAGLGYVAYGLIAREVEKVDSGYRSMM SVQSSLVMVPIETFGSEAQKLKYLPKLATGEWIGCFGLTEPDHGSDPGSMATRAKKVD GGYSLTGSKTWISNAPIADVFVVWAKTEDGLIRGFILEKGWKGLSAPAIHGKVGLRAS ITGEVVMDGVFVPEENLLPDVTGLKGPFTCLNSARFGIAWGALGAAEDCYARARQYTL ERKQFGRPLAANQLIQKKLADMAAEISLGLQGCLRLGRMKEEGHPPVELTSILKRNSC GKALEIARAARDMLGGNGISDEFGIARHLVNLEVVNTYEGTHDIHALIIGRAITGIAA FAN YP_002984744.1 MFLLLALLIGVIAGLRTMTAPAAVAWGAALGWFDVSQTPLAFMG YQWTPWIFTLLAIVELIADQLPTTPSRKVPMQFGARIVSGALAGATIGAASSLLFGGL IAGVIGAVIGTYGGATVRGRLAASFGKDLPAALIEDAVAVIGAVLIVGAVA YP_002984745.1 MKSFDAIVIGAGQAGPFLAARMVEKGMKVALIERKFLGGTCVNA GCMPTKTLVASARAAHVARNGATYGVNIPGEIAIDMKVVRARAETVTMNARNGLIGWF AGMDGMTVIYGHARFEDPKTVSVNGETLTAPRIFLNVGARPVIPDLPGINDIDYLTST SIIDLDSLPRHLAVIGGSYIGLEFAQMYRRFGAEISVIEHGPKLASREDEDISDAIAD VLRSEGIDIHTGASEIAFSKSSDGITVATDSARIDASHVLIATGRKPNTDDLGLDAAG VITDGRGFITVDDKLATNVDGIWALGDCNGHGAFTHTSYNDFEIAAANLLDSDDRKLS SRILAYALYIDPPLGRVGMTEKQARASGHKILISTRPMSRVGRASERGETKGFMKVIA DAETKKILGAAILGIEGDEVIHGIIDAMNAGTTYPALQWSVPIHPTVSELIPTLLGDL KPV YP_002984746.1 MSIELLIEHYGLLAIFLGAAFEGETAAFLGGVISHRGLLTYWSA SLAATAGSFAGDQFWFFAGRYAARWGLVRRLMERPALARATRLLERYPTGFILAFRFL VGLRTISPIVIGTTRIATGKFIILNAVAAFAWGQLFTALGYLFGHGIEQALGHLPLHR HLLIAIGAAAVAAVAALVFRKMKLSARHS YP_002984747.1 MTKVRALVLERQHELALRDIDLPLETGPGEVKIRIHTVGVCGSD VHYYTHGKIGPFIVNAPMVLGHEAAGTVVEVGAGVTHLKVGDRVCMEPGIPDPNSKAS RLGMYNIDPAVTFWATPPIHGVLTPEVVHPANYTFKLPDNVSFAEGAMVEPFAVGMQA ATKAKITPGDTAVVLGAGPIGTMVAIAALAGGCARAIVADLAQPKLDIAAQYQGVIPV NIREKNLAEEVARLTDGWGADVVFECSGSPKAWETIMALPRPGGVIVVVGLPVNPIGF DVSTASTKEIRIETVFRYAHQYERSIALLGSGRVDLKPLISETFKFEDSIKAFDRAVE ARPSDVKLQIVMD YP_002984748.1 MTLNGWLQILLYCGIVLVLVKPLGGYMTRVFSGERTFLSPVLVP IERGLYRLAGTSEGEEQHWTSYAFAVLLFSLLGVIILYALQRLQGGLPYNPAGMAAVP PELSFNTAVSFVSNTNWQNYGGESTMSYLTQMAGFTVQNFVSAATGIAIALAFIRAFS RASGKVVGNFWVDMTRATFYVLLPICIVLTLVYVYLGVPQTLGAYVNATTLEGAQQTI AVGPVASQLAIKMLGTNGGGFFNANSAHPFENPDAISNLIQMVSIFAIGAALTNVFGR MVGNQRQGWAILATMGILFIVGVGVTYWAEAAGNPLMHAFGLGGGNMEGKEVRFGVAL SSLFAVITTAASCGAVNAMHGSFTALGGLIPLINIQLGEVIVGGVGAGFYGILLFIIV AVFVAGLMVGRTPEYLGKKIEAKEMKMAVLAILCLPLAMLVFTAIASVLPSAVASVGT AGPHGFSEILYAYSSAAANNGSAFGGLTGNTPWYNITLGLGMLMGRFLVIIPALAIAG SLIAKKSVPASAGTFPTDGPLFVGLLVGTILIVGGLTFFPALALGPVVEHLVMIAGQT F YP_002984749.1 MILRHRLRNAFHPRPGAPGRGNGPRPQASDVILVMMAGLLVIAA IVKILQG YP_002984750.1 MSQAKSASVMDSRILIPAVGAAFKKLNPWALARNPVMFVVATVS VLTTVLFLRDLVAGNGNLGFSFQINLWLWFTVLFANFAEAVAEGRGKAQADSLRKART ETQAKLLTGTDRTQFKLVPGTSLKVGDVVIVDAGDIIPSDGEVIEGVASVNEAAITGE SAPVIRESGGDRSAVTGGTQVLSDWIRVRITAAAGSTFIDRMIALVEGAERQKTPNEI ALNILLAGMTLIFVLATATIPSFAIYAGGSIPIIVLVALFVTLIPTTIGALLSAIGIA GMDRLVRFNVLAMSGRAVEAAGDVDTLLLDKTGTITLGNRQATTFRPVRGVSEQDLAD AAQLASLADETPEGRSIVVLAKEKYAIRGRDMASLKATFVPFTAQTRMSGVDLDGSSI RKGAVDAVLAHVNGDASSKNGSEIVRELQSISDEVAKSGGTPLAVARDGRLLGVIQLK DIVKGGIRERFTELRRMGIRTVMITGDNPLTAAAIAAEAGVDDFLAQATPEMKLALMR EEQSKGKLVAMCGDGTNDAPALAQADVGVAMNTGTVAAREAGNMVDLDSDPTKLIEIV EIGKQLLMTRGALTTFSIANDIAKYFAIIPAMFLTFYPQLGVLNIMGLSTPQSAILSA IIFNALIIIALIPLSLKGVRYRPIGAGALLSRNLLIYGAGGIIVPFIGIKAIDMAVAA LGLA YP_002984751.1 MLKELRPAVVMIVATTAITGLLYPLAMTGAAQALFPKQANGSLI GNNGQVIGSMLIGQAFTSDKYFHGRPSAAGDGYNAAASSGSNLGPTSQKLIDRVKGDY NAAKATNPNAEVPADLVTASGSGLDPHISPEAAYFQVARVAKARSLDEAKVKALVDGA VEDRELGILGEPRVNVLALNQSLDASMTE YP_002984752.1 MPDDSRDQAGRPSPDALLEKARAETRGRLKIFLGAAPGVGKTYE MLVSGRAKIADGLDVVIGVVETHDRKETEALIPGFEIIPRVEISYRGRALEEMDLDGI LARRPDLVLVDELAHTNAEGSRHPKRYLDVKELLDRGIDVYTTLNIQHVESLNDVVSQ ITRIRVRETVPDSIIDLADDIEIIDLTPDDLIKRLHDGKVYMPRTAERALTNYFTPGN LTALRELALRKTAQRVDDQLLSHMQAHAISGPWAAGERVLVSVDHHSRSASLVRYAAR MASRLRAPWAAVYIETNRSINLTEAERDTVAATLRLAEQLGGEAITLPGREVAEELVG HATANNVTHIVIGAPKKPTWRDWWGRSITDELIRRAGEISVHVISGNEKDGTTARGVR AAATPPPLDLGAYLLATGYVAIALAVGVVLDQVLDVRNLALVFLMAVLTSAVLHGLRP ALYSCILSALSFNFFFLPPRYTLTISDPESVLALFFFLGVAIIASNLTATVQRQAAAA RQRARTTEDLYLFSKKLAGTGTLDDVLWATAFQLASMLKVRVVLLLPEEGSIAVKAGY PPDDTLDEADIAAARWAWEHNHAAGRGADTLPGAKRLYVPLRTGRTAVGVIGLDSDRR DGPLLTPEQQRLLDALADQAALAIERVQLVADVDRARLGAEADRLRSALLTSISHDLK TPLAAILGAAGTLRDYFASMPEEDRSDLLSTVVDESERLNRFIANLLDMTKIESGAME PNSALHYAGDIVGSALRRAAKILDGHKTEMSIPADLPMVRVDPVLFEQVIFNLLDNAA KYAPEQSVIHIEGWADADNVVVQISDEGPGIPPTDLTRVFDTFYRVRKGDQVRAGTGL GLSICRGFIEAMGGTITAGNRTGRPGAVFTIRLPKPNDIPKVDELR YP_002984753.1 MTGSAVKILVVDDEPPIRKLLRVGLTAQGYEVREAPNAAAARQS VADDMPDLIVLDLGLPDTSGHDLLQVWRDEGLSMPVVILSSRTDEAGIVKALESGADD YVTKPFGINELGARIRVALRHRLQQQGEKAIFQTGGLSIDLVKRIVKVEGAEIKLSPK EYDILRVLAQHAGKVLTHQFLLKQVWGPAADVQYLRVYVRQLRQKVEQIPDQPHYITT ETGVGYRLREPD YP_002984754.1 MNSLPSRCRNTIEPNSIEPNSIEPNSIEPNSIENDMNLPNIIRP EHTFIGVSAPTKWRALQTIADKAAKAFSVDGQTILKALEAREKLGSTGIGNGIAIPHA AIDGMTSPRGLLLRFAHPLDFEAIDDIPTDIAFVLLFGENNRGEYLNVLSAIARRLQS DGTLVAMRKARTVDEYYSDFIADSRV YP_002984755.1 MNIRTILFASVAALAAASGARAADAIVAAEPEPVEYVRVCDAYG TGYFYIPGTETCLSIGGYIRTEVRFGQQISGDSDVDFWTRGQVTFQTKNDTEYGTLTG VITLRYNADDASDQEALLDEGYLDIAGFRAGKLYSWWDDDMSGETDTLASNETTHNSI RYQYENGAFAAGISVDELEEVYATKPGDGPNNFGVAGQVSYKAGAISAYLLAGYDTDT SEVAVRGIVYADIGPGTLGIAGVWASGANYYYEESEWTIAAEYALKINDKWSVTPGFQ YFENIALEADGNGFTGGSAYTTGVTIDYQIVEDLRSKLSVQYHDEDEGDDEVFGFLRF QRDF YP_002984756.1 MQTQRLTRLIAAAVMAGSFAIGSIAPAFADQTLLNVSYDPTREL YKDFNAAFAAKWQKDNGETLTIQASHGGSGAQARSVIDGLDADVVTLALEGDIDAIAK ATGKIPADWKTKFPNNSTPYTSTIVFLVRKGNPKGIKDWGDLVKDDVQVITPNPKTSG GARWNFLAAWAWAKQSNGGDEAKAQEYVAKLLQHVPVLDTGARGATTTFVQRGLGDVL LAWENEAYLSLEELGPDQFEIVTPTFSIRADPPVAVVDGNVDKKGTRKVAEAYLNYLY SDEGQKIAAKHYYRPTKPEAADPADIARFPKLTLATIDDFGGWKDAQPKFFGDGGVFD QIYKPAQ YP_002984757.1 MKAHSPTRWRFKRPSVIPGFGMALGFTLTWLTLLILIPLSGLAV RSSALGWEKFWSIALDPRTLNALRISFGSAFIAAIVNAVFGIILAWVLVRYRFPGKRI IDAMVDLPFALPTAVAGIALTTLYAPNGWIGQFLTPLGIKIAFTPTGIVVALIFVGLP FVVRTVQPVMEEIDKEVEEAAATLGANRFQTIFRVLLPGLAPAVLTGFALAFARGVGE YGSVIFIAGNLPFKSEIAPLLIIIKLEEYNYAAATGIAAIMLIISFAMLLVINLIQSW SRRRYGYGA YP_002984758.1 MALDATAQPTKLRSVTTENRIARFSLIILSLVFLLLILLLPLAA VFVEAFRKGAGPFLQALADAETFSAIRLTLIVAGVSVPLNLVFGVAAAWAIAKFEFKG KAFLTTLIDLPFSVSPVISGLVFVLLFGANTWLGQWFSAHDIKILFAVPGLILATMFV TFPFVARELIPLMQEQGTADEEAALSLGASGWQTFWHVTLPNIKWGLLYGVLLCNARA MGEFGAVSVVSGHIRGQTNTMPLQVEILYNEYNFTGAFAVATLLALLALVTLVLKTLL EMRYSAEIAASRRH YP_002984759.1 MEVRVQNIRKEFDRFPALHDVSLDIRSGELIALLGPSGSGKTTL LRLIAGLESPTEGLIFFGDEDASKKSVQQRNIGFVFQHYALFRYMTVLENVSFGLKVR NSARRPPKADIRRRALELLDLVQLSGLEKRYPAQLSGGQRQRVALARAMAVEPNVLLL DEPFGALDAQVRKDLRKWLRDIHDRTGHTTVFVTHDQDEALELADRVVVMSQGAIEQV GTPDQVYDNPNSPFVFGFIGQSNCLQVEISDGDIRFEGRSLGLNAEGEPDGTAQLYFR PHDVRLCESAENCIAGQPVSSRRVAGTRHIELDIGNDRPHIEIELPPSEADRLDRNRV AFKPTRWKLFRS YP_002984760.1 MRAEISFGKSLIGILFVGLAAASCTTTSKPAATAANTKTKPGQA AKVTFNYTAKDRECLQRAMYFESLHSDKDGYMAVGTVVMNRLTSGAYPTSICGVVAQK RQFAPGVMTREVKPQAETELASAADAILVKGARHPAVKDAMFFHTDGLKFPYDNMHYV TVAGGNAFYEKRDSNGMLETPPPLPSYEVAMNYVPGESMLPPQFEALIPSAVPVPLPA PDPMATASTGQMRITAPVTAPETSPLTSVEPEPGMPIAIPIPRPAYDSVMLRESLPAN GG YP_002984761.1 MTDTVLDRFLRYVVIDTQSDPASSTQPTTGKQKDLGRVLVDELL KIGLADAHLDEHGYVYATIPANSDKTVPVICFCSHMDTAPDFSGTNIKPQIIRNFAGG DIKLAGDTGRVIRVSDHPELQNQIGNDIVTTDGTTLLGADDKAGLAEIMTAAQILVGN PDIRHGTIKILFTPDEEVGRGVNKVDLKKLGADFAYTMDGETAGHIEDETFSADGVEI SISGVAIHPGFAKGRMENAIKIAGAIIDRLPGDIAPETTEGQQGFIHPTGVTGSMEKA SLSFIIRDFTDKGLTEKESMLEGIVKDVMAVYPGSTYHFEVKEQYRNMKVVLDRHPEI VDNAIEAVRRAGMTPVRGSIRGGTDGSRLSFMGLPCPNIFAGGHAFHSPLEWVSRQDM EKAVKTIVELARVWEERA YP_002984762.1 MLVAQISDIHAGPDLSSLRTLERAIGWLKTFRPDVLVVTGDLVN DQWRHGYRLVAENLRSLDCPVHLLPGNGDDVQLMRSELVAVGTWINATGPMHFRTAVD GLTLFGVDVTVAGQSYGDVLPHLPWLTSALADVTTPSLLFMHQPPLRIGIEVLDQVGC RNGSALLSTLETMHRLPLAILCGHVHRPAFGRLGSMTVQTCGSLCPPNPLLLDGRADL SVIDAPSFLMHEVSDGRLVSHVVSVPISDRGA YP_002984763.1 MASIDIRNIRKAYGHVQVLHGVNLEIQDGEFVVLVGPSGCGKST LLRMIAGLEEVTSGEIRIAGNRVNELHPKDRDIAMVFQSYALYPHMNVAGNMSYSLRL RKVAKEKIAGAVAAAAAKLGLDPLLERRPKALSGGQRQRVAMGRAIVRQPKAFLFDEP LSNLDARLREQMRAEIKKLHGDLKATSIYVTHDQIEAMTLADRIVAMHGGVVQQVGSP LELYDRPANLFVAGFIGSPGMNFLEATYDADGVKLKDGTIVPLAKPLPLSDGAKVTLG IRPEHVLMSDGGAGLAADVELVEPTGFGIILHLALHGLPFKIFTLNREALKAGPKVNV AFPAQYLHVFDGEGKRVD YP_002984764.1 MRRSVIPTIAHRLAILCYIAFALFPLFWLLKVSVTPNDLLYSEG VRMWPSRTTWDHYAFVLRHSAFPTFFKNSLIVSASTAITVTICASLSGYALSRFNFRA KYWIVALMLLTQMFPLVMLVAPIFKILSPLHLTNSLTGLVIVYTAFNVPFATFLMQSF FDGIPRDLEEAAKIDGATQFTAFRQIILPLTLPGIAATLGFVFTAAWSELLFALMLIN GNDAATFPVGLLTFVSKFSVDFGQMMAAGVMALIPAGLFFLLIQRYLVQGLTAGAVKG YP_002984765.1 MTQTAQNAANGTFWLMTISADTLDMRRDRRPWLRRVADASEPYL YSAPSLILIIAVMLVPLTVGISYAFRDIQLLNPFSGGFIGLDHFRELSGDAAFYGALR NTLWWTGASVVLQFVFGLILALLLDKPFKGRAIAQALVFLPWAVPSFLAGLNWAWLFN PVIGPIPHWLFALGLMHEPGNILSDPNYAMWGPIVANVWWGIPFFAITLLAALQAIPR DLYEAASIDGAGWFQRFRSITLPFLAPTIAITVLLRTVWISNFADLIVVMTNGGPADR TQIVASYIFTTAFRRLDFGYASAIALVLLVLLLAYSMLIILLRQTLLNKD YP_002984766.1 MKKLIISTIFASMMAGTAFADTTLKLVEVITSPERTETLKSIVG KFEAANPGTKVDIISLPWNEAFQKFATMVSAGDVPDVMEMPDTWLSLYANNGMLESLE PYLAKWEHTKELTPRALELGRDVKNTAYMLPYGFYLRAMFYNKKLLAEAGVAAPPKTM EEFTAASEKVSKLSGKYGYCMRGGAGGLNGWMIFAASMAGSNKYFNDDGTSTMNSPGW AKGIEWMVDLYKKGYAPKDSVNWGFNEVVAGFYSGTCAFLDQDPDALIAIAERMKKED FGVMPLPKGPDGKSFPTIGYGGWSMFTTSGNKDLSWKLIATLEGPEGNIEWNKRIGAL PAYTAAEKDPFYAGDQFKGWFEELADPNTVPTVMPTYLEEFAFFKDSLAIKTSQQAML GDISAKDLADQWAEYLTKAQQKFLAKK YP_002984767.1 MSNGSEPFDLASLITAHDEGNFADAVVPPIFQTSLFTFSDYDDM IASYRGERVRPIYTRGLNPTVRAFEEMLAKLEGAEDALGFASGMAAISSAVLSFVEPG DRIVAVKHVYPDAFRLFGTILKRMKIEVTYVDGRDEEAVAKALPGAKLFYMESPTSWV MEAHDVGALAALAQQHGAISMIDNSWASPFFQRPLTLGVDLVIHSASKYLGGHSDVVA GVIAGSTAMIARVKAEAYPYLGGKLSPFDAWLLIRGLRTLPLRMKAHEASALEIARRL QKLGVVETVCHPGLANRLPTGLIGTSGLFSFIFRDGVDIRAFADHLKLFKLGVSWGGH ESLIVPGEVVLQQKAQPNSAHAFGIHARSVRLHVGLEGTEALWRDIEEALAAASQS YP_002984768.1 MKANSSDRPVIRPLPVMDRARQVTDALADYVEEARLKAGDRLPA ERELMAALAVGRSTIREAIRHFQALGVIETRKGSGTYLLKPVSRATIHMPLSFDTVHL RDVLLQTLEVRRGIECEAGMVAARRRTNTDLVVIEEKLDAMERVHLEKGTSGPEDLAF HLAVYDATHNPLFRQLLEQMRETFERFWEHPFDRQDFARRSFPFHRTLFDAIAAGDPE AARAETLKILDIVEEDIKEMSK YP_002984769.1 MTYVSSPSYDRNDASRASNLRQCLETARRRLDEISHNSETEKIE TLVASAVEAGWEEDEVREALSAGDEQGNKMPEHKPPVPTIGVVPSSSF YP_002984770.1 MKRTFLYYCTLISALSAMTASIVGAPALAEEFVASQSKTVVETN KGSLVGALDDGIFSYLGVPYAHADRFMPAEEVAPWKGIRPAVTYGENCFIPRMKEVAG DELFNPHRYLPMSEACQFLNIWTPAINDGKKRPVMVWIHGGGFTNGSGIELTSYDGHN LSKDGDVVVVTLNHRLNVLGFLDLSAYGEKYKRTGNASVTDLVAALKWVHDNAAAFGG DPGNVTIFGQSGGGYKVRALMGTPSAKGLFQKAIVQSGSRSDSVVDQASSRKVAELTL ANLGLKAEEIDKLADVDYYDLLAAADKAIKDATAQGAKDARYAPVQDGDYIPVNPVGT EWVDQAKDIPLMVGNTLNEFETVINHKAGELLADNKNNWDEDKSEAKLKERFADKSDA VGKAFMAAYPEKKIADAYFLDLRFRPGAIRDLDLKAKQNGAPVYSYMFAYESPVLDGI AMAWHCSELPYVFANAALVKTSTGGGSDALALSRKVSQAWVNFARNGKPSAEGLPDWP AYTTDKPATMVLDKQSRVSVDLDRKLLQAAGAL YP_002984771.1 MGKTWMITGASSGFGRIMTEKLLARGDRVAATVRKPAALDDLKS HYGDNLWIATLDVSNDGAVRSVVDAAFGAMGRIDVVVSNAGYGLFGAAEEVSDEQIRH QIDTNLVGSIQVIRAALPHMRAQGGGRILQVSSEGGQIAYPNFSLYHATKWGIEGFIE AVSQEVAPFGIEFIIAEPGPARTDFGRGLVAPRPMKAYDATPAGDIRRAIADGRFEAK GDPVKMAQAMIEAAEQHLAPKRLALGAGSYASIRKALVERLAELDAFKEVTISADVDA YP_002984772.1 MNYKPSLADLRALSAIVNHRSFRKAADELGLSPSTLSHMMRSLE ANMGVRLLHRTTRSVAATEAGERLVTRLTPLFRDFDLALEEVNEFRGHPSGTLRVNTS EIAARVLLDAAVPTFLTCYPDMSLDLVTDNRLVDVVADGFDAGIRLREAVPLDMIAVE FGGDTRFVAVASPAYLAAHPAPQTPDALKTHACIRFRLPSGKLFRWEFEKHGHEIAVD VPGVLTLDHVELMAQAAAKGLGIAYVSDRTARPFLESGALVTVLDDWCPAIPGLCLYY PGHRHVPQGLRAFITVLTEVERHGR YP_002984773.1 MKRGRLPLTALRSFEVAGRLESFTRAAQELFISQAAVSRQIREL ETLLGEALFERRHRGVHLTASGNKLLAILTSSFDRIDESLEEIRSRPARAALTISVEP SFAACWLVPRLPEFRERHPEIDVTIDADSRLVEFRGGQAEIAIRHSASVTAWPRTESG HLADVRMVPVAAPALLKGGPAIDRPEDMLCHTLLHEETRDVWFRWFEMAGIAMPEIAR GPVYADGGLVMQAVLRGQGIALMDDIFAEEEIRAGRLLRLSDLAVAHGAYWLVARSFE RLAPPASFFVRWINSRIETF YP_002984774.1 MHARKDSLPASILASIRSRKKRLDAAYTEARPPTAGTLIASYNV HKCIGTDRRFDPERTSRVIHEIGADVIALQEADTRFGERTGILDLGRLERETGLIAVP IAGMAKAHGWHGNVVLFKKGLVHDVHQVKLPGLEPRGALVAEIELEKGGVLRIIAAHF GLLRHSRAQQARMLVELINDRHEMPTILLGDLNEWRLGDRSSLNTFQSAFGPLPPAVP SFPAGLPLLALDRIIANRKGIISEVEAHDTPLARIASDHLPIKALIDLEPVAG YP_002984775.1 MFYLLSTYWPHILFVVSIAMGAAAAIHAAMTKEEVRAAIGWVGV IILSPIIGAVLYAIAGINRIRRKSLSVRRDALLLAAEFDELETFDAEAETVISQFGRR FAALQTLGDRVTRNPLTSGNTIDVLETGDEAYAAMKTAIDEAARSILLETYIFDRDAV GLRIADALIAAASRGVEVRVLIDAVGARYSVPSILGHLREGGVTVAVFNGNVIMGLRL PYANLRTHRKILIVDGKIALTGGMNIRAGFSEEATGESFAHDTHFSVTGAVVADLFDL AAEDWRFSTQELLNDEPWRIEPPERSPGDPILMRVVASGPDRSVETNHKMLMGAFSVA RQSIRIMSPYFLPDRELISALVTAARRGVEVDIVVPALNNLVLVDRAMTAQFDQILKN YCRIWRSTGSFSHSKLLTIDGTWAYVGSSNLDPRSLRLNFEVDLEVLNEGFAAEIDEH IEETLKTATPVTLEGLQARPFPVRLLEKVLWLGSPYL YP_002984776.1 MTIAANVGPAWALEVANADSIAELWHQAEDCTRCDLYRNATQIV FGEGSGKAEIVLIGEQPGDQEDLTGRPFVGPAGRLLDRCLEEAGLDRQRCYVTNAVKH FKFTPRGKRRLHAKPNAGEIRRCAWWLGAELNILQPKLVVTLGASALYALLGPKAKLT PERGHILHPTNHPPVLVTIHPSYLLRIRNEADRDQQRRDFVSDLHKAAEFRPR YP_002984777.1 MAETREEWIKKRAYTLWEEEGYPTGRDSIHWEQARDERDALEGS AASSNGKEAKPKAKRSVTGSKTNGVVTPAPKRTASRKTAS YP_002984778.1 MSLLIVAALLYLGLALGLILVVDNLVGLVFRDPRAPADLPFFHI GRALVASQKLYRK YP_002984779.1 MRSVGDGWPRGGGEIGELLRHPDFHAVGLGPVESWPASLKHVAE MVLNSRQPKFVAWGPDLAFLYNEAYVPVFPERHPDALGRPFREVWADIWEQFSPIVTS TLEGSSQLFKELLIPMRRDGRTEDTWFTFSYTPLRDDDGRVAGILCAALDVTDQVSAK RGEQNALEELRAKSEALAVVNRAGAAITAEPSVERLTQIVVDAGVTLTGAEFGAFFYN VDDGEGGSYMLYALAGVDRKNFEKFPMPRNTKVFAPTFNGEGITRSDDILLDPRYGQN APHAGMPKGHLPVRSYLAVPVKSRDGGVIGGLFFGHGQPGRFSQAAEASLVSLAGQSA VAIDNIRLFRAAKVEIDQRRNMEDQLRKLNEMLEVRVAAEIAERQQAEAALQQSQKME SIGKLTGGVAHDFNNLLQVISGNLQLLGKDVAESGRAKERISNALAAVERGSRLASQL LAFGRRQPLEPKVVNIGRLVTGMDDMLRRALGEEIEVETMVSGGLWNSFADPTQIENA LLNLAINSRDAMAGPGKLTIEVGNAFLDDTYSRTHPEVTAGQYVVLAVTDTGSGMMQE VIEQAFEPFFSTKPEGKGTGLGLSMVYGFVKQSGGHVKIYSEIGEGTTVKLYLPRSFQ SEDRITNVDNVPATGGTETILVAEDDEGVRTTVVEMLTDLGYYVLKAKDAQSALTVIE SGAHIDLLFTDVVMPGPLRSPELARMARERLPDIAVLYTSGYTENSIVHGGRLDPGLE LLSKPYTREELARKIRHVLTIRTQRRQGASDAAVAAPKHPEVAREKLKLLLVEDDAFI RMDTAELLQDLGYDVIEADSGERGVEILRHTIVDIIVADVGLPGMSGQAFAAKAREAF PSVGLVFATGNSSLPDANHLPGSVLLSKPFSSIALDQAVKNAVQQRPGV YP_002984780.1 MMPCWSVRAVRAFPYHDDGSFHDGGSHHDDGRLDPADTISIELT ARDDSCTSEVDLMRKYPELDGADA YP_002984781.1 MPRGDKSDYTDKQKRKAEHIEEGYEDRGVSEKEAERRAWATVNK ESGGGNKSGSGRGKKDTHESSEKGGRVGGAASAARSKEERSASGKKAAATRKRNEHHS HH YP_002984782.1 MAKSKKKWSQDVTERSDAMDLKEGVFKSDDPRKIARSVKHSAEE SDRRKSSPYRAAMSMLTFYINRAGDQLTKKRRGTLEKAKDELRKDFGRKPKD YP_002984783.1 MAYELYYWDGIQGRGEFVRLALEEVGAAYIDVTRQPGRGTGAMM DVMESESETHIPFAPPFLKDGDLIIPHVANILFYLGPKLGLAPEDDGLRHVVNGLQLT VTDFVAEAHDTHHPIDMSLYYEDQKPEAKARSAAFIRDRIPKFLGYFERVLRQNPKGP NHLVGDALTYVDLSLFQVIEGLNYAFPKAMTKRKAEYPCLLALHDAVAKRPNIASYLA SARRLAFNEEGIFRHYPELDGAG YP_002984784.1 MSPRHTPASDVSLTHPDRLYWPDEGVTKQALADYYAAVWPFMAP YVVNRPLALLRLPDGIKGHQRFFQKHAWKGMNPHIEEIADPQDADGEKLLRIGDFNGL VALVQSAVLEIHPWGATADNWERPDMVTMDLDPGEDVTWSAVIAAALELKSRLEARGL AAFVKTSGGKGLHVVTPLAPKAGWAEVKDFAHSLAESVSADAPDKYLATATKAKRGGH IYIDYLRNGRGNTAVAAYSTRARSGAPVSMPLDWSELNEVSGPAAFTLANVPQRLETR PKDPWGNFFDAAVPLE YP_002984785.1 MNAEAITDLGLVYVSDTEPGIRRRRKGKGFSYVMPDGTTLADEL QRARIGALGLPPAYENVWICLYDNGHLQATGFDARGRKQYRYHKEWQSFRSAGKFHQL IEFGRALPRIRRTVLRHLDTGAEDVNGVLAALTTLLDEAHLRVGNQAYVRENGTYGAT TLLKRHLKIVDGQIELKFRAKGGKRVQRSLKHPRLQKILEEIADLPGRQLFVWKDESG TLKPIDSGRLNAYLAEISGIPISAKTFRTWAGSLAAFGAARETILGGGRPTVKQMSEA AAEALHNTPAISRSSYIHPAIISLAGNDHPLIETGNEPLRGLRAEENRLLDFLTSEIE E YP_002984786.1 MSFSFSELDFLKPELGAEYTGSGTHFAVFSAHAEQMELCLFSPD GKNEIARLPLPKREGDIWSGYIAGVGPGTVYGYRAHGPYDPQAGHRFNPNKLLLDPYA KQVTGELKWDDALFGYQIGEDDLSFDERDSAPFTVKGVVQDPDFDWAGEEAIRRPWPD TIIYEAHVRGLTMTHPKVPDRLRGTFLGMCSDPIIDHLVKLGISAIELLPIQYFLDDR YLLENNLRNYWGYQTLGFFAPQSRYMSGDKITEIKTMVKKFHAAGIEVIMDVVYNHTA EGSEKGPTLSFRGLDNASYYILSPDDPRHTFDTTGTGNTLNAANPMVMRMVLDSLRYW VGVMHIDGFRFDLASTLGRQDMEFDRQGLFFGAIRQDPILAGVKLIAEPWDVGDGGYQ VGGFPHPFREWNDKFRDDVRRFWKGDGGMVSEISQRITGSAVQFNHSDRGATSSINLL SAHDGFTLMDTVSFDDKHNDANGEDNRDGHSDNHSDNMGAEGVTDNEDINSLRARRRR NMMATLMLSQGVPMILAGDELGNSQGGNNNAYCQDNEIGWTDWSGLDDPFLDFCRQAV AFRKAHPVLRQERFLTGETADDGRIEIAWYKPDGGFMDDGAWNDDGLQVLGVYVSRSV HAPDTEEMDDLFLVFNAGGDCEVHLPVVNGLKQWSRVLDTGSETGSFEVREPENPVIV YAQSVAVFAPKGQTEPPKGATKAERRRWFQFGRRSK YP_002984787.1 MVELAQSLASIKLPDLSGKAVLITGASTGIGAALARAFAAQGAK VGVHYNASREPAEKLADEIRAAGGTVHLIQGDVSREGETERVVEETAKTFGHLDGLIN NAGGMLGRKPTSEYTDAHYAAVMDLNARSVLAATRAAHPWLKKQGGFIINTTSIAARN GGGNGAILYAASKGFVSTITRGHAKEFVPDRIRVNAVAPGVIATPFHERYTNDEQMEL QRKSIPMGFVGTSEDCVGAYLFLASPTLSGYITGQIIEVNGGQLMP YP_002984788.1 MSQPSSRRPAGLSFFYVSDAGWSLLSSIITVVTFVGAVGGIFWA VFEYRENQETERTKYTLTLIETWETQGYRQAYGELRKFYTSFIETVPPADRKTAETSG HARDNLLQSFNRRIAQEPARQEQVREVVYFFNRLGLCVSASLCSKETTAVFFNDTVQS FLDAYGPYIESSKETLPGRGATLVDLSRELNPAGRD YP_002984789.1 MPSFRFRDAYGTVAVLAAAMLLLAPAAAGAACLICDEVVELDEV RAKCFRQDYEKFLSTARSAETSNAEVDLSACAGDDGRERRGLDRMPGLLPPGGESTAR NQRELRSVYIFDEAGLVCLKRLLDGHEGTIDPSVRFDLVENCKP YP_002984790.1 MRVIGIALACIMLLSQPALAQSPLDIIRSLTDGVTRDAAPPDAG SPPSKPIPTLQSQLTRSQVQRLQRALEALGYYHGPIDGNAGVETWSSVVAWARDRGWE APTTLRVAHLNSLEAERAQGQVAEQDRPGSGPEDGTIRRVQSALSRLGYYSGPVNGQT DQATMAAMAAWAADRNWEAPASIREAHAVNMEEELSHRTGPVVGDAALPPWQPDDTGI GSKICASTGYSKVCLRLACDAEAGVVLDFEEVAGYSTPSVSSAGLTVDRGPEATLPLD GIRKRPVLDAGRDAALIAHLSSGRSLNLKLGTWSMSFGLAQFGPEYDRVGKACATLLA RLRSGQDPFPGQFSRLADELAEATVSEGTWTSQPNMDLGGDDIRHGLTDPLLRGLTEG VCIAICSETDMCRAYTFKPSGGGCFLKSAKGSPKPHAAAKSGVFDGRKAGLAPPPTRG PGPIVDGAVGWHEGEPLEGFQARVKQAARRLGGSCEEEKETLRRLAEKFQWTLPHQGP LRAGNSFAIEWSGNTLEDRIPVWFMVKAEQPVRFKGKGHVALGPDAPNPFAIKTGLGK TRAMVALATRGAAASGSVSAIPLQAGPLNLSVTLVGYLRACEEEIVLKEGSERLEIAP APAEIVLNTAEGRAAFTHSIDIPKFSRRILLNDTRFLLLDAASGTEIVERAGTHLRIS PTHRFIGVEHNGRLDIVDMVDGHTAATADAGDLRWALGDSVVFTTLAPWAEVNFSSTF GDHLRIREQITGPSCCTAERGQTRVAIDLENAAYAIWGGAGYRVGALQNPDYASIANS SGAYSSEGGETIPLHFHMFWSLGMVSPVSVAREFDVAGGFKTTSTWEDWEVAEADSRR PRDFTESLSRTLAKIELQAVMIDTAVASNDQGSTRAGNDTPLAAALPEQLLRLGVALD PMVDGERLLASYAAGENNALHALDRDQRLKRSAEAMGRFRREAERAGWRFDWALPVGE EGPISDCEHLLLGESSSSGGTGSLLAPRDVVEVSTVRTSRGAVWVARAECVAGATFGS LRPYAALYVMDIARPAPAASAALQAEGAFFFENNAHRLWYQHAFRIKANDDLLLTYAP GNGVITVRDRATQKFLWIGENLPNGDLLVDAWLTKDRRHAVQLNSDGNFYIHAILDDR QSLLSGRIADDEIAVWTRDYFYDATAEAAALIDLKFPGRVGQYSLDRFGIARQVPDLA RAVLDRGQTPQAVAEVGVPPSLTGEIALEENGSRVRAVLHFDPAETVHMSVFQDGVLT GTVDAATIGNAVSIERLKDARWVSVIGFNSAGLASLPVSADLGEPLARRAVTRALVIG VNTYEDERLRSLNYPLRDAGTVLETLTEPLGKEPPFRGEAGPKDRRATPEAILEATAR LLDGLVRGDHAVLFLAGHGMQDRNGRFYFATSATDPTDLEHTALPFDRLAALFERTEA RITILLDACHSGAAGTGAFTTNDDLANSLVALKSNLTILAAAKGRQESLGRREVGGLF TNAVVTVLGKERDRYDHNHNGRIEASELYRGVKALVFAASDGKQTPWIINSRLVGDYA LF YP_002984791.1 MSVSYRWVIVAAGALMTCVALGAMFSLAIFQEPIATATGWSHVG IASAMTLNFIVMGFGGFFWGAASDRFGPRIVVMIGSVLLGLALVLASRAETLLQFQLT YGILVGLAASTFFAPMIAATTAWFDENRGLAVSLVSAGMGVAPMTISPFARWLISTYE WRPAMLIIGVAAWVLLVPAAFLVRRPPAETTDTGTEFAADGARPQLSKVFRSPQFIVL GLTFFACCAAHSGPIFHMVNYATICGVAPMAAVSIYSVEGLAGLGGRLLYGSLADRIG VKPVLVAGLLVQAAALATYLLVSQLGEFYALAIVFGSAYGGVMPLYAVLAREYFGPRI IGTVFGAATMLSSLGMAFGPLIGGWIFDTFANYSWLFIGSAMVGLGAAAIALAFPPLA RQKPQPAFGMAP YP_002984792.1 MNIILVLIDSVNRNALSAYGGTEFATPNLQSFAQKAWRFDNHFV GSLPCMPARREIFSGFSGMQWRPWGPLEPFDLRLPKLLESAGYTTAIVTDHYHYWEES ANGYIQSFQGAEFIRGHELDFWEPLVPEADLPRWAHNIEKWRPGYGHRYFSNVANFND EADFFPAKVMTSASEWLAKPKTGKPFFLQVESFDVHEPFDVPEPYASMYGDGAARDRF TLWPPYQDAARQAEFMSQASPEELAFVRSQYGAKLTMTDRWLGKLFTTLDELELWDDT AVIVTTDHGHDLGERGGYGKQFPHFDSHANIPLLVWHPGFQANGGAIKNLTSTVDLFA SILAMAGVEPPRQTHSHSFLPLLKGETGNARNAVIYGTFGQGICCTDGEWTLIQPPDR EKPLYAYSTMVPTTIEPQDRPVDHGYYIPGIDMPQWKIPITPDGPGDRRNLDSQPLLF DRRNDPGQKRDLWQDRREERERMLHLMRQVVEQYGAPTELFQRLGLD YP_002984793.1 MNVSMTHKARHIRFARDLITVILGIGLLLILAFPFIWVVLISFR PDKEIFTRTFQLFTSVTLENYYTLLQNSPFPNYLRNSLVVCTISTVAAVTIALITAYG FSRNRDFRGRGLLLILVICTQLFPYVILITPLYSMFFAVGLINNPLSLVLSYTAMNLP FAIYLLLGYLDTIPQDLDEAAKLDGASTIQIIFKVILPIAWPGVVTVAVNAFVSGWDE FLFALTLMTADENKTVPVGLAGFFGEYTTQWNLVMTASVISTLPTLVLFMLLQRKLVS DLAAGAVKL YP_002984794.1 MSVSANPDGALAKRAERRGSFRSWHFEPYGLVMPAMLILAIFFA GPAFYNIALSFQDLSLFDLGKEGRWVGFANFAAVLKDDGIAGALFNTAFLLTFVTVVI RLALGLALAILLNAEVFARWRIGWLVRSLVLVPFVTPPVVAVAAWKWLLDPRYGAVNQ FLLSTGLIDRGIPFLSRTSTVWGAVETMLIWRELPFVIITFLAALQSIPRELREAARI DGASEFQIHLRIILPMLKPVIAVVALLTTIWTFNNFVYVWLATRGGPGDYTQVLATKM YTVSFVDYRVGEGAAIGVLMSLMMFAFALVYLGILSRGKRGASS YP_002984795.1 MFKNGIGRQLIFAATTAASMIFAVAPSAAQDKVQLTFRQFDPPT EIQGLIAAVEAWNSSHPDVQVKLETMSGGDTLAQLAREIPAGAGPDVQQLAFVWTRDL ARSKLLLDLSPLIQSNAPGAGTDDFLALDLATLDGKIFGLPWTADTFSMAYRPDLLQA AGVSNFPDSWDDLAAAAKKLTTEGGGTEQYGFCFPAGSAPDSGMWTLVNYYLWSNGST LVTEESPGKWKVAVTPEQLAAAMNYFNQFFVDGTAPENLITVNAWGDPELIGGLGRGD CAITFFPPQTFRAAEKQSEKPLLTAPIPKGTEKRISHLGGRALGINPNTKHQKEAWEF VKYLVGPETFKTYNQYPSQKSLLSQLQFPPAEQGYVTMLPLAQTFERYISSPIKVSSM TALINREFGAVFSGQRNPDEAADVIIKELNDLLARGKG YP_002984796.1 MRELRQFVEVANQRSISRAAKKLNISQPALSRAIRQLEDSYGVP LFNRTGAGVELSVYGSALYSRAVRILPALDEAKEEIEHLQGRAKAVIRIATGDLWGLV ILPGIIKAFSRTHPGVVVHVEIADDGTRFEGMRHGVYDLVFGTLSYKYEAVMQVEFET LARQATYIYCHKDHHLAKAATVTLDDLLRQRWISPGYGDDEGPGQLERQTRDFAVRVD SMLQALLIMQGSPLVMAASSGFAKLFDGFGIRPVMFQDHGRVQESGAIYFPRALEKSP VRDFLRLVRGSMPLLALPEFSLPETA YP_002984797.1 MLIRPADKNDRSAIWRIIGPTIRAGETYALDRDLSEADALAYWM GPDRETFVAEADGVILGTYYIKANQAGGGRHVCNCGYMTDAAASGRGVARLMHVNSLE HARASGFRAMQFNFVVSSNERAVALWQSLGFDIVGRLPGVFLHPTQGYVDALVMFRTL YP_002984798.1 MTEAFICDYIRTPIGRFAGSLSQVRADDLGAIPLKALMQRNAAV DWEAVDDVIFGCANQAGEDNRNVARMSALLAGLPIAVPGTTINRLCGSGMDAVITAAR AIRAGEAELMIAGGVESMSRAPFVMPKAETAFSRAAEIHDTTIGWRFVNPLMKKQYGV DSMPETGENVAEDYHVSREDQDAFAVRSQAKAAAAQANGRLAKEITPVTISQRKGDPV IVDKDEHPRATTIETLAKLATPFKKEGGTVTAGNASGVNDGAAALIVASEAAARKYGL TPIARILGGAAAAVPPRVMGVGPIPASRKLMARLGMTADQFDVIELNEAFASQGLAVL RALGIADDDARVNRNGGAIALGHPLGMSGARITGTAALELLQTGGQYSLSTMCIGVGQ GIAIALKRV YP_002984799.1 MTINTRPINTREDIKLSNAQIAWRAAQDIADGAYVNLGIGFPEM VARYQPPGRQAIFHTENGILNFGEPPAAGEEDWDLINAGKKAVTLKPGAAFFHHADSF AMVRGGHLDVAILGAYQVAQSGDLANWRVGSKGVPAVGGAMDLVHGAKQVCVITEHVT KTGEPKLVETCTFPLTGVACITRVYTSHAVVDIVNGRFILREKLAAMSQEELQAMTGA PLHVEGPVADLVVPKL YP_002984800.1 MDKTVGSTAEAVSEIGDGATVMIGGFGGSGAPIELIHALIDKGS KNLTVINNNAGNGRIGIAAMIDAGMVRKMICSFPRSSDPRAFTDKYLAGEIELELVPQ GTLAERIRAGGAGIPAFYTPTAYGTELANGKVIAEFDGRHYVQERWLKADFAIVKAAI GDIQGNLTYNKAGRNFNPLMCMAAAKTIVQVSSIVPAGGIDPEQVVTPGIFVDRVVTV SNPQQEEELIRAGVAYV YP_002984801.1 MRETDFVSGFARGLKVIEAFGETRQRLSIAEAAKLTELDRATVR RSLLTLAELGYADYDGKFFTLTPKILRLGHAYLAATPLPALLQPHLDLLSEKAGQSAS ASVLDGTDIVYIARASQRRVMSINLTPGSRLPAYCASMGRVLLAALSESEARAILARS ELKQNTPNTKTDPEDLITEFRRVRTDGYAIIDQELEIGLCSIAVPVDNDRGETVAAIN IGAPAALVPAAEMKERYLPLLKETQAALRPLLRR YP_002984802.1 MERSFLTIAAGENNDAIRALSAPARLEMLKLLCAKGPMNINDIA RALSLPQSTVATGIQILEDARLVDSQLTKARKGNQKICSAIYSEILISFEESAAQRAN NIIEVEMPVGLYTSCDVHAPCGLCSTESVIGPLDVPDYFLDPQRMQAGLVWFGRGYVE YKFPNNAKVLNKDIRAIEFSLELSSEVPGTNPDWPSDITLWVNGMAIGTWTSPGDYGD KRGAFTPAWWKLEGSQYGMMKTWRISTRGTFIDGIAASNVTLSDLALAQHSSIRLRVG IAENAGHTGGVNIFGRSFGNHGRDIIMRLHV YP_002984803.1 MKTNVVVHRDFRIATIDSRLYSSFLEHLGRAIYGGIYEPGHPTA DEDGFRQDVLDLVRDLDTPYCRYPGGNFVSAYNWEDGVGPRAERPVRLDLAWRTREAN QIGVNEFVDWCKKANTKPMLAVNLGSRGLDAARNFLEYCNHPGGTYWSDLRRKHGWSN PHDVKLWCLGNEMDGPWQVGHKSAYEYGRLADETAKAMRGFDKSLELVVCGSSNSDMK TYPEWEAQVLEQCYDSADHISLHMYFANREKNTLNYLARATKLDRYITTIGGVIDYIK AKKRSKKTIGISFDEWNVWYHSNQQDKEILARDEWPDAPHLLEDIYNFEDVLQVGGIL NTFIRRSDRVRIACIAQLVNVIAPIMTEDGGAAWRQTIYYPFYYASRYGRGTALQLVV DGPTYDSDEENDVPYLDVSAVHSEDGKTLTFFAVNRHPSTALDLDVRLEGFGNARVVE QVEMTHGDLEAVNTAVRPKTVAPVNVESGKIEDGRLRAALKPFSYNVIRLSV YP_002984804.1 MQQWKRLAFGVALAALGLTATAKADDYTSLPRKETLIVENPEGT IKNPGWFNIWVNGGGGVSTGLQQLTMDTLWYIDPEQGLGGATWDNSLAADKPQYNADF TEMTVKLRKGLFWSDGVEFTADDVVYTVKTQMDHPGMVWSAAFSVQVASVEATDPSTV VFKLKKPNSRFHAIFTVRWNGAWIMPKHVFEKVEDPLRYDFANPVSLGAYKLKSYDPQ GKWYTWEKRDDWQRTSLARFGEPAPKYVTYADPGPPDKRTIAQLEHNLDIIHDNTPEG MFTLKEKSKTIETWFPGFPFAHPDPTLPAVIFNTQNPPFDNADVRWALALLIDIKAVD MASYRGAATLSALGVPPTAATMKDYQAPMQDWLKNFEIDTGKSKIKPYDPTVGQQIAD ILRKQPKFKDQIPTDAEAISGAFGYGWWKPDPKAAGELLEKAGFKKSGGKWLTPDGQP FKIRMTVEGDTRSVFTRAGTLIAQQWAAFGIDAKAVPAAKLWQTALQPGDFQVAIAWS VETWGGDPDLSFFLDSWHSQFVAKKGDNQPPRNWQRWSNPELDKIIESIRGISADDPK GVELGKDYLKLVAREMPTIPLMSYNVFTSMDTTYWTGYPTIADPYTDPVPNWANSRLM MVKLKPAQPK YP_002984805.1 MTSYLIFVLKRFGQFLLVVFLGVTITFFVTHLTPIDPVEESIGA ITQMGQSDPNAIELMRQSLRELYGMEGSIWQQYLHFWLRLATGDLGPSLSAFPTPVST IILRSLPWTIGLMTVSTLITFVLGNAIGALAGYYRKDMVLKAVSLVFIALLPIPYYIL AFVLLIVFGYLWPVLPINGGYEMNANLDLSFALVFDILKHSILPALSLIMVGAGSWLI GMRALVSNIITEDYVVFAELGGVPKRKILRSYIARNAMVPQFTGLAMSLGAIFNGTVI TEIVFGYPGIGNLLIEAVHAGDYSLVLGLSALSIVGVAAAVFIIDILSPLIDPRIKVE YP_002984806.1 MFTIVRDLARQNMEFLCGLLLFAVIVALIVVSYFSPYGATDIYL LPPDMPPDGEYWLGTTSRGQDVFWQLTTALRNTLFFGIGVAFISRIISLVVGLVAGYA GGAVDRVLMAINDSVMVIPQFPLLILFYFVLKDSMTWTALILIMAALGWSYDARLIRS VAISLKTRSFTTQSVYSGMSMRKILVEEHLPYVLPIVFATTMNNMIWSIGMEITLSVL GFTDIETPTMGMMIYWANAHSALISGIWWWVAAPVAVIVVLFLALFLLSMSMNEYNDP RSRLNRMGS YP_002984807.1 MDPLVEIENLKAYYRAFLYGVDREVRAVDDISLTIARGEVYGVA GESSSGKTTLIKTIAGAIRPPLRVVSGKVTFHFDGGTQDIYAMKPEDRLALRWKHLSY IMQGSMNVLNPVRRIRHSFTDFAFRHMKVSGPVFLERVATHLQRLKLDPHLLDAYPHE LSGGMRQRMTIALATILTPEFIIADEPTTALDVIVQRDVLSMIREIQREMGSSFLFVT HDMGVHATVSDRIGIVYAGRLVEEAPTAKLFNKPLHPYTQHLVGSLPRIGDATARPSL EGRPPNLAMPPEGCRFHPRCPKRMEICSQKVPPLVTVEPQRRVACFAVTGDQV YP_002984808.1 MSALLSLSHVTKVYRQGGMLGRRLITAVKDVSFELGAEPEILSI VGESGSGKSTIAAMILGQTEPTEGELQFSGRTVAIHSRSERKAFMKEVQPVLQNPFEA FNPLKRVDRYLFETARNFSFSGNRPDREQAEKMADAALVHVGLTLEEVKGRFPHELSG GQLQRVAIARALIPQPRLLVADEPVSMVDASLRMAIVNLFGRLKNELGLSIVYITHDL ATAYYISDNIIIMRKGEIVERGQARAVLDDPQHEYSRALKDAVLAADFSAAV YP_002984809.1 MKFRSNLARSVISHTSAFAPAIFAAIIATIVVWVATNWRLERSL ADERSIVAGELATISSRLQTNLNSNVKLLQGLAAGIAVNPEMGQNGFSKLAAQILQPD SQLRSFAAAPDLVVSWVYPEKGNEKAVGLDYRNNEKQRAAAMLARNTHNIVLTGPVEL VQGGTAFVVRCPVYINTGTSQVFWGLLSGIVDIPKLYQESGLASTELEIAISTVPEPN SPKQVFFGSLATFSSKPVQTSVDMVYGRWTLAALPKQGWGQNSGIGIFEFYASLLALC VVAPIVWIGFLTGSRQRTIEKLRLHKKKLVRARQRLEHLSLHDALTGLPNRRFVDRMI SQPPRPRPQDCLIFIHIDLDRFKEINDTRGHAGGDAVLQATASRLADLVGPNDVAARI GGDEFIFASWSADPEPKATALARQIVDTLDQPLIIDDAACVVGASVGVAWETERALGP DLGQLLLNADLALYEAKKAGRGRAAVFTEELRSAAIHSKELADEFKQALDRDELVAFF QPQFDANTLDLAGVETLARWDHPQKGLLAPDKFLDVAEKLGRTGDMDRLILQKALFEL TRWDSLGMQIPRVSVNISARRLAQPNLLAELSELPIAKGRLCFELLETISFNDLQPVL KEIIPAVKKLGIEIEIDDFGTEHASIVSLLRFEPRRLKIDREIIKPIVASPSQRRLVS SIIEIGRSQNIDIVAEGVETMDHAKILKDLGCHILQGYALARPMTSEQLIEFYCVKDK GMTEAGR YP_002984810.1 MAIYHLSMKPIARSAGRSAVASAAYRAAERLTNERDGLTHDFSN RTGVEHAEIVLPVRSSAYWAMKRSALWNAAERAEKRSDARIAREFEIALPHELSSDQR LVLTRAFAEDLANRYGAAVDFAIHRPGEGSDIRNSHAHLMMTTREVRETGLGDKTLLE RENRWLLANHLPPSQLQLKDLRQAWEHLANTHLERAGLDIRIDHRSHLEAGITIEPSE HVGVHATEINRQGGAVSRVRISPQSADRNAEIIRRRPEEILKLITNEKSVFNRYDIAR ALHRTINDDAQTFQNAFASVMASKALVELRPDSSSLRGRDGEARYSTVEMVAIEGAMA TATVAMKTRQNHGVFKRNVDAAIADQDRSIQAGNPSPGQGLSAEQRQAIEHVTGPGQI AVVIGFAGAGKSTMLAAARQAWEAQGYRVHGAALAGKAAEGLEQSSGISSRTLASWEY SWQADRGRLNARDVFVIDEGGMVGSRQIARFVDEVRRAGAKLVLVGDHEQLQAIGAGA PFRAIAEAVGHAQLSEVRRQRTDWQKQASIDFASHRTADGLAAYQAHGNIQLKANRDD VLKAIIADYVADRSANPNDTRIAMAHRRDDVRAINAGIRSRLQERGELSRSTGTSDDR GEELTYQTSNGKRSFARGDRIVFLENDRDLGVKNGMLGEVIAVQPDAIQVRLDGKAQT QDGQRQVIIPVNSYQAFDHGYATTIHKTQGATVDRSFVLASTTMDRHLTYVAMTRHRE GVQLYAGLDAFKTLRSLTETLSRSGVKETTLDYTHDFANRRGMEDRRGQGEGEVAPAV ITKEAERIADTAVPKPTQEPRPPTPLAARSIADGGSSHQDPNVDERRDERRVLIAAVK TYAMSVEAVGQSKAMPAFERDWEAAKQLAPQVFKDARAAMDVLRGRILDENADPVALA NQLSTSPETIGALAGKTGLFGDNAERKHALSRIDALASHVRQSANTWQRRLAAECGSE RWKREKQDAVEVLGPSRRSEALLRQLDDLPYSDKAKFVERLAATPEGRQALAEAKDIA TAIETRFGRADPSDRADQLKRAGPDQAGDIERIREVARLADRSHRAELTRQMELKRSL KRGKSLGLGM YP_002984811.1 MTVVRKTIGERLAQLEAQRQTLQIRLGKQERAIDTRRKVLIGAL VLHRLENDRDVQIGGLAAWLRSELPKFLTRDGDRDLFDDLLKPQANEPTIGNIGSGSA V YP_002984812.1 MIHAIKIKTALANMMRMAARDPLWAIVALITFPLRYAKSFVMGA AGYLFVVFTVYFGIDYFRRVMLGGHRGDVIWHIGDWVVILFAVVLLIRLLSVPLITHF GSAMDDTHGSARFAGRREIAPLTKAGSGLPASGRSLRRSCAIMPIRNSSDCLASRTDK AGEMNQAVHITPMNRSARVLDAFAVAAAEDPS YP_002984813.1 MAKMFQAPNAIPSLEVLLNDLKVGTLVRTPGDFNAFSFDEAYRA TGGFPVLSLSFRAATGGLRKDPKPIAGALPAFFANLLPEDKLREAMEKHHAGHVRASN DFDLLAALGQDLPGAVRVVPSGGTTAVIEDASSGKPKARFSLAGVQMKLSVMKNTGRG GGLTLPMGDEQGHYIAKFPSTSFPGVSENEYANLALAAAIGMEVPERELVEKSDFEGI PEEFDTLSDGKVLLVKRFDRGAGAERIHIEDFAQVFGIYPSRKYEAAAYHDIASALGV AISPTAALEFVRRLAFTAITGNGDMHLKNWSLIYRGNGDKPELAPIYDVLSTVPYIPA DAMALSLGGERSFKALVAQRWKIFANRARLPEPAVLKAVIDVVERVNRHWWSLPERAV VPEKVLERIDEHVKVMTPVLSSCANQ YP_002984814.1 MIPDSAALLQIGRLLKKARQASAMTQEQVADLAGISRPRYRDIE TGVAAARATTLLNVARALGLEMMMIPQAMVPAVEALLRPHDDDDLPAFISRPDDNDHG YP_002984815.1 MTVQPYRLYIERIDPSKNMARFYALSIEPNLFGETSLVRSWGRI GSRGQQKIHVFDSEAKAVDLLLTLLRRKRSRGYRVLQ YP_002984816.1 MSRQQSNQRTDIHSRITNTIIADLERGVRPWTKPWTTGHAASEV SRPLRHNGQPYSGINVLLLWSEAIARGFASSRWMTFRQAIELGGAVRKGETGTTVVFA SSFVRTETTETGTEIEQDIPFLKAYTVFNTDQIAGLDGRFDEIAPHQDPMSRIGDAGR FFANTGALIRHGGSAAYYAAQRDYIQMPCLDAFRDDASYVAVLSHEITHWTAAPRRLD RDLSRYAKDRSERAREELIAELGSAFLCADLGIVPELEPRPDHARYLDGWLKVLDHDK RAIFSAAAYAQRAVDYLHSLQPELDEEEAA YP_002984817.1 MSCKGNQAVFGMDEIFEQLLHLSGRTETLIAVYDGHDRLRYANS AFRSVYFIEPDEIPLWPDLMRRNFELARGTVIRTSNFDEWLRSTQSRRGKIGYRAFET DLCDGRWFWMTEAVQKNGWMLCIASDITSLRVHGRTVRQDRDQAIKASYTDELTGVAN RRFVMARVDDMLTAARHGNNGCLAVFDIDNFKHINDRLGHHAGDVVLRDFAHRIHQNV RRSDCFGRIGGEEFLLVMPATGPEDAIAMVECMLTVIRFSRPLPESPDFSYTCSAGIA ACASSDSASELYRRADQALYAAKLSGRDRVRAA YP_002984818.1 MEQYALDQLKTIATVSPTCKRLEITRRERLERWAESLERSPRPF LKTLHETEYQPISDRLALRDDGTPISVAFADPILRAAGMENDSYGEAKRFFELSDEQL HDLVCFCHFGERVSAAVVARRLRKMSGSKPSGFFAQLRAFFG YP_002984819.1 MDEADRWRHMASAPKDGSRILVTIRPSEQGPAEVDLAYWSNGDQ FAGEGWRASDSSPGQIIEYADPELKCWMPMPSANLGRTSIPPPWEGDDDRNLDGSGI YP_002984820.1 MTNTKFRHTGWMIVIILFAIGAFPLESTAATVRVIDGDTILVDQ ITVRLDGIDAPEAGQKCASLSGRDWPCGKVAIAAMEELVASGDVVCDDRGVDIYQRQL GVCRVGKREINAEMVASGNAWAFRKYSTDYVTLEERAHNEHLGIWQASTIPAWEYRAD RWNVAEQQAPKGCPIKGNISENGHIYHAPWSPWYDRTKVDTKKGERWFCDEAQALKAG WRAPQWGH YP_002984821.1 MAAHIAETPKLEHKGYNRGLILGLTMAESMLLLVFCLLLVAAAL VTAERKKRYEAERELQVTEQKLAELKKDNFDATARVVELQARLGAGNLSPIDREKFEK EWRELISARQAVDRLQKSGVEMSELEKLAALRKVLSDNGIDLDAAPAEIKRLVTANAA AKSPHEWPPIINLSEAGGYYFRSGSAELTNEFQQKLGTSISDQIADNLSRYQVDVIEV IGHTDEQPLARTNSNLDKTFIDVLDSKLPITALEPADNAGLGLARAIAVANILKANPK LGQATVLPMSAAQLILPGDTVTVGQAGNVEARRRIEIRIRRRAQSLSP YP_002984822.1 MASREVTRSAIRDYGPLVLFALTTIGGMVFIWTAKLWGWSTAVV TVVPLLLMATYFLASLLLAGFRLHNEQAGDNLYYMGFLFTLSSLGVSLYLFAGETSID TIVRNFGIAVTSTIAGVTLRILFNQMRRDPLDIERSARHELAEMTRRVRTELDSSARE FSNYRRVSNQMLSEGFDEIARQAEKNGEDIRKAIESLSKEAIKPIQEAAQQLSAIIES HNKTINDSNNNAAAKLTETTGQLSTIIDKFGGAVESVGTRLGEIRAPEDVIKIELTPV IESIREMTEAHLKRMEADTERGRSYIEQTKEALQPLQTLEEKLDRIANALEKPPTPLP PEAGPSPSTYADRPEPAVPTGAGSVSEETAIDLASLEASPAKPVEVDDKPRPASAIER KRWFNWQRT YP_002984823.1 MARSSRRSRRRGGNSVGLIVGAIALGLVSVAIIGAFGWLKYRAS STVAVDKASLCPVTGATSETLLDVTDPISDTTALDLKNQFQQIVARVPVGGAIDIYSL TEKEGEPERTFHGCNPGSGDLADEWTSNPRLIQDRWEKGFQKPLEEIAGRLASGKAGD ASPIMAGIQRINLEVFSSLPAGTPRALFVASDMIEHTPAFSNYRDGVAYSKFQKSEAR DKFRTSLDGVSVKILAFQRPNLKFSAEELAEFWKEWITKNNGYFDGFIRLEGKR YP_002984824.1 MRFEALNRLWEGVRESSHDFRASTDIFPSLDIDKTSSTLDLRAK GAENGKLNRPAPSAASPDEVEQRIVSRIEEEKAASYQVLEDQFQTFEGRLRNLDFEGQ FGLIRQANASSVSDFKAEVASGVDELHGLRRDLKAAEDEMSSFKAKHNLDRAAKVSTA AAQAFKIALIVFLVLFEMIMNGSFLAKGSEQGIVGGVTEAIAFAVLNIGSALLFSVYC VRFLVHRSLFFKLLGFCGLVAYICIALGINLALAHYREVSSTVLSGAGAEVISRLGNA PLELAELNSWMLFAVGLLFSILAFIDGCYLTDPYPGFAGVRKRLDSARANYIDRKLEL IDNLRDIRDDHNAKIEEIVRDLSGRRQECAAIIAHRTRTVGLFAEHQSNLERAANALL TIYRDANRAGRTEPEPGYFSHPYKLERLAPVLRTSEEWDDAVLSGRIQAAQAELSEQI RRIGAEFEAAVENYHKLDNIFPEAGLGAIQQA YP_002984825.1 MKILMVFTSHDTLGSTGRKTGFWLEEGAAPYYVFRDAGVDLTLV SPKGGQPPIDPKSDLPENQTPAMTRFKADEAAQKVFASTKKLSEARSEDFDAVFYPGG HGPMWDLVDNPESIKLIESFYNSSKPVAAVCHAPAVLHRVTYRGAPIVKGKRVTGFTN GEEEEVQLTKVVPFLVEDELKRLGGLYEKKANWESFAITDGMLITGQNPASSTAGAQA LIKLLTSLKAGAVVA YP_002984826.1 MPLVKIHILKGRSPQEVDVLLDTIHDVVVETFGVPRRDRYQILQ EHEASNIRALDTGLDITRTEKFLLLEITSRPRSRDAKVAFYSSLTRALQARCDVAPSD VMVSLHINSDEDWSFGMGSAQFLTGEL YP_002984827.1 MPIVTVQVTREGTTPDRASVTPEEKAAIIAGVSQVMLDVLNKPL ESTYVVIEEVDLDNWGWGGLPTVQYRKKKAEGKA YP_002984828.1 MELVLAADPMCSWCYGFGKQMGLLLERRPDLSLKILLGGLRAGA TDVLDDAGKQFRLHHWGKVEEASGVPFNREGLLARQGFVYDTEPVCRAVVAARILRPE ADLLSVFRAFQHAFYVDALDTTDGATLAETGSRALAELGHPVSSEEFLAEWDKRSTIQ EAATDFATVRGMGVSSFPTLFLNKAGTLSKVGAGYAHVDELEKHLAAMAA YP_002984829.1 MSNQQKVAVITGASQGIGEGLVRAYRARNYHVVATSRSIKQGSD DGVHAVAGDISNSETAERVIREAIDRFGRIDTLVNNAGVFTAKPFVDFTQEDYDLNFG VNVSGFFHITQRAAREMLKQGSGHIVSITTSLVNQPVSSVPTALASLTKGGLNAVTKE LAIEFAKTGVRVNAVSPGIIKTPMHAPETHEFLSALHPVGHMGEISDIVDAVIYLEDA SFVTGEILHVDGGQNAGRW YP_002984830.1 MDSELRPSSKEAPQSLSGVAMTLELAVIAVSDVDRSKLFYAALG WRFDIDFQGDDYRIVQFTPPGSGCSVMFGQNLITAPSGSSRGLHLVVSDLPAARSDLL SRGVETSEPFHDVGGIFHHSNGVGITAGLNPERKSYASYFIFHDPDGNEWTVQEITTR LPGRKGDTAFTKELTKAVWG YP_002984831.1 MKNNRPDGIERYDHPAGGWDALKAVVKTLAHQQIVAQGSKTLLK ANQPEGFDCPGCAWPDPKHTSSFEFCENGAKAITWESTAKRTDAEFFRQHSRSELWTW TDHELEDAGRLTLPLWYDQGTDHFEQIAWDAAFALIAAELNKLDDPDQAEFYTSGRAS NEAAFLYQLFVRAYGTNNFPDCSNMCHEATSVGLPKSIGVGKGTVTLEDFDHADAIFS FGHNPGTNHPRMMTTLHEAARRGVPIIVFNPLKERALERFAAPQDPVEMVTMSSTPIA SAYHQVRVGGDLAVLKGMMKAVLERDAASLAAGGIGILDRPFIEEHTSGFDILRADID ATSWQEIVAISGLTRQAIESAADVYANARNVIVCYGMGITQHAKGTYNVQHIANLLML RGNIGREGAGIAPIRGHSNVQGDRTVGITEIPNKALLDGMERAFGFRPPSDKGHNAIE AVEAAIDGRAKALICLGGNLAVAMSDSGATFSGMRNLDLAVHIATKLNRSHLLTAKTT LVLPCLGRTDLDIQASGRQAVTVEDSMSMVHASRGFLHPPGELVRSEPAIIGGIARAT LGDRYGIDWEGMVADYDHIRDKIEEVFPDFYEFNTRVRKPGGFRLDVAASFRRWNTPE RKAKFLPSPGLSEDTPAESEGALMLTTIRSHDQYNTTIYGLDDRYRGVFGRRDVVFMN AEDLAGRGLKDGDRIEVRGLIGEVDDNHLVSGFTAVAYDIPKGSIAGYYPEMNVVISL RHFDRQSGAPSYKGVPVRVKLAD YP_002984832.1 MMKLHYSMCGAVLSSEHVSMMRGLLKEHCENRQYDRQSAEAEDA ARGLLWWYQNGVTEQERLRHLLAISVVRGS YP_002984833.1 MSHRNRKPSGSIFPGVAILFAMAALVGALVSIQRPAAPIRVWVP AQSGESRLGGEKSLSTCSPVWAGCNPSNPV YP_002984834.1 MGAPVPLGSRAFEILETLAVSSGRIVTKDELMKRVWPGMVVEDN TLQVHISAIRRALGKDRDLLRTVSGRGYRLLGEWTEPEKRFADPTSATLVRSSEREDF VTNIPSAASELIGRQAAISHIVTLMSAYRIVTLTGPGGIGKTVLASEVARRLQPTIDG DAFFVELVSLSDPNLVPTTLAQALDLRLQGDDVSAELVARAIGNRKMLLLIDNCEHVV DAAAEMVEAMLRACPNVSVLATSRELLRIEGEFTYRVPPLDVPANEKVGDPLTHSAVQ LFVARTRALQSDFTTSRDRVSIIAGICRHLDGIPLAIEFAAARAATLGLQQIAGRLDD RFVLLTGGRRTALPRHRTLRAALDWSYELLPEGERRLLHSLSVYPAGFTLEAAMAASG EDEEETALGLSNLVTKSLVTFDGTEAAPRWRLLETVRVYSLEKLGGGTDYRMTMRRTT AFFHSLFQPFSSEDSLQLAIDGLGPYRREIDNLRAALTWALSPEGDGKLGAGLAAIAS DFWTATSLVSESGEWAKKALSCLEGEEGGRTELVLQCALGFAMIYTQGMSEQGRAVLA RALTLAETLDDQDYRQRVTCALWLFSARSVELDDALVYAQEYGKGAGGGDARSRATAA WLSGIPQTYQAHHVEAHDRLDWAARNSPPNNRRTDMLRLGADIRTSSMAHDTVNLISL GRLEVASQASEDSVDEARATKQPFVLCVALAWASAFVSLSLDNEARARHWGEELTAHA LQHGLKPFYAVGICVRGSLASRSGSPAEGIDALQAGIRDMQESSYLLFYPFFMCELAA ALQAAGRLDEALLEIDRAQKFSVEKSYRWIMPELLRKKGEIIAAQGANESLAVDLFRE AGLRASAQGGLYWELTAGMSYAEYLASRGDLRAAQEILRPVYERFREGLSTPRLLQAK RLLGAKQ YP_002984835.1 MFPTKKPTDLSTMATTLYSDALVAVLDNGTEFDPNLHLHISGMG RRLQRFTSAHDLLSGAQSGRFNCILVDASMADLPVADLARLICSYAVHCPIIVVTPSG SPATSVATTRFRVTFLPKQDDPAVIAEEVNASIRAFNEANRLEAYFLTLTPRERQVMK FVVEGLLNKQVAFKLNISEITVKAHRGRLMRKMNARTLPDLLYMAARLGPASGSVANE LSAF YP_002984836.1 MSNSNNATWTRRSFGGVALGLTAAGILAGRVEGAEQIKGPVRHK GMGLVADKRIDAGDLNVGYAELGPEDGSPVMLFHGWPYDINAFAEVAPLLAERGHRVI VPHLRGYGSTRFLSDDTMRNGQQSALAVDALKLMDALKIEKATVAGFDWGARTADIVA ALWPERCRGLVSVSGYLIGNQAAGKAPLPPAAEVQWWYQFYFATDRGRDGYEKYTNDF AKLIWRLASPQWKFDDTTFDRSAEAFTNPDHVSIVVHNYRWRLGLTEGEKRFDEFETR LAAGPEIHVPTITMEGDANGAPHPDPNAYAAKFKAKYEHRLAAGGIGHNLPQEAPEAF AKAVRDVDSWA YP_002984837.1 MEIGIDSFAMLLPDSDTGRLQSAVDRMESVLSEVELADQVGLDV FGMGEHHRENALDSAPAVILAAAAARTKSIRLTSAVAVLSASDPVRLFQEFATLDLIS RGRAEIVVGRGSSVEAYPLFGFDLRDYDALFAEKLDLLLKIREQPNIKWQGRFRPAMN GEGVFPRPHQPKLPIWLGAGGTPESFVRAGTLGLPLMVAIIGGSFERFLPLVELYRRA WLVAGHPAENQRIGVHALGFVGETDVAAKKAFFPGWFQMFTDAGRERGWPPPTQAQFE HMCGPGGAFLVGSPQTVAAKISHVNRTLGGIARITFQMSTAALDAEAMRRSIELLGTD VAPLMRGQLRD YP_002984838.1 MDRHLTEVNGGETAQDDGTALDALIQFYRAFNAGDLKGLEQVWL GGSDPSMDNPIGGIRRGWDQIADGYSKLFKGKTKVQVTFHDFTSQGGDEWHLFVGRER GVCQTATETLDVAFRTTRWFVRKDGGWRQLHHHGSVEDPQMLAAYRKLIFG YP_002984839.1 MSKHSPSPYVVVLAGSASLAIAMGVGRFAFTPILPMMLHDGVVD LSRAGGLATANYVGYLVGALAAMAVPKRWDHTFVIRLTLVATVLLTALMSVPYAEAWV ALRFLAGVASAIGFVFTSGWCLAQLSGTGSSIGSAIFTGPGAGIAVSGLAASGMTILG LSGHTAWLIFAAISATISGIIWKTFGESAKPSDAYSVGAPTRASGKVPKSEMPLFAIA YGLAGFGYIVTATYLPVIAKNSIPGSPLLTVFWPLFGVAAVVGSLLAARVPHSADVRL HLIAAYLVQAVGVGLSVIWQDAFGLALSSVLVGLPFTAISFFAMNEVRRIRSSHHARY MGLLTAVFAIGQIMGPPAVGVIMRHVVNVDAGFDLALAVASIALVVGAAIYVAMILLF PSERNARTAGRSVRPT YP_002984840.1 MTRWRDRRILDLFGIELPIIQAPMAGATTVEMVVAAAKAGGLGS LPSAQLNVEGLRQALHEIRGATHAPVNVNFFSHVSPAEDVAAQMRWRALLAPYFVDAG LDPAAPIAAAGRAPFDSSFCEVVEELKPEVVSFHFGLPEKSLVQRVKATGAKIISSAT TVAEAVWLEKNGVDAVIAMGFEAGGHRGNFLSQDMTTQVGIMALLPQVVDAVRVPVIA AGGIADGRGVAAALMLGASAVQVGTAYLFCPEARIPAVQAEALASARDDSTAITNVFT GRPARGIVNRLMRDLGPISDAVPAFPTAGGALVPIRAKAEAESRNDFTNLWSGQASRL ALKVGAEELTRELYQSALDVIARRSPT YP_002984841.1 MSVHKTVIITGASQGIGAGLVKTFLDKGWNVVGTSRNISESTLF DRAGRLELISGDVADPKTAERAAAAAIEQFGSIDALVNNAGVFFTKPFLEYTIDDFRR LCATNVEGFLHFTQRAIGQMLRQKSGGSVVTITSSLTDHPIAGVTASLPMVTKGGLNA VTKSLALEFAKDNIRVNALSPGVVDTPLHAKNPRSFLESLSPMGTITAVQEIVDGVVY LTESSNITGEVLHVDNGAHLGKW YP_002984842.1 MVVASAEARIKELAICLPKAPTPFGAYVEAFQSGSLLFLSGMLP VAGHLPLYTGLVGRELSVAEGYDAARAACLSGLAAAQSQLGTLDRIRSVSKLGVYIAC PADFHEHPKVADGASELLLDVFGPARLPPRVVLGVSSIPLGMPVEVELVFEIGPAFVS QTQERSQP YP_002984843.1 MKVRQPTRTSAIAMSSKALTAAALLLLPALALSGQTKVAEKVDR AAEVKADPGREHAQIKVDKRTPAYWRVTFDNPPFNIFGPETIPQMEKVVAEIESDPNL RVVVFDSAVPGFFLTHYNFTPPLAESTSLPSGPTGLHPLPDMLVRISKSPVVSIALIR GRATGVGSELALASDMRFASRQKAILSQWEVGAALVPGGGPMARLPRLMGRGRALEVL LGSDDINGDLAEKYGYVNRAFDDDKLDPFVDALANRISGFDRQAIADTKRLVDFASLP SDPEIAAGWDAFITSVQRPAAQKNIGRLMDMGLQKDPDIEARLGHYTQTLADE YP_002984844.1 MHSLENQIVDSSFSAIINAPIETIDIPTWCFNLPEEEYQGCSPA HIAAGFTTADDGTRMSINVETIGGSLMVQHYHETLGEKDHLILDSDSDVFTPNGRVTI HVTWELSVKKLDANRCEFTNRVQSYATDEMMAFLDRQGIPFELFRTQRQPMSIAHNKG ETPLFAASIERAALKNSATKAA YP_002984845.1 MTELSFDRNNTGLLVVDPYNDFISEGGKIWPRIKEVAEANNCVP HMLEVLTAAREAKLRVFFAMHHRYRAGDYENWKYVAPIQRAAWHRKSFEFGTWGGEFR AEFVPVAGEVVASEHWCSSGFANTDLDLELKRHGIQRVIVIGLVAHTCIEATVRYAAE LGYDVTVVKDAVADYSPEMMHAALEVNLPSYASAIIDTKETVAAIALTQEA YP_002984846.1 MTLSGDLLIGGASMRGAAATFSAINPASGSSMEPSFAGATREQV EQAASLAWDAFPVYKETSLEDRARFLEAIAEGILAIGDELVLRAIDETGLPRGRIEGE RARTVGQLRLFAKEVRDGVFQELRFDPADADRKPVAKPDLRLRNIALGPVVVFGASNF PLAFSVAGGDTASALAAGCPVIVKAHSAHPGTSALVGKAVVDAVAACGLPSGTFALLF DAGFEVGQTLVADHRVRAVGFTGSRRGGTALMKIASERKQPIPVYAEMSSINPVILYP NALLGRGAEIGRSFAASLTLGAGQFCTNPGLIIAIEGAGLDAFIESAAAALAEAPAQT MLTGGICEAYRKGVARLSSSPPVSQVSAGKNGTAHQASAALFETTAADFLANPELQEE VFGAAGLIVRCHDDDDLRKVVESLEGQLTIALHVDAKDVDAASQMIPQLELLAGRLLV NGFGTGVEVSPAMVHGGPYPATSDGRSTSVGTLAIYRFLRPVSYQDFPVGLLPAPLKD VKAAPRA YP_002984847.1 MPTKPKIAIIIGSTRPTRFADKPAQWILKQAQARDDIDVEILDL RDHPLPFFDEVASNAYAPSQSAEAVRWQHTVARYDGYIFVVAEYNRSITGVLKNALDQ SYVEWARKPFTAIAYGTAGGSRALEHLRGIGVELQMVSTHAAVHIGGSDFFTVFSMGG NKPIEEIEANLLPSAKTALDELVWWAKATMAAKASEV YP_002984848.1 MSDWDLLNRFPRVRLVNGPTPIQRLDRLEQVLGESRRGVSIWAK RDDLMELGGGGNKLRKLEFLIGQARSKGCDTLVVTGGVQSNFARLAAAACARTGLACE VVLTQTVPRGTEIYQANGNVLLDRVFGARVHLLGTGDDATAFATARASEIAAAGGKAF VGTLGGSTPVGALGYVDCAFEIAAQSTEIGIAFDHVVIPNGSGGMHAGLAVGDALVGK NPSRIRAYTVLSPAETCVAATVEKVNAVFDLLGKKDRIRAEELAIDGAKLGGGYGVPT CEMIEAIRLVGRSEGLLLDPVYGGKAFAGLLSDIENEVIAPGSNVLFVMTGGSPGLYA YADALSSD YP_002984849.1 MTNFPRGTALITGGSSGIGALYADRLARRGYDLILVARSQDALA RISKDIASSTGRNVKTVRADLGQRDDLLKVEGILRSDPSITMLVNNAGVGAVEPLLMS DVEAMEKMIAINVTALTRLVYAVAPSFVAREHGTIVNMASALGVAPEILNGVYGATKA FVIALTFSLQKELAEKNIRIQAVLPGAVETPFWEASGGSLDRLPDQIVMKAEDAVDAA LAGLDMGELMTLPSVPNIDDWNAYEAARQKLMPNLSRNVPAPRYRTPALAS YP_002984850.1 MSARVETRTALSTTRRQLLAITAATAALSILPKPVRAATEGDAI RPFKCAIPQEEVDELRRRVRATRWPGKETVSDRSQGAQLQKLKPLVEYWGKEYDWRRG EKKLNAHTQFMTRIDGLDIHFIHVKSKHADALPLIMTHGWPGSVLELVKTIGPLTDPT AHGGAAEDAFHVVIPSMPGYGFSEVPSETGWGPDRIGRAWHVLMKRLGYDRYVSQGGD WGAVVSDKMAAQAPDGLLGIHTNMPATVPPDIAKALADGEPAPAGLSEDEKAAYEQMN ALYTKGAGYALMMVTRPQTLGYALTDSPVGLAAWYYDKFADWTYSGGDPEKSLSKDEM LDDISLYWFTGTATSGARLYWENNANNFNAVDIKIPAAITVFPGEIYQAPKSWAEKAY HNLIYYSKVDKGGHFAAWEQPELFASELRAAFRTLR YP_002984851.1 MAIQEPTPTKSARADHIDMKLEVVVIPVSDVDRAKSFYTGLGWR LDADVSGKDGFRVVQVTPPGSPCSVIFGSNVAAASPGSAQGLHLVVTDIEMAHAALAS HGAEMSGVFHDAGGVFHHKGADQRLPGPHPSRSSYGSFASFSDPDGNGWLLQEVTARL PGRIDTKGAAFTSAGDLAEALRRAAAAHGEHEKRDGGKHDEAWPEWYADYLIKEQSGQ TLPT YP_002984852.1 MDRIDAMKVFVTAVEEGSLAGAARRLKRSPTAISRALGLLEQHV GVELLHRTTRSLKLSEAGQRYVEACRRVLVDLEEADMIAGSERSSPRGTLTISAPPIL GEEVLRPILDSFLLENANVSVRLLMLDRFVNLVDEGVDVALRIGNLADSTHMSTRIGG DVRRVVVAAPQYLDSRAAITEPSDLSRHDLIAFSNFGLESWSFAPAKGTSVPRTVHFT PRYLVNSVRAAAASAAEGMGVTRLYSYHVAEYVRDGRLRVVLHQAEPPALPVHILTPQ GRAAVPKVRTFIDFAVPRLRSELGRIAAESGSLE YP_002984853.1 MYRMTSTVTTSNLGDQDRPTVFVVDDDISVRESLELLIGSAGWR PLLFDSAHSFLEAPVLASPCCLVLDVNMPGLNGLDLQSMITISRSRMPIIFVSGFGDV PTTVKALKGGALDFLTKPIETVAFLEAVSSALEQSAAMLRDESNLLALQGCYEALTKR EREVMVRVVKGLLNKQVAYELDISEITVKAHRGQVMRKMCARTLPDLVNMAARLRLEE PPEIH YP_002984854.1 MSVELVRVLEALPGMAFTALPDGSIDFMNANLVDFLGLGEAVTV WPLAASPADLRNTRQQWDALLSGREPFEITLPIGHPTAALQELHVRCKPLIENDRVER WYGLATEGAHIASRPEIRDGAAAKALDNQTILESIPAGIGVLDSNGNVEAINGHLLQY YGRSLDELRSWGRSDVVHPDDMQGLTKAIQQAVDKGTAYEAEVRLRRADGEYRWFQIV GYPLADENGGIARWYALHIDVDDRRKAEDALRSNELELRNIVNAMPLTVWTSRPDGYC DFVNDRWLEYAGLNAEQAEGSGWESVVHPEDASHLAKSWNASLASGRPADFEARMRGA DGGYRWFLFRATPLLGEDGKIIKWYGTHIDIEDRKRAERALAERERESRLIVDGIAGM IAIFSPDAQLIDGNQQILDYFERPLSELNDWSSNGITHPDDLQTCIDSFMGSIATGEP YDYETRFLRHDGTWRWFQLRGLPLRDAEGEIVRWYGLLTDIDDRKRAEEKLRRSEAFL ADAQRLSKTGSFLLNLGTSEVTWSAETYRIFEVDPTTPVTLDIVLSRLDRDSLATVEQ VIDQANRGAGDFDYDITLITPSHSPKYVRVLAHSERNRDGDQELIGAVQDVTESRKAE DALNRARSELSYVTRVTSLGVLTASIAHEVNQPLAGLVTNAETCLRVLASNPPNLDIA KDTARRTIRDANRAADVIARLWELFSRKPPNLEAVDVNEIAEEVIALISSDLQHAKTS LTADFANDLPRVTGARVQLQQVIMNLLRNSIEAMPAAGDRPRRIRLKTEGGLREIRLY IEDTGVGFDPQGVDRIYDAFYTTKPDGMGIGLSVSRSIIENHHGRLWAEPNADHGATV GFSVPTSASGDGESIGPSAVQTASDMQRNL YP_002984855.1 MTSRKRHVSVVDDDESVREALPDLLRSFGLSVEAFSSAEAFLNS KSLQTSDGMILDVAMPGMTGPELQQELDRRGRRISIIFITAIKDEDVRSRLIREGACD CLFKPFSADDLQDALRLASLID YP_002984856.1 MGINVFQFFDALPGMAWTMLEDGQVDFVNRSWVEFTGLRPASGE SWRWEDAVHPADVGAVTAHLQSVRHAETQGTIEARLRNAAGEFHHFLIQWAPLEDAPH GVVNWCAVATNIEPVVQKRERSQAALDFQLVVDSIPIPVAVTTPAGEVEGLNQLTLSY FGLSLSDLKDWKASEVVHPDDLKETIEAQIAAHMAGTSYNVESRHLRADGIYRWHNVL GLPLRDQSGAIQRWLHLLIDIDDRKRAEVALANSERESRLIVGTIAGMVALFTPEGQL NGANQQLLDYFQLPLEEVVNWATNGITHPDDLQHCVETFTASLKTGEPYDFETRFRRH DGEFRWFQVRGHPVKDDNGGIVRWYGLLTDIDDGRRAVEALREREIELQLIVNSIPGL IIVLRPDGAVESVNDQSLRYFGYDFNEHQKWKTNDIIHPDDRDRGVARFAEAVAAGQS YEVVERLRRHDGVYRWFQVRGTPVRDYEGLVVRWYFLLNDIDDRKHAEVALANSEREF RHIVNMVPGMIILSQPDGTLDGSNQQLLDYFGISLDEVQDWSTNGITHPDDVQVNIDT FLGALKSGNPYDYQSRYRRHDGVFRWFQVRGQPLRDAEGKIVRWYGLLTDIDDRKQAE DELRRSQALLVAGQRLIRTGTFSWHVETDELILSDEWLRILEFEKDEVVTFDRITERI HPDDVALFAGKIGAVREGDEDSEYEVRVLARNGDIKYVRVIGEVIIHRNGNRECLGAI QDVTQRRLTEEARDRLRTELARVTSILSLGQMSAAIAHEVNQPLSGIITNANTCLRML AATPPDIETALETARRTIRDGNRATEVIARLRALFSKRNIEFEDVDINEAVSEVVALS AGDQRRNGVAIRTHFATSLPPVNGDRVQLQQVINNLLRNAIDAVSGVKDRLRLVEIRT QLGGDGQISVAVSDNGIGLDPDGGTRIFEAFYTTKNNGMGIGLSVCRSIIESHGGRLW AEPNQGPGVTMHFSVPSAEEASITAASH YP_002984857.1 MSRVEPKVTRRTLLTIAASASAIGVFPKAFAATGTESGLRPFKF TASKDQLADLNRRVAATRWPDEENVTDDGQGVRLDTIKKLANHWKTHDWRKVEDRINS LPQFLTEIDGLDIHFIHVRSKHDNALPIIITHGWPGSVIEQMKIIKPLTDPTAFGGTE ADAFHVVIPSLPGYGFSAKPRDAKWTPPRIAKAWAVLMQRLDYAKYVAQGGDWGNAVT ELMAVQEPAGLLGIHTNMAATVPAEITKALAAGTPPSTLTADEKRAYDQLDDFNKNGL GYAIEMNNRPQTLYGIVDSPIGLAAWMLDHDIRSYRMIARSFDGEHEGLTPDDVLDNI TLYWLTNTAISSARLYWANAHFPSGGFFDPRGIKIPVAVSAFPDEIYQAPQSWAEKAY PKLIHYNRLPKGGHFAAWEQPELFTSELRAAFKPLRDQI YP_002984858.1 MGSALLVCLLVCSVLLWALKDVPWSEIRDGTLKPVVVLETADGA PLVRQGPYQGPYARYDQFPPNLIDAVLSIEDRRFMDHFGIDPKGIGRALLRNLEAGSV VEGGSTITQQLIKLQYLDSDRTIKRKIQEVVIAVWLEWKLGKREILTRYLNSVYLGAG ATGMPAAARIYFNKDIGGLTLSESAMLAGLLRAPSQWNPIDNFDGARQRTMVVLDAMA ANGKITTPQLEEAKTSFARLQPTTPTPRSGSWFADWISPQASEIAGSSPGSTAVRTTL VPRLQQIAEKVVKRALDSEGKTVGASQAALVAMTPDGAVVAMVGGHDYKASQFNRAVT AMRQPGSTFKLFVYYAALKAGLTLSDRVLDAPIDIDGWAPENSGGNYRGWVTLAEAFA RSLNAASVALAEEVGLDNVIAAARELGIDAPLPNTPSLALGTSEVNLLNLTSAYASVQ LGRAPVRPWGIIDFQAAGQPKAFRVGSQAKPNVDLSPYQSDLIGLLQLVVERGTGRGA DPGTFAAGKTGTSQNNRDAWFVGFTQALVVGVWVGNDDDTPMKGVTGGTLPAHVWRDF MREATAESALSGVQSTEDANNGQGAPPSCNITACSRAYRSFRPSDCTYQPYSGGRRLC EK YP_002984859.1 MSSSDAKITEAELENDLGPRRVYSTSPSAPSRMPAFASVLAVIA ILYFGKEVLLPLAIAVLLTFALAPISSRLRKLGMPRIPAVIVTVVIAFLVLVLFGLVV AGHVAEVAQNLPAYQGNIIAKIRSLQESGTDSGIVRRLTSVVESVGRELSNAEQRPGA PGTGSRPREPVLVEIFAPSRPIETLTSLIGPLLGPIASLGLIIVVVIFMLLEREELRD RFIRLVGYGDLHRTTEAIQEAGSRVAQYLLMQLVVNCAYGVPLALGLWAVGIPNPALW GMLAIVLRFVPYIGPVIATVLPLFLAFAVDPGWSLVLWVGAIFLVLELTSNNVIEPWL YGSRTGLSPLAIIVAAIFWAWLWGPVGLVLSTPLTVCLAVLGRYVPQFEFLEVVFGSD PVLDPKERLYQRLLAGDPDEATDYAEEFLEEDYLEDYYGKVAIPALLLAEKDRRRGVL TAEQMEQVFGTAITLVSNLAEIAQEEEQEEEEEEEQKEAAGRPSPPKEGNGDESELPD GRGKTVFCVGGRGPLDDASAAMLAQILQVQGAEVVAARHSDIPNRRAMSLVPKQSNAI VVCFLNEDSTRHATILVRRFKRIYPAIRVGAVLWAENQKERQPPALGEADFVATTLTS AAREALADAPPSLVTTARKIRTRRSSNKTGIAAARSGI YP_002984860.1 MDAVFRGLAIYFTLLIIIRLSGRRTLAQMTPFDLVIVLVISETT QQAMLGDDFSITNAVLLILTLFTTDIGLSYVKRWWKQAGHVIDGVPTILVTDGVYDRE ALGGCRLDSEDVMEAARSQGIESVKDIKFAILEVSGNISIVKKN YP_002984861.1 MKPLQQGDLFAASEVELPEGFRYEAAVVPDFEQSRLVEYVSSLP LKPFDFHGFEGKRRVVSFGWKYDFETERMRPAEDIPDFLLPVRALAAGFAGIEANQLQ QALITEYAQGAPIGWHRDKKVFGRVVGVSLLAPCTFRLRRRAGAKWERFSLRVEPGSA YLLSGPARNEWEHSIPPVDTLRYSITFREIG YP_002984862.1 MVTMVGNESDIKGLVKDLIYLEYDAIAAYDSCIERLDDKQFATK ITSFKQDHLQHLEVLNEMARELGIQAPTRGDMKEMLTTGKIALADLMGDAAILKAMKT NEDDTVTAYERASRHEDAIPASKAFFMKAHQDEERHRAWMDTTAKAL YP_002984863.1 MSGLTGTGATLEQDIVALMPAVRRFAMRLERSATDADDLTQDTM VKALAHVDQFQPGTNLKSWLFTIARNTYCTKYRMRRRYTLHADMEVAGSGQAVQSTQE WSLRRGEVDKAISALDVDKRRVSLMAISGESYKDIAGACGCGLGTIKSRIARGRAAVI ATLGETTAAGAVAAR YP_002984864.1 MKTQKKVVVITGASSGIGQATAEAFAREGANLVIAARNAVALQA VANTCRELGADVIVVPTDVTDAQQVKALAEAALGVGPIDVWVSNVGVGAVGKFQDTPI EAHEQVIRANLIGHMNDAHAVLPIFLDQDHGVFINMISLGGFAGAPYATAYSASKFGL KGFSEALRGELANHPNIHICDIYPAFMDTPGISHGANYTGRKLSAPPPVYDARKVAEA VVRVSRHPKVTTTVGATADLIRVGHFFAPRLSARLMNWFMTTYFRQADSGPMTDGNLF APSTRPGGIDGGLRSRTQRVALGAVAATVAVGLGLLAVANTYNRNSRRIQRRYRRGI YP_002984865.1 MTTYPPLDILKPIGQGIWIVDSGPLHAGGVIPLPVRMTIMQLSD GSTLLHSPTRFDQALRLEIERVGPIRHIVAPNSAHWSFVKDWKGRVPEALVWAAPGLR QRRQVKKARIPWHGDLGDASQAHWTADIDQIEVPGIGGFSEVCLFHRNSQALVVTDLI QNLDDHQQSPWMRLFSSLVGARERAPIYLRAVVQLRGEPAKAAARRLVALEPKLVIFS HGRYIDQDAPARLRKSLDWLV YP_002984866.1 MTSTQMRSEDPELGEETRGGLPSLVLAALGVVYGDIGTSPLYAF REALHATGGSGAHQENVLGILSLIIWALTIVVTLKYVTFVLKADNRGEGGTLSLMSLA RESLRGRPKWVLVLGVTGASLFLGDAIITPAISVLSAVEGIQVVAPALTNWVVPITLT IIAALFFVQRFGTGGVAAVFGPITALWFVVLGVSGAIHILDDPSVLGAINPVHAVWYV AYNIASAVAVFGAVFLAVTGAEALYVDLGHFGRRPIVVAWFALVFPSLLLNYFGQGAF VLANPTMAAHPFFNMHPEWARIPMVCLATAATVIASQAVISGAYSLVRQAIHLNLLPR LQILHTSETHSGQIYMPRVNTLLFVFVAALVLFFRSSSGLSAAYGIAVTGEMLITSVL LFVVMRRIWSWKLATTLAVIIPISLIDAGFLAANIAKFADGGWVPVAVAATMALIMQT WTAGRRLLISRTKADEIPLATIIDNLARKKPPTVPGTAIFLTSDVEGAPTALLHSLKH YKVLHERNVILSVVTATTPFVPDTEKIFLESFNPLFSRIVVTFGYMETPNIPRALVLA RKLGLKFDIMSTSFFLSRRTILPSRKGGLPFWQDRLFIALSRNASNATDYFGLPTGRV VELGLQTTI YP_002984867.1 MKKFATAVVISMALVFSAPAFAKNEGKLPKSAVELSEQEVKDLY LGHTVKYDVGDGLVYYTWFADGTLKGVKVGKKKGEYGYAEGTWTGTGNTFCFTSNWKG KSGETKFVYSPCKGWWRVGKQIWTKNISGDDQYDGDIYNGEVKKMSKGDKVTPMFDKA KAAAK YP_002984868.1 MDFALLFNMFRKPRQANVTDVTLWHKHETLLPVPLVDAASRNPS GQIWRRLNGVQWEYKQDPETIEEFDARQY YP_002984869.1 MARNPKITFIGAGSTVFMKNIVGDVLQRPALSGATIALMDLNPQ RLEESAIVVNKLISTLGVKAKAETYSDQRKALSGADFVVVAFQIGGYEPCTVTDFEVP KKYGLRQTIADTLGVGGIMRGLRTVPHLWKVCEDMLAVCPEAIMLQYVNPMAINTWAI SEKYPTIRQVGLCHSVQGTAMELAHDLEIPYEEIRYRAAGINHMAFYLKFEHRQADGS YRDLYPDLVRAYREGRAPKPGWNPRCPNKVRYEMLTRLGYFVTESSEHFAEYTPYFIK EGRDDLIEKFGIPLDEYPKRCIEQIERWKGQAEAYRSADKIEVKPSKEYASSIINSVW TGEPSVIYGNVRNNGCITSLPANCAAEVPCLVDASGIQPTFIGDLPPQLTALIRTNIN VQELTVQALMTENREHIYHAAMMDPHTAAELDLDQIWSLVDDLLATHGDWLPEWARTA RKVQAA YP_002984870.1 MALALVNSFAPPVRHDHDGRSDTPVIDARNVAVNFKVEDGMVEA VKDVSFQLYRGETIAIVGESGSGKSVTARTVMGLLSKRAVVSEKSTVAYDGSNILKFS ERERRKLRGDRISMIFQEPMSSLNPIYTIGSQIVEAIRVHRRISKRDAQKRALELLEH VQIPDPAARLMQYPHQLSGGQRQRVMIAMALANDPDVLIADEPTTALDVTVQAQILNL IRNLQKELGMAVILITHDLTVVRQFSDYVYVMQYGEVREHNTTEALFANPQDAYTKHL LASEPRGEANPLPEGSDVILDAKGVRVSFMMRHGTFLKPAMRELVAVDSLDLTLRRHE TLGLVGESGSGKTTFGQAILRLNTPDSGEIRFDNQPIHGLSRAEMRPLRARMQVVFQD PFSSLNPRMTIGQIIEEGLVVNRLGATKAERQDRVREALVAAGMPGNILSRFPHEFSG GQRQRIAIARAIALEPEFILLDEPTSALDLSVQAQIIELLRKLQDERGLSYLFISHDL KVVRALCHRVIVMQHGKIVEEGPVNEVLSHPKTAYTERLVKAAFEVA YP_002984871.1 MLAFDSSATPPTTDIVTKPSRGHESYIALVWRRLRRSWTGMAGL VLVALLILMAIFADFFAPMDPKATDVGFAPPQVMSFHDKDGDFVFQPRVYALSDSEEL DPVTFQPIVGIDYDNPRLLGFFVKGAEYRLFGLIPASRHFFGSTDGQPVHFLGTDKFG RDVLSRAIIGSRISLTIALTVVFIVTIIGTTVGMVSGYFGGTFDVWLQRFVDLVLAFP QLPLYLALTSLIPVTAPTNVFLAFVIVVMSALGWAQMSREVRGKTLALARIDYVRAAM AVGATDTRIIMQHIFPNVMSHVIVAVTLHIPSVVLLESFLGFLGFAVKPPLISWGLML QDTATYSVIGSYPWILAPVGFVLVTVFAFNALGDGLRDAVDPY YP_002984872.1 MLRFLLVRIASAIPVLFILSVVTFAIIQAPPGDYADYIRSQLIN QGGASYAQAEEQAQAYRVEHGLDKPLVVQYVNWIGGIVTRGDFGYSMFYNKPVADVVG ERLPRTLLLALVCHLFASVLGIGFGIWAATRQYSWIDSLLSGISFLGMTVPRFLMALI IVYLLVFQLNVSEIGSFFSPQYGGAPWSWAKFVDLVHHVWPVVAIATFGGLAYNMRVM RGNLLDTLNAQYVETAKAKGLSGAAVVMRHAVPNALHPLVMYQGVVLPYMLTGEIETA IIFALPTVGPAIVGSMAVGDVYVTATFMMVLSATLIIGNIIADMLLALLDPRVRQYGG A YP_002984873.1 MMNFRNAGILAGLALSVSAAALNAYASEPTVPPAPADFPAEGKI NYVARDSILEFKALPEYHEPDWVTKNFVATGKLPPVKDRLPKEPMVFKTGNMPDGIGV YGDTMRHVIGGRPEGWNYGAGQTQGWGGIDIGLSECLTRTAPLFQVQASDTEPLPNLA KSWDWSQDGHKLTMHLVEGAKWSDGAPFNADDIMFYWDDEVVDPNVSPLGGGASPEAF GVGTTLKKVDDYTVEWTFKEAFPKQYLYTMSYPNFCPGPSHILKPKHPKYSKNTYDQF KNAFPPEFMNMPVMGAWVPVEYRSDDIIVMRRNPYYWKVDEKGDQLPYLNELHYKLST WADRDVQAVAGSADFSNLEQPENFVASLKRAAEKTAPARLAFGPRLIGYNLRMNFSAN GWGNPDERGEAIRELNRNEDFRKAVTMALDRKAIGDSLVKGPFTAIYPGGLSSGTSFY DRNSTVYYPFDLKGAKEELAKAGLKDTDGNGVVNFPAGTLGGKDVEIVMLINNQYTTD KSLAEGVVGQMEKLGLKIVINALDGAKRDDAHYAGRFDWLIQRNTTELSSVVQNTEQL APVGPRTSWHHRAGKDDQLDLMPFEKELVDVVNKFRTSQSNDERVDLMKQYQKISTEH VNTVGLTEYPGALIVNKRFSNVPQGTPIMMFNWAEDSVIRERLWVAADKQGKYELFPE QLPGKPGDKGPIN YP_002984874.1 MSFKIAIIGAGSVGFTKKLFTDILCVPEFRDVEFALTDLSEHNL EMIKAILDRVVEANGLPTKVTATTNRRQALEGARYIISCVRVGGLEAYADDIGIPLKY GIDQCVGDTICAGGILYGQRNIPVILDFCKDIREVAEPGAKFLNYANPMAMNTWAAIE YGKVDTVGLCHGVQHGAEQIAEVLGAKSLSELDYICSGINHQTWFIDLRLNGRRIGKD ELIAAFEAHPVYSQQEKLRIDVLKRFGVYSTESNGHLSEYLPWYRKRPDEITRWIDMS DWIHGETGGYLRHSTETRNWFETEYPQFLESAAKPIDPAKRSNEHASHILEALETNRV YRGHFNLKNNGVITNLPSDAIIESPGFVDRFGINMVSGVTLPEACAATCIASINVQRM SVHAAISGDIDLLKLAVLHDPLVGAVSTPEEVWQMVDEMVVAQARWLPQYAHAVPAAK ERLSKSKVQTRDWAGAARRNVRSIEELRAEKAALKQAV YP_002984875.1 MLQDLIANGQSMRTVSLPRGRQRLHAMPTSAGYEVRENESYDWD GRRRGQTPFTVLQHTISGSGQLRYQHRNYRLQGGDTLLVLVPHNHRYWLEKGDRWEYF WISMNGEETLRIHQMVLSTAGPVLKLQPSTIDHLADCSLRLVKGATSPGAASAIAYEA AMALYDDVFGSPAFAAELSLMQPVIDHINTNLEKPLPVSELAGIVGLSRAHFSRSFAE SEGMPPAEFVLQQRLQRAVKLLTKADFLPVKEVAIMCGFEDPNYFSKVFRRVYGTNPT EFRTTGMYASIGKLR YP_002984876.1 MREPDMQKLDALVGRLVGDVGAAMSGALVVLGDQVGIYKAMADG TPMSVQDLAKKTGIKERYLREWLSAQAAADYVAYDEKTDRFSLTPEQAMVFGEENSPA FFVGAFEVVQSMWMDEPKVADAFRTGKGLGWHEHSTCLFRGTERFFRPGYNSHLVNEW IPALGGVEERLKAGASVADVGCGHGASTILMAQAYPASRFTGFDYHGPSIERANAAAK EAGVSDRVSFEQGSAAEFPGRGYDMVAMFDCLHDMGDPVGAGRHVKDTLGPNGTWLIV EPFAHDHLKDNLNPVGRVYYGASTMICTPASLSQEVGLGLGAQAGEMKLRKVALDAGF THFRRATETPFNMVFEVRA YP_002984877.1 MCLSSAQCRAARALLAWSQDELSSASKVAKATIANFEAGKRSPY ERTLQDMKHALEGAGVIFIPENGGGAGVRLAKRADASIDTNETETVQYEEYLENDAPP GAGG YP_002984878.1 MAKKEKLEHSELAGEFTDDGITVLVDIFRTSGSNEDWSMEVVTQ AEDLIRWDEPFPTDRDAFDEFLAVVARDGIRSFLEDEEPSVH YP_002984879.1 MKSINDLVASAKTVCDRYRAGRMERETVREWVLGLGAYPSPHGD RVREAAEWFRLHNREPVSEDIVLVDIDRLAAISAP YP_002984880.1 MAAFPEKAKVVIIGLGGIVGASIAHHLVERGWDDIVGIDKSGIP TDIGSTAHASDFCYTTSHDYLSVWTTQYSIDFYEKMGHYARIGGLEVARTGDDAWMEE IKRKLSSARAFGTRAHYVSPSEIKEKFPLIEEDQVMGGLYDPDAGLVIPRSQTVAGKL VDAAEKAGKLKVFGNTPAKSLIVEGGRIKGVVTHRGTIMADHVIVCAGLWGRLIAEMV GEDLPVMPVDHPLTFFGPYNEFEGTGKEIGFPLLRDQGNSAYMRDTGDPATTEGGQIE WGYYEATNPRMCHPRDILEKHEARLSPSQRDLEMEQIIEPLERAMELTPILGELGYNE GHSFNGLLQVSAGGGASCGESQKVRGLWYCVAIWVKDGPGYGKLIADWMTDGRTEIDH NSIDYARFYPHQLTEEFIEGRCYEAAQKIYFPAVHTREPYASGRNAKRSPFYEREKEL GGYFMELGGWERAHGYAANEHLLEKYADRVPVRENEWDSRHFWRVSNAEHLAMSDDCG IVNLSHFHMVDIEGPDHVELMEWLCAAKIGGDGNIGKGIYTHFLDDEGMVRADFTVFR MADRCRLVNGADAGPRDLHYMKRVAEDRGLDVIITDVSEKFVTIGIWGPNARDTLKKT VADPAGLDQENFAFAAIKPIEIAGKPVTAFRISYVGEQGWELHMKYEDGLAVWDALRA TGVMAFGVETYANSRRMEKSLRLQNGDLLTQYNLIEADLARPKVKEADFRGKAKHLEY KTREHQPAMLCTLVMTENTDKSGVKRYPVGNMPVVDPATGEVLVDELGRRSYTTSVAY GPTVGKNIALAYLPWSHCQVGRRLNVEYFAETYPVEVVGVGYKPIYDPENLKPRT YP_002984881.1 MEVNYPNVEKISVSMTPHHAELLRDAVAGGASQVIREAMRDWSA KW YP_002984882.1 MPTHRSFSPRYTTKKRARPRFTMEAFYLAIIGAGVAGYLGVEAA ANGASFANRGVGCTIKGNVSIGSHERIYHLPGQEYYEKTNISPRYGERWFCSEAEARA AGWRRARR YP_002984883.1 MLETLTWSGVLADPVVQAGTLAVVGAIVTRIALRPFPSWRLAGQ VFFFAALTVLLLYHDIVPYQVGPTTASTFERVFIALAKVVWWINAAWALIAFVRVFLI FERQPREGRLVQDLVIGLIYLGAILSVVAYVFSFPVGTLIATSGVLAVILGLAMQSTL SDVFSGIALNLGRPYAIGDWIVLNDGVEGRVVETNWRSTHLLNGSNDLVVLPNSFLAK VGLTNLSSPDRSHGATLTVRVVPTIGPSAIIDVMRAVLLSSDLILTEPKPGVQIKSLT SDAIEVELSFRVRDIGQAGPAKNEIFDLIYRHVKAAGLTLARPLDAAGPPPEQLQPEE LAKPHRPTPLKLLDAIPLFSSLTEDEKETLAASMTRRTYKKDAILIEQGDTVASLMIV RSGALVATRREGHKEIELGRLAPGDYFGESGLLIGVGEAASLRALTFVVVYEIAQASL TPLLHDRPGIAEELAATLSRRIETGQHSFAADGATLNGGSMTSLVTRIRHLFQVPQ YP_002984884.1 MGSPKSKPALQRLGHDVRGARLRRSMAVVDLAVRAGTSPSTVAR LEKGDPGVGIGTLADVLVVLGLVDRLADLVDIRKDDLGLALTAERQPRRGRSFATTLR RQRAKGKTAQEDPDVVDPDGASF YP_002984885.1 MMNILKVVFREFFGMFIDDGALALLALLLIAAVGVLVKFAHVDA LLGATLLLVGCLMVLAESVARAARKRFQRK YP_002984886.1 MTTLTTITSTVTAAFLGSFVEVVEAFTIILAVGVTQSWRPALIG TGLALALLALLVLTLGPLLGLIPIELMQFVIGTLLVLFGLRWLRKAILRASGFIALHD EDKAFAAETDSLRRQSAERRANFLAGIAAFKAVLLEGIEVVFIVIATGAGHGMIGYAS LGAAAACLLVLIIGVFVHKPLSAVPENSLKFVVGLLLSAFGIFWVGEGVGADWPGADL SLLAILAVLAVFSFAAVRMLRRYSNTHAEVAA YP_002984887.1 MMQWELDGGMTEVQRRGFLTRLTAYEPLTLIMLASIAGGLFVLQ RLTSEVLEGETFRFDDAILLALRRPGELAVPIGPGWLTHAIGDITSLGGITVLSLMTV LVTVYLLLDRRWPIAIFVFSSVLTGWLASTLLKILVARPRPDIVPHLVEVSDLSFPSG HAMVSAVTYLTLGALLARTQRYRSTRIFVMGVGVFLAVIIGLSRIYLGVHYPTDVLAG WCAGALWALGCWLISKRFVPSRAPDDVGEAGKR YP_002984888.1 MSSQTPVGVVKNYGTAVGLTRGPEEVCVYDKTAHKPLKSLMCSG DRPATMFGADQQTIAHFASTCALIAKGLMMETFCLFQTADSHQTGIVISSEGGDTSMG VPG YP_002984889.1 MPHFDQSTVGNTLLKKLSADRFARLSGAMERIDLPLRHALVASD VPTTHVCFLERGLASMVVSSIDEEVVEIGHIGREGASGMHVVLAVETTPTRTFMQVAG SGIMVPMETFQRALADDPEMKDFFLRYVHTTVLQLAHSALANARYNMHERLARWILMC HDRLEGNDLAITHEFLALMLGVRRSGVTNELHVLEGVHAIRSTRGNVRILDREKLIEI AGGCYGVPEREYERLLGLPLRPR YP_002984890.1 MPRFYFNILSEAGSLDDWEGTELADLDAARVEAVRDARALMSSA VLLGYDISSRSVEIRNETGDILLVLPFAEAVKPMG YP_002984891.1 MIDLNLSLQTKDVNVLTEAVRTWYRHNRVTPTERATELLCSAAV DLFSQGHRTPEELVTLLIMKFDSPLSLKINAATSTAHH YP_002984892.1 MLQSLITLSDQDIDIVITAVRRWCTKNHCEIDSNEGRRALTLAI DLVQHSARDTLLQNLIERLGPHPPSGIDAESSGAHSWRSS YP_002984893.1 MSWIPGGDFLMGSDRHYAEEAPAHRVRVDGFWMDTCPVTNWAFS AFVEATGYVTTAERPANPADYPGAIMEMLAPASVVFIKPKSPVDLTNHYNWWKYVRGA NWRHPRGPASTIKGLLEHPVVHVAFEDAKAYAAWAGKELPTEAEWEFAARGGLEAADY VWGGEMLPGGRHMANTWQGEFPLQNSREDGFEGTSPVGSFPANGYGLFDMAGNVWEWT TDWYQEHGMIDSPCCTISNPVGAELSNSHDPRQPQISIPRKVMKGGSHLCAPNYCRRY RPAARMAQPVDTATCHLGFRCIARG YP_002984894.1 MIANENRQTTTILQRARHEIKEYAFLCAYLYVCFAAILLYKMAV LGGQGVEFLVFGIPALKALILGKFILLGHTIRLGERSGGSRLVGVVAYKAALYLSLIL VMSLAEECVVGFLHGRTLSVVITGMAGRLPELLAMSAIMLLILIPYLASRELGVALGK GRLWGLFFEHREVR YP_002984895.1 MSSKSNRQGYNATRRQILLAGGSAIALTAFCPIASIPALAQAGA KKPNILVIFGDDIGWWNTSAYNRGQMGYQTPNIDRIADEGAMFTDLYAQQSCTAGRAA FITGQSCFRTGLLKVGLPGAKEGLSEKDPTIAELLKPQGYVTGQFGKNHLGDRNEFLP TVHGFDEFFGNLYHLNAEEEPENPDYPKDPQFLAKFGPRGVLKCKASETDDPTEDPRF GRVGKQTIEDTGPLNRKRMETVDEEFLGAAKDFIDRSAKADKPFFCWFNSTRMHIYTH LKAESEGKTGLGIVADGMAEFDGMVGQLLDQLDDLGIAENTIVVWTTDNGAEVFSWPD GGTTPFHGEKNTNWEGGYRVPGMVRWPGVVKPGTEINEIVSHEDWLPTLVAAAGEPDI AAKLLNGYEAAGKTFNVHLDGYNQRKLLDGTGPGARKEYFYWTDDGSLAGLRYDRWKL VFMEQRAEGLDVWQDPLITLRFPKLIDLRADPFEIAQHAAGDYARWRVEHAFALVPAQ AYVAKHLQTYVKYPPRQAPGSFSMDHVLEKLQRGGGQ YP_002984896.1 MENLRRTVAVVDDDASLRRSLGRLLNAYGFLAMEFASAEAFLAR DPKAAIDCLVLDIDLGGMSGIDLQRRLKADGTTLPVIFITAVETASVKAEAEKVGCVA YLQKQFSGAALIAAINKALGL YP_002984897.1 MKPVVHIVDDDKSYRTATARLLSANGLRVEAYESGDQFLSRLSA CEPGCVLLDLQMPGQSGLQIQRRLCELAPLFPVVFLTGEGDIKASVEAMKAGAKDFLE KSSTAATLMGAIDRALIQYERQRAEHDRLQGQRSLVAGLSPREAEVFRFLIRGRLNKQ IAHALGISERTVKVHRHQVMEKLGVRSLAEAVSIAANIGLIDQDTASSAG YP_002984898.1 MGLGEWSGRLCCAAFLLAVVAMSVPSRQAAASDTSIAIIDRVPR VLVLYPYDERIAATTAAGEALRTRLLEATNGKIDLFSEFLDLSRFPEGDHVARMARYL GEKYAARRPDVVVALGRESTSFFVANRGTIAPGAKIVAAGFGTATAEKIDLPDDVIGA FSTFDILKTAEMARGLQPDARHLYVVGGSSDFDRSWLTTARVDLKQFSKSYDTTTYLE DLTIDELVERASRVPPDSIILALTVFKDRAGRNFIPRDAIRQIASTASAPVYGPYQTY IDHGVVGGNTVTFEALGRTVGDLVIDAIAGKPVSDLEAPQTYVADARQLKRWGLAEKD LPPGTIQMHKERSLWEEHWIVLVAGGGLVLAQASIISVLLLERRRRRDAERSSQLHLL EAVHLNQSATAGALSSSIAHELNQPLSAIRNNAEAASVLLRSANPDHGLIQQILLDIQ EDDQRAGDIISRMRGLLKKRSEIDWQEFDLNDVTSSAIHIIHGEAERRGITLTSTQPP GELPVRADKVHVQQVILNLATNAMDAMLDAVPAGRTLTFATGLANEKAELRVSDTGDG IPEERLIRIFEPFYTTKQAGTGLGLSIARAILETYGGTIRADNRPEGGAVFRVALPLA HREEQSR YP_002984899.1 MNSRILIRCIGALASSTILWCAASPLQAQDSQRKPNILFIVSDD TGYGDLGPYGGGEGRGMPTPNIDKLAEDGMTFFSFYAQPSCTPGRAAMQTGRIPNRSG MTTVAFQGQGGGLPAAEWTLASVLKRGGYHTYFTGKWHLGEADYALPTAQGYDEMRYA GLYHLNAYTYADPTWFPDMDPKLREMFQKVTKGALSAKAGGPVTEEFKVNGQYVDTPM IDGKEGVVGIPFFDGYVEKAALGFLDEAAKAPDEPFFINVNFMKVHQPNMPAPEFEHK SMSKSKYADSIVELDTRIGRIMDKLRETGMDRNTLVFYTTDNGAWQDVYPDAGYTPFR GTKGTLREGGNRVPAIAVWPGKIKPRTKNHDIVGGLDLMATFAAVGAVPLPDKDREDK PIIFDSYDMSPILLGTGKSERKSWFYFTENELSPGAIRVNNYKFAFNIRGDNGASTGG LAVDTNLGWKGEEKYVATVPQVFDLWQDPQERYDIFMNNFTERTWMGVVMGEELKKIM ATYVEYPPRKPQSLTYNGPITLSDYSRFQWIRESLAKEGVSIPMPTGN YP_002984900.1 MISARNFRYFSRGVALAAAVWLFAAAAAVAQTDALPSWNDTAPK QAIISFVEKVTKPGSAEFVPEAARIAVFDNDGTLWVEHPMYTQLAFALDRVKGLAPQH PEWKQTQPFKAVLEGDMKTLAASGEKGLVELIMATHAGMTSDDFQKVVTDWLASARDP KFKRPYTELVYQPMVELLAYLRANGFKTFIVSGGGIEFMRPWVEKVYGVPPEQVIGSS IKTEFRMQDDTPTLFRLPEVNFIDDKAGKPVGINQQIGRRPIAAFGNSDGDLQMLQWT TMAGAPARLGVLIHHTDAKREYAYDRDTEFGRLDKALDAAAITGWTVVDMKADWKQVF KD YP_002984901.1 MAASVRSAAVRGTHLLFFLLLAFAGRSLASDDISIPASDPQISI HEGFVDERTCASCHADQAAAFSKSHHAKAMALADNGTVRGDFDNSRFEHDGVVTTFTR RAGRFFVNTEGADGGQAEFEVKYTFAYEPLQQYLVDIGGGRLQALDIAWDTARRQWFW LGNGTPAKPGSTYHWTGPFYRWNRTCIDCHSTDPQAGFQPQTNEYKSTYVATSIGCQS CHGPGAKHVALARSGNASSSVKPDTGLPKVDAGICFACHARRTKLLDGYQPGKPFLDY FSPALLRQDLYFPDGQILDEVFEYGSFQQSKMARAGVTCLDCHRPHEAGLKAEGNALC TQCHTETKPDRFVNQDPSGRFDTPAHTHHQAGSTGAQCANCHMPERTYMKVDPRRDHS FVIPRPDLSATLGTPNACTTCHVGETDDWAAETMDEWYGTQWRKRASIAHAFAGATNG DQAAVEALRALVSDQKQAGIVRGSAIAALSGISGVDITADIRTAATDADPLVRLGAAE AAGNIPPEQRLDAISNLLSDATRAVRVAAANALASTPPELFGNQRENFEAAVADLRAY VETNGDVAETQSNYGFFLFARQRTAEAEAAFRRAISLDPTLEATRINLAEFYRATGQN DRSEQTYAEAIAMAPARADLRYGHALSLVRRQALTEAITELEEAVRLDPQNPRYKTTF AVALDSAGRTEEALGRLDGWAAGGDADIIGLALQYSLKLRHLPEALKHAEDLARLRPQ DPQISGLIGQLKQAINGK YP_002984902.1 MKAIYLRAILLLAGISAASGGNAADITPLTPEAKTVESQSGWEF TFAPYFWAAGLSGDIGQFGLPEVHVDADFGDILQNLDFAFMAAGEARYDRFSIVGDVI YTKLGADADTPAGILAESVDVTSKTFAGFLGVGYAVLEDQNGHLDVVGGMKVWSAKTE ISFNGGILAGVDVEDSATWVDAVAGVRGNYFFTPEIYLTGWGLVGAGGADLDWDVALG LGYKFNDSISAVAGYRALGVNYDNDGFVFDVVQQGPIFGVAIRF YP_002984903.1 MTNVASRMALVVAALSLWAGTASAQSSEELAKKLSNPIASLISV PFQFNYDHGYGPEDGDKATMNIQPVIPFSLNEDWNLISRTILPVTWQNDIAGPSGTQF GLGDTLQSFFLSPSKPTESGVVWGAGPVFLLPTGTDELLGSGKWGAGPTAVVLKQDGP WTYGMLGNHIWSFAGQSDRRDVSSTFMQPFISYTTKDAWTFSLNTESTYDWEANDWSV PINFAVAKLITIDKQPISLTAGIRYWAAAPDNGPEGLGFRVAVTFLFPK YP_002984904.1 MQLTRRDFTRASLLAAGLLGLRSTGAAADDVTAEEARAIAKEAY TYGFPAVDSYRIQYAYFVDDKNPEYKAPWNHLKNIPRVYTPADTAIQTPNSDTPYSMI GLDLRAEPMVLTVPVIEKDRYFSIQLIDAYTFNFDYAGSRTTGNDGGSFLVAGPEWKG EMPAGIKNVFRAETDLVIGAYRTQLFNTDDLDNVKKIQDGYKAEPLSSFLGKPAPAAA PAIDFIKPVSPDDERKSLEFFNILNFVLRFCSLNPSETELMARFAKIGIGAGKTIDVA ALSPGMKTTMEQGMADAWADLGILKKQIDAGTVTSGDMFGTREYLKNNYLYRMAAAVL GIYGNSKQEAMYPVYAIDTEGQKLDGANRYTVHFAADKMPPVHAFWSLTMYDLPASLL VANPIDRYLLNKPMLPQFVKDSDGGYTFYVQNGSPGKDKEPNWLPAPKGPFFVAMRLY WPKDEALDGTWKHPPMAKTS YP_002984905.1 MKIPTVMVLMAALLQPVSAFGETEWMGGARQWSVGFSNESPHPY CRLLWDSEIGKTMEFRQSATETFWLVAKTTWDIPAGTKTEVTLTDRTVTKVIAADFFD KNTLRLWGPASKGSAGLKKIIKNSFAGMPDVQITFAGDEGDWMLPLSRVEQLYPTYLQ CLKRLEAGEKPKKNSETQPF YP_002984906.1 MTVTIDAGLTWREVARVAAGEELALSPAAFARVEQASRIVARIV ETGARAYGINTGVGALADTVVDRASQSLLSRSIVLSHACGVGPLLAAREVRAIIAAGI ANFAHGHSGVRREIVEHLAALLEHDCIPDVPSKGSAGYLVHNAHIALVLIGEGSAQLD GRRMSGRETLAAIGLEPLVLGAKEGLSLVNGTACATGLTTVALSRAERLLDWADAIAA LTLEAAGCQIGAFDEVVLALRPSAGIENVGASLRARLQGSGLVAAAFGRRTQDALSLR SVPHAHGAARDVFDNSARVVDQELASVTDNPAVSGTPEQPIVSSEAHAVAPALGQAAD SLAIALAQIGAISERRLDRLVNPLVSGLPPFLASDAGSHSGFMIAQYTAAALSNENRR LAAPAAMDGGLTSGLQEDFLAHPTAAAGKLLAVIDNAEYILAVELMAAAQAHDFLATT APRAAGTDLVYQAVRERVSHYGDERPLNGDIEAVRSLIRETAPPL YP_002984907.1 MPGVTRLSVRNIRKSFGTHEVLRGISLDAEDGDVISLLGASGSG KSTFLRCINMLETASDGEIWVDGEEIRMVHKNGRSKPASQKQVDHIRSELGMVFQSFN LWSHMTILQNVIEGPIHVLKRPRADCIAEAEALLEKVGIADKRHAYPAHLSGGQQQRA AIARALAMKPKVMLFDEPTSALDPELVGEVLRVMRSLAEEGMTMLVVTHEMSFARNVS NRVVFMREGLIESSGKPEEMFTGGATPAFRQFIGHFGSGQ YP_002984908.1 MDFTFIASTLVTLLKAVPTTLILFSLSILTGGLLALVIVWMRTS GNPVLSSFAKGYIFIFRGSPLLIQMFLVFYGLGQFGFIRYSFLWPFLREPMVCAVLSL ALCTAGYTAEIFRGGIRAVSPKEIEAARSIGMSGFLLVRRILAPIAFRHALPAYSTEI VLMMKSTALASLVTVWEVTGVAQRLISQTYRTMEVFLCAAIIYLVLNFIILQGMALLE YSLSRHRRAAPQALKA YP_002984909.1 MASLELLGFGSTGWGALLIAAALMTLAVTATALAIGAVLGAIVA AAKLSGNLVLVTLGNVYTTVFRGVPELLIIYLIYFGGSSAVTSIGQAMGYEGFLGLPS FIAGALAVGIISGAYQAEVFRSAFLAISKGELEAASAIGMHRGMRLRRIIMPQVFRLA IPGLGNVWQLSLKDSALISVTGLAELMRTSQVAAGSTRQYFLFFIAGGCLYLLLTSLS DRIFNGAERRANRSMPASAMGQA YP_002984910.1 MKFSAILFCGVAAFSAFAAPAFSKDWTKATITLEGAYAPWNLTN ADGTLGGFEPELAKVLCERAKIECTLVASDWDGMIPALNAGKFDVIMDALSITEERKQ VIGFTIPYAATPAAFATAKDSPLAKAAGTGATIKMTPGQTGVKEIDALKAAFKGKTIG IQAATVYAKFVYDNFGDIAEIREYKTGADRDLDLQNGRIDLGFDDAVYFANAFKAAND TLDFTGPEIVGSIWGEGEGLGVRKADTDLRDKFNEAIKSTLADGTVKNLSMKWFQVDV SPQE YP_002984911.1 MKRPGEMKRELAENDSTPLYAGVKQVILDRIQSGEWPPKYRVPS ENELVVELGVSKMTANRALRELANEGELVRIQGVGSFVAERKGYSALFEVRNIAEEIA ERGHVHEASVVVLAQEAASPEVADALELPIGAAVFHSLIVHSENGVPVQIEDRFVHPE AAPEYLVQDFSTLTPNAYLTASAPLSGSEHVVEAAMPQAWECKLLTIMKTEPCLTIRR RTWSAKQVVSTARLVYPGHRYRLEARSGKMFEE YP_002984912.1 MPKYFFHVRRNDVFNEDLEGIDLATPELAFEEATAAAREIVAER IRMGDPTDGDTFEIRTEDGSLVATVPFRSAVQLN YP_002984913.1 MTIIRQSGTKNRLLRRFPEEAFGRLAPFLEPVDLPVKHALVLPR KPIEHVCFLESGLASMVAESSDGKSVEIRHIGREGIAGYPVVLGVDRTPNSTFMQVPG HGLQVATEHFVPILEHPEVRQLLLRYIHTCELQLAHSALAAAKFNVHQRLARWLLMCH DRIDGNDLPLTHEFLALMLGVRRAGVTDELHILEGIYAIKSTRGNVRILDRDMLIEIA DGCYGVPEQEYERLIETSARPSVLPVHPNGNPLHGIL YP_002984914.1 MRAAGSVTVRHVISRTPKNQWYVAEAAKVMRSFRRNAIGRCWVA CASTFGWAG YP_002984915.1 MNQSKDPTLHQRILSDIEGRIVSGDWPPGHRIPFEVDLATQYDC SRMTVNKVLTQLAKAGLIERRKKSGSFVTQPQAQSAILEIHDIKAEVRSLNLPYSYAV SKKVSRKAKVDDSRRLELPVASSVVEVVCIHNAGARPFCLEERLISLATVPEAADADF LTTAPGPWLLNQVPWSTAEHRIHAVSANAEVAAVLDIARNTACLVVERRTWSNAGPVT HVRFTYPGDRHALVARFTPAS YP_002984916.1 MTTLHADTALTPQGWQKDVRLTLEAGRIARVEIGTSPEPGDECH ALLVPAMANLHSHAFQRAMAGLAEVRGPANDSFWSWRTVMYKFALAMTPDHVEAVAAK LYAEMLEAGFSRVGEFHYLHHDRDGGTYANIAELAERIGAASQETGIGLTLLPVFYAH SGFGGAAPIDGQRRFINSLESFERLMEGCRAVTGRLDGAELGLAPHSLRAATPEELTR LVPMAGDGPIHIHVAEQVKEVEDCIAWSGARPVQWLLDHAPMDERWCLIHATHMTEDE TRRMAKSGAIAGLCPITEANLGDGAFAAPLFLEEGGRYGIGSDSNVLISVPEELRQLE YSQRLALRARNVVAAPGGSTALSLFTHALAGGGAALKAPAGLAEGHHADIVSLDTTAV PYLAGDQILDHWLFAGGISVDCVWAHGRKQVEGGRHLKRDAIDRRFLAAMGELLAD YP_002984917.1 MTGNIFSDEAPSADTRPVLWRNARLATLASAKEGLGIVEKGAVL IENGRIAFAGAESELPASAIERSEIVDLEGRWVTPGLVDCHTHIVHGGNRAREFEMRL AGATYEEVARAGGGIVSSVKATNALSVEELVAQALPRLDTLLAEGVTTIEVKSGYGLN RTGEVKMLQSARLLGHVRPVRVATSYLGAHATPVEYKGRNGDYLDDVVLPGLDDMHGL GLADAVDGFCEGIAFSTTEIARVFDKAKALGLPVKLHAEQLSNLGGARLAASYGALSA DHLEYLDEDGVAAMAAAGTVAVLLPGAFYAIHEKQKPPVEALRRAGVPIAIATDCNPG TSPLTSMLLTMNMSATLFGLTVEECIAGATREGARALGLLDQTGTLEPGKSADLAVWN IESLAELVYRIGFNPIHARVFKGERNGR YP_002984918.1 MTITLHPGSVSLKDLETVYWTGVPARLDPAFDAGIAKAAARIAE IAAGNAPVYGINTGFGKLASIKIDSADVTTLQRNLILSHCCGVGAPLPENIARLIMAL KLVSLGRGASGVRLELVRLIEGMLEKGVIPLIPEKGSVGASGDLAPLAHMAAVMMGEA EAFFAGERLPGAQALERAGLKPVVLAAKEGLALINGTQTSTALALAGLFRAHRAAQAA LITGAMSTDAAMGSSAPFHPDIHTLRGHKGQIDTAAALRALLENSIIRQSHIEGDERV QDPYCIRCQPQVDGACLDLLRSVARTLEIEANAVTDNPLVLSDNSVVSGGNFHAEPVA FAADQIALAVCEIGAISQRRIALLVDPTLSYGLPAFLAKKPGLNSGLMIAEVTSAALM SENKQMSHPASVDSTPTSANQEDHVSMACHGARRLLAMTENLFGIIGIEALTAAQGVE LRAPLSTSPELGKAITAIRTKVASLDVDRYMANDLAAAAELVATGALNASVSSGILPV LES YP_002984919.1 MTIKEKVSVPCEIRQGTSPVILGFPHTGTEVPPEIADRLNDNGR MLADTDWHIHRLYDGLLDNVTTVRATFHRYVIDANRDPAGVSLYPGQNTTGLVPQTDF DGKAIWKEGAAPDEADIAARLRDFHAPYHAALAAEIERVRAIHGVAILYDCHSIRSHI PFLFEGKLPDFNIGTDMGKTCDSAIEQATLTVVEAAEGYDSVLNGRFKGGWTTRHYGR PETGVHAIQMELAQSTHLQSEAAPFAYDAAKADRLRVHLKNILVRIEQIAPGLKR YP_002984920.1 MSNPRHNIREIRAPRGNDLNAKSWMTEAPLRMLMNNLDPDVAEN PNELVVYGGIGRAARTWEDFDRIVATLKTLTEEETLVVQSGKPVGVFRTHKDAPRVLI ANSNLVPHWATWDHFNELDKKGLAMYGQMTAGSWIYIGTQGIVQGTYETFVEAGRQHY GGNLKGKWILTGGLGGMGGAQPLAAVMAGACCLAVECNPDSIDFRLRTRYVDAKAETL DEALEMIDRWTKAGEAKSVGLLGNAAEILPEMVRRGIRPDIVTDQTSAHDPINGYLPK GWTMGEWKAKRETDPKAVEKAARASMREHVEAMIAFWNAGVPTLDYGNNIRQVAKDEG LENAFAFPGFVPAYIRPLFCRGIGPFRWAALSGDPEDIYKTDAKVKELLPDNKHLHHW LDMARERIAFQGLPARICWVGLGDRHKLGLAFNEMVRTGELSAPIVIGRDHLDSGSVA SPNRETEAMKDGSDAVSDWPLLNALLNTASGATWVSLHHGGGVGMGFSQHSGMVICAD GTDDAARRLERVLWNDPATGVMRHADAGYEIAIDCAKEKGLRLPGILGN YP_002984921.1 MRILRAGDHKRMPWKNGKGETVEIAVFPPGASINDFDWRISMAT VAEDGPFSIFPGIDRTLAILDGNGMVLDVAGSKPVVLTMASDPLAFTADIPVAARLED GAITDLNVMTRRNGLTHTLIRIDVDGGKSVPLSPSTCLLLCHFGALSFRRGGETGALA AGDALLIEDATATVLKIDGEARCYLASITAG YP_002984922.1 MTDPYDILGVERDADEAQLKAAYRRLAKVAHPDSGGDSDAFAHL QKAYGLLLDPVRRKVYDDTGYDVEFADAAELQALVMIEKLVTDAVLDERAPGSFDPVA VMQDSLSEELRKARFSKSELERHASRIGLHLERLEKQSGRDVLAHMFRARIEAISKAV AETEAKIKATERAADMISGYVYDIDPPPLPDDTVTSIEWAEPSRNRSTG YP_002984923.1 MDNQHLVPAAVLAAKNGVRFPNESEAYRSARDALLAEEIELRRH IERVARQRRALPPGGEVTKDYRFEGSDGPISFSELFADKETLIVYSYMFGPQRERPCP MCTSLLSSWDGEVPDIQQRAALAVVARSPIEKLLAFKKERGWHHLPLYSDMTDDYSRD YHAIGQDGGDDAAFNVFTRRDGTIRHFWSQEMGGVTADPGEDPRGAPDLMPLWTVIDS TPEGRPADWYPKLSY YP_002984924.1 MGRFMKCIAELLMGLAGATLVVISAVHPAEANSDNGEDPSCEIV NLAYAATMNATRSSVTAYAEQDDGSLVFIADLRTQLGTNYLKDSTRKQWIRYPQTKWV PADRFGPKITDCVLKGDESHPDLAAKHYSAIRHEAPHVSKVDFWITHDDGRIVKMRIG PWGVKLKSGLGVIEIRKFDDEALTPPL YP_002984925.1 MKSNHLAMLVAALSLSVSPLATLPAAAQQDTKSGSQAQSGSQTG TDATSQAGSKATGTGTDCTPDASGACPQGKGQSSQQKSDQGSDMKKSAEQPSTDSSTS GSSSGKSSTEAKPGSQDASGGTTTEQTPAAKSGSTDTSGSAKAGTKSSAETKPAEGTG KGATTQSGDASKQSTDQNTSTTNKSSSETNVNSNATTNNQTSNTKTNVNISVEQQTEI RQVVKEVHVEPVKEVNFTVSVGTTIPKKVRLEPLPPRIVKIVPQYESYRFFILADGRI VIVDPDALTIVYIIEA YP_002984926.1 MKIKALGIAASILLASTSAFAQSSTVTGAAGGAATGAVVGGPVG AAVGGIVGGVAGSVIDPPPQKVVTYVQQAPAPTERVVVKEKVVVGQPLPETVVVTPIP DDPKYAYAIVNDQRVIVEPSSRKVIQVIQ YP_002984927.1 MHESNPAVPHQGELAALILRYDWANTSLGPVSSWPIQLRCAVDI ALPSRAQIVMFCGPEFIAIYNDAYAPTIGAKHPAALGKPAKENWAELWDDLEPLLRKV LETGETVFAKDRPFYIERHHKPETVYFDISYSPVFDENGRVLAVFCIVSETTGRVGYE AKLKRLASIISSSEDAILGIDLAMMVTDWNDGAEKLYGYSSSEILGRSVTVLIPDNRV DEEARIIARIKAGERIETHETIRRHRSGELLDVSLTVSPIYDAEGRIVGASKIARDIT ARKEAERVQEVLIAELNHRVKNILATVAAIARQTFAGAPDAEAARAAFDARLQSLARA HDLLTHGNWEAASLGNVVSEALSAYPAERLDISGPDLNISPKAVVALALIVHELATNA AKYGALSAESGKIAVSWKLEGSPDPSLILLWSESGGPPVKPPLRRGFGSRLIEAMSSG QLKGRAELAYDDGGLRCLISAPLDVEWADTDHEVAASA YP_002984928.1 MQDTDRYHKEARRAGDRLIARHVKEDPFAAAFKATRMPMIVTDP AQHDNPIIFCNAAFEKMTGYSNDELIGRNCRLLQGPETDRRSVGYIRDSVARGQDISV DILNYRKDGSTFWNALFISPVRDDEGRIIYFFASQLDFTTVKSREAELALARHQAEEA VARNMAELKSALLAKTLLIHEVDHRVKNNLLTMASIVKLQARFTQDTVKKQTLMSVLN RVEALSTVQRKLFTLDDIARFDVADFIRELVTDLVSGVGRNDIRLTLDLAPLLVPAVK ATPLSLIVNELVVDAVNRGLSDGGGAIHVVVKRMHGHFVIRVEDTTTPVEPDAEAAEF GRLLLEASARQLAANVERKIEGDKIVVEVMLLIDEHQENEN YP_002984929.1 MKVMIVEDEMLLAMELEIEVEAAGHEVAGIAMNSRQAHDLLAAQ KPDFAFVDVQLMDGPTGIEVGRSLAAAGIPYVFVTGNIKRLPPDFVDALGAIEKPYTM NGMKNALNYISAILSGNEGPDIPPNLVLANDSQPQPVNK YP_002984930.1 MLQGARGSGKTTAAPRFLRAGVEVAESCVYVALSQTAAELNAIA LSHGWTLDGIRVEELARPGSVDEADERSIFMTSDLRLNERLPSRNTSPAVSSMTACLK FGSSLEIRPAAAANLLPSRHTRLRLEDPTYRSQIVGIKKLRKETAMTSTLFDRPLFVK RGHHIQEVASLEDLFDLLDEWPAERRGMTYEVLVKACRLAAQGIFPLNSLHENVRRYL IKERALANIDELPLAKEGVLPRSLTS YP_002984931.1 MLAAGTESVEVSDIGAAVLVVEDEVLVRLAIVISLEDEGFTVFE ASDADAAIEILNVHAEIRLMFTDIDMPGSMDGLKLAAAVRDRWPPVKIIVTSGHHDLS DEVLPVEGRFFSKPYDHSRVVGTVREMVAAV YP_002984932.1 MRERPIGEAVEDDERAKVIAYHRGDTHAAIDTLLEDIRHLRRQL ALTEGAMMSRGMARGWRPSYHRD YP_002984933.1 MLDRPQPTSVSFETALRDWWSSQPRAFRESVGLSAARACFRAGY TAGKQTTERRFVFKAGRMRITVWAAGIMEAKKKAEAEADFRAAQKGWPVPKAGWQLQE ER YP_002984934.1 MSDTDRRPLTEAPRMHVHYCEEKGCAEWGGWGNSPSPAGATRWW CFEHFPHKSYEQEQALGRKREAAERGDSVQWLLGGSSAHL YP_002984935.1 MKWHRSGEFSPLMLVVNGPEKYKLVATLWEAANMLTACWPIDDG EEYLTAIRACRDALHGDVSAEDAREALIRAADEAGIPVITVVH YP_002984936.1 MSVPNEPIVPPPAPPIGNPEPERDPGETPPPVGPEPDDGNDPMT QAHAQEAQRRPTWGLLCRGVQVHAAD YP_002984937.1 MSKTTNGLNDRPKDSTIAQSGPGLPDDSGQPIEATNQEVERVRA KFEGDARKKLQAEIDEQVEKPQRGTA YP_002984938.1 MPGAFTSISIFAFDLDDSGQPVRAWETVFHGEEAGAVEEAREAA RTHAGALVVKREGHPAVGEVGDPVILFQTGKIGDFN YP_002984939.1 MATTSRGRAQDRAKVAGGQDHEVRYEAKKEGVPKETVNRAVKKA GNSRKKVEAEIGRH YP_002984940.1 MRLGRNSRGGWRSAAGVLAAVLLAYLLVCYLVMPELWIFRDRST VADFSRMVTTTGDDIPGDPINVGLVGPKQQVIRAFAASGWDPADRVTLLSSVEIGLSV VLDRPDLDAPVSPLFFDGRKQDLAFEKPVGRSADERHHIRLWQTATVGADGQPLWLGS ASFDRGVGVSHDTGQITHHIGPDLDAERELVIGDLKGAGQISMTYERAGVGATKDGRN GGGDPYFTDGRILVGVLPDIGR YP_002984941.1 MKRHIRIGTVAVACLVSCAGAALAEDAITAPASKTIGATAAGKM VPSLAVLNSGGATLADGKLTMTGVTPNSIVFADRPVRSAGHVLTTEFIKEWDEGKDSF AVDPPNATISVMSSDGTAVEDAVVVLTKPKLDGGTLTFDVQVLEGELAKANGPASLFI DMFVARAGMGRVGVVGVGAVGFHGGWYAHPAARYGAAVATGAAIGAAAASAPYYYHPP CGYYPYPPCY YP_002984942.1 MKSFRLATMFAAGLLLAPGHPFAQELSGPQVVRTLDRLQGGAPA IDLALLMEEAAASAGKGLAGLPDWQKLSKLSQLIVEIDFENDSIAIEPKSYRTVGMIA DALHHPNLRRYKFLVVGHTSSTGDAKHNLDLSQKRANAITEALSTTFSIAPDRLFAIG VGEEWPGDPSNPQAADNRRVQLVNLGLVK YP_002984943.1 MDRQNGAGSFHIRRCGDTLKAVSYGPCNRNILPFSPPKAHQMRA GEFLRRSIVHDGGSMSTRTRLLGGALVAFLALSGAARADTISFADAVSTLANDCGSDI KKFCKGLNLGSGRIQNCLETHAAKVSPTCTATLASVTSSIGQRLAAQSSFTTICKHYV AQFCSGVVGEGNILSCLNKATRVNEGQCGQAITDAGWR YP_002984944.1 MGAGHVAVSQRLRGASALLRQRVSFFFGGALFSAIFFRHLPELD ALPPFAQACVKSVYVAATLFGLFCYSLELARFGQAVEDGPPERGR YP_002984945.1 MKPILVSVAALLMSTGLASAAEPIVGNWKTTLGDTAAIEPCGGG FCITLKSGKHTGKKIGAFEGNGGSYSGRITDPDANKTYDGTISVSGDTVTLKGCVMKV VCESQKWSRQ YP_002984946.1 MQYDTEFAKRRFPEQALEIEALASRNESFRELCHDFSIADQLVR DWESSTAPGQHERYAEALELMDWLGKEIHTMLDLAKVVPFPAAR YP_002984947.1 MPRLMAIILIFAVALIVAALTAWGSLAFWYRLPLPDAGRAIGAC VFLAFGLLTVAALANRLRRRAILSFAVAFALVLFWWSSIKPEANAAWAPDVARQVTGR IDGNSLTLTDVRDFEWRSNSDFTERWTTRTYDVSKVRTVDLFMSYWAGPKIAHVIFSF GFEGGEQLAWSIEVRHKVGGGFSPLADLFKNDPLVVVAADERDVVGVRSNVRGEDVQI YRLRASPEQARNLLLEYVAEANALARTPRFYNSITTNCTTTVAKLMRVAGDKVPFDWR LIVNGYLPDYAYDRGAVDTSMPLDRLREIAKIDVRARADGLSPDFSKAIRLGVPFPVV VAGP YP_002984948.1 MTAGPIRRLLPCLALVVLAGCGGHPKNVLFPVADTVPDTSRVDM LVATTRARSTVSGEMFTGERARTPSFAQMRVSLPKVRNEGDVAWPKRLPSNPKTDFAT LKADALSLEAAKGWLNASVRRNRDHSVLVFIHGFNNRFEDSVYRFAQIVHDSNVRSTP VLVTWPSRGSLLAYGYDRESTNYTRNALETLFQYLAKDGTVKEVNVLAHSMGNWLALE ALRQMAIRNGGLPAKFKNVMLAAPDVDVDVFRSQIEDMGDPHPQFTLFVSRDDKALAF SRRVWGNIPRLGSIDPETAPYKTELADYKVSVIDLTKIKVSDDLNHSKFAESPQVVQL IGQRLSEGQTLTDSRVGLGDTILAGTTNVAAAAGSAAGLVLTAPVAVLDADTRSNYAN HVGGLTGQDGGTQKIAVKNCAATPADPACRKQR YP_002984949.1 MQTSVPLPDDRAERPCPTHEEVRAQLERILSSGEFPSAGRGAAF LKYVTEETLAGRAPRLKAYSIAIEVFNRSAGFSQEDPVVRIEAGRLRRVIERYYLVAG QRDPIRIDIPKGGYVPTFTWNGAPMEAAGDALKEDVSERRAFAWRRLAWPVLAVLVAF VFAGLGFYAGVLKGPAPGRSAEQLPGEPTLVIAPFADLGDGPQAARYAAGLTEELLTA LPRFKEITVFGRETSKALPPGVEASQVREGLGARYLVAGGVRVAGDKVRVTVRLVDTS DGSILWSQDYDENLDTHELFFIQADVASKVATAIAQPYGIIAKSIAANPPPDDMSVHD CTLRYYAYREELNPEKHQVARDCLQNAVALFPAYATAWSMLSIIQLDEGRFEFNPQSD KGPAMERALSSARRAVSLEPDNTRALQALMTALFFNNETKEAFDVGEAALAANPNDTE FLGELGTRVAFSGQWKRGGELLDRAIKLNPGGSGYYFGTRALIACMLDDHEMAVRLIR KADLQKFPLFHGVAAVIYSEAGLLAEAKHEGEVFMKMRPDYLPNIVAENRKRNLPPKD SMRMIAALRRAGLPVPSATDIEAEFRVSDASYRP YP_002984950.1 MANAASTRALPAKRSLTLAVAAALGVGAPVYGQGSCTDLAKNLS NPIASLIRKLVKVNEQPISLTGELRNWAASPDDGPEGHGVQVGLTVPVSEVGAYLLMV RTRSALFARCPRPHDATLSPQRA YP_002984951.1 MLTKRDLLRSAAMAALVAATAKSTPVLAQNKEEWPSLLEAKDIA EEGFIYGLPLVMNYAVMNEFAVDRNSGQFKAPFNDINNMHQVASPADTAIITPNSDTP YSILWLDLRAEPMVISVPTVEKERYYSVQLIDGNTYNFGYIGSRTTGTEPGSYLVVGP DWKGAKPDGVKQVFTSSTPFVFANFRTQLIDADDMANVEKVQTGYKAQPLSAFLKQPA PPAAPTIEFLPATTAGIKKNFFEYLDVALQFVPETSRDKVIRAKLARIGIGPGKTLEF KDLPLEHKAELLVGMKQGDDKIDKWLASGNKPINGWNVSSLLGDEAFYNGDWLLRSGA AKAGLYGNDAAEAMYPFTRTDASGKPLDGSKHKYTITFPPGQLPPVHSFWSVTMYDGK SQLLVKNPINRYLVNSPMLPGMKKDADGSLTLHIQKDSPGADKEANWLPAPDGTIYLV MRLYWPKTEAPSILPAGKGTWQPPGVKRVS YP_002984952.1 MNALAGGGSFVSLPALISVGVPSVSANATSTLALFPGGMASSWV YRDGVRSVCGVKVMPIAIVTVMGGVAGSILLLLTPSRIFDGILPWLLLVATLMLAAGP RLSAHLQTRARPSILAFATVQFFLGLYGGYFGGAVGLMMLAAWSALGGGDIKSLNPTR MVMVTAANAVAVVVFVLAGAIVWQECIPMTIGAVIGGWIGAHIGRRLPSPVVRMLTLA VAFLTTAVFFSRAYL YP_002984953.1 MRVAVILFIAVCLFLAGATASRAYVGNSNFWLLATALVLYTLGN LSMIALMRTSGLSLAISLSSVAQLIAINAIAVLVFDERLGWHQAVGVLLGIASVTLMV IG YP_002984954.1 MSAPHEDHSEALQDGEAILLNAVLMWFRFYGVPFDERTADVLCE RAMLLYAEGRGQRYIEGDLIRTFSGLMAMRVNAPSSALSH YP_002984955.1 MAAHRAQWKGHIKVGEITCGVGLYTAASTSDRISFRTINKATGN PVRREFVDSETEETVERENQVKGFEVENGEYIMIDPEEVTAVIPDSDKMLEIEAFLPC FEVDDVYFDKPYYLVPTDEVSEDAFAGLRDALSKASVTAIARTVLFRRMRTVLIRAHG RGLIATTLNFDYEVRPSKEAFKEVPEIKVEGEMLDLAKHIIGTKKGDFDPATFDDRYE AALADLVKAKSEGRSLPKPKPVQVSKPNDLLKALRESAGMGNAKPAAKSKTKAANANA KKKTKAAAPKTAAKTTHKKAS YP_002984956.1 MAPRPYWKGYLKLSLVTCPVAMSPATSESEKVRFHTLNKETGNR VLSRYVDSVTGKPVKDENEAKGYERGENDYVLLTDEDLESIDLETVRTIDIEKFVPRE SIEWIYLETPHYLVPNDKIGNEAFAVIRDAMKAEKVLGVSRVVIGRRERAVVLEPRDE GIVVWTLRFGDEVRPEEEYFQGIEKKADTSTVAAITQTIKRRMDKWSPSMVSDPIQES LLKLIATKKKALKPSKSSKAANSKKDDDAKPSNVISIMDALKKSVEADLKGRKKAGR YP_002984957.1 MCNDYEQHIRWKEYCEMMQSLALRIPAHQSELDLPTADDIKIGD IAPVVRTSGDEFELFAAKFGFPPSAGRKGGPVFNFVSENRDFSESKRCLIPASAFFEF TGTKYPKAKHRFTLADRAIFAIAGLWREGEGNQPASMTMLTTKPSPDVAPIHNRQVVI LKPEDWRHWLALSKPQDQLLKPLPAGSLSVEQVRAGSD YP_002984958.1 MLMDNGNNVSLNEIGILGSAAKVSQSNFITTYPVDQKPSTIWRP MRQAEAWELCESQPIWGKSPTMMAGFVAMGFPSQQSDGFKAAASL YP_002984959.1 MFVKLTDGTITRWINMDAVIQMRFDEDKHQTELFLVRENARLVF VDQSPEEIRTLLDVELERLSQITNWTTGGPIGKSNGCR YP_002984960.1 MKAITVSGLIMLAFASGAYAATMTAEQLKSELVGHPIHWKSKTQ SGVTTYKPDGTIEATVDGDKATYKGTWSIKDNKMCEKFGKEKCATINSLGGKKYSKGG GTFTVE YP_002984961.1 MFQALTSLSDHDIETVVDAVTEWCSQHHCDIQSCRGQFALAAAV DALQSSPNHDALVHHLSEKLMDNKE YP_002984962.1 MKITYDEAKRRTNIEKHGLDFADLDLLFFAGSVVVPAKGERLMA IGVFRDGVIAVVFVTLGSEAISVISMRKASRKERSIL YP_002984963.1 MTRIADSVLKISDAEEAKIQRQIASDPDAPEATDEQLAKAKPFA EALPELAESIRKNLGGRPKSNNPKVAVSIRLDPEVVDAFKAKGEGWQSRINETLRKAV GL YP_002984964.1 MKTEYDETTVPALTGIKGRCPRCQRGHLFNGLLSLAPACEVCGL DYSFADPADGPAFFAMSIVAVPALAFALWLQFTFDVHLWVHLVLTVPLTGLACILLLR PLKGWLVCSQYFHKAEEGRIDREWGK YP_002984965.1 MSKLKTTILTGFLGAGKTTLLNRILSFESSERVAVIVNEYGEVG IDGQLVVQTNDQIVELNNGCICCTVRDDLIAAIRALLQSGRQIDRFIIETSGLADPAP VIQSFILDDVLSARLELDAIVTVVDARHIEGQLAQEEAVEQISFADVLLLNKVDLVDE DHLLQVERDLRRRNPLARIIPTKDCGVAFEDVVGIGAFDLKNVLEIDPQILEEQEHEH DQTIGCVAFREPEPLDPVALNSWLTRLVQDIGADLFRMKGVLSFAGEARRYVLHGIHM TLEGRPGKVWQPSEIRSSDIVFIGRNLDEEMLRAGFERCIVPRQALAS YP_002984966.1 MPPLVAAMISLGVLGAIDTYITATVFPVPVWVTFIAWASFYACG GGQHGLVKSIVSNWTGIIIASLTLLVIQYGPQHPIFVALLVGLGTSAMVMVSSIKILN FPPAIVFGFASLVGTTAATNTSVVTSGMNHPTLVAMAAMLLGGAFGLLSEIGTSLLST KPATA YP_002984967.1 MKLQHYLGGLEGLGPVSTETRVFVETWETRIFGIHTAMMALSSQ LSLPATPSAFSSIWTWADLRKGAESLNPFDYFKYRYYEKWLGGISGYFIDKGYITAEE LDALTEEYYADPSIPVPTAGDQAIDDRVVQYLVEGDSPKREAEVSFDFTVGDLVSIRN VPSVEHTRLPGFLRGKTGTVETVYDGAYVYLCDTGTDGIGAAMPVYCIRFEPEELWPT NAETNFSLYADLYAHYVESPRAVAAQAA YP_002984968.1 MIDRFKYREDREAYSAARVKALEALLIKKGIITDKTVDTILDFF ETKMGPFNGAKIVARAWLDPAFKDRLVANTPAAIAELELPEGMAGAEGEHMRAVANSA DVHNLIICTLCSCYPWPVLGLPPYWYKDPTFRSRAAREPRAVLNEFGLPVPETIEIKV WDSSAQIRWFVIPERPPGTDGLTETELEALVTPEAMMGVAIAKAA YP_002984969.1 MLTQFEHFAVTEMMGEPDNPPRANGSLCFGSQWERSSFGMALAL AKSGAFEWDDFRDELIATIKDWEDAHPIDRSSWNYYDQFLTALEKAIVKAGVVDPEEI VAALAA YP_002984970.1 MSISASTAIAAPNLTTSAAKILQAATAVFFGLVIVGFVGFSHIE IVHNAAHDTRHANAFPCH YP_002984971.1 MSLFRSIVFTAALTGVIVGGAVSAAQFAGTSQLIAKAEVYEKAG EATETPEVVSPMTTPHPHEADHDHNEAGWEPSDGLERMTFTVAANMLTAIGYALVLCG LIAMRGKPVTWRDGLLWGLAGFACVMLAPLIGLPPELPGTPSAPLADRQLWWIGTALA TAVGIGLIAFQRKPWAAAVAIATIAAPHLIGAPVAPEGMHPLAPESLEHQFVAAAVMT SLVFWALLGSVSGALFRRFERTA YP_002984972.1 MSSGTFWNADLDALQFTACNGGNCLVHRRAFRAVLRKSPLMEDC IAFYAVNQATLQAAATEKIEEHRIGDGQSFHLNSRQIRRWLVMTRLAEILHDQTLDGQ LGAE YP_002984973.1 MRIVILAPPGVQSLDIVGPAEVFWEAARRLGDMSAYDIQVMSTG ARSIAGTGQLRFMADRTIFDEDEEIDTLLVAGDPAFLEIDPEVTAWLRRRVPGVRRFG SICTGVFLLAEAGLLDGKRVTTHWECAAKFSREYPAIDLDADAIYVRDGSLITAAGVT AGIDLALSLVEEDHGKDVAMIVARYMVMFMKRPGGQSQFSAHLVGQMSETTLIQKAQE FVLANLNGNLDVESLAQEIGMSIRNFARVFRKELGITPADFVAAARTDAARRLLEDTV HPLQRIATICGFADVNAMRRVFTKTIGVSPNDYRSRFQVSSKTISQPASDRRPARQTI AMDLALAISHPQEASTSASRHT YP_002984974.1 MTKPPKPKPLLQDVDKSVRSRPSKPRNPAQPNLPLDPMPDRIDP CLALLKPKPPKGPQWAFEVKWDGYRLSVHVEPTGIRILTRGGHDWTHRFPAIQQAALW LPVGSAILDGEAVVLDEQGRSDFGLLQQSLGGRGGKKRSSDAIFMAFDLLYFDGHDLR NSELDMRRHLLADLVPTGEQGDIRLSEEIEADGDQLLASACEHGLEGIIAKRRDAPYR SGRLGDWLKIKCIQSDSFVIVGYERSTVARAGIGRLLLAARKGDDLVYVGGVGTGFNE RSAAELREQMDKLIIGKATVDTGRKRNAVFVDPKIVAEIEYRAWTHDGKLRHASYKGL RDVQDNAIPYQPRGRPGDRG YP_002984975.1 MPDQYQPKYKWRETWPGEGHQDFSGFDGEQSFGRIQLDQMSHGK MGMWKWNITHIPWVREHIASHSGWEAMSREACRRVEEHYEKLLELHGRPKG YP_002984976.1 MADFNLSLQMDDVNVLSDAIRIWCRHNRAAPTEQSMQLLCSAAV DLYNQGHRTREELVTLLITKFDSPHSLQVNAPTSTSHH YP_002984977.1 MSDVEKDAKGQFAKSIGDRKATGVASAKPTVLTGSEDATEHKTP PGKKPVKEWDLNYDPGDMNEGQTR YP_002984978.1 MGMNKPKDGAPGTTDQSPRWEGPQENAPRGYLPAKDDPDRAEDA NGETNADPEVKKISDGLKQKGE YP_002984979.1 MPEIHPVKRLRLWTVRAAADQGQILVVTCNLCRVTHNYIPRDIE ELCGNLSLDRLLQKFRCDGCGKKNYLQMKLHFPHGSEYGTLKVRRLKRIRTVAVPVWN DEFLS YP_002984980.1 MLDNVKKPAKKKSAFDAWWSALPLTIRESNDEAFARRCFRAGQA VGSRPAKNKYKLRAGRLVVSVWATNSRDAAREAAIELDYRVAKAGKRPPGSGWKLTPV ADHA YP_002984981.1 MDKDKTAQEAIEETGKKQVDGQGLPAAGPHATKEQTDESKTPGT GALPDREDESVSPGGG YP_002984982.1 MSGETVYHEDFPLETTRHGQAETAYFTFCYSPIRDENAKVLGMI DTVMETTEIVRARQRLVSESERYRQMFENAPGFMVMLSGPEHVFQYVNLAYSELIGFR EAVGRTVAEVLPEAVEQGFAAALTEIGQTGEPHSALGALLTIKGPGGASFDRYVDFVY QPIKNACGEVTDIFVSQPTSLSVVLRRVMITRVTA YP_002984983.1 MSDRRHEWISKRAYAIWEEQGRPDGRDAEHWRQAVAERDALERT QASVDGREVLVKFRPKPQCPAMPRHAWGNPSTKAG YP_002984984.1 MTTKILALTDALGNLVRFVLLPGHRFDTVGIAPLIDGIGFDGLI ADKAFDSNQIVADLNERGAKVVISQHPRRKAPLPLDSEIYKWRHLIENFFCKLKEFKR IAMRADKTDQSFSAIIHLAAAVINSR YP_002984985.1 MSHNTPNGGASVITATAVTALSLANAIFIANTKVMLKKGLITSR EAQVLVLEVVELVRRSTDTSQSIAFADTLCRDLERFAQDLGGSDG YP_002984986.1 MLSDEDRRDTFHSDRPLVSVVIPAFNASTYIERTLRSAVRQTYD ALEIIVVNGGSTDHTAKLLEQMAMSDSRIRLLSTPNCGVAAARNTGIEASSGRFVAFL DADDLWHLTKVEKQVSALNRLPSHWAAVSAGRILKCMVGCHNNLCTASVAFHF YP_002984987.1 MRLLIVEDEPGIALVIEDVAIDAGFEITGIAGNMSEALELGSEA DIAIIDIRLGDGLTGPSIARALFSGFGLGVVYFTLNPGLVENPEGRAVVTKRASPERI VDALSMAAKNARKHCVASRPTFR YP_002984988.1 MTSKADATEAFVWMWLPGATEPVVAGRLDQNGERLLFTYGASYR RRENAISVYEPELPLQEGIIAPINGLSMASCIRDCSPDAWGRRVIINRLTGKKPDAAG VPEISELTYLLQSGSDRIGALDFQASATEYVPRHAAQASLDELMEAAALIEKGVPLPS ALEIALNHGTSIGGARPKVLINDGAKKFIAKFSATNDTYSVVKAEFIAMKLAAACGLN AASVAMTRAAQKDLLLIERFDRTHTTDGWRRHAMVSALTMLGLDEMMARYASYEDLAE LIRRRFTAPKDTLKELYGRICFNVLCGNTDDHARNHAAFWDGRMLTLTPAYDICPQRR TGNEATQAMLIKGDGRASTLATLLASAPDYHLKEAEAAALIENQVTMIAANWPAVCAE AELSPVDRNLFAGRQFLNSYAFEGLEGQKALKDAFRAARDALIAAGGA YP_002984989.1 MPRQRSYSRVTHQALAMLGKLIRVGRAERGLTAQELADRAGISR TTLSSIEKGAPGPEIGIVFEVASLVGMRLFDYDERSLQMHNTRLDEKLALLPKSVRHT VKEVDDDF YP_002984990.1 MKTPDTAALLEDAADRIADISRPDLQILLRRAALLLRNAGSITF EDDTDAALRDLSGELGMTRNDTIRFIVREWMEKNTYLPVRSLDEDGDVDGNG YP_002984991.1 MSILFLWLTRGSVLDYIAPQLRYMLVILPKYYYELPWLAENHPE QVALYTCLSFSLITFTVVKTLLFSVYIISSQLSREIIVADLKNFFKTDLVYLLTFVVL IILIPLPIYGAGASTTVVGNAYSLTFFNILFLKMLSGGISSTVLLAKRIKRPNRSDQV EVTSND YP_002984992.1 MTKPPKPKPLLEDADKPVRSRPRKPRNPAQPNLLLDPMPDRIDP CLALLKPKPPKGPQWAFEVKWDGYRLAVHIEPTGIRILTRGGHDWTDRFPAIQQAALW LPVGTAILDGEAVVLDEQGRSDFGLLQQSLGGRGGKKRSSDAIFMAFDLLYFDGHDLR TSELDMRRHLLEDLVPACELGNIRLSEEIEADGDQLLASACEHGLEGIIAKRRNVPYR SGRLGDWLKIKCVQSDSFVIVGYERSTVARAGIGRLLLAARKGNELVYVGGVGTGFNE RSAAELREQMDKLIINKPAVDTGRKRNAVFVRPELVAEIEYRAWTHDGKLRHASYKGL REAADQLAIYELDDIR YP_002984993.1 MELVVEYCLHKLGGFFYKTYYLNGEAMALSFAISIGLVLTVFAV ELTYVGFGNSGFRRVFFERSKSTITDIVYFVLQATGMITLFAALASFGLSYAITHAAQ DAPHLDLAGRLPSWAHVLLFLVLSDFLSYWQHRLMHRLPVLWRLHEFHHAAEEFNALT SSREHPLEKAINMAIMVVPALLIGLPTAEFAFVTITFGAIGLVKHSAIPWHGWFGKYV IQSPRDHFIHHSRVREHHDKNFANYFPIWDHLFGTYYEGDADGAKLGLDRDYFNRTDP FRESIATEIRFFQRAGQAAKAKCGISLISGKAASPQGLSGTEERR YP_002984994.1 MQRFDMAATLHFNARPTATQTRPVLPKAGLFLAFRPIGFDIPGG WMSDTDNPAPFCAESLVVLRALPS YP_002984995.1 MRRILLTGLSVLFLGAQAIAATAMTGKELQALLSDGKVIVLGGP KEGYNGELSVLKDGTAHGSVKLDSGDVITIDGVWQITGNKFCRTWKGGTDAGKEVCET WNKTGPKSVTVLNGKENIGKNSWK YP_002984996.1 MSDFSQSDVRNLLLRALEPEAFDLLRAAMQPVALPAKLEVIAPD VPSEAAYFLESGLASVVATNADDESVEVGHVGYEGMAGAHVLLKVNQTPNRTFMQAEG HGISVPVSALLSMAERVPSANDLLLRYAHCCDLQLAHSALANARYNMPERLARWLLMC HDRLRDKNLPLTHEFLSLMLGVRRAGVTNEIHILEGVHAIKATRGNIRILDRPKLEDI AGGSYGVPEREYERLVGFPIRQA YP_002984997.1 MARYFFNVHDGISIPDTLGSEHPDLQSARTEAVETIAERLRGAL LTKGDVSAWLMNVTDERGFTVIVLSFTAAVQIVDHVNVAGQVPGAL YP_002984998.1 MPTIVYRNDQKISPRDVMRFAVYAEHVSRQCYDVFEEDGSRSRS EALSRRAQAVSDSLDFGDEPHFLAEVTKKVLALRDMVSGMCFDTFGERGHMGKSMDLL GMARDVDEVLEKLVIYHLSPEELEAAETEWAHDPSSMRRSKGTI YP_002984999.1 MASNYRLNASDTSWAIIDNATDAPARLDGIPLVTMEAAEARHML RILDGIDQIRTTSKWWANLAQKRAKMMTSSGAVQAVEFKPLRPFASSNWT YP_002985000.1 MPDQYQPKYKWRETWPGEGHQDFSGFDGEQSFGRIQLDQTSHGE MGMWKWNATHVPWVREHIVPHSGWETTSREACRRVEEHYEKLLELHGRPKGR YP_002985001.1 MENGKNVPLNEIGILGSAAKVSRSIFITNHPADQRAGAHDRQTN RKQGGDP YP_002985002.1 MMDPSIAPASRAAVVTANDTAMVGARALYIGTAGDVAIAPRRDM DPVIFNSVPAGTVLPVHAAIVALTGTTASNIIALF YP_002985003.1 MRLAPFHYAGTNDPIIFINPEHVVAIRAFTSSTHIYVSVLGKDA SPSYYPVRESIDDVVKQLTS YP_002985004.1 MDKDTKAQQAIEETGEKPVDGQDQPAAGPHDKEHLQDESKTPGA GALPDKCDESVSPGSG YP_002985005.1 MTDEQRQQSGADEPLQETVAFAFDRMTVARFREVFPNARWSDTL QAWTVPGKTARRRIDRWLASEDARHSPFEEERGRDAYQFEPILSSYLQVYDRGFRIKT PFSRTVVDELRQIPFARWNGDDKVWEIPFASYDDLQHRWEAIEEAARRAEPEERRKRA EVRKGTEEEAKARRRTQERKKRRLPVSSDDLPPISRPVATSAFGIVVITEVTGEIVDP EEVVEHHPDASDEHVWAEWRAATLEELVHTWPLRDPPGEYEKIRGWWQPTLDELRDAR RTAKSRERRKSVSLRPGEEASTGPE YP_002985006.1 MASHDHLVIFLHGIGASGAQLMPLASSWRPKLPNTRFVAPEAPM HHRYGHQWFSTEGNPLDAARIRTAREAFDVLISDVVRREGFAEAHDRVAFVGVSQGAI VALDAVASGRWHAGALVAFSGLLPPQPISPSGKSTPILLVHGENDTTIPPIASRLAAA QLGTAGFKVELDVERGTGHTISSAGAQKALSFLKKNLP YP_002985007.1 MVSRIRRTLTVQERAEAFERTNKAAAEAAEEERRRREEKNERLR QLRVASEN YP_002985008.1 MEQISTPKTAASHRREARLAKGYSLEDLTVATGLTLAELAAAEK PGAQVPDNHLARIDHALA YP_002985009.1 MLSRLSVIGAIAGLLFLFAGLVQSGTYVAATAIGMAALLALACI LIRLKQCRPDILGAGRKLP YP_002985010.1 MGQETIADILKSMEMGGKAEGTLKPPSNEDVEKMLDAEGVSRRV EERFRQGVRRRYLTAQLDGHNKLLTIQEDYLKVSQDRVHLSVTKLARGQIKEHIDHLD TLKPKAG YP_002985011.1 MSKFFSKFATAIADLSGKPGTFVLAAALVLAWAVSGPFFGYSET WQLVINTSTTIITFLMVFVLQNSQNRDGKALQAKLDELILTSQAQNKFVGIERLDEES LREMSRTLAEKAECVEDVADEKAQRNNASA YP_002985012.1 MQILDTRVGNVHEGTITVEFTGEGNEAVSVRMATDGSVDGDGAV RRAKALMVQIATFGDDDASETDEPPAMTGPEDDVLVTSPSAASDAPVMNMPRN YP_002985013.1 MKRNRFTDEQIIGILKEHEAGTPVSVLCRKHGVSDASIYKWKAK YGGMEVSEAKRLKTLEDENTKLKRLLADAMLDNAALKDLLGKKW YP_002985014.1 MFNPSLDVSGDAVVNQIPSHIVFATDFTARCDRAQDRAVQLAIE WSASLTAVHAIDDAGIAANASIRHAHQIAARRKAKRLREDLAGIEGLRSSVLVKSGRP ATVVQALATRERADLIVTGLARSMILGVLPMGSTVMALARSSIVPVLVVKKKLLDTRS RMLVATDLTASSSTALQVALNWFPNGRHGLFHVIDPPYRMWAEDKAAYLRQVERFAID QCARFAVEAAGAAAPNRFDITVRHGDVVDALRPVVDQGDLDLVIVGTHGRTDVMNFLL GSVSSRVVNEIESDVLVVPPSF YP_002985015.1 MAILFKTTISENTAFEMIERSLSGVYQYDGYLNVASDAGETALS WGPAMHAEEFKAEVSQILRQTWDAARFWVIYERREDRKDPVGTDIRNAAFRLTRGYSG VIVVTLSLLGKRDSANDLELIFVCFEQDFHRRNFRVRYEGKPLPNQD YP_002985016.1 MMNRRTFSKTLLVGAATSLVATHAGLAATTPPKARNVVLVHGLF ADGSCWTEVIALLQARGLNCTAVQNPLTTLPDAVAAARRILDRQDGPTVFVGHSFSGM ILTEAGVHPNVSSLVYVAARAPDAGEDYAALAKTYPTPPATAGIVFDGDEGRLTEEAF LRDFGGELPEARARVLYAVQQPFQKALLTGKTSNAAWRSKPSHYAVSTQDGTINPDLQ RFMARRMGAKAIELESSHLSLISHPNEITELILEAAGQS YP_002985017.1 MTDFIVDAANFRTLARMSDSSGLEVLQTPVSLRADDHAGEDTAM WPGQQAVAEFALDAGIEQRDCLRLAKQADGARGAAEDRLVEFHNEGGGMLVGGRSGRC LHAFINFA YP_002985018.1 MSKCIENDHLLMSKAVREWYRYYEVEWDDQASSFLCDAAIELYN EGCRSLEEMATVLIGTYVGLAATRVNAPSSNSVH YP_002985019.1 MAQTPTSRGRAQDRARVAGGQDHEVKYEAKKEGVSKDAVKKAVK SAGNSRRKVEAEIDRR YP_002985020.1 MPSGQRAQWKGFLKFGEVSCGVALYTAASTSERITFNTINKATG NRVNRIFIDSETEDPVPKEAQTKGFEIENGQYLIIDPEEISAAIPESNKTLEVEAFIP CSDVDDVYFDKPYYLTPDKMGGDAFAALRDAMKKSMVAAIARTVLFRRMRTVLIRPHG KGLIASTLNYDYEVRSSEKAFEEMPKLKIEGEMLDLAKHIISTKKGEFDPATFDDRYE AALADLVKAKLEGKSLPKPKKVQVSKPNDLLAALRESAGMMKAADDKPKRTAANANQG TGRQRAARGVASKAASSGAAPRRKAS YP_002985021.1 MAPKYYWKGYLKLSLVTCPVAMTPATSESEKVRFHTLNKETGNR VVSRYIDSVTGKPVKDENEAKGYARGENDYVILTEDDLDAVALDTVKTIDIDKFVPAD SIEWIYLEKPHYLMPDDAVGNEAFAVIRDAMKADKVFGVSKLVMGRRERAVVLEPRGE GIVLWTLRFGDEVRPEENYFEDIDEQADPDLIPLVQQLIKQKTARWSPDMVSDPIQES LLKIIAEKKKALKPKKAAKGKAAESAPKSNVVSIMDALRKSVEADLKGRKSG YP_002985022.1 MHLLETKIVHETTGWRVDFVGDDGEAVSIKVADGHAASEDEAIE RAKEVMVQLTPYGTRGGGRSINPYDAASNGNFDDDEPLLDTWH YP_002985023.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CALFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT AGVLPAFLAKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFSSI AHERYLLAVARSNPLAEQAEIGIDDLRGEKIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRAFELKAVRGIDFRIGLGVAWSKDD PTASRDDIVDIARSLARPGR YP_002985024.1 MRISRLVHILGSMSEAEKLGIVARVNHRANIEACIEDLIGILDF MDGDENLEPDNDNEPSLGAPEHVTQTHWYMPVGSEQADLEIEDENDEDGGDTELNGDE VDSNFSEDG YP_002985025.1 MPNETILAAAEGLPKLSRRTLLGAVTAIIPAAATPAKMKAFDWD AFFAEATPAQLASFHAKALTEAMAKLHPGLSWRHVIDHKVQFVLVVGDERPAVSPRQE V YP_002985026.1 MFRALAVSLSVSATAILCQPVFAADMIEGYRPPPATRHVVYHPK KVYVRKTFHECGQLLVEYRPPYRPHTEIVTICHPRKYVLTRY YP_002985027.1 MLRRSGLLDQVKAWVAQQDGETQDAFEYSGTFVKDSPMMAAGFA AMGFASQQIDQFFAAAASL YP_002985028.1 MNVAVSDIDAFTGERLMSTSARVPHDNRQPLAEALMARQAGQGE RLANNLAEGFDTGSDKRRHLDDLGTLGDFGTNGAKVEAKIRLMFSSSATTNSALVHDP AKGVLVAGGNDSGGNVGTMQVASGFADGGGDVWTNTSRQVRYVAGAGGSIWVWNDGFY FPCGRISYWLVCLDRTTAQSIACSNNCRRAGRRNFCLMTIRQLSRFGMPRPSCRRYRR DNSG YP_002985029.1 MKRNRFTDKQIIGILKEHEAGKPVSELCRKHGVSDASIYKWKAK YGGMEVSEAKRLKTLEDENTKLKRLLADAMLDNAALKDLLGKKW YP_002985030.1 MVGKRHTAAVAVRPYPEDEGLNIIRLDGLQRVNAGATSGDHIEV RKAEARPAARIVLAPAQKNLVLQGSGDALQRVFLRQPMVAGDVVSTSVQQRSRDPRML QAYGLQEIRLVVVSTHPRGVVQVNEQTVVELRPQYEEPKEARRADVTYDDIGGLGSSV EQVREMVELPLRHPELFQRLGIDPPKGVLLYGPPGTGKTLLARAVANETEANFFHIAG PEIMGSKYGESEERLRQVFQEASQNAPSIIFIDEIDSIAPKREQVTGEVERRIVAQLL TLMDGLEPRQNIVVIGATNRRDAIDEALRRPGRFDREIVIGVPDQNGRREVLAIHTRG MPLTEDADLDEIARTTYGFVGADLGALVREAAMDALRRVLPDINLKEGIPPEILEKLI VSHDDFMSAMKRIQPSALREIMIQAPNVRWEDVGGLDDAQMKLREGVELPLRAPQSFK RMGIRPAKGFLLFGPPGTGKTLLAKAVAREAEANFVATKSSDLLSKWYGESEQQVSRL FERARQVAPTVIFIDEIDSLAPARGGGLGEPAVTERVVNTLLAEMDGLEDMQGVVVMA ATNRPNLLDPALLRPGRFDELVYVPVPDTKARLKILGIHTKKMPLAADVDLDDLAAKT ERFTGADLEDLTRRAGLIALRQSLDAEIVTSANFAKALEEVRPSVTPEVEREYEEMLR TLRQENPQRMQIGFTPLKISGK YP_002985031.1 MLIIALKITRADHAKHLRTCQVSSNPFTQEFVWVSDFANGGAWV VSSQPEDPCGVVQLSRIEKDRSDTSGMLWRYIARKAATNPSGTVLPGMICSAIDQGDY DWMKTRSDHMQCEFVEFSPI YP_002985032.1 MFLRDAAIELYNKDCRSVEEMATVLIGTYVGLAATRVNAPSSSS VH YP_002985033.1 MHLADTRIEHEAGGWKIDFIGDDGDAVSDKVVDEHAVSADEALE RAKAVMVQLTAYGTGGGGRSFNTHAKPSATAISTTTNRFWTPGISWRRLLPSDPTQNG NLVALL YP_002985034.1 MTHLGRLFAIPLIGLAVQFGSVRLGFQDAYTSQTNVFAVTSGAL SARLTLPGDAALFDDLVLKWNGDYSWAPATGGSDPVTRFGDEARSVFGPIPDGACAGP QSRSLGLEVDGNSVGVEPNVAKPGSPLEFAHREAGGQIVKWTSAVAKCDKPSLAGGVT FCGLNSRIARVKRNRVEWLFLCRKSSDDLEVHAQPYWTQTDPRFSRYSAIGYNEITGE IAFIDGRKDRGVFDWSERFPPPGGQSYQDEMGRREAKELYDKTFEVNCVSCHDNKKPA IIDPHMQQARVGFSGDRHDARTTAFSLGSFFPGRVANVDAPFRVIGSGYAFAHRGAMR NANAFAIKGHPCFACHSLTTLESGRRFAADATGMSPKVRDPTIGQTVAIAQEKAALQM VRDHRTKWASEFGEGGIMPWMLPNHGGRLSEGSGQLSASEWEQLSACAWGSGGDICGF RPLFTSCPIPGESIDTFVPFDFSVASQPTVNGNEHSAIRLTWRYLNGYGAVPERDDVR FDVALKLEDIPSSRVSPDISDYPGVDESKSAIALGPGVVVSRTAENYLLIRNVSFEGH RRWTDAPATSETRRYSIAIPDVCGKRYLFRILPKRFCFENSGVVSGKRDYLAFYDSGC GE YP_002985035.1 MTTLVKIATLAFATSIGFSVSAFAQTPQCPADIAGDGDEFFKKS RSYAVPTPDERHEVEDFISHYAWLMDNKNAVGVRELFEPGATYLLCQTGSSDEKDSGK DETLEDLLRMSFTGLGDKRARRLFSNILIRKNTANQTYEALISSVVFTQGPSTSTEPP KVDYMASLYATIVRDSFGNTLKFGSLVVLTDQNGISIRAR YP_002985036.1 MSDVGHWLAEIGLEHLAEQFAYAQIDLNNLPLITEHDLIEMGVR VGPRRTLLAAIAAMKTPMAREEKNPVERRQLTILFCDMVGSTEFAARLDPEDFSQLTQ LYLARCSAIARSHGGYVANYVGDAFQVLFGYPTSEENNAERALQLAFDVQAAVAQLES PDGTPLHVRIGIATGLVVVGDIEGAPAGVSTVAFGPVPNLAQRLETLAEPDTILVDQN TYEATATNFEFVDLGPKSLKGFARAIHVRRAIKELSGTYRFSAQSRLTPLVGRDSELQ RLLTSWRSVELAETGGVTAISGEPGIGKSRLVFELRRGLQQRKTLIAQCSPAFSNSAL YPFLRLLKQEAGLADGEPPNLASEKLTSFLSLTGVPLSVSYPIFARLLALEHPSFPPS ELDSAQQEQTITAAFVGWLKHLASEEPILCVVEDEQWIDPSSRRLLQALVGASSEARI LFLVTSRNIPVLNGKFDHLKLTRLSREEAGNVIGSLTCETELDPEVVNRLLAKAEGVP LFLEELARSTLEDAAEAREDSFQNGDRRATIPASLQSALLSRLDKLGGVKVVAQTAAV IGREFDLNTLAHVVGVSTDVLRPQIEQLVDVGLVAPQPFSNWPRYTFSHSLLQEAARG ALLRDRRRQLHALVAQAIELTEPRTVVEHPEVLAQHYDEASLFERAADYWLSAARKLA ATWAKVEAANMFLKGVECVRRMPASTTRDRKELALELERGDVLYAAYGYLTADSNSAY RNVMRLSETVGDPEAAINALDGLFGIAFNSARFPDAEWASDQLKEIGVRENNLKALVL GLQFAGMCAFVRGRFSQAREALERALSHNSSANRIGSDFPSMTMVYLSWTLQMLGEDK RAFELFLAAEEDARSQSDYRVAACLGNGCILMSLRQDTATLNRLVNELVPLATRNGFQ LWLKMAIFFSGWLKVTESHDPSGIAQMQNICDDMGDQEVDKTCYLGVLADSLLQVGDL AEATGAVGKGMTLGTRTGEHYYTAELMRLRGEVLARAGLRFEAGKMMQEALEYAAFQG AVVWETRAKRSLAALQ YP_002985037.1 MELRQLSYFVAVAEELHFGRAAAKVRIAQPALSNHVQALERELG CPLFIRSTRRVELTRAGEIFHERCVGILSEVDLSAEITRAVAGKTIRQIRIGTVYPAT TGVLPAFLGKIARKYPDIRIHISSGNTGDIIRGLENGQINLGFIRPVENIGSLRFSSI AHERYLLAVARTNPLAERTEIGIDDLRGEKIIAFNRKNLSYTERYFNEKFEEYDLTRN IAYSCDDTYSLVSLVSAGLGIGFAPEWTEGLPNRAFELKAVRGIDFRIGLGVAWNKED PTASRDDIVDIARSLARASK YP_002985038.1 MTRGDPHFRLRLPEDLKREIETAARANSRTITSEVVYRLEQSFA RSSTYQGGLVEEIEAIRVRLAYVQDLLQKQELSTGGQKIGMPKSTL YP_002985039.1 MGACKCVNAALKLDWLNVLAEPEYEPLGLCHNFLDVALFSNAFQ RSLGLTLLLDAPESATISANLTYNEKRIGWGTWIPSLIEERHAISPAPE YP_002985040.1 MDSLTPEERSIRMGLVRSRDTKPEMVVRRLLHRMGYRYRLHGKG LPGKPDIVFGKRRKVIFIHGCFWHRHDDPTCRLARLPKSRLEFWEPKFEATVARDARA EADLQGRGWQVLVVWECELRNSEPLENKLRQFLEG YP_002985041.1 MRAIELFAGAGGLGMGVSRAGFTPQAVVEWDRWCCDTIRENREK GIASLAGWPMPIEGDVRGVNFRGFEGKLDLVTGGPPCQPFSLGGKHQAHADRRDMWPE AVRAVRETRPRAFIFENVKGLTRESFATYVSHIVLQMTYPDIVAKSEEGWEGHLQRLE RHHTSRRRVTGLEYRVVYRVLNAANHGVPQRRERVVFVGFRSDLDIKWAFPAETHSLD ALLWDQVHGDYWDRHRVRKADRNIGDRYRQRAARLGQQPDTLPWRTTRDAIADLPDPE REPKQSMTYLNHRFQPGARSYPGHTGSPLDEPAKTLKAGVHGVPGGENMLLRPDGSVR YFTVRESARLQTFPDDFRLHGSWSEAMRQLGNAVPVELAHVVASSVNAHLQFKASGIA A YP_002985042.1 MTGYVEFEFDLPGALLVRLIEVLDGLGAVPLNTTNLAAIPEEQG VYQLFLDDQLVYIGKTEADAGLHKRLSRHARKVMHRVGLDPARVSFKAVRVFVFTAVD LESDLIRHYGGVKAIDWNGSGFGSNDPGRERDTTRVDPRNFDAKFPIDIDREMAFAID QNETAASALARLKDALPYTFRYQGKGGGSRKPHMDLASVSLPAKGGPMTPREAIKSIV SHLPGGWQATALPGYIILYKEEREYPQAEVIISR YP_002985043.1 MSTNDQNVKPEQRRPKDVGAVPEKPEPADAESMAPPVVQPAGVR DKSERPVVNPITGVAS YP_002985044.1 MLDRFLLLGSEDARFTILLAHGAGAPMDSASMTSAANALAGVGF RVARFEFAYMAARRTSEGRKPPPRAETLNPEYEGAIAELGASGPLIIGGKSMGGRVAS MVADDLHRRGKIAGLLCLGYPFHPPGQPGKLRTGHLRRLTTPALICQGTRDEFGTRDE VPGYDLSDRIEILWLEDGDHDLKPRKTISGFSTADHLATMAKAVKAWAERLPV YP_002985045.1 MRDRFAEAPRPSFRLIPSQFPPIGLFETVTRGADLEAVMELVGW TNDRLVADRIRRLPEAEWVYGAPNSSIVMAAFLHVAPGGMRFNGPDLGAWYAADNLKT AAAEVGHHLRREAVARGVATMARTYRSYSANLAGDYLDIRGEQTLRPDVYDGTSYAAS QVLGEEVRSSGGAGILYDSVRLRGGVNIVAHRPRNIRDVVQVDHFEITVSATDRRIDV RKLASRRRPRGNA YP_002985046.1 MRHAPRVQRDGREEQRLEPERFAPVNRKRLSAPALRTFLAIADL WGLTEEQRLLVLGYPSRSTYHNWAKQAREHGSFTLDVDTLTRISAVLGIHQALGVLFS DERAGVAWLRAPHHAPVFGGRPPLDIVTNGTQDGLMTVRRFLDGARGGLYMQPNILDD AFTPYEDADIVFR YP_002985047.1 MRVDFGTVALRFLGMASLAAAAISVAGSATAGEQIFDELRFGVS ASVQSGHSREDGVFPEITALFDPFGYNTAVGWQQQLLHPRVHLGTSIGTSGEATQFFT GFTWTVDFNEKLFAEAGFGGVIHTGELDGDDDGPELGCRVLFHEYLGAGYRFNPHWNV MAQIAHSSHANLCGGSNDGMTRAGLQVGYKF YP_002985048.1 MIDSRVKFRHLQTFVEVARQKSVMKAAELLHVSQPAVTKTIREL EQVLGVDVFERDGRGIKITRYGEVFLRHAGAALTALRQGLDSVSQEQFSDAPPIRIGA LPTVSSRIMPRAMDLFLKEKTWSRVKIVTGENAVLLEQLRVGDLDLVVGRLAGAEKMA GFSFEHLYSEQVVFAVRAGHPLLDGRQSPFASFGNYAVLMPTRGSIIRPVVENFLIAN GVSSLPNQIETVSDSFGRAFLRASNAIWIISNGVVAGDVADGRLALLPIDTGETKGPV GLTMRADAVPSLPQSILMQTIREAAGEVA YP_002985049.1 MQFARINDVTIHYQIIGAPADRPVIVFANSLGTDFRIWRDVVVR LAGEFAIVLYDKRGHGLSDVGQLPSSIEDHATDLAGLLDLLSVKDAVICGLSVGGLIA QSLYHRRPDLVRALILCDTAHKIGTADSWNARIAAVEKNGIASIVDAVMERWFTPAFR RPESTAYAGYCNMLTRQPVEGYLAACAAIRDADFTEVTKTITVPTICIVGDQDGSTPP DLVLSTAKLISDARYEVIPNCAHIPCVEQPEALTAIIRAFLTSIPPGEVSP YP_002985050.1 MNETASSSERYRQGMATRRAVLGDAHVDRAATTATEFDRPFQEL ITEAAWGHVWSRPALTKRERSMITIALLAALGQDDEVAMHVRATANTGATREDIREAL LHVAIYAGVPAANHAIKIAKQAFEQMDAEKAA YP_002985051.1 MSERPNRKPETGGFFARDRAWHAPALTPGYKTSVLRAPQRALLS LDGTISETTGPVFGHSMIGELDNDLILNYAQPGESAIGERIIVHGRVLDERAKPVAGA LVEFWQANAGGRYRHKKETYLAAIDPNFGGCGRAITDEDGRYHFRTVRPGAYPWPNGI NDWRPAHIHFSIFGHGFAQRLITQMYFEGDPMIWKCPIVGTIPDKAAIEQLIAPLDWG NTIPMDSRAYKFDIVLRGRRSTMFENRPEGN YP_002985052.1 MQQLGYLKETPSQTAGPYVHIGLTPNFCDISGVYDTDLGVAMVN DKTLGERITVTGRIFDGAGALVRDAVIEIWQADSAGLYNSPSEMRGAADPNFTGWGRC PTRAEDGVYSFETVKPGRVPFKDGRGQAPHVTFWIVARGINIGLHTRMYFPEETEANA ADPLLSRIEHRERVATMIATRDGATCHFDIHLQGPKETVFLDI YP_002985053.1 MTASPFDHPFLSGLLGDDEIAPYFSAEADIRAMLSFEAALAKAE AAHGLIPAEAARRIADTCAAFSPEISSLRSATARDGVVVPDLVKQLRADVGEEAAKSL HLGATSQDVIDTSLMIRLKAVVFLFAGRLSTIAAGLDALDGQFGRNQLMGHTRMQAAI PITVSDRLNAWREPLTTYRDRLTEQSFPVQFGGAAGTLDKVGSQAAAIRASLAQELGL TDAPQWQSGRLPIADIAGLFASISGSLGKMGQDIALLAQAGDEIEISGGGTSSAMAHK QNPVSAEVLISLARFNATLLSGIHQSLVHEQERSGAAWTLEWLLLPQMAMATAASLRL AKELTGNIKRLGTA YP_002985054.1 MARSTKYKSSAFEAIHASAEALHKLGTIDKETMRSFDESCLVSP HALGPEAIKALRESNHVSQPVFARYLNTSESTVQKWESGAKRPSGMALKLLSIVRKHG LQVLS YP_002985055.1 MTFPLFDLSGRRALVTGSSQGIGRALAVGLAEHGASIIINGRNA QKAEAAAEDIRRSHRHAVSAAFDVTDAEASRTAIAYIEAEIGPIDILVNNAGMQFRAP LENFPVDKWDEMFKTNVSSLFYVSQPVAQAMISRGRGKIINIASVQAELARPGIAPYT ATKGAVKNLTRGMATDWAKHGLQVNAIAPGYFRTPLNQALVDDPKFSGWLETRTPAGR WGEVKELVGAAVFLASDASSFVNGHMLTVDGGITVSL YP_002985056.1 MITGTTKLIAHLGYPTESFKAPLIYNPYFEKNGIDAVVVPMGCR PADYPVFLKLLFRLSNIHGALITMPHKISTMALLDETSTNAKVAGSCNAVRLGSDGRL IGDMFDGEGFVRGVLRKGKQVEGARALIAGAGGVGSAIAASLAQAGVEHLAIFDANEA TATALLDRLKKYYPQLEVTVGSADPEGFDIVVNATPLGMRRGDPLPIDIDRISPSTFV GEVVMTKEITPFLEAVRARGCAFQVGTDMLFEQIPAYLEFFEFPTTTADNLRAIAKLE YP_002985057.1 MKPLEIAVMGAGLIGRRHVERVMSEPGTVLSAVIDPSAAGRDFA ETVGARWYQGFLDIRGEDRPDGVIVATPNQLHVQHGMEIVAAGIPVLIEKPIADDVDA AAALVAAGEKAGIPLLIGHHRRHNPMIQAVKQIVDGGRLGRIITVHGTFWVAKPDDYF DIPWRREAGAGPVFVNLIHDVDLFRYLFGEVEAVHAMESRAVRNHAVEDTAVVTLRFA NGVLATLNGSDAVAAPWSWETTTGENPAFPRYDQFCYQIGGTKGSLGIPYLTMWTSPS RPDWLEPLAEERVPYQVADPLCVQLRHFCDVIRGEATPLVSGREGLATLRVVEAIKRS AGAGQMIHLSNASSDSQKV YP_002985058.1 MTSPSPAQGAETMITMERVEKWYGAFQALRDINISVRSGERIVL CGPSGSGKSTLIRCINHLETYEKGEIRVGGILLGNQAKTIDAIRREVGMVFQQFNLFP HLTVLQNCMLAPMKAAGVGRAEAEERARGLLERVKILEQADKYPVQLSGGQQQRVAIA RALCMRPKVMLFDEPTSALDPEMVKEVLDTMIGLADEGMTMICVTHEMGFARQVADRV IFMASGAIVEEAPPAEFFTNPQHERTRKFLGEILRK YP_002985059.1 MGQEEFVFLLIGLKWTVLLSAVGFVCGGIAGLGVALARASGIPL LERLTAGYIAVFQGTPLLMQLFVVYYGLALVGLMLDSWVAVAIGLTLHASAYLGEIWR GSIEAVPRGQTEAAKALSLRYISRMKDVILPQALRVSLPATIGFLVQLIKGTSLASIV GFTELTRAGNIISNQIFQPLTVFGVVGILYFLMCCPLTILGARLERRFAASAR YP_002985060.1 MNYKLDFTPVIDGLPNLLLGCLGTFLLAICGMLLAIVIGIGGVA LRDSALKPARWLVIAFVELIRNTPFLVQIFFIYFALPLTGIRLDPTPTAIIALGINGG AYAIEIIRGGVQSIPKGQMEAGLALGLHKAQVFRLIILKPALRAIYPSLTSQFVLLTL TTSIASAISAYELTSVSQRIESESFRSFEVYFTVTVFYFVISWLMMRIFALFSARYFK YPVK YP_002985061.1 MNKPIFVLNGPNLNLLGQREPAIYGTTTLADINKRCVAKARSLG FDVEFRQTNFEGELVESVHQARTDACGIIINPAGYTFTSIALLDALKMFDPPKIELHI SNVHARESIYHNSLISRVATAIMIGFGADGYELAIQAMAGMVKRD YP_002985062.1 MFKSMLTRRNAMLGAAALVAAVTLAQPAAAVTPDEIKARGKIIV GIQGDNPPWGFVTSGGKQDGLDADIATLFAKELGVSVEFVPLEVNNRIPALTAGRVDV LFATMAMLPDRAKAVQFSKPYVANAIVLIGPKKAEIKTNADMAKFTVGVAKGAAQDTQ VTKNAPPSTTIRRYDGDAASVQALVSGQVETLGGNIFYMDRLEKARPGEFENKLEFQK LYNGACTRLGEKEINAALNTFIDKIKANGELKTVYDKWMKVPVPEFPETLEGIPFAAK YP_002985063.1 MRTSIATVTISGELPEKLEAIARAGFDGVEIFENDFLAFDGSPA DVGKLVRDHGLEITLFQPFRDFEGMPEQLRSRTFDRAERKFDVMQQLGTDLVLVCSNV SPAAIGGIDRAAADFHELGERAARRGLRVGYEALAWGRHISDHRDAWEIVRRADHPNI GLILDSFHTLSRKIDVNSIRSIPKEKIFIVQLADAPDIDMDLLYWSRHFRNMPGEGDL PVTAFTEAVAATGYDGYFSLEIFNDQFRGGLSRAIAADGHRSLIYLGDQVRRHLGIGS MTGAAMPERPSVKGVGFVEFATDEEDEVELVALLRTLGFKRTAIHRTKKVSLFEQGEI RILVNVDEAGFANAAYAVHGTFAYAMALVVDDAAKAYERALALDAEPFTQPVADGELE LPAIRGVGGGIVYLIDDKSALGRFSEIDFQPVTDDTDAPSAGLLRVDHVAQTVGYDEM LTWLLFYTSIFETRKTPMVDIIDPAGVVRSQVVENDTGALRITMNGAENRRTLAGHFI AEKFGAGIQHLAFLTDDIFATAESLRVCGFRSLHISPNYYDDVEARFGLDPARTERLK AENILYDRDEHGEYFQLYSGTYGEGFFFEIVERRGYRGYGAPNAIFRIAALKKQMRPE GIPKDAF YP_002985064.1 MLKQVHDPAETISDVVFRQIREDIISGTLPPGAKIKLEQAKERY SIGISSLREILSRLTTENLVVAEGQRGFEVSPASRRELLELADLRIVLETHAIGLAFA AGNLEWEGRIVAAHHRLAAAERKLLAGDVSRTVDWVRYDWEFHQAIVSACNSATLMAT LSSIFDRFLRYHMLAESFRGKPVVDDHRVLFELSIRRDVAGATDVVRRHVQSGVDHVL KSGRIT YP_002985065.1 MLPPVINETIAESSYRRIRADIIFGRLPPGQKLKLESLKETYET SISTLREVLNRLSSEGLVLAEGQKGFEVSPVSVSDLKETAALRLLLETHALEQSFVHG DVEWEAPLVSAHYKLARMEQVMATGDTSRAEDWKRYDWEFHQALISACGSKLLMETHS VIFDRYLRYQMVALSYRGDVAANEHQQLLDAALRRDAETAKRVLALHIQGGVEHALAR GTLR YP_002985066.1 MHGDDAWIGRALNIAKRVETCAEPDTLFVTQTVLDLIDLPVYLF HEVDVFELKGDYLPRRHLYRVVSVNHRALAARSEERMTAEDWFLKGAGIAGTDARELA EELLCYEKALELRADYPEAHNNFGVILKAAGDSTAAEARYLDAVRLWPQYPEAHYNLA ILLEETDRPDEAAAHYRQALKCRPDYVDALLRLAGVFDKWGDRFEARHHFQEALRLRP GFAEAHNNFGVFLEKHGEAEEAESQYRQALQVRSDYSEAHYNYAMLLEGRDVEAAELH YRAALRSAPEYAEAHNNLAVLLHEKGALSDAKSHYLSAIRLRPVDPQTYRNLSFLLAA MGEEEQADRYRQKADELSAI YP_002985067.1 MSIRNGQKFKAIVHQRLLPSLNRRTTIMPQYMVERHLPGITPDQ LSAAASRAKNVTAEMTSQGKPVRYLRSTFVPSEERSFCLFDASSEQDVMEANERAEIP LVRITEVQHISADDVV YP_002985068.1 MLKTTLVATTTLLALTQFASASSDSAWNALFAKANGTCIGQSRM VSPEATAPVVFDDATGKVAILLREKSGKSKKFVNLICLYDKKSGKASIAEYQWLGQ YP_002985069.1 MTTNSIPSSAKLPPKSLNEDTQDDELPEINVDEQRAPPVNMGNS REAGDGVADAEPETGRSDAKTRIPAPQTANRE YP_002985070.1 MTTELFQVKFWGVRGSIPVSGPEFDRYGGNTSCIEIRCGEHRMI FDAGSGLREAGLSLLADSVSDVDLFFSHCHYDHIIGLPFFKAIYYPSINVNIWSGHLD GKMSTREMVEQFISPPWFPVKTDICQATMNFSDFHAGQVLNPRPGVKIKTFMLNHPGG AIGYRIEWQGRSVALIYDIEHDPGNYDPVSLEMMQDADLVVYDCTYNEDEMQRFKGFG HSTWQHGTELAKIAGAKRFALFHHAPSRTDEQLAQMETQAQAAFPESFAARDNQIVMI YP_002985071.1 MTVLSGQCLCGQVHVSVRGEPLRVGICHCTDCRQESGSSFTFYG IWPAGQFEHSGKTGEFQGRHFCTGCGSRLFSADDQEAEIKLGILSEAPTPLVPSYELW IKRREPWLRPVEGAKQYDEDRT YP_002985072.1 MTTLVRHRWMTVAGVETFYREAGQPDAPVLLLPHGYPCSSHEFR NLMPRLADHWRLIAPDFPGAGYSGTPEDFDYSFDGYAAWLEAFVDAMNVDRFVLYLHD FGSPIGARLAIRAPQRIVALIIQNGDIPYEDALGPKYADIEATWTLPRSEMRKVLAEA VSEETFKEEFLNDLPPPLADAIPPDLWKLHWSLITPRRKDIAMDLIAGLKENRAWFPQ HRKYLREYQPPTLIVWGPNDHYMPEKSARAYLRDLPDAELHLLGGGHWLLETHLEEVV ALMRDFLGRVHAT YP_002985073.1 MTDGIAWDPLRRELDRWQAAGRVARFWLRDDDAVEPTLQLETLL ALTGESGVPLMLAVIPGLTGEALAVRLGEETSVAVAVHGWSHTNHAGPQGKKQELAGD RPADVVLGELGEGFRLLQRLHPARFLPLLVPPWNRIDAALIPALPALGFAALSVYGRA KQDGPMPLLNTHVDIIDWHGTRGGRGEAELVAELVAELDDRFAGGDEPLGVLTHHLVH DVAAWDFLSALFAVTTRHPAVRWSSASELLEHIA YP_002985074.1 MRVAFYAPMKSPNHPVPSGDRLMARLLIRALELGGHQVDVASEF RAYASTPDAARALEPAIGAELERLRLRWKSAPRPDLWFCYHPYYKSPDPFGPAISAEF AIPYVTAEASYAAKRDRTGWAASQKLVGEAIMRAAVNISFTGRDQDGLAAAFAQARLA RLNPFIDTALFEKVSPAPDPRRLMTVAMMRAGDKMDSYVMLANALRLIEDRPWTLAVI GDGPMRQEVRALFADFPGRIEWLGERNPGEIAELLGRGGLYVWPGCGEAYGLAYLEAQ AAGLPVVAQETAGVPAVVEAGVTGLLTPEGDVAAYAEAVAALLDERQRRDAMGQAARR LVLGERSLAMAAEVLDGILRDSAATGVT YP_002985075.1 MTTVSATGIFSERTIRRARLGSGLVIFIFVLLHLSNHAIGLISV AAADKAAHLFLAIWRNPLGTAIFYSSVLIHIALVLRAIHMRRSLVMPKGEMAQIVLGL MIPLLLMDHVIGTRIAHEFYGYIDDYETVVGMLWIKNPANGMRQALAVVAVWIHGCIG IHFWLRYRSWYPDFAPLLLAIAILVPVLSLLGFVEMGRTLADPSYQQSMTVSAYKEGV NTRYASNPQVHREVAMIRAGLYGAFSASLLIVVIARARRKLKERLDQVAVNYPGGEVI RVPRGFSVLEASRLGGLPHYAVCGGKGQCSTCRVQILGDYDSLPIPDKMEQTTLKRIN AGPDVRLACQLRPNRDVAVAPLLVPAIETALPANSQETSPGREREIAVLFVDIRHFTT LTETRLPFDVVFLLNRYFAIIGKAVEQSGGRLDKFIGDGAMALFGLNTAPEEACRQAL NAAAAIVAEIEKLAAELADELALPLRIAIGIHTGPAVVGTMGYGRVRSMTAIGDTVNV ASRLETAAKEFEAAIVISEPVASLSGANLSGIESREISVRGRALPLKVYVIPREKAAE PLEGKT YP_002985076.1 MPGKPWLTAKYWSRLFRKARNAAASRFFDTRFGRRLLIENIGPR VVSMTVDAGDHLMTFSPSDYIGRKVFRKGHFEREAVDRLIVILRERGLVRKDATLLEI GGNIGTQTVYFALSDTYAHIVSVEPDPRNFPLLALNIRQNRLEAKVRLVNCAAGEHEG EIDFFLNLNNHGKSSAIRQSPSDRKISVPVKPVSEILAGLSIDPAAIGLVWMDIEGYE PVACRSMQPLLARRVPLYMEFTPLFYGAEGTKSFISMLSGFYENCLVIFEDREEEMTV RDLPGNFGQYNVLFLP YP_002985077.1 MKRAASCSCGQLSITADGEPVKISACHCRACQRRTGSAFGVAVF FHADQMETSGVSTSYVRWGDSGKSVEFSFCPACGSTVFWIPEFRKELVAVALGCFADP SSLAPTQSVYEESRLEWVSFDLT YP_002985078.1 MPPSYRYSKLFRRSRVLWGSFSIWRPRLVFWCGALAIGVISVGF AKLADLAQKGFGSLTSSGEWAFLLPLALTPLGFMLSAYLAARLFPNSQGSGIPQAIAA RHLRDDEDRTRLLSLKIACGKIVLTVLGLFSGASIGREGPTVQVGASIMLAVARFSGM AQARGLILAGSAAGIAAAFNTPLAGIVFAIEEMSRTYESRANGLVLTAVILSGLAALG ISGSYNYFGMASVAPSELRDWGLVLICGVGGGALGAAFSGFALHFGQKIRRWAQPQPL KRMLALSGACGLTIAVIGVASGGTTFGTGYEQARTAVEGNALPLLFFVEKFAASFLSM LSGIPGGIFAPSLAVGAGFGSTVGSLLGTSVALAAILGMAGYFAGVVQAPMTAFVIIL EMTGDHQAVIPIMAVSMIGYVTSRILSREPLYHGLSRVFIAAAIRARRAVERESE YP_002985079.1 MSLPITTGRIQSTLRGYLDNEASGGVVLMAAAAMALAVANSPLA GAYFHALHLYLGPLSLQHWINDALMAVFFLLVGLEIKREMLDGQLSTWSRRILPGAAA AGGMLAPALVYLAFNAGTPASLRGWAIPTATDIAFALGVLSLFGNRVPASLKIFLAAL AIIDDLGAVLVIALFYTNGLNLLALAGAAAVLALLFFMNRAGVKTLTLYLGLGVALWV LMFTSGIHATLAGVLLALTIPIKLSPGAPEASDEESPLHRLEHLLHRPVAFIIVPLFG LANAGVSLRGTSISSLGDPHTIGVAAGLFAGKLLGVLSVVGLLVKLRFAQLPAMANWT QMTGVALLCGIGFTMSLFIGLLAFDDPAVQDKVKIGILLGSAISGVAGSAVLMASRRK SSRS YP_002985080.1 MSLDAIIIGGNFAGLSAAMQLARARRRVLLVDAGAPRNRFSEAS HGFLGQDGQTPAAIMQEGKRQLSLYPTITVRQGKVVRAQGDGDAFIVGTEDGGEERAA RIVLATGVSDTLPEIPGLKERWGRSALHCPYCRGFEVSGGKLGVLANHPHSAHSAVMI PDWGATTFFTQGLFEPDEEQLVKLTGRGVRIERSPIVELLGDDPKLEAIRLADGRILP LDAVFVAPKTTMASPVAEQLGCAFDDGPFGPVIRTGDNQETTTKGVFAAGDAASAMHN ATLASASGVLAGVHCHQSLVMQSVA YP_002985081.1 MRNDSRLSRMLHVLIHMDKHQHSATSDMIAKMLNTNPVVVRRTM AGLREKGYVRSEKGHGGGWTLVRPLSEITLLDVYRAIGEPHLFAIGPADDQPECLVEQ AVNVVLGDAMKEAEALLLQRLDSVTLDKIAADFEAKLSARPATSYSCAS YP_002985082.1 MTRKKLDFRADAYRHVLGFVFHHWRHRPVLVGIIVVLVIASTLA EVMVPVFSGQIVDAIAGGNAAERALRAFVVVVALGLTSVTLRWFIFNGIIRLTLRTMA DVTNNGFHKVQRFSTDWHANSFAGSTVRKITRGMWALDQLNDLLLVALLPSIVMLVGA SIVLGSYWPIMGLIVAAGSLIYIGVTVALSMGFVSPAARLANAWDTKLGGALADAISC NSVVKAFGAETREEARLRQVMARWDSRTRRTWKRGTLSGTIQGFLMVAMQAGILGTGL VMWRQGLATPGDITFVLAMFFVLQGYLRNVGQDIRNLQRAVNDMEELVLLDKMPLGIE DKPNATPIRIGEGEIVFDRITFQYGAHPTPLYDDFSVTIKPGERVGLVGHSGSGKTTF VKLIQRLYDVNSGQIRIDGQDIAAVRQSSLRGQIAIVQQEPILFHRTLAENIAYSRPN ASRREIEQAAKQASAHDFIMDLPKGYETMVGERGVKLSGGERQRVAIARAFLADAPVL ILDEATSSLDSESEVQIQQAMERLMNGRTTLVIAHRLSTVRALDRLLVFDKGKIVEEG DHQALIRLNNGIYRRLFERQALELTKGLVA YP_002985083.1 MSRLDSFIRRLTAQRDILNSIIDLVGETPGPVLEFGLGNGRTYD HLRENFPGRRIVAFDWEVRSYSASTPAAEDMVTGNIRESGQAFVGIGAALAHADIGTG HDEIDAVTLTWLPQLMAGVLAHNGIAVSGLPLEHPELVGLPLPDGIKEGRYFLYRKT YP_002985084.1 MGIGVAVATWVCAKLDDMKTVTDEDFFAEVPLFNKFEGVTDAAN YRPLPDGWVLALADIVGSTPAIAAGRYKDVNMAGASVISAVLNSVGKGDYPFVFGGDG ALIALPGSLEKPARDALAAVQVWVEEDLGLTLRIAIVPVADTRAEGLDVRVARYSVSP HVTYAMFWGGGTSWAERQMKLDRYGIDRAAPGTRPDLTGLSCRWSPIDARHGEIVSII AVPGEGRPGQEFRDLVNGIVAITTEQNRDSHPVPADGPDLAFSLHGINREAKATAPAG RRLRQKLIIFLQLAITVVFYRLGIPLGRFDARRYKRDVAGNSDFRKFDDGLKMTIDVD AEHLKRIETLLEAARAKGIARYGLHRQASALMTCFVPTPISRDHMHFIDGAAGGYAVA ASRMTGKVLATAPHQT YP_002985085.1 MKSFVAAAIAIGVMCSCASVASAQTKGDWVLGNYKGAGYWFPGV IDSASGGKVTIRYDDGDKETVSLSDVRPYDWVIGMKVECNYKGAGDWYAGKITSLGGE KIGIAYDDGDKETTRTGRCRSR YP_002985086.1 MNKRWNLPARSYLLLPDSEVRSPIPTRTVDSRRVADFLTRELTM FSHIMIGARDLETMVSFFDDVLAPLDPRRVVELEDVAGVIRRKRERRWPRFALRRPIN GLRATCYLDNTHDPRLSGIVNTGLSTSHHLNQIKKLSGKPIATTPTAIFSDGERTYSL SVVKADFITAL YP_002985087.1 MNDSHWIHLCIDMQRMFAENTPWHVPWMKEVSPRIQEISQRYPQ RTVFTRFVPPKRAEDMHGMWRSYYQKWDTMTLERLGPDMVDLVPSLKALVPPARIFDK MTYSPWTTGELHRVLAGEGVQTLAISGGETDVCVLAAALGAIDLGYRVILLKDAVCSG ADDTHDASLELLGDRFSVQLEISSTEDVLRQL YP_002985088.1 MDQDQLSISRTLGVTADFDAALEVRRRIDFLGRYLRQASCRTFV LGISGGVDSLVAGLLAQAAVSEVRADGCDAQFIAVRLPYGVQADETDAQKSLDVIGPD RVVTVDIQPAADAMLDAVMAEGEDLVEPARKHFHLGNIKARQRMVAQYALAGSTRGLV IGTDQAAEALMGFFTKFGDGAADILPLAGLTKRRVRAMAEHMGAPRELVFKVPTADLE SDAPLRPDEDVYGVTYDNIDDFLEGKAIAEPARKRILKTYWASAHKRALPVAPIDERA G YP_002985089.1 MVANDILNEDVSDFATRLEAMTSDEVFAAMSSLEKHSEHDIRDR EETLSRITLVEEEIERRFPGQMLTPYRDWKKEQPLLS YP_002985090.1 MKKTLILASILMATAGSATFAQSTAEKTGVNSVMGVAPKTEDFV VEAASSDMFEIESSKLALERSDDKTKAFAQQMLTDHQKTSDELKAMVTSGKVKGTIPA AMSSSHQGMLDDLAKLQGDDFTKQYHSDQEDVHEDAVDLFKRYGDEGENAELKAWAAK TRPALEHHLQMATELNK YP_002985091.1 MKDPALASSSFDTLLQKIETRTARAGIIGLGYVGLPLAMAVARS GFAVTGFDIDPGKMVALDARRSYIDSVTDAALSAEIDAGRFKATNDFAGLAECDVVII CVPTPLTKHRDPDLSFVEATSRSIAAHLRPGQLVVLESTTYPGTTDDVVKVILEGTGL KSGSDFFVGFSPEREDPGNQHYHTATIPKVVAGDGPEALALMKAFYGAAVSSVVPVSS NATAEAVKLTENIFRSVNIALVNELKTVYAAMGIDVWEVIDAAKTKPFGYMPFYPGPG LGGHCIPIDPFYLTWKSREYELPTRFIELAGEINSAMPRYIVGKLAEALDIRAGKALS RSRVLVLGLAYKKNVADIRESPSLRLIEIIEERGGHADYHDPFVAEIPPTREYQALKG RKSVTLTPDTIAGYDAVLVSTDHDRVDYTALANSAPLIIDTRNVFNRLGLSADHVIKA YP_002985092.1 MSTIESSVSSILLDRVAEWLTNSSLAGDELENIVRGFCERLTAA GLPLARVHLSFSMLHPLYDALSFTWRRASGVTIEGFRMPAGQKPDRFLQSPYYYLLDN NLQHIRRRLIQDGPNEFPIFEDLRKDGITDYLAFVQPFGDGSVQGMMGSWSTDHNTGF SDDMIDALLRMQNHLAVAAKMAVLGKLANNMLTTYLGGDAGKRVLNGQIRRGDGETIR AALVMGDMRESTVYAEKEGRQAYIDTLNQFFDAIAAPFNRNGGEILSFLGDGFLAVYP CGRHKDPSKIACEAALSAVHQAQARVAELNRDREQKGLTRVGYGIGLHVGNVMFGNVG LKDRLTFSAFGSAVNEVQRLQILTKKYGREVVASQAFAGYCGGEWTTLGEEKLRGIRQ KVTVLQPRAPAPAINVDESFREAVQNGLSEAEQVILLHRDAKKHVERTSMEKFIQ YP_002985093.1 MASAADLLRIENLDVSFSVFGDRLRVVKQANLRILPGKVTALVG ESGSGKSVISQSIMGILPNPAKATGSILFTDPLDGSTTDILSFPRDSEEMRDLRGRRM ATIFQEPMTSLSPLHTIGNQISEVLLIHTEADKREAREKTEEMLGLVGFSNPHRTYDM YPFELSGGMRQRAMIAMALICKPALLIADEPTTALDVTIQAQILELLRELQAKLGMAM LLITHDLGIVANMADEVVVIYHGEIMEAGPVEAIFRNPQHPYLKGLMAAVPHFDMKPG ERLKALRDVTVNLEALVGKKKPLQAEAPGILLSVANLSKTYKTRKRGFFGKHEATVLR AVDDVSFDIRRGECLGLVGESGCGKTTLSKILMRAITPDSGAVVFNDGKEVVDVLSVK GSELQDMRTKIQMVFQDPVSSLSPRMTVRNILSEPLEIHDRGDSDERKRKVEGLMAAI GLDKRYLSRYPHSFSGGQRQRIGIARALALGPKLVILDEPVSALDVSVQAQILNLLKD LQKELGLTYLFISHNLAVVDYMADRIAVMCKGRIVEIAPREIILRDPVHPYTKSLLAA VPFPDLDRPLDFKALRENGAADKQNWGVTFTAEHDDASELAYADLGDGHLVRARKGAD IRELR YP_002985094.1 MVTRRVFLGGLVGAAIAPAVLRAGQASEPEFLKERLTSGSLPPM AERIPARPRIVNLKEMGLEPGAYGGTVRTIIGSQRDIRFMTIYGYARLVGYNKHLQFQ PDILASFQSEDDTVFTFTLREGHKWSDGQPFTADDFRYWWEDVILNDKLTPGGGALEL RPHGSLPRFEMLDPLTVRYTWEKPNPMFLPTLAGPQPLVIFGPGHYLKQFHKKFQPDQ AKMDEMMKTYRVKKWQDLHIKMARSYRPENPNLPTLDPWRNTTPLPSEQFVFERNPFF HRVDETGRQLPYLDRFILNVSSSSIIAAKAGAGEADLQVTGIDFNDYTFLKEAEKRFP VKVNLWKLARGSRITLLPNLNCADEVWRGLFRDVRLRRALSLAIDRHEVNMVAFYGLG TPSADTVLPDSPLFKQEYADAFVKFDPDEANRLLDELGLTKRGDDGMRLLPDGRRAEI TVETAGESNLDTDVLELVHDHWANIGLALYTRTSQRDVFRNRAMSGSIMMSIWYGLDN GVPTADMSPAGLAPTLDDQLQWPLWGMHYLSAGQEGVAPDLPEAAELVDLLSQWGSTA KFEERQLIWHKMLALYTQQVFSIGLINSTLQPVLCAAKLQNLPEKALYGFDPTSYLGV YMPDAFWYKEA YP_002985095.1 MLRYILWRIAAMVPTLFVISALVFTIIELPPGDFFESQIAELRA SGETANLQEIEEMRQQYGFDKPEIVRYFYWVGGMLHGDFGYSFEYQLPVSDVVGDRLW LTMLVSFTTILLTWLIAFPIGIYSATHQYSWGDYGLTFLGLLGIAVPNFMLALILMYF ANIWFGISIGHLMDQQYISAPMSWEKARSILSHLWIPVIIVGTAGTAGMIRRLRANLL DEMQKQYVVTARAKGLPPLKALVKYPLRMALNFFVADIGSILPSIISGAEIVAIVLSL ETTGPMLIKALQSQDMYLAGSFLMFLAFLNVIGVLISDIALGFLDPRIRLQGRSTK YP_002985096.1 MSPLPAPGAPLPHYVSTAPFDPLATESMTSAQSRIHLASQKQLM WWKFKQHKLALISGIFLAAVYLMILIVEFLAPYGLHTRNVDFIHAPPQAVHFFDKGSF VGPFVYGRGMTLDLDTLHRVYTDRPNDVQPIRFFCRGDAYKFWGVVASNYHLVCPAIG GQMFLLGTDRLGRDVLSRILYGARISLTIGLIGISISFVLGIVIGGLAGYRGGIFDLI VQRLIEVLQSLPSLPLWMALAAIMPVTWSPIVIYFGITVILGIIDWTGLARAVRSKLL ALREEDYVQAAQLMGASTPRIIGRHLVPGFMSHLIASATISIPGMILGETALSFLGLG LRPPITSWGILLTEAKSVSVIAFYPWLLYPIIPVVLVILAFNFLGDGLRDAADPYK YP_002985097.1 MSRRLEDARILMYSHDTFGLGHLRRCRAIAHALVEDYRGLNILI ISGATIAGAFDYRARVDFVKIPSVIKLRNGEYTSLASHIDLHETLKMRESSIRHTAET FQPDIFIVDKEPMGLKGEVEDTLAYLKARGTVLVLGLREIMDAPHLLEAEWKKNSVMQ KIDQYYDSVWVYGPPDFYDPLIGLDVPASLRRKMDFVGFLQRSVSKGKTSINARKDNY ILVTTGGGGDGSDLVHDVMNAYEADPTLTQKALVVLGPYMPAAERAKLVQKGEAISYI EVIEFDNHMEELIDGATGVVAMGGYNTYCEILSFDKPALIVPRVKPREEQLLRALRAS ELGLVDMLLPDQSVDPTIMAEALKRLPSRQPPSKSGGNMRLEGLDHISQTVGRWIDGR GSHLSLVGAE YP_002985098.1 MPPRRKILVVLKGYPRLSETFIAQELLGLEKAGFDLTLISMRRP TDKKRHPVHEEIRARVVYLPEYLHEEPIRVLKGLIAGLSKPGFQALMKRFLADLKRDI SRNRFRRLGQALVLGREWPDRGEWLHAHFIHTPASVTEYASILTGTPWTCSAHAKDIW TSPDWELNEKLGSARWTVTCTRTGYDHMRALTSRKDAVHLSYHGLDLARFGHFAGERS DRTGSEPDDPAFILSVGRAVEKKGYDVLLRALALLPAELHWRMDHIGGGEELAKLKAL ATELGISGRIVWKGAMAQEDVLDHYRRADVFALACRIAANGDRDGLPNVLVEASSQRL VCLSTEVSGVPELLKNSENGLVVPPDDPAKLAAALEAAIRDPALRKRLGDAAERQVRQ YFDYHSSIRQLTGLFEAEWQKAS YP_002985099.1 MTAPRIFFYVQHLLGIGHIARASRIANALIKDGFDVTVVTGGLP VPGFPGEGVKTAALPAVVASNAGFSGLADADGRPADEDFLHARRQLLLDAFHAARPDV VIIEAFPFGRRQMRFELLPLLEAIDKAEPRPKLLSSVRDILQENRKAGRDAETATLVK DHFDAVLVHGDPDFVRLEDTFPLTSEIADRLRYTGLVAAPPAPEPTETFDIIASAGGG AVGAALIGAAKQAAALLPDDLRWLLVAGPNLPEADFAALLEGAAPNVTLVRFRKDFPS LLRGAKVSISQAGYNTVGDLLRTQCRAILIPFVAGGETEQTVRAERLQALGLADILPE TGLSAGHVKEAVEKALAAQPRGPVSLDLDGAEKTASIIRSMIAESLA YP_002985100.1 MEKSLARYIWKNTRLQQLWILAVVAASMVPYFLSFDLPKQIVNG PIQGDGFEGPGATQTFMHIAYDLPLIGHVEFFQGLQLNRFQMLMALSLVFLALVVLNG LFKFYINTYKGRLGERMLRRIRFELIDRVLRFPPIHFKRVKSAEIATMIKDEVEPMGG FTGDAFVSPALLGGQAITALAFIIVQNFWLGMIAAGIVGVQAIVIPRMRKRLLELGRQ RQLTARELSGRVGEIVEGIGTIHGNDTSNLERADIASRLGRIFSIRYDLYQWKFLVKF INNFLAQVTPFLFYAIGGYLALQGRLDIGQLVAVISAYKDLPGPLKELIDWDQMRQDV QVKYQQVYEQFNVEPLIDSRIQELATAPVGALTGAFVVTNLSLSDDSGARLVDHVSVE IKPNETVAIVGPNGSGAEAFAEALGRMVWPDSGRITIDGRDLLEMPESITGRRISYAS SDTFFFHGTLASNLLYGLKHAPMTDPVYDEREALEYKWHSAEAEKAGNPTLDLNSDWV DYKAAGASGPEDILKAIRPVLDAVLISQDILDLALRSTVNTDVHVALGDHVVALRASL RDRLRDEGLDTIVVPFDFDAYNAQATVGENLLFGTMKRPLMTNRRLAAHPYFQQLFRE TGLSTDLYDMGLEIAENAVELFHDLPPDHPFFQQLTFMTADDIPTYQALLQKLQSRRF EDATPEERSAIIRLSFAYIEPRHRFGLLTNELMDKIVSARKQFHEHIPADLAELIERY DAERFTPSASLMDNVLFGRIAYQQADASDRLRGIMGELFDALDLYDDVLSIGLEFDVG SGGKRLTMVQRQKLNLARALLKRSDYFIFNRPLSALDQRVQDQITRNIIENLHEEGHR PAIIWVLSNARLAEMFDRILLFDRGGLAEAGNFPELSEKNGMFKELLS YP_002985101.1 MLLRDEVEMLRRVPIFSRIAPAKLKLLAFTSDRMTYKAGQDLFH QGDVGDAAYVILTGTADIVVASPAGEIKVADVEPNSIVGEIAILCDVSRTATVRATSP LEVLRISKEHFLKLLSDFPEMAVEIMRVLADRLNHTTAELTAARAAKQPQMAQ YP_002985102.1 MIVKWLQQALLDREGQIRHIFAENPKAAIALDDVIRHQAQILGD HPEAGRGGRLDGTRELVIPRTAFLLIYRIDRNAQVEILRLLHGAQQWPPKR YP_002985103.1 MTAETIDHTTLSRLVEAGSVDAAHVVGKTGGWSVVIRYGKVERP LAAQRSRQVRVFKRMDTLVTYLKDVGISRFEVDAAGYAPETASRPDRAAALRRTHEAA EYDKWFRKQVEEAIREADDPNAVWISAQEAEERMDKLRAELFAQLESGDKAS YP_002985104.1 MATTSNYGPSSSSLERDARRIHDDKPVSPGSIAIGVVIGRMSEF FDFFVYGLASVLVFPQLIFPFAPDRLTGTLYSFAIFSLAFLARPVGSVVFMTIDRLYG RGTKLTIALFLLGGSTASIAFLPGYEEIGAWSIALLALFRLGQGFALGGAWDGLASLL ALNAPAKHRGWYAMIPQLGAPIGFALASTLFGYFVANLSSEDFLSWGWRYPFFVAFAI NVVALFARLRLVMTKEFGTLLEQHELEAAPILDVLRVHGRDILIGAFVPLASFAMFHL VTIFPLGWMSLYGNQPIGAFMVVQVVGAAVGIITIIASGLIADRIGRRAQLAICAVII AVFSFIGPSLIASGNSGHDAFVIIGFGVLGLSFGQATGSISSRFGRGYRYTGAAFTSD LAWLIGAGFAPLVALSLSSRFGLTFVGYYLLSGAICTLAALAFSRALEQRE YP_002985105.1 MVVMAPSGDIAAQQRDLIVISTVLMLLIIIPVIFLTLFFAWRYR RSNTAATYAPEWHHSTRLEIVIWAAPLAIIIALGAVTWISTHKLDPYRPLDRLDAERA IPADAKPLNVEVVALDWKWLFFYPDLGIATVNELAAPVDMPINFKITASSVMNSFYIP ALAGQIYAMPGMETKLHAVINKQGEYEGFSANYSGSGFSHMRFKFHGLTQEGFDAWVA QVKQQGTMLNRDAYLKLEKPSEKEPVRYYAGADADLYNAILNMCAAPGKMCMNEMMHI DMMGGGGKESAENREKLRYDDRHADEGIVAPAATVPATGAPARSEPAERTDGNNMQHD MPGMPSTPGMDMQHEGHSMPGMSNGADPAPAQLNNNN YP_002985106.1 MFSNPDLLKFVFGRLTLDAIPYHEPILVVTFIGVVIGALAVLGI ITYFKFWGPLWRDWICSVDHKKIGIMYVILAVIMLLRGFSDAILMRIQQAIAFNGSEG YLPPHHYDQVFTAHGVIMIFFVAMPFVTGLMNFVVPLQIGARDVSFPFLNNFSFWMTT AGAIIIMLSLFIGEFAQTGWLAYPPLSGAAYSPGVGVDYYIWGLQVAGVGTTLSGINL IATIVKMRAPGMTFMKMPVFTWTALCTNVLIVASFPILTATLALLSLDRYAGTNFFTN DLGGNPMMYINLIWIWGHPEVYILVLPAFGIFSEVVATFSGKRLFGYASMVYATCVIM ILSYIVWLHHFFTMGSGASVNSFFGITTMIISIPTGAKIFNWLFTMYRGRIRFEVPML WTVGFMVTFVIGGMTGVMLAVPPADFVLHNSLFLIAHFHNVIIGGVLFGMFAGVNYWF PKAFGFKLDPFWGKMSFWFWQIGFWFAFMPLYVLGLMGVTRRVSQFEDPSLQIWFIIA AFGVGLIALGIAAFLIQIVVSFMKREELRDDSGDPWDGRTLEWSTSSPPPDYNFAFTP VVHDHDSWYDMKNRGYARPLEGFRPIHMPRNTGTGAILSAISVALAFGLIWYMWWLVV VSFVAMLVVAIGHTFNYGRDFHIPAEEVTETEGKRTALLAEQV YP_002985107.1 MTMSDQTIDTAEKPEFYLTEDHHPENSTNLGFWLYLMSDCLIFA VLFATHGVLGRNYAAGPSPADLFDLPIVALNTSMLLFSSITYGFAMLQMERNAKAETL FWLGVTGLFGAAFIGLELYEFIHLIHEGAGPTRSAFLSSFFTLVGTHGLHVTFGIIWL ITLMVQVSMHGLIEANRRRLMCLSMFWHFLDVVWIGVFSFVYLLGVLG YP_002985108.1 MSSQAPAHEDAHEAHHGHSHGHQAGHGTFRSYMTGFVLSIILTA IPFWLVMSGVFESPAVTAVLVMGLGAIQIVVHMVFFLHMNTRSEGGWTIMALIFTLII VAIALSGSLWVMHHLNTNMMPMSPEMMKNMP YP_002985109.1 MTTTKGRRQRHPQASSEKSDRRLHRAKRAIFAVCLILLAAALAA LGTWQVERLAWKRDLVARVDQRVHAPPVKAPARADWNKINATDDEYRRVTAAGTLAND KETLVYASTVLGPGYWVMTPLMLTDGTSILVNRGFVPTERRDPGSRREGEVSGPVEIT GLMRMTEPKGSLLKSNDVAADRWYSRDVAAIAQKRGVGTVAPYFIDADATANPGGLPV GGLTVIAFPNNHLLYAITWYGLAAMVLALLVFILRGERATRIRQQ YP_002985110.1 MEVLDRHDTSLDEEGRFRLLVDAITDYAIYMLSPEGIVTSWNAG AQRFKGYKPSEILGEHFSRFYLEEDRAAGLPQRALATAEEHGRFEGEGWRRRKDGSRF WAHVVIDPIRRPSGELIGYAKITRDLTERRAAENAIRQSEEQFRRLVQGVSDYAIYML DPVGKVSSWNFGAERIKGYRPQEIIGQHFSTFYTPEDREAGLPETALGIARAEGRFER EGWRVRKDGTRFWASIVIDAIRDEEGDVLGFAKITRDITEKMETQRALEQAREELFQS QKMEAIGQLTGGIAHDFNNLLMAVLGSLEILKKRMPQDLALTSLVDNAMQGAQRGAAL TQRMLAFSRRQELHMEPIDVSGLVRGMMDMLSRSLGPLTMIETSFPVRLPTIVTDPNQ LEMAILNLVVNARDAMPSGGRIVLRASEESLPSGKGPLSPGRYVRIAVIDEGEGMDAK TLEQAITPFFTTKGVGKGTGLGLSMVQGLASQSGGRLMMKSSLGEGTTAELWFPVALA EQVTEAAAERPQQVENGPRRLRIVAVDDDGLVLMNTTLMLEDLGHTVFEAMAGPEALD ILREQQVDLVICDHAMPRMTGAQLAEAIRKDWPDMPIILATGYAEIPEGAGIANLPRL GKPFSQAQLAEAISRVAS YP_002985111.1 MTVQGLFSARANAAAQISAVPRIAIVGRGFSGMMTAIALMKTVR APFHLQLFDPNSSVSGGQALASVRSSTILNTRVRDLSVSVGDNDDFNDWLCSNAAFRA AVPAAIPGFRQIFVPKEIFSDYVYQRFSEALAARRDITVQVCNDPVVAIRRSHGNRFL LESANPANPLFDTVILATGYGLTAPDAGGEDASPVRAQRLVARPHAVLLGSGIRVVDQ LLQLRDSGYAGQVTIVSRRGFLPQSHTPNSADPVFPAEALPQSLPEIVRFIREACREA EEAGRSWQSVMNGLRKHARSLWRSLPARDKHQFNRHLRAIYDSHRNRLPEAMHLRLKR ELAEGRTVLRRGRAGRRGLSGLFFTPAGSAGEEVIHAERIIDCRCQAPDLSAPLMQSL LSAGLAMPDELSLGLAVNARGEPFLGDGSTVAGLFAVGPLGLGSLPDIDLVPEIVSQA YAAAERISERFYPQVKAV YP_002985112.1 MPLKALALNATLKTSDAKDASSTDRMIGLIDKAMSAHGVVTEVL RLADFNVKPGVTSDEGAGDDWPDIRAKVLSADILLMATPIWLGQPSSVCKRALERMDA FLEESDDEGRMVSYGKVATVAVVGNEDGAHHVSAELFQALNDVGFTIPANAVAYWVGE AMGSTNFVDLDKVPKVVTNAVDMLARNTAYLAGLLKARQYPGEGS YP_002985113.1 MVRITMMAFRHPWQSGFAIGATLVASTFQLMIPRLLGHAVDHTQ MAMTGGAAGQAAQDALLTTALLLLGASVLRGLFTMIQNYYSEAVGHHIGYELRLACYE KIQRLSFSFHDTVHSGDLITVGLLDLEGVRMYFSTALVRMILLSILIGIGAYMLLSTD ILLGLLAMSFVPFVGWRSSVTQLKLRATWLDLQERLSVLTRIMEENLGGIRVVRAFAA HQHEISKFEAASKRALALAHQRVGIRVTNTSAMTLSFFAAMGLVLWVGGGKVMSGEIT VGTLASFLTFMTILQMPVRQLGLMVNAFARASTCGSRLFALLDLDVAIKDAPDAKELN LTDGVLRFENVSFAYPGSEQRTVLDDVSFEARRGQTIGIVGPPGSGKSTIAHLIPRFY DVSGGKITIDGQDIRKATLQSLRRAVAVVQQDSFLFTTTIENNIAYGDPWAKEGRIER ASESAQLHNYVLGLPTGYGTVVGERGVSLSGGQRQRLSIARALMLKPAVMIFDDSTAA IDAATEQRIRGAMRRYAADRVTIIVAHRLSSLMHADQILFVEDGRIVERGTHEALLSL GGRYKALYELQVRPGDEVLSA YP_002985114.1 MAEELETERPDVREDGRRPPRAVVGSHRVEEEMFGKAFDGNIVK RIWGFVHPYRRQVVWSVVAVLTFTMMQLTIPLIIRYAIDHGMSPGGNHSALAWSIVAF SIAILINYAASYAQETLVGGVAEDVLFDIRKAMFSHLQHVSLSFMDKTEVGRLMSRLQ GDVNSMQEFLETSVLSVGDIVLLFGIVFVMLYLDFKLGLLTLSVLPVLFIVRLFWLPL ARKSFMAAHETNSIAAGALAEAIHGVRAVQSMDRQGVNFTLYDDKAHANLQTHLTAAR YAQVMVPIVDSLTGVAMALVIVVGGARVLNQALDVGVLVAFLFYIQRFFDPIRSLTLQ YSVMQRAMASGQRLTEVLDVPVDIKDAPDAKALSRDMDGSVEFKDVVFGYNPKHPVLK HVSFKVNPGETVALVGPTGSGKSSCMSLIHRFYDVQQGQVLVGGDDVRHLTQDSLGAQ IAMVLQEPFLFTGTVFENIRYHKLEATREQVIEAARAVGAHDFVMRLPDGYDSVLGER GGNLSLGQRQLLSFARALVADAKILVLDEATANIDSYTEMLIQKALVKLLENRTGLVI AHRLATIREADRIIVLQNGEVIESGNHRQLMKNGKLYSKLYNLNYSSFDDIPEDVLEE TTAAESAT YP_002985115.1 MKRVISSLLIATALVGSAIQPAAARDHRHHDNTGRIIAGGVAAG VVGGLIGGAIANGGPRYVDEGPRYLESPRYVEPQPRCWYEDRDVRNRYDGGYHAETVR VCE YP_002985116.1 MRSVVLLIRFDDVPESSWVSYPLPTQDPLTMAQRAFELMERRLE NPGLASGLRTRHFRSSSSARASDLTLPRLFAGAILWQEGLTRDPGGRSTQMKGIRQLA EHLDISIGTVSRALNGKPDVNDETRRRVLAAAEELGYVANQSGRSLRQGTTNVIGLML EVSRETVENSDDFFLGVTDGLQRVFSRHKLDLVMLPCPDDEDPHEYLKRMVARRLVDA LIISATRRTDRRIELLEKARIPFVALGRSASGGSYTWMDLDFEGVATRGVDRLVAKGH RRIAVAVPSSDINLGYLFLDAYRQALQRHGIPFDPTLVIRVKSSEQGGYQAGHELLMI GERPTAIILIHELMAIGLYRRLAEAGVVPGRDLAVVGFREEPRTLFLQPTLTSFRMSL RDLGAQLGETLLATMPAYADHYPQGARNRIWPLELVPGESDAFMLTA YP_002985117.1 MVDEKRLISKITWKLMPFLGILYLIAYIDRQNVSFAKLQMVDAL GMSEYAYGLGASLFFIGYFLFEVPSNLFLDKLGARVWFARILVSWGIVTIALAFTQNA TMFYILRFLLGVCEAGFFPGVLYLLTLWFPSAYRGRMVGLFMIFSAIANAVGAPLGGV LLDLDGLYGFAGWEWVFLATGVPAVIAGIVTFFYLPGRPENASFLSGEEKDWLERRLT SENAGMGEHAGNGFKALIDPRVLLMALCYIAFPLSAYGLSYWLPTIVKAFGVSNTVNG FLNIIPWVLVAIALYVVPTMADKAQSKTPYIVIPAFIGAACLLLSALIPNHTLQFAFL CVAAAGIFAPQPVFWSLPSRFLKGAGAAAGLAAINSVGNLGGFVAQNVVPWIKEASGS TIAPMFFLAACLAAGALLVFVVTRQLSHREHSAAASGV YP_002985118.1 MTAPFFRIALILGLLSAIGPFAIDMYLPALPSIGQDLHADNNVT QLTLLAFFISFALAQLVYGPLSDMWGRKLPLYLGIGLFSVASIGCALATDIETLIAFR FVQGIGGAAGMVIPRAIVRDMHTGVQAARLMSLLMLVFSISPILAPLTGSAVIEFYGW RGVFWAVTIAAFIGLVLLATQLDETRSPAERSGSGLKSAMAAYRLLLGDRNFLTLTFI GGLGISSFLVYLANSPFVLIQHYGLTPTQYSFAFSINAVSFFAVSQATGWLGERFGLV RVMRIAVSVFALAMVVMAVVMAAGFNQLPVLATFLFIGYGFLGLVIPTSAVLALEDHG EIAGTASSLMGTLHFVIAAVAMVISSVFFDGTAVPMAAGIALCAFAAFVLTQATIGRR TAVAPAE YP_002985119.1 MTGHTQRGQCAKRMSILDAAADVFCRQGFAGASIDEIAAVACVS RQTIYNHYREKETLFVAVVEDVMNRANAMLFSVLSTFPERADNLEDELTAFAVRLNKN CICNHDGKFLRKLVQTEGERYPHLFESWRQQGPGKLTTALSALFGRLAHKGTLAIDDF DVAARQFVALGNADLQMMILLGGTPTDEELEKAARNAVHTFLKAYGKPEAEKAGQPPQ LAAISG YP_002985120.1 MATNVVRRWQRDDLMKQRVLIVEDEFLIALDLEATVEGMGMQVA GLANDCEQALRLAPLADIALVDVNLADGPTGPEIGRRLAQEHGIAVVFMTGNPEVVAD GVKGAVGVVQKPVLPSVVEQLVKYLAARRVGMFAVVPSQMTVFA YP_002985121.1 MRNKFVILAAVVVSLFAYTKLMARIGAGSPPPDAPLEQQADRIR VYKTERRMVLLKGDTPISTYRISLGQAADEGPKQREGDEKTPEGRYEIDWRNPKSMAH LSLHISYPDPDDRRNAEAGGFPPGGNIMIHGLPNGWGLFGNAHQLWDWTDGCIAVTNA EMREIWARVPNHTPIDIMP YP_002985122.1 MSMSSHRRSLAALLAATLFSLGTGAAGAAEDAVVVPPPAVDEAA GSGTETAIFAGGCFWGVQGVFQHVKGVESAVSGYAGGDAVTAQYETVSTGSTGHAEAV QVKFDPKQVTYGKLLQIFFSVAHNPTQLNFQGPDRGTQYRSALFVADPEQRKVAESYI SQLDKAHVFPQPIVTKVSEPTGFYPAEAYHQDFLTLNPTYPYIVYNDLPKIENLKSLF PADYRGKPVLVMKVKG YP_002985123.1 MPAGQSQHFRGEPMSVRSFFAFATIAFSMIAVSFPAVAAETKRD IQTIKDADFFGFDLRTEQNISLDQCKTSCIGDKSCKAFTYNPKVKWCFLKSDFKTMNA FPGAIAGKIVETAAQQERDIGAAPRLTFLTNDLIQQAHDFKDNLTLTDDQQGQGVDSL TANARLDLTANNLADALKSFHGALSITPDDADLWLETARAAASLGSAESNTTGQAVVD ALNGYELTRTAAKRAEALAVLATALERNANYRPALDAYKASLALVGAKDVQAAYLQLK STQGFRVTEHTVDADSATPRACVTFSEALVKTTDYTPFVTLNGEAPKALETKDKQICV EGLTHGQTYKIALRTGLPSSVDEVLEAPVSVDVYIKDRSQIVRFTGDSFVLPSTARRG IPIVSVNMTSANLKLYRIGDRAIAPLLANSQFLSQLDGYSAQNIQDQNGELVWQGSID IANELNKDIVTSFPVDEALPERKPGIYVMTATAANGPAQEWDSQATQWFLVSDIGVTT YAGTDGLNVFTRSLASAKPISGVELQLLAKNNEVLGTATTDENGRATFTAGLIRGTAA LTPAVITAKNGTSDYVFLDMTRAGFDLSDRGVTGRAAPGAIDVLTFTERGIYRAGETV HAQALARDTDGNAIENLPLTFIFSRPDGVEDRRIVSQTSNLGGYTVDFPTQETAMRGT WTMNIYTDPKGSAIATKSFLVDDFVPDRTDMEIKTEAKEVGPDTPATITVSGKYLYGA PAAGLTLEGDVVVKPTRESAAYKGFLFGLADEEASEDSRLPIDGLPELDENGEASTDL TISELPATTQLLNATVYMRMQEAGGRAIERSLTIPVKNERASIGIKPEFSDDLPENSI ANFTVIGVDANGQKQETKGLRWKFYSLNRQYQWYREGTAWKYEPVYTAEQVSNGSVDA TMDGGKISVPVTWGRYRLEVESPDADGPTSSVEFDAGWFVSSTSTETPDGLEIALDKD SYKIGETAKLKVTSRYGGELMVTAGTEKLVAVQNATIGETGGEVDIPVTADWGAGAYV TATLFRPGDAQDSHMPMRSIGIKWLKVDPEQRALQVTIDTPEKMLPRGPLNIGLQVAG AGANEDAYVTVAAVDVGILNLTRYEPPNPEDWYFGQRQLGLEIRDLYGRLIDGSLGAT GKLRTGGDGGAIALQASPPTQKLVAFFSGPVKLDAEGKANVSFDIPQFNGTARVMAVA WSKSGVGHGVKDVIIRDPVVVTASLPKFLSPGDKANLRLDIANTDAPAGDYKLQLTGN DAVGIEEASASQTIRLEAGAKSELTLSLIGKQPGAGNVSINLSGASGLSLDQTLDVPV RPASLPITERRVLALKPGAKLTVDKNLLADSVLPGASISVNVTRSAAFDIPALLMTLD RYPFGCAEQTTSRALPLLYLAEVAKQAGMADDDDVKKRVQDAIYRVLSYQASAGSFGL WGPDSGDVWLDSYVTDFLTRAREMKYDVPERAFVQALENLQNTLSYTTDIKGQGDQIA YAIYVLARNKKAAISDLRYYADTMINDFPTPLAKAHIAAALALYGDAQRSKNIFLDAL QMSEQSMVSRVNLSRTDYGTILRDGAAILALAAESRPVPPVIPELAKAVGKEWERSRY KSTQEEAWMLLAARAIQGGDDGLKVDVNGAAHTGAYMARMTGDALADHPLTLTNQTND TVSAVVTTVAAPTVPLPAGGDGFTIERTYYTLDGEQANVSEAKQNERYVVVIHVRETN DWPSRIVITDLMPAGFEIDNPNLVDSAQMTNFDWIGEISAAHTEFRYDRFVAAFNRAA GDNREFNVAYVVRAVTPGTYDHPAANVEDMYRPDLSARTATGKMEVVTAQQ YP_002985124.1 MRRWHKFAIGSAAGIVVAGAALFALDAADKAFPPPLDRANAVSA EVLDADGQLLRAFATSEGRWRLKTAVSDVDPQFLRMLIAYEDQRFYDHGGVDPWALGR AGVQLISNGRIVSGASTLSMQVARLIEPREGRSLSAKLLQLVRAMQIERRLSKQQILD LYLTHAPYGGNLEGVRAASLAYFGKEPRRLTIAEAALLVALPQLPERRRPDRNLKAAE TARKRVLDRVAVARAVGDGEAERAEGVAVPARRMQLPALAAHVAESALRKEPTVLKHQ TTLKKQVQQGLEAVARAAAFKLGPKLSLAMVMADAQTGAIVGEVGSADYFDASRSGWI DMTRVNRSPGSTLKPFIYGLAFEQGLVSQETIIEDRPADFFGYRPRNFDMSYQGDVTV REALQLSLNVPAVKLLDAVGPSRLMVRFRRAEVRPVLPPNETPGLAIGLGGVGITLKD LVQLYTALANRGQPVRLGDGVTGAPAKLDGEPLLEPVAVWNVADILSGVIPPAGAPQR GIAYKTGTSYGYRDAWSVGYDGRYVLGVWVGRPDNSAVPGLTGYGTAAPILFEGFAKS GIATTPLPRPPAGAVRIAQSELPISQRRFALNASGLLASGREAAPQIIYPPEGAHVDL GAGQGNLSPLMLKLQGGRAPFRWLANGKPLPDLSRRRIQQWLPDGGGYSKLTVIDSAG RAASVGVFID YP_002985125.1 MTLPTATYRIQFRNGMTFDRACDLVPYLKTLGISHLYASPIFTA VSGSTHGYDVTDANEIDPVLGGRAGFERLTDSLASAGMGLVLDIVPNHMAASPENGWW RDVLTFGRQSAYFSHFDIDWSEPLTLPQLGQDFEGALASGELRVALDETHGNFAFGYF ETLLPLNPGSYGAIANRLGDPVADRMAETAAATSGENFNRAMRDILFEGGDRAVLRQK LDDLSADRDFMQSLHEAQHWRLTHWKEAARHLSYRRFFEVTGLVGTRVEDPAVFEDMH RLVIELVRHGKVQGLRIDHVDGLAEPTAYLDRLREAAGPDSYIVVEKILGTGEVLPEN WPVAGTTGYEFIAALSELFIDGGGLRIMDVAYRSVAGETADLEEGRRIAKRLMVERNF AGETDRLVSIASGIFPEVKRDDIATALSELLIAFPVYRTYGDGGPLSWQDSAVLAATA SQAMTRLDDRRACDHVLKLLEGKVEGDAAHDFRIRFQQLSGPVMAKATEDTLFYRYNR LLAANEVGGEPGKAPGGPDEFHRRMAERARLQPHGLSASATHDTKRGEDARARLYALS EGADVFAQAVARWREMNRPWLKDLADGTAPEPNVEWMLYQALAGIWPEDFDRAQTEEL RERFTDYAVKAVREAKLRSGWTKQDADYEEAVTTYAAALVSPDNDVFLEDFERVLQPF IAAGYLNSLSQTLLKLTAPGIPDIYQGAEGFDFSLVDPDNRRPVDHQQLTAWLAEPGP IAKLQAAALKQRLVGIGLQLRQRHADLFAGGDYLPLKVTGNRRDHVLAFARVHKSDFA IIAAPRLMFGWLDPGVLFAGPEFWEDTTIAVPSPLHGLKADMLTGKTIEPGGSISVAA LLGSQPVGLITPI YP_002985126.1 MDIKHEHDHHHSNADGDDHGHCGHDQEKAADAMIRDPVCGMTVD PQAGKPSLEHEGRTYHFCSEGCRTKFAAVPEDYLSAKDPVCGMTVDRSTAKHFLKADG EKFYFCSPACQAKFEADPAAYRDGKHPPAKPAQKGTLYTCPMHPEVVSDRPGDCPKCG MALEPMGIPPDDEGPNPELVDFIRRLSVSAILALPLLALSMGPMFGLPLRDAIGEPLA SFIELLLATPVVLWAALPFFRRAWASVVNRSPNMWTLIGLGVGTAYLYSVVATLVPGI FPMGFYGHGAAVPVYFEAAAVIVALVFVGQVLELKARERTGSAIRALLDLAPKTARRL DADGSERDVPVDDIQTGDRLRVRPGERVPVDGSILEGQSTVDESMISGEPLPLEKSKG DPLTGGTINKNGTFVMTAEKVGADTVLSRIVDMVAKAQRSRAPIQGAVDRVSAVFVPA VVAAAVLAFLVWVAIGPEPRMANGLLAAVAVLIIACPCALGLATPMSIMIATGRGAQE GVLIKDAEALERFSKVDTLIVDKTGTLTEGKPKLTDIVAFDGVGEERLLSLAASLERG SEHPLAEAIVSGAEERGVPFVEVSAFEARTGKGVQGLAGGTSVALGNAAMLSDLGIDP HALAEKTEALRGDGKTVMFVVFDGALAGLVAVADRIKPTTAAAINALHDSGLKIIMAT GDNEATARAVAKSLGIDEVRADVLPEGKKALIDELRAKGAIIAMAGDGVNDAPALAAA DVGIAMGTGADVAMESAGITLVKGDLNGIVRARRLAEATMQNIRQNLGFAFGYNALGV PIAAGLLYPIFGLLLSPMIAAAAMSLSSVSVIANALRLRFAKL YP_002985127.1 MNIGEASERSGLPSKTIRYYEDIGLIRPERGGNGYRDYAATDVH KLRFLQRSRGLGFSVEECRQLLALYEDKERASADVKDIAETKLAEIDRKIRELTELRR TLEHLVHACHGNDRPDCPILEELSDGG YP_002985128.1 MKEKKRVYEALVDGAMEGLTDEALYDFVKARCPKATSKKIVRAS LLALMDPHLRDRNILDVIYALAIKHRLDDGVLDDGEDDEPDERAQTSRAANQNMPQLS YP_002985129.1 MERKDRPFDVIGQLAALRRYARSLVRNSDEAEDLVHDALVRAFE KRKSFRSGGNLRTWLLSILHNAHIDRLRRNRSLTRRHDEAAVEAEQSLPAGQEHAVRL QQVRDAFFDLPEEQREALHLVAIEDLSYQEAAQALGIPVGTLMSRISRARAQLREFEE KTPRVSHLRIIGGNGNESN YP_002985130.1 MKAIDPILDADLDAYVDGELDVARRIQVESYLSENPSIAAKVMA DLSVKGELRLALAGESAFGRPETRDAARRLERGLSYGRIFHSMQRIVAVGILIAAGWV AHNSFGAFSATEVVASVPAPAYVEDAIRAYQTAALRQSMPPQTPATYNADDIRAATAI VMPELPRDWKVADVQIFPSEFGPSVEMAIEQSDGKQLSLFAVRPGAFEVKPVSHLTLE KAEAAYWQIGEVAYALIADDSGLNLDQAAERLARSLY YP_002985131.1 MNPINPRYGAVAGSQALFDEGLRQHMLRVYNYMALGLVITGLVA FVVGSTPALYVPIFGSPLKWVVMLAPLAFVFFFSFKIQTMSAGTAQITFWAFCAVMGL SLASVFLVFTGASIARTFFITATMFGATSLYGYATKRDLSRMGSFLMMGLFGVIIASV VNIFLGSSALQFAISVIGIVVFVGLTAYDTQNIKEQYSENFDQESNQKLAVFGALSLY LNFVNIFQLLLNFTGERE YP_002985132.1 MVLSNRQVAIHAQTANLKHLGKHCHRDDRHDCPIIDEFANQSEK APPPAIHSRFGLNGLKTEHGGR YP_002985133.1 MKKVGLLYGVGAVLMASVPSPGAEDLVTLRQADMKAMAAAAKTI SGMFKDPASYKADEFKWAANTIRDKSGSVLSAHFASEAASPQSKARPNILEERERFDR LANGLRDYAVALDAAAENNPGGMPASMRIKPGEATGGGPLGTHVRNEHELSALPAEHA FHLMLQTCTTCHVRFRME YP_002985134.1 MHHMSTEMKTCIDNCLACYSECLSMAMGHCLELGGEHTKPSHFK LMMACAEICRTSAHFMLIGSEHHKHVCLECAEICGQCAEDCERVGDMQSCVDACRRCA DSCRKMAA YP_002985135.1 MKTYTSLTLCAAVAASFSILPSVASAQSTMAYPEKCKSQDMDMS KAVMPSGGMPMGYMSDYQKASMDGMKDMHMNMMQGMMKKDADVSFVCGMIAHHMGAIS MSEVELKYGDNDEAKQMAQKVIEAQKKEIEEMSKWVDKEAK YP_002985136.1 MGPWSIFRYAQLLVVAIVIAANPFDVAAAPSTLQSQHCAVVAHR DHHPGHADNPQTGCCSTVHCCPLLPQLAKVAAPRFEPERHEPTPSVERPLLLIRAIDP PPRFPAV YP_002985137.1 MVALLVPVQYLTGGSIERTHHAVHMGMTPAYWDVVQHHLHNYSG MAIGLLMGVRLVFRLLQTAETRAPGTWAGRAATALHHAFYAAILAQACMGFVASYLWF GTAPLPRRRIEDHSGDGGAAFRSGDLAHGGRPRRDGRPDGVPASDALSR YP_002985138.1 MRYDIDSVMLQTLLSAVTAAEDAVARLDERVLRSPVGEGFAERS HFFDAAGALWVAGELVHVEDLVLHDAHMDSRAPTHELTIAHSVLRARRRIWTGEPAWA LGVSGLATLTATGGEGEGKVPDAKSVAVPLESDGEAEAEDEDRPLAAEMAEIDALLAR SQKLLDIHTGKTPASETTAVTPARRNEDPLGLLGDDEWDEEQRLAEWRSVQPLADSLP PVLGAIILFEAWERIEPLRRQHWLGGLLVASHLRARGKVASHLFSFYGGLKLVRHERR RARDRATRLQAFLEAMHLGAVAGLKEIDRLSLARTQMELRFRGRRSNSSLPELADFIL SRPMVSAAMIARHLRITPRGALNLVNEIGIREITGRGRYRAWGII YP_002985139.1 MEPQYVSTPFGRRSMTLGMLASQASASKVDPDASVDKWKIFRAL CEAKDMVGVSDRALAVLNALLTFYPKNEIAEANGFVVFPSNEQLSLRTHGMAGTTLRR NLAMLVEAGLIIRRDSPNGKRFARRNGEGGFGEAFGFSLAPLLARAHEIEAQAAQVMA AKLEWKRLRERLTLCRRDITKLIEIALEEKIAGEWIEMQKHFNLLTASLPRRPSAAEM ESLLGDLEAFRELIVKTLELKSKTEKTAGNDNQNGRHIHNSNPDHLSELEPSFEPKQG AKPEEEPQPWREPPKSFPLAMVLQACPEIVAYGPGGGIGSWRDLMAAAVVVRSTLGVS PSAYQLACDVMGPENAATVIACILERGGHINSAGGYLRDLTRRAERGEFSLGPMLMAL MRANGPTARKTG YP_002985140.1 MSRRDRLKGLFDDTAQELAAANYEEQSSRGSAGPVRTMALTLGR MEEESRAMQEALLSGERIIELDPDLIDSSFVRDRLADQPLDLEDELVRSIAENGQEVP ILVRRHPNDEDRYQIAYGHRRLQAVKLLGLKVQAIVRKLDDTDVVIAQGIENSARRNL SYIERAVFALNLELKGFERPVIMKALSTDKTELSKLISVAKAIPAEIVRSVGAAPGIG RRRWMALAQDWNGMTAARLAKLIASGSFVAEESDRRFELLVAELAKKEAKPEATEYDW KPKSGGKVAGRIKSAGNSFTIALKTGDAPDFGAYISRRLDELYEAYRAGRLQAGE YP_002985141.1 MDNISVSTTDVRIERHANQLSRQLKLLRDKLFPPLSQKTLRTFS SGEAAQMIGVSDGYLRQLSLDGKGPQPDLAQNGRRSYTLGQINELRQYMAKLKPKDAL SYQPWRRPGEKLQTVAVTNFKGGSAKTTTTLYLAQYLALAGYRVLAIDLDPQASLSSM LGVQPEFDLSEGDTLYGAIRYDADRKPLKEIVRKTYFDGLDLVPGNLELMEFEHETPR ALNDRQRPGELFFRRVGIAIAEVEADYDIVVIDCPPQLGYLTLGAVCAATSLLITIHP QMVDVASMSQFLLMTSDLLSVVRKAGGDLQHDFIKYVVTRHEPFDAPQSQIVALLRSL FSDDVLTATILKSTAIADVGLTKQTLYEIEKGQVRRSTYDRALESVNAANGEVLAGIH KAWGRA YP_002985142.1 MKHIHIIGIGTGNPEHLTIQAINAMNAADVVFLPVKGAGKEELA EIRREICECYITRPAARISEFAVPQRQTADKTYVQSVDAWHGEIARIYGELISGLPDD GSGAFLVWGDPSLYDSTIRIIERVRRESSLDFDYSVIPGITSIQALAASHRIPLNLVG KPIEITTGRRLAQDGLLTDSTVVMLDGEQAFAKIDDPDAEIFWGAYLGTKDEIVRSGR LGDIATDIQTLRAEARQRHGWIMDIYLLRKGRDFEV YP_002985143.1 MSIKAAKASDRTGEADLHGRPAVTMRRRGACPALAAPMPTGDGL LVRLRPVGGALTLSQFASLARSAADHGNGILEITARGNLQIRGLRTETVGQLAADIDA AGITVPDGPAIETSPLHGIDPEEICDPAAMEMALRSMLRDQLASPRLAPKLSLVVDGG GAFGLSALSADIRIVAQSPAEWLVAINGDGETAMPVAIGPAEAAISAVGEILSLLAIL GQGSRTRDIDPALLRARFPAMDGVKFFPSRAAGMPLAGSHRLEDGKTILGVRPAFGQM RASDLIALLDLATDRGATAIRLAPGRGFFLIGLSADTVPAMQMAAAGLGFSTQPGDNT EHIAACAGAGACGSAFYETRALARRLIGAAPALFDGSLTLHLSGCAKGCAHARPALTL TGSAEGYGLILNGLAADQPVERIAGGRIDFAIERLARSIEDNKDAGESTAACLTRLGA TGVSKALRQE YP_002985144.1 MPDYDYIRSGDAIYERSFAIIRAEADLSRFTDDQAEIAVRMIHA CGLVEAADHFLFSADFVSAARDALKGGAPIFCDAEMVSQGVTRARLPAQNEVICTLRD PATPELARETGNTRSAAAMHLWLDRLGGSVVAIGNAPTALFHLLELLRDGAPKPAAIL GMPVGFVGAAESKDALAENSYGVPFAIVGGRLGGSAMTAAAINALARPGL YP_002985145.1 MTTSGRLIGVGTGPGDPELLTLKAVRAIEGADVIAYFAKQGRGG NGKAIVEPLLKSGVTLLPLFYPVTTEIDKNDERYQSLITQFYNQSAKAVAGHLDAGLT VAVLSEGDPLFYGSYMHLHVRLSTRYPTEVIPGISAMSGCWSLAGMPIVQGDDVLSVL PGTMAETELTRRLADTQAAVIMKVGRNLPKIRRALAAAGRLAEAVYVERGTMANAAME KLADRTDVDAPYFSLVLVPGWEGSR YP_002985146.1 MSGRLFVIGTGPGNPEQMTPEALAAVDAATDFFGYGPYLDRLQL RHDQLRHASDNREELDRAGAALAMAADGAKVCVVSGGDPGVFAMAAAVCEAIENGPAA WRAVDLVILPGITAMLAVAARAGAPLGHDFCAISLSDNLKPWSIIENRLALAAKAGFV IALYNPISRARPWQLGEAFKLLRDHLPAATPVIFGRAAGRPDERIAVQQLAQADASIA DMATCIIIGSAETRIVTRPGRLDLVYTPRFMAGGNR YP_002985147.1 MGRPRILILGGTSEARLLAEALAARDDCDVLLSLAGRTEKPAVQ PVPVRIGGFGGAVALADFLKAGGYDLLIDATHPFAERISANAAFAAETTGVAAIALRR PEWQRVAGDRWRDVQSIPAAIEALGPSPRHVFLATGRQGAHHAEAAPQHHYLVRSVDP VEPALALDNVQYILDRGPFALDGERALLRQHDIDVIIAKNSGGAATYAKIEAARLLGI EVMMVARDPASIVKAVDTVEAALAAIDHLFPPAMNRGV YP_002985148.1 MSDVAPASGQRWLTIIGIGEDGPEGLGEEAKRLIATAPAVFGGV RHHALAASLITGERLSWQSPFERSVDAILERRGTPVVVLASGDPFLYGVGATLSRHVA AEEMRSIPAPSAFSLAASRLGWPLQEVATISLHGRPIDLIRPHLHSGRRIVALTSDEK GPGDLAALLTAAGFGQSQLTVLEALGGARERQRSVLAADFDLLDVDPLNVCAVDIAAG EGARILPFAAGLEDELFEHDGQITKREIRAMTLSALAPRHGERLWDIGAGSGSIGIEW MLADPSLKAIAVEQSPERAARIARNASAFGVPHLAVVEGAAPDALRGLAAPDVIFLGG GGSEPGVVDTAIAALKRGGRLVANAVTLEMEAVLLAEHGKRGGFLTRIEISRAQPIGG MSGWRPAMPVTQWRWTKG YP_002985149.1 MTVHFIGAGPGAADLITVRGRDLIARCPVCLYAGSIVSPELLQY CSPDARIVDTAPMSLDEIEAEYLRAAGAGQDVARLHSGDLSVWSAVAEQVRRLQKHGI DYTMTPGVPAFAAAASSLGRELTIPAVAQSLVLTRVSGRASPMPNDETLAKFGATGAT LAIHLAIHALKQVVEELTPLYGVDCPVAIVVKASWPDERILRGTLGDIEVKIAAEPIE RTAIIFVGPSLAAEDFRESSLYDPAYQRRFRGRQ YP_002985150.1 MTSIRFALNHMAAPSLAIDDFFALARSLGIDAVEIRNDLSGNAI LDGTKPEVIRQAAARHGVTIISINALQRFNEWNAARAAEAQELIDYAGASGAKALVLV PKNDGTGCADGERQVNLRQSLVALKPMLEKAGIIGLVEPLGFEICSLRSKTEAAEAIR ELGAQSTFRLVHDTFHHHLAGEAATFPELAGLVHISGVDDPSVAVADMRDSHRVLVDA DDLLDNAGQVRALLQAGYNGPFSFEPFAAEVHALKDPAGALRASMDYLSARV YP_002985151.1 MRRPTISDLARASGVSVATVDRVLNARHRVREETARRVYDAAQS IGYHAVGLIKQRVFEDLPQYKLAFLLQKPMQPFYQSFVREIETAARALTSARIQTQID FPSAATPAAIVEKIKTLGARNQAVALVGPDYPAVTTAVEELKERGIPVFSLLSDLATG VRDAYVGVNNRKVGRTAAWTIARTAHKPGKVACFVGSHRFHGHELREIGFRSYFRENA PEFDVVDTLINLETAEITHEATLTLLQKYPDLVGLYVCGGGMEGAISAFREESVGGRI VLVVNELTPDSKAGLADDVVAMAIGTPLPALCKELLVLMTGAIENGETAVPGQLFLPF EIHISENI YP_002985152.1 MATQTKKRDYDLLGESGRTAVETGLAAAEWYHTDIARKEMKALM QRSDAPAIRDTIIWLGAMATFAGLGIYFWGSWIALPFFLAYGVLYGSASDSRWHECGH GTAFKTRWMNDVVYQIACFMIMRNPVTWRWSHARHHTDTVIVGRDPEIAVMRPPDLFR LVLNFFGILDAWHAIVDMLRNAFGGVSAAEKTFIPEMEQPKAIRIARIWLAIYLVTTA AAIAVGSILPLMLIGLPRLYGAWHHVLTGLLQHGGLADNVTDHRLNSRTVHMNPISRF IYWNMNYHVEHHMFPMVPYHALPKLHAMIKHDLPAPNPSIWSGYIEMIPAFLRQLRNE DYFLKRELPATARPYREEFHNELAPAAQ YP_002985153.1 MSGNWIQVCDKDEIDEEDVIRFDHDGRTFAVYRSPDDEFFATDG LCTHEHIHLADGLVMDEIIECPKHNGRFNYKTGEAKGAPVCVNLKTYPVKIEDGAVFI SL YP_002985154.1 MVHYVILGAGECGARAAFALREKGFAGEITLVGAEPLPPYERPP LSKAGSTDASDPKFIAAAEKYVENGIRLLTGLEARDLDTPSRTVRLSDGSVLSYDKLL LATGAAARSFPGAPVGSRQIRSLRTHHDAAALRDAMKPGRHIAIIGGGFIGLELAATA RLLGAEVTVIEGLERVLKRGVPEEIAHLLTERHRAEGVDIRCGVSIEALTADNGQALI RLSTGEAIEADLVLVGIGARPNVEIAEKAGLAIDNGIAVDIHLQTSAPDVFAAGDCCS FPLSIYGGRRVRLESWRNAQEQGTLAAANMLGLNEAVSAVPWFWSDQYDMTLQISGLA EGAATHQRRELGAGAFILFHLDADGRLIAASGIGPGNAVARDIRLAEMLIAAHAHPNP AALAASDIKLKSLLAA YP_002985155.1 MKKHRRATVADLLAEKGKRQLTMLRVTSLEEADAAEKAGIDIVS VPPSLLGPVFREAAPTLFAIPGLEYGDHVSAEDYLRAAFAALKAGGDAVYCAASLQTI RRMRDEGIPVCGHLGLIPSKATWTGGFRAVGKTAASAAEIWRQTKALEEAGAFAAEIE VVPGDVAAAISPNTSMLMISMGAGSGCDAQYLFADDVLGANRDHYPRHAKIYRDFAAE HDRLQRERIAAFTEFAEDVRTGAYPEKRHLVGIDDAELEIFLQRLKSETGKS YP_002985156.1 MTIGFAHAELIAVLVAVTGDEPRVMTIRSGNALPSGPFEMGHRT LQSGLREWVQEQTEHPVGYLEQLYTFADRDRNNDIPGGRTISISYLGLVNEQSGAGRP GWHGWYEYFPWEDHRQGRPAVLDEIMARLRSWADADPARRDHRHRRADFTFGLDGGGW NEDLALQRYELLYEARLVSEAGCGAETNLGGAMFADHRRILATGIARLRAKIKYRPVV FELMPESFTLLRLQRTIEALAGLTLHKQNFRRLIEQQELVEETGGTESETGGRPAKLF RFRHTVLEERALAGTKLPLSRN YP_002985157.1 MNYPVSASSLYERVSRVIPKAEWMTFENDVDAILELKRRRNAVI LAHNYQTPEIFHGVADIVGDSLALARKAIEVDADVIVLAGVHFMAETAKLLNPGKTVL IPDLGAGCSLADSITPEDIALLRQAHPGVPIVTYVNTSAAVKAASDICCTSGNAKQVV ESLGVPKVLMIPDEYLARNVARETDVEIIAWHGHCEVHELFTAEDVRQLRENHPGVIV LAHPECPPEVVAEADFAGSTAVMSDYVGRQKPARVVLLTECSMSDNVAVHHPDVEFIR PCNLCPHMKRITLANIRAALEENRHEVTVDPAIAAAARRAVERMLAI YP_002985158.1 MTELLEQLSGRTVIVGSGLAGLVTALTLAPEPSVIVTRAALGAE TSSAWAQGGIAASMGADDSAALHLADTLAAGDGLCDPAIAAGIIAEAPAAIAALEKAG VRFDRNAAGELSLGLEAAHNRRRIVHAEGDGSGAAIIAALINAVMKTPAISVLEGFEA RRMLMDGEKVAGLLCATANGAAILPTSRVVLATGGIGGLYDATTNPIGNFGQGIALAA RAGAALADMEFVQFHPTALDSRRRPLALISEAVRGEGALLVNERGERFMARIPGAELA PRDVVARAISAEIARGGRVFLDARDALGSRFATRFPVISTLCGEAGIDPAKDLVPVRP AVHYHMGGVATDANGRSSVPGLWVAGEAASTGLHGANRLASNSLLEAAVMGMRAARDI SGMPASGTGTISAGSLPAAADASFVRPIVSRHLGVLRNAGAIHGAIAALLPLAEGKGP AADPAIVALLIAVFASLRMESRGAHARTDFPLKLANANRRRMCLSDVLEIARATPPYA LARSA YP_002985159.1 MSLVPLPRLIVEPLVRAALLEDLGLAGDITSASVIPRDHRSTVV MAARQPGVIAGLDAAELAFSLVDPEIVMRRHRQDGDAVKAGDVIATIEGPSRGLLSAE RTALNFLGHLSGIATVTAGIAAAIRGTKASVACTRKTTPGLRALEKYAVRAGGGMNHR FALYDAVLIKDNHVAIAGGVTEAIRRARAGVGHMVKIEVEVDTLDQLREAIETGVDAV LLDNMTLNELREAVAIVAGRAITEASGRVTPTTAAAIAASGVDLISVGWLTHSAPVLD IGLDFVEAATATEVSPKRIAQVL YP_002985160.1 MLTNRLCLLGRPRLLAAGRELPLPEKSYFLLAMLAAEPNVELDR ETVRRQLWQSELPEKRAGSLRQLLARIELSIPAGLPPLLAATRTHIGLADGWEVDVHI LKQKGPLAPEDGGLLNGEFLDGVKSPTQGAEDWLTFERQRVDELRSAHLTRLIETSED RSDDEQVTLARRLLELDPASETAYRALMRTYVRMNDPAAARQAYLKCKSQLKDDFDTE PEESTTALARELNLVPAAQATSAQPAPDLSINSVGQPRIIILPPESIFTDPLMERVGR ALLEDVTIGLSQQRGFKVIAAHTSLEILSRSIDPSRAVPGPLDLRFDYAVYVTIQGRD EDVYATCRLTRTTTSEVIWAVELPLVMQKISESFAHLTRRIVSSLADTIERHELAMPI GDAPPSAYRLYLEGKRLIAHTDLQHLRQARKWFKSSLNRYEHFSAAHAGMSRALGMEW LIRGMRDKELLDEANGAARQAQQSDPNSGRAYRELGFVALYRRRFDESLEYFQQAQDL NPNDADILADYADALSHDGDFDRALELSRAAFKLNPLPPDYYYWNLGGIHFMREEYEM AIEALEPVKTKQATARLLAASHAMAGETGKARNYARVVLENFPDFRSEDIRHFVPDRD PAYTEPLIQGLQLAGLP YP_002985161.1 MKTNADTTAAQTQSLRFSAAAKAAMKQDELNVSANALESLTHAL RFR YP_002985162.1 MSAFTDLETLAGDLKRSSAGVSDYHDRAVTPAQTLAAIRPHLRE FGITRVGLLTALDVLNIPVAFATRPNSHTLSVFQGKGIDNDAAMASAAMEAVETRIAE IAPNDMTQATIENMRAEHAAMIDLDNVARCAPDEIGRAPIPWCSGLDILSGSSVFVPW WLVGLDHRGERPPGFEQSSDGLASGNTPSEAVLHGLCELVERDAWALTQLKSPERLKE SRINPASFGDAVIDVMTDRITRAGMKLLLLDMTTDIGVPAFLAVIMPGNISDRVDARW SHVCGGCGCHPDPVRAALRAITEAAQSRLTAIAGSRDDFSPRIYQRLDRSAAMQQVVE LCEGDGRMRSFHARDRRPATIQDTIGHIADRLAATGIEQIVVVPFPHPALPISVVRVI VPGLEVDISGQYIQLGLRAVNTMRGAES YP_002985163.1 MKVLFVGPSLGSDLAAARAMSSRIDFRPPAAAGDILKAVQDGAT AIGLVDGYFGDLPSVWHKEILYALEHDVAVAGGASMGALRAAECAPFGMVGLGSIFED YESGRLLDDEAVALVHAPQELGWLPLSVPWVDFEPTIDALHAVGEISLAERKKLLLAG RFLHFSERTYAKVADECHFRKPRRDHILAAIRGNRVERKRGDALLVLEWLCLDKFRPI NRDWRFAATSHWELLHAEVTRNAVPVTLE YP_002985164.1 MFEQHNEATGSEYARIFRLLSAAKEEAEKLQLRNLMHLTNMALL QVVIDWDGIDPDRELDVNLEKLVGSKAKIAMKDASENLILIDRH YP_002985165.1 MKEEPHSVDVHVGKTIRIQRLLRKVSQTELGDRVGVTFQQIQKY EKGSNRVSASMLVEIAGALKVDVRTFFDDLSTPDNANDNPAPSEEFVISREGVLLNAA FFSIKNEALRKKILKLVQAIANTEQLDTEAAE YP_002985166.1 MFIDTHLHIIDRSALPYPWLSGAPDLDHDFLYETYATEARRCGI TTVLHMEVDVDPTAMQTETDHVAKIAKKEGSLIAGAIVSCRPEAEGFAAYLERQKADP FVKGFRRVLHVVPDNVSEGALFRENIRRIGGSGLTFDLCTLPHQASRVTALADLAPDL QFVLDHCGVPDIRSDAFEPWKAGISEIARRPNVVCKVSGVVAYADAKTWTAETLQPYI EHVIASFGWDRVVWGSDWPVCTLGGGLSTWVAATHAMLSGVSETERSKLLFANAQRLW SL YP_002985167.1 METDESDRYRAPALDKGLDILELLAGVDSGLTQADIAKRLDRSP NEFYRMLDRLVRRGYVTRLDGDRYSLTLKLFGLAQLHAPVRRLASYATPLMRDLAQRT RQANHLAVFDRGAAVVIAQQEAPDYWGFSIRIGAHISLFDTGSGHVLLAFRSEEEREM MILEHVRSRAEVELGPEFYDRLDQIRERGYEMMASAQTSGVYNLSAPVLGPDHRSIAA LTCPFIALVNAPSAPDITQAITLVQKTAAQLSLLAGASVVNSG YP_002985168.1 MTNRLSGKTVLITAAGQGIGRATAAAFAAIGAKVHATDINTEAL TTLAAETGVSTHKLNVLDADAVKALVAEIGAVDVLFNCAGFVHAGSILEMKDSDLEFA FDLNVKAMIRTIRAVLPGMIERKDGAIINMASVASSIKGVPNRFAYGVTKAAVIGLTK AVAADYVGQGIRCNAICPGTVESPSLQDRMRAQGDYDAARAAFIARQPMGRLGTPEEI ADLAVYLAGATYTSGQAIAIDGGWTI YP_002985169.1 MTRITDLRVFDLRFPTSQSLDGSDAMNPDPDYSAAYVILDTDAP GLAGHGLTFTIGRGNDICCMAIEAMRHLVVGADLAEVLAHPGTFWRHLTSDSQLRWIG PEKGAIHLATGAVVNAVWDLLAKQAGKPVWRLVAEMSPEEIADIVDYRYLTDVLTRDE AVEILKRAEAGKAERIAILEKEGYACYTTSAGWLGYGDEKLRRLCQEAIDAGFNHIKM KVGRDLEDDIRRLRIAREVIGPDRYLMIDANQVWDVGQAIDWVKALSFAKPFFIEEPT SPDDVAGHRKIRQAISPVKVATGEMCQNRIMFKQFIAEGAIDIVQIDSCRMGGLNEVL SVLLIAAKFDLPVWPHAGGVGLCEYVQHLSMIDYVAVSGTKEGRVIEYVDHLHEHFLD PCLIENAAYMPPTLPGFSIEMKPASIGNYTFGG YP_002985170.1 MPKFRHAKRLCAYLLIVAGMLLSINTAFAAVTEERGRRVALVIG NSVYKTLPSLPNPANDVEEVATTLRAAGFDVTIGVNVDRIGLEDTVRRFLRSTSNAEA GLIYYSGHGIQVGGQNFIVPVDATLETPYDVETQTMPLDLILNHLKQNSRVQLIFLDA CRNNPFNAQKFWMAEKLEPVGATRGLARIDSDLGSLIAFSTEPGQVALDGTGALSPYS ESFIKRASEPNKEIRQVLTDVRRDVMAMTGGKQVPWENSSLMDSFYFIPAPPPPSVES MQQVSVPEGAATTKLPIAPPHDETGSALLVTVNQLPKTGKLSFDGKPVEQGAKMPAAA LTALTYDSSGVAAGTVGLIGYTVSDPYGQAAQGVVAITVSADAGAKLAQLEQEKQVRL ADADAYLKTLPRDVDTTIGVGPVEASLPVVPASAAEMTFKVAALPDKGTLRAGDRVIG LGHVLEAADIPALSYEPQIGTENQPFAVTLQAANDDLPPATVTFKPTLDACDTSAAAP LDLQGVTAGKLPNEIDADEALSACTEAIKAYPEVARFVYQLGRAQLANRDAKTAFATI KKAMDAGHVRAIDQLSSLYIVGASVPANPAKANEIVQAAAKKNDPYALYTYGKSLYYG RGVKADTEQGLKLMLQSADLGHTFAMNELGYIFLNGVNVPADPERGIRFYEAGLARND IYSMNNLALVYRFGKAVPQDFGKALELFTKAAEGGQPYAPTNLGRMYRDGIGVAADKS AAIKWLEMGAERGDYWGALDRARLAKDEVADPEGMTIAARYFALATAVNLPRTGDPKN QALAELKTLPTAAKKKAEASFTTELSAQEQKAIPKSKSLDDRLVKLAKATWVKRNPRF DLF YP_002985171.1 MNSKLIIRGLLCSTFIAGSGAILQGCIFDPGARTLFSSEEPARN VTPARKTTPTVRRVAATSNQPAFARSENGSGGNSGSGGGMGGSSSGSSSSSGGDTGGG NTGGGWNSDRRLKTQVRRIGTSPSGIPVYAFRYIWGGPLFIGTMAQDLLLTRPDAVLQ TASGYYMVSYEKLDIDMISLAEGISFATIEAGATAVALAVDAASKRQRKPPAVFSSQR AMQTAM YP_002985172.1 MLAIVSIIYLRHERAEERMLSRDPAHRDYAERVAAHALLASRLA YP_002985173.1 MRSFGSHILFAAALAVASPVFAKDTTIIELRGGDGARSVGIISS NEEAEASGPAAITVGDDGTIYILDQNNGRVLAVDAERSQAEPAVLPLPENATAEDLAV VHNELYLWSDGVVPLERSTDADGRSQTLRAVDGGADADDYTRSVFASMGSVSPGPLNS IIDEIGRSTSRPEARPPVIQYVPSRGLGDIVAEVSAAANDKAEILLRRSSSEENFLSL QLASEGRIGTVELLDIDTTGRPYALVELVPADRPERTGMLVVRFTPNGAMDRVYDLPI EPGTVFSRRFVAIGPRGDVLYLRSQESRAQVLRLDGREPGRKLAVARPTKQPAAGKPG KTPKVAIVPKSRSDVIERAIGFETMNWLVTPTAYGRDPGPGCVNMNRLRRPIYLIGKR GQTVKGVPYCWGCKTPLENFIGGVEKGQTAGNVCTKSAPQSNILGVDCSGFVSDAWGL KMHVSTRAIPGITKRLSDPWSMRPGDALNKPGSHVLLFMRFTADKKVEVMEASPNACK GRVCRNTYSLGSLLMRGYQPVRFKGLDG YP_002985174.1 MLEWNGDELALDISLLEQVRAARIDFSDRVCAASASKDDKHLAQ LRSEPTYLMAEFLYSMKVFGISTAEDIERFADLHNDYVVSLTRDPAKLQRLGLSQDRA LASMFTADTKPRLIQNWADKSGAIDQSNLARFLVAVMSSETCRKTLIDFETAGFMQRK RSPYGTMVVWSTGMIEEIFGEMLRDLRLGLQQLKIL YP_002985175.1 MTKSWLITLSIGLLLTLQTPRLAYSGPAEDALASRNPSLAALRQ HDEKAFAAAAAIIAEQERAKGLAPGEAKLPDATSPGRDMGSGPGKEFTVDNPDILWLY NSSPEGMSDLISILKSAGQKPKN YP_002985176.1 MPNRKAILAAATFLSFFSVVPAVEAQNERTLTEAPAQTGPVSVT FDRAEAKYAIGEVVGLFIQSTENAYVTVLNVSPNGAVVKLFPNKYQTDALVGAGKRVQ VPDPASGAKLQVSGPVGQEQIKVFYSSKPLNIFADLGGSGGGMFRSVDGGMDAVSRSL EEARSLGTKISSKTLMLTTVDSPAAVPPVAAAPAAKPAPVEQAAKPPVAPKPAVAPKP KPVTKQEVAKKPETVVEKPKPAAPKKVAPKPVEQAAQQPPKKYKIVTNLAPGQELAAD ELELIGPADTTSSTKPTQYKQPTTQYKQPAQTSQTKMPKLPMPQIKMPQFKLPGGFSI KMPQLNLGRSAEPGQAGEEIEVANADTPVCTALLDKLNTAVAAKDISAAASEADAIAV SADCGQFQVNAQRRVAALRLSAAQEMMAANQPVADYEPLLVAADSPQVLWQASATLGE IYFSSRRFADAAADYQQAIEIIKNETRTPKAPPAETISDLIQRAAQARILAANPTSDN PEGSFVPAEKDHRSGVLGGIYSENVRGIVPVSIPVPITFDFNKSSFTSIGTEAAEELL EALKEQKPGRIILIGHTDRRGGDDYNQKLSERRAQAVADFLKSNGLDATIDAEGRGAS EPVDVTATANLTEDDVDALNRRVEWRRE YP_002985177.1 MTAFVSMAAALALLTMAATDSLARVIEAPERGAVRAVLIGIDLY RNVPPLHGAVADAEDLSLSLRSVGVEDMTLLKNGAADREGIFHAIEAVTERAGPGDLV VLGIAGHGSSEPERVKGSKPSGRDEVYVLAGFDTRLPGSRERIFGDEFKALIRNLESK GADVVFIADTCHAGGMTRDVDPRGAEITWRQAPSYTIEEDDLAPISTTADALSTGFDF KRLTFLAAVDENTKSPEISIPGIPQKRGALSYATARAFEGAADRNGDGAVSRRELFEY VRQAVYQFTNQRQNIFTESPPGTDLDRAVVYHYEGAGPQAAAEKSNTPLPAEPAPISV AALGSDPVLQGIDAAVTPFKLTEGADAELVFDPAKHEAIAGGDVVASAIGAGDMPFVV DRMAALDALKRLSETNPQTVRVTPSDTVHREGERVGVTVSDLSGRKLVLFDVTGDGTV QFLYPGEKEVDAEMSATFDLDLSVVAPFGTDLLVAVTSKTPMPELLEFLKQNDRRRTA GNIAKRLGEFLPEGARVGFTVLYTSAGAKL YP_002985178.1 MSTSISRVLNIASVMLLLATPVLAQQDTDFAGEDGGRVIGGQAA KKGEWPWQVKILAPDPEQRGRFGGHCGGSLISPRWILTAAHCVTSGRSGKQDLFARDL LIVEGKSKIDKVIAVDGPDKPGLAVEDVIIHEDFDRKVFANDIALIKLSEPAKSKPAI LASASDDEVEAAGHPAVVTGWGYTKADHGWDDKYLPTELQEVELPIVPREDCRAAYRD SSMRMNPIDERNVCAGYAEGGKDACQGDSGGPLVAQRPDKRWIQLGIVSWGAGCAEAE HYGVYTRVAAFRDWIAAKTDGDVPDVEGPAANDQVASTTTSSGMKQRKSGQEEANLAI TTPPAGDTAPAGTTETPDAPANDTAPVDKPVADEPAVRPAVVQTPVIESKPGDRALLI GIDDYEMREAKLTGSATDVKAMQVFLAKTLAYRPEQIHTLTNRKATREAILAEIDDWL VRQSTPGSRVFLYFSGQGSEEMGAEETTSPTLVAVDAKLVREGGKVTVTNQIRETEIA ARLNSLKDRRVTLLIDACHVGPGSRSAVAAPSGTVRCLGPALAGLEPPNKSGKEAKFS FGGENAMVWSAVNAGQWALVDSEAKPPLGVFTRRFIEGVQDGVARAADKPNVSNAALL DYVRRKSDEYCRTHAGDCRFTPVPQFYGQPDALGRDVITGDEAKTAVAAVENTLKSDN EAGVAVDVLPGTAVSIGDKVAMRVSTKKSGYLILVDIDASGKLTQLFPNKRSMGLKPS AKSGDNRLDPARPVVVPDARNPYTGFEYVVEGPAGVGMVVAILSDKPIEVLDLPDVPT PLVGQRAAFNYVYDLARSLRIVGDDETGAQGKWSFDSKFYRIR YP_002985179.1 MPKSTLLAGLAAFLMTLAPSAHAGNSGDSKAMLAAQAGLAAELI DRTLAKEGAANIMVSPASLAAALGLASLGASDEGKASIAKGLGFGGEVKGPEAVLDAI YQVKPAAADAPLTAAVAIVFDDKLVLVPDALSMLARHRIKPSIEDLDGPKSVEHINGW VSQATRGAIPVILDSPPGGGFVSLGALSFKARWKTSFEKESPASPFQRPDGSTISVPM MHLAGDGQRFRSDEKFSAVDLAYAGESYSMVVVAARSGKGVGGADLKTLASWLQGEKF EAAKGEIFLPRFSLNDGRDLMPILNAMGVAPEKAKDAGFPGFTKENIRLSRVLQKTMI KVDENGTEAAAATAVITERSIDPKLVRVVADARFAFALRDTKTGLLLAAGLIGDPLLA GE YP_002985180.1 MRSDLIARYTIGEPVYENEFIVYPAQDKRMDHAVFIVAPDMALK LDKARFERVWTSINEAKSLTARRFVEIEDLIPPSPEDDNFYIVEKRPSKTLHQYLDET EMVAYERAVEIGRHILEGLATLHGAGYAHNALTDQCIYVSEDYSGLSVRIGNLHLISK IGEHIIPPYVPEFGAPEIYASGTFSASSALDIYAMGMIAYKLFLPRQTYASVFDSVMV WEDEHQREQSWKNIHLDPSNIFPRLDVLIPGFPEGLASLVERMLSRDPSARPRTGADA LGEFARVTTGIQPMSWDPRGGMQQQQDAPKPKKWTPLKISMIAALLLICIGVGVFTIP KLLAPDPKLVADVGTWKKEAESRKQKAIAAKAPERPSGDQAKLAYDTGAAALTSADAL LKDEDYEKALPGFQTAAINLGNALIGISKENAEKAKAAASAAGGDKAPGFAEADAKMK TAADAATAKQMHAAVDAYDASKTTFDELAKALSALTAAEKDAAGKRETVNRIGAGDSP DVAKATGLMTDAKAKAEQWQMPAATSGYGDAAKLFDAVIADVMAAKDEATALKQKVTD LSASIATRAGAADPALAALAPKIGEADGRYTAEAYKLAVVAYQPILADLEALSARGFC PVSQTLAFETVPAGSYSLENVRLMTSSMKEIGGMLGVANDAVKIDKSFCMQTKAVTRA EMAEYYTANSDPAAAQAYGDNPQQPADDVPLAVAQNYTAWLSKQLNAPVHLPSATEWM ASAAKITQEKLPDNGDIILQWSATPCEAGGNVAFMAQEGSTFVVCSDASAGGIFRVTA ELR YP_002985181.1 MCWNTSSAFTPVGFALRGPASRKIVWTLGDAARLLINDWPCDDG EEYVAAVKACVDALSGKIAPEQFREALLRAADEAGIAALSLVRQGVGERRPDLPSSAQ R YP_002985182.1 MANVEKISVSVTPQHADLLRDAVGSGAYASASEVIREAMRDWSA KWQQRSGDIEKLREMWAEGKASGTASPVDFDETLAEARQELKAARGHGG YP_002985183.1 MAFFSFFRGNKPSLLQTGPGGQSRSHVLDRPEMSIGRAGNADIV VDDPFLAPIHARIERQSDGGFIIRRMGLNPIMLRGEALLQTASLKAGDSFRLGKDVEF QFVVKAAAKEAPKKEASKAGDDKPKKSLLKQPAFLAGMGVAYLAIVGIIGYVILSDSG SAEGGPTAERINAEAGRIPTCIKNARRMRQVSEASFNGAVGGRVGRDGDATYAALSLA AEPSDDAALAKAAEPIVEAYKRTALAALASENRGNKTLALTLYQQTYDLVPDVNCSAA RFALQRRAAVKPPARR YP_002985184.1 MSQIPLAPIAIVFAGITAALFVWWIGDMLGSIQVVRRSAGGDGP PPNIVDSIGMRTPVEFVLTHFETLLADYGAQLEQKLIYGGRPFGGVTGREYIALLVVL SLFGFVVLGLLFGIASGSVIGGLVAGLILGLIPAIYFWVVADDKAQDRKERISREFPY FLDLVVMTQQAQATLPESLRLYAEAAPGTVMSEEIEQTLKDVALGTGMIEALQRLERR MTAEEVIRVIRAVIQGEVEGSNRVELLREHARDLRFRRWEKADRASEKLKAKIVMPAM MIVVSILLLVLAPAIVEMMSSGMF YP_002985185.1 MNISILQIFTVALGAVTAGLLVWGAPVRWPAPLLRATERDIALA SEAAQVFGRDAVPPYTMILAYGITAIVVFIVISLIFGPIFGIVIAIPVAIFLPKGMIR YFLQRRWLQIESQLPYAVDQIVSAVRTGKPLAIAVNAVADTGQMPASREFERIAREQK LGIGLVEALDRHGRNVPSLHFKMVDAALGLFARQGGDISEPLTEMSKSFKEIWKLDQK ITTSSSQARMNFRVVNGGALFMMLMIFFGQPELIDKVFGNAIGIVIAIVGAILYATGF FWMRSMMKVSV YP_002985186.1 MLLKISYEDGSGREVIPLSANETYFVGESSTLTLPAGAGVVRLR GSHVSSPQFVLRKSGQGWSVQHHGRNPTRVDDQPLRAGTPVAVSAGMSIWVPNVTIEL VEPAAAAEVVTQFPDQERVLALQMEIHERLLKDTQYDRLVKSADFGREDTRNRIRERL DMFIKEALDAAQQDLVILVIKNAVYRWLAKRIARTGRRDASSNAASLSREEQDNRRLF DVGKALISALQLKLNFESTRADFAQLDTRFSAAFQSRQALFNAGDRYEIAHMHLRSSI EELMYRWGTISELMDLDVISEIMVTRYDEIYVEKFGLLERYPFAFANERQLMKVIERI AVDSNRSINESEAMADFRMPDGSRVNAVIPPLAVKGACLTIRKFGGKSRLDISKLVTA GALSEPMRAFLEAAVRSRKNIVVSGGTGSGKTTLLNSLSQFIPVGERVVAVEDTSELQ LDGIHVVYLQSRPKTAESETSVTIRDLVRNALRMRPDRIIVGECRGAEAIDMLQAMNT GHAGSMTTAHANTPQDMMTRLEVMVLQGQSSLPVMAIRQQIVAAVELVVQLNRLANGR RAVTEISEVIGIDPDTGLIIVEPIFNLVGRAGGQAVHAFTGYLPSFVAELVEFNDDGE IEKLDMFV YP_002985187.1 MNWKLIAAVIVGLITGVLLYFWTESVKNEQVAYAFMRLQPDRKV TRGQAITPDMLAEPVMLPESFGALAKLAVPAASAYQEWLKDRTAASDIPAGSVLLFQY FDDTDGGRLTTMIAPGKRALTLPVNAAAAVGHFVEPGSYVDILGTVDEPVEPVAPAAA TQPGAPGQPAALPGQPAVPGQAPPAAGQPQAPAQPQSPVEQMLKNYLTQYPGADENDV NAYRKQAQDYKLGISSRTRVVTRTFLQNVKVLAVGAATTAEGAVTKANSTYNNVTVEV TPSEAEMLIFAMGQSNGSLNLVLRNPADNIVEDLPSINWTRM YP_002985188.1 MMQGARISFRLAGHLAGHLTGLVLVIGLAAAVATPVRAEIYKDF KVPLNSMLAGILGAPERAPRDLVINGQPLEFTPYQSDRSISDITDEWLRVLAANTRPA LPRSDNKEELTAVIAANMLIVPKTSRIRDDLAVVVRFFDGDGEAALDYLRRQDPKNPS ARAPIPGVSIMIRRPADAPRTEVLMSRFEDVASTLPAFAAPADVSKLPMSLRPPAGVE VLSDIGDRDQSHTSRTVVSKGTLSAVRWSDQRADLLARDGFTIETPPAQRGGVTALYG RRGSVEANVLYTRSKTDGRTVEVIQIRQPFVEGITP YP_002985189.1 MPGLPSTHTEPTGGLPGRGLLMRLHRDRRGLASIEFVLAAPVIL LIVIFVIHANKISTKKVGTMLAMRNAAFAEANGLDCTSDFSNVFPIPALPALPGGDAM SCARTPSHEGDGDPQRTFVWDDVQNTLKSDGRDFGDMVGDLANEKPQLVTATADRVYK FRDSDDLDTIRSLRWKDAFTVDDSTLFASHNNDTTRRGYDPTLRREIRDVADDSGDLF DGVFPGAK YP_002985190.1 MTPTLIKRLHRDERGFLSPIILYMTIALALMIVWILNTGQMIYD KQRTQDTADAAALVHADWEARYLNIMAMNNVASSQATVVMATSVAFQLTTAELAVRSA AILAKLAEYSFTEGFGPASLLPPLPPMPYCPGWQKVPIVGGIIYGACLAFQGFRATEA GLAIGYTVKAQLDYDPLGLIVKSSDIIDAMNDLNDYLVESFPQRVGNEALHLVRLNKS DHVVFHPPCESCNNAGEGAGGNLPVDRDGINPASAYAEMCIAMTYGTQGQDPFLMRGE FANRGFPNGKGPLTAGGVDGSHIRDWVNHESGIDDALVNFYIFYEAFGPAYLSKVPFK AIVEQYAGDLSWLEEAALDVSFWLADEVFGIGVGITNPFQLPIDVPPRYSDAQTKDEN DFTRKFDTIWDGVCSPAGAAISMAGALPVVSFPKPYWLKGRTPFNFTPFGGEQLDDYQ TLAIVSRAPRARLQIRIFKDKTPSAYALAQSWVHNYTAFDLYTQDWLASLAPATLADD IGEVSNTIKQSPAADSFTGLTRVFDRGGANAWAAVNTH YP_002985191.1 MRAELERPIFDGAFWSSILHSRTFWVPQDHGALLGTFAIAMLLL ASMLLLPRLSARRRRISELHGDTSGSTTMMDFVLVTPVFVFFMFVVFQFTILAKNHLF THYAAYAAARSARVYFCPALPITLRSLIDVKTCDDDAAAGKADLAARLALIPAAPYDQ LKCVGACQPPEDALKNLADASGLSKNWRAMRNQARYMFDPQNVTVTVDRAPMALYAAI NRSPHVPVTAKVEARFLLLEYAGWVFARGQRKDGRYYTISTAEVNLL YP_002985192.1 MDTTYDLTLVPAAAALAVACTMTAAVLDHRHGHIPNAVTYPCLL GGFMLAAVSGGLAGIGLAFAGLFAAGLIFIIAFAAGSCGGGDVKLMAALGAILGLWPA IDVTLASLMVGGVIAVFSMARRVQWSVLARTVGLFALLLPAGFRDAASVLKPRETHHT VRFGVAAALGLLWCLFMPDFTPLSFVR YP_002985193.1 MFGKLKTLAIALHKDERGDMAQIVLAVALIVIPLMLILLAFGKQ IGEWFNEKNTALSDAERIPEPGQ YP_002985194.1 METMSSILILGLVLIPLMMFFPTAVQMMATLFGMSTVLVGMPL YP_002985195.1 MKAVSDDAAEQATFQVLTRALDKLLGPIRFLLDDPSVSEILING TSDIFVERRGKLERADTRFASREDLESAARSIAQFSGKRLTPEEPSVEARLPDGSRVQ MIQPPASRTGLCISIRRFLKEHRSIHDLVTQGSLTDESLTLLQAAVGLQKNVIISGGT GTGKTTMLNALSEAFADHERIIVIEDTSELQIRKEHVVYLEVTKPDRFGRGGASIRDL FRSSLRMRPDRVIVGECRGGEALDMIQAMTSGHSGSLSTVHANTPYDSLHRLETLALM SDIDMPLRPLRAQIASAVDVVVQIARFKRTGRRRVVEISEIKGLNNDGQYIVESIYKL EGDGHSNPDGALLWTGVVPSFAADALEELQGAARPEWVGAASLHTA YP_002985196.1 MRDLPQHKPVLAGAAYKGISGAGVRLEALPEGHLLHVLGAIEPT ALAAELVKAGFAKSSIRKAGFRQWFVAGHEPLVPASLHALAAALAGKAFVMDQSHGRV RIGVSGRSSRALLSRGAAVDLDPSVFPEGHSAMTMVGHLSVQIVRTGDDSFELTVLRG FAESLWDDLVHMAASAEKDDV YP_002985197.1 MTSFRLSSGGRIDRATTLGFTFDGRPLEGHPGDTLASALLANGV QLVGRSFKYHRPRGILTAGAAEPNALVTTGSGGRTEPNTRATMIELYQGLTAKSQNRW PSLGFDVGAVNGLLSPFLSAGFYYKTFMWPAALWEKLYEPVIRKAAGLGRASYEADPD SYEKCWAHCDLLVIGAGPTGLAAALTAGRAGARVILADEGSELGGSLLSDSGTIDGKP ADALLGELLAELASLENVRRLPRMTVFGWYDDNVFGAVERVQKHVAMPDPERPVERLW RIVARQAILATGVEERPLVFGGNDIPGVMMAGAMRSYLNRQAVAPGRSTVIFTTNDAG YRTAADLEAAGLTVAAIVDSRADAAASWQGRAEVLKGARVIDALGGKRLRGVSVETAT GTRRIEADALAMSGGWSPIIHLACHRGAKPQWSDEASAFLAPVQQEGLTVAGSAAGLA QTATCLGDGAAKAVAALKAIGFAAAEPAFALASEAAAQAKPLWSVKGSKGKAFVDYQN DVHLKDLGLAVREGYGHVELAKRYTTSGMATDQGKLSNINAIGILAEARGVSPADVGT TTFRPFYTPVSFGALTGASRGKHFQPARKSPLHGWAKKNGAIFVETGLWYRSAWFPRA GETTWRESVDREVLNIRKNAGLCDVSTLGKIEISGRDAATFLDRIYCNGFAKLAVGKA RYGIMLREDGFIYDDGTTSRFSDEHFFMTTTTALAAGVLTHLEFCAQTLWPELDVCFA SSTDQWAQMAVAGPKSRAILQEIVDEDLSDAAFPFMSARKVSLFGGQLEGRLFRISFS GELAYELAVPAGYGEGVADAIMAAGEKHGICAYGAEALGVMRIEKGHVTHAEINGTVT PGDLGFGRMVSSTKPDFIGKALLAREGLQDPDRQRLVGVKPLNPATGFRTGSHILADG VAATLENDQGYVTSSAFSPGLGHTIGLALVRRGPERIGEKVTVWNGLRNEFTDAVLCH PVFIDPENEKLHA YP_002985198.1 MASLISCPHCGARPKEEFSIRGDAGLIRPAPDAGAAAWFDYVYL RDNPRGRHSEYWHHSSGCRRWLIVERDTVTHVVHGVRDAALSKLSGEPA YP_002985199.1 MRYSALSIFLNGLRGNKGWAPAWRDPAPKPHYDVIIVGGGGHGL ATAYYLAKEFGVTNVAVLEKGYLGSGNIGRNTTIIRSNYLLPGNNPFYELSMKLWEGL EQDFNFNAMVSQRGVLNLFHSDAQRDAYTRRGNAMRLHGVDAELLDRQAVRRKLPFLD FDNARFPIMGGLFQPRGGTVRHDAVAWGYARGADQRGVDIITQCEVTGIRSENGRITG VETNKGFIGCGKLALAAAGNSTVVADMAGLRLPIESHVLQAFVSEGLKPFIDTVVTFG AGHFYASQSDKGGLVFGGDIDGYNSYAQRGNLASVEHVAEAGLALIPSLSRVRYLRSW GGVMDMSMDGSPIIDRTHIDNLYLNTGWCYGGFKATPASGFCYAHLIARNAPHQTARA FRLDRFARGYPIDEKGVGAQPNLH YP_002985200.1 MSYASATEPLRAANLLAGREIYRLQIFSPDGTPALSSSGTSVPA EPLPVRGSGLGTAFVCAGGLPRDWRYPGVLACLRQLSREGVRIGGISGGPYLMAAAGL LGGRDFTIHWEHAAALLEAFPDLTPRQARFVIDGNRITCGGGIAPLDMMHVLIAERMG PDFARRVSDWYLHTEVNEPAAPQRASLAQRYGVHHSGLLNVLERMEETIEMPLERAAM ARIAGVTTRHLDRLFSAHLGTTFLDQYRRIRLQHAHRLLKQSPLSVSEIAVATGFSSL SHFSRMFRAVYGIAPRAARRE